From 20cdb8f06e352b13a1d7853ffd9a5d4ca850f2d7 Mon Sep 17 00:00:00 2001 From: Aadi Desai Date: Tue, 1 Jun 2021 16:04:15 +0100 Subject: [PATCH 01/32] Test branch copy to move to local --- .../.qsys_edit/filters.xml | 2 +- .../.qsys_edit/preferences.xml | 2 +- .../DE10_LITE_D8M_VIP.qsf | 2 +- .../DE10_LITE_D8M_VIP.qws | Bin 2514 -> 407 bytes Vision/DE10_LITE_D8M_VIP_16/Qsys.sopcinfo | 494 ++- .../sbtWorkspace/.metadata/.lock | 0 .../sbtWorkspace/.metadata/.log | 480 +++ .../.metadata/.mylyn/repositories.xml.zip | Bin 0 -> 438 bytes .../.plugins/org.eclipse.cdt.core/.log | 2 + .../D8M_Camera_Test.1622552776633.pdom | Bin 0 -> 540672 bytes .../D8M_Camera_Test.language.settings.xml | 1469 +++++++ .../D8M_Camera_Test_bsp.1622552868714.pdom | Bin 0 -> 1159168 bytes .../D8M_Camera_Test_bsp.language.settings.xml | 2547 ++++++++++++ .../org.eclipse.cdt.make.core/specs.c | 1 + .../org.eclipse.cdt.make.core/specs.cpp | 1 + .../spec.C | 0 .../spec.c | 0 .../D8M_Camera_Test.build.log | 9 + .../D8M_Camera_Test_bsp.build.log | 129 + .../org.eclipse.cdt.ui/dialog_settings.xml | 7 + .../org.eclipse.cdt.ui/global-build.log | 61 + .../41/700f9430dec2001b1f77c40a2d51fad4 | 302 ++ .../70/707fa8e6dfc2001b1f77c40a2d51fad4 | 283 ++ .../a8/f0a55278dfc2001b1f77c40a2d51fad4 | 302 ++ .../be/d008f016dec2001b1f77c40a2d51fad4 | 301 ++ .../D8M_Camera_Test/.indexes/properties.index | Bin 0 -> 1060 bytes .../.projects/D8M_Camera_Test/.location | Bin 0 -> 140 bytes .../.projects/D8M_Camera_Test/.markers | Bin 0 -> 212 bytes .../GitProjectData.properties | 3 + .../.indexes/properties.index | Bin 0 -> 583 bytes .../.projects/D8M_Camera_Test_bsp/.location | Bin 0 -> 144 bytes .../GitProjectData.properties | 3 + .../.indexes/properties.index | Bin 0 -> 80 bytes .../GitProjectData.properties | 3 + .../.root/.indexes/history.version | 1 + .../.root/.indexes/properties.index | Bin 0 -> 104 bytes .../.root/.indexes/properties.version | 1 + .../org.eclipse.core.resources/.root/2.tree | Bin 0 -> 22679 bytes .../.safetable/org.eclipse.core.resources | Bin 0 -> 944 bytes ...eclipse.cdt.core.prj-D8M_Camera_Test.prefs | 2 + ...pse.cdt.core.prj-D8M_Camera_Test_bsp.prefs | 2 + .../org.eclipse.cdt.debug.core.prefs | 2 + .../org.eclipse.cdt.managedbuilder.core.prefs | 3 + .../.settings/org.eclipse.cdt.mylyn.ui.prefs | 2 + .../.settings/org.eclipse.cdt.ui.prefs | 5 + .../org.eclipse.core.resources.prefs | 2 + .../.settings/org.eclipse.debug.core.prefs | 5 + .../.settings/org.eclipse.debug.ui.prefs | 3 + .../.settings/org.eclipse.egit.core.prefs | 2 + .../org.eclipse.mylyn.context.core.prefs | 2 + .../org.eclipse.mylyn.monitor.ui.prefs | 2 + .../org.eclipse.mylyn.tasks.ui.prefs | 3 + .../.settings/org.eclipse.rse.core.prefs | 3 + .../.settings/org.eclipse.rse.ui.prefs | 2 + .../.settings/org.eclipse.team.cvs.ui.prefs | 2 + .../.settings/org.eclipse.team.ui.prefs | 2 + .../.settings/org.eclipse.ui.editors.prefs | 2 + .../.settings/org.eclipse.ui.ide.prefs | 6 + .../.settings/org.eclipse.ui.workbench.prefs | 2 + ...Test Nios II Hardware configuration.launch | 25 + .../launchConfigurationHistory.xml | 27 + .../org.eclipse.e4.workbench/workbench.xmi | 2114 ++++++++++ .../C/devhelp.libhover | Bin 0 -> 15858 bytes .../C/glibc_library.libhover | Bin 0 -> 1042692 bytes .../.plugins/org.eclipse.rse.core/.log | 0 ...al.core.RSELocalConnectionInitializer.mark | 0 .../FP.local.files_0/node.properties | 57 + .../PRF.ee-mill2_0/H.local_16/node.properties | 25 + .../profiles/PRF.ee-mill2_0/node.properties | 7 + .../.plugins/org.eclipse.rse.ui/.log | 0 .../dialog_settings.xml | 15 + .../org.eclipse.ui.workbench/workingsets.xml | 4 + .../sbtWorkspace/.metadata/version.ini | 1 + .../RemoteSystemsTempFiles/.project | 12 + .../software/D8M_Camera_Test/.cproject | 47 +- .../software/D8M_Camera_Test/.project | 2 +- .../.settings/language.settings.xml | 4 +- .../D8M_Camera_Test/D8M_Camera_Test.elf | Bin 503720 -> 1147529 bytes .../D8M_Camera_Test/D8M_Camera_Test.map | 3496 ++++++++--------- .../D8M_Camera_Test/D8M_Camera_Test.objdump | 3419 ++++++++-------- .../software/D8M_Camera_Test/main.c | 88 +- .../software/D8M_Camera_Test_bsp/.cproject | 47 +- .../.settings/language.settings.xml | 4 +- .../HAL/inc/sys/alt_log_printf.h | 7 +- .../D8M_Camera_Test_bsp/HAL/src/alt_load.c | 29 +- .../HAL/src/alt_log_printf.c | 4 +- .../software/D8M_Camera_Test_bsp/Makefile | 18 +- .../software/D8M_Camera_Test_bsp/mem_init.mk | 6 +- .../software/D8M_Camera_Test_bsp/public.mk | 24 +- .../software/D8M_Camera_Test_bsp/settings.bsp | 32 +- .../software/D8M_Camera_Test_bsp/summary.html | 12 +- .../software/D8M_Camera_Test_bsp/system.h | 4 +- 92 files changed, 12074 insertions(+), 3915 deletions(-) create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.lock create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.mylyn/repositories.xml.zip create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.1622552776633.pdom create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.1622552868714.pdom create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.C create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.c create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.indexes/properties.index create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.location create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.markers create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.indexes/properties.index create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.location create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.indexes/properties.index create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.version create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/2.tree create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/devhelp.libhover create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/glibc_library.libhover create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/.log create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.ui/.log create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini create mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project diff --git a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml index 5ca182d..2c6ab93 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml @@ -1,2 +1,2 @@ - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml index f64d299..ef41bac 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml @@ -10,5 +10,5 @@ - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf index f5e15d6..d52ca1a 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf +++ b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf @@ -6,7 +6,7 @@ set_global_assignment -name FAMILY "MAX 10" set_global_assignment -name DEVICE 10M50DAF484C7G set_global_assignment -name TOP_LEVEL_ENTITY DE10_LITE_D8M_VIP set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0 -set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.2 set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:21:37 AUGUST 23,2016" set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qws b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qws index 870428930ae16021944c4181aae9fa2f41c64a34..82ecf992739581253eb66876118b7d80cedfc976 100644 GIT binary patch delta 184 zcmca4Je_%hHY)=VFi!Sljj(694P^cY@&AIvKnw`Q-0_DA#Qgmq2%zH39YB#}2A}`~ z1Dgl~19QjZiHx$6AQd3BAPKNhF!p3V7D*P6;N&|T60D41mLt0eCo@n1j3=)GQVjy^ Vl0XyNA#AW&|9~3)0r`wf3;=ZjH~jzr literal 2514 zcmds&L2DCH5Xb*7M(`Mrdh#G5B?yVxHQMziY89bHk>bU}nq;>cVw*IsR*>S|v*5=N zyxW^6FM`ozBe;(=Kp3dS2Y?Z^++u}R;F0%>T9ST z(g0mYDT|Ik*Lda?sKJ^A-P96Nj4sk5{4Sc?@JwB;uqVc%&AMn(C8}d7(K2>s_hF~5 zr>uIB>jGXa74G8WZ zp|WmIsD?E&aF&hz;*2Z*Z>H|PeEi@IsqzkU-=&$aAh{z|-s6ER&wNI{SGddJ5~-<+ zngeS29*$MQI=)i7d*6550`xc-OlP>@)`$3xlPGeQ63IJ z7$_zN#0ij;>X`@QJoT`aLW{Dz?S}dEv13kblv@Wq10Zn_& zH{U*Jjqz^0$84Q!C0ylH*=YYJo9ZaHsG s{x3Dnwlncx)6%!65tW80U)d=NI@o`v1Kx-}(S%+=Zz+b2ahdY{0^ie+$N&HU diff --git a/Vision/DE10_LITE_D8M_VIP_16/Qsys.sopcinfo b/Vision/DE10_LITE_D8M_VIP_16/Qsys.sopcinfo index 865b02c..226d954 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/Qsys.sopcinfo +++ b/Vision/DE10_LITE_D8M_VIP_16/Qsys.sopcinfo @@ -1,11 +1,11 @@ - - + + java.lang.Integer - 1622141601 + 1622558600 false true false @@ -118,7 +118,7 @@ the requested settings for a module instance. --> true true - + @@ -163,7 +163,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -211,7 +211,7 @@ parameters are a RESULT of the module parameters. --> + version="16.0"> @@ -363,7 +363,7 @@ parameters are a RESULT of the module parameters. --> + version="16.0"> @@ -512,7 +512,7 @@ parameters are a RESULT of the module parameters. --> valid - + @@ -883,7 +883,7 @@ parameters are a RESULT of the module parameters. --> address - + @@ -968,7 +968,7 @@ the requested settings for a module instance. --> true true - + @@ -1013,7 +1013,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1058,7 +1058,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1429,7 +1429,7 @@ parameters are a RESULT of the module parameters. --> address - + @@ -1578,7 +1578,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -1727,7 +1727,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -1824,7 +1824,7 @@ the requested settings for a module instance. --> true true - + @@ -1869,7 +1869,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1914,7 +1914,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1980,7 +1980,7 @@ parameters are a RESULT of the module parameters. --> + version="16.0"> @@ -2426,7 +2426,7 @@ the requested settings for a module instance. --> true true - + @@ -2471,7 +2471,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2516,7 +2516,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2665,7 +2665,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -2880,7 +2880,7 @@ the requested settings for a module instance. --> true true - + @@ -2925,7 +2925,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2970,7 +2970,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -3119,7 +3119,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -3268,7 +3268,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -3575,7 +3575,7 @@ parameters are a RESULT of the module parameters. --> 67108864 - + @@ -3877,7 +3877,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -5220,7 +5220,7 @@ the requested settings for a module instance. --> true true - + @@ -5281,7 +5281,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5326,7 +5326,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -5687,7 +5687,7 @@ parameters are a RESULT of the module parameters. --> writedata - + @@ -5756,7 +5756,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5825,7 +5825,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5930,7 +5930,7 @@ parameters are a RESULT of the module parameters. --> alt_vip_itc_0.is_clk_rst - + @@ -5999,7 +5999,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6068,7 +6068,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6113,7 +6113,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -6159,7 +6159,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -6212,7 +6212,7 @@ the requested settings for a module instance. --> true true - + @@ -6277,7 +6277,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6326,7 +6326,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -6473,7 +6473,7 @@ parameters are a RESULT of the module parameters. --> altpll_0.inclk_interface - + @@ -6558,7 +6558,7 @@ the requested settings for a module instance. --> true true - + @@ -6603,7 +6603,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6648,7 +6648,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -6699,7 +6699,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -7066,7 +7066,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -7167,7 +7167,7 @@ the requested settings for a module instance. --> true true - + @@ -7212,7 +7212,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -7257,7 +7257,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -7308,7 +7308,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -7675,7 +7675,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -7756,7 +7756,7 @@ parameters are a RESULT of the module parameters. --> @@ -7939,7 +7939,7 @@ the requested settings for a module instance. --> true true - + @@ -8000,7 +8000,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8045,7 +8045,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -8422,7 +8422,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -8500,7 +8500,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -8757,7 +8757,7 @@ the requested settings for a module instance. --> true true - + @@ -8818,7 +8818,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8863,7 +8863,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -9210,7 +9210,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -9256,7 +9256,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -9513,7 +9513,7 @@ the requested settings for a module instance. --> true true - + @@ -9574,7 +9574,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -9619,7 +9619,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -9984,7 +9984,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -10033,7 +10033,7 @@ parameters are a RESULT of the module parameters. --> @@ -10291,7 +10291,7 @@ the requested settings for a module instance. --> true true - + @@ -10352,7 +10352,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -10397,7 +10397,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -10762,7 +10762,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -10811,7 +10811,7 @@ parameters are a RESULT of the module parameters. --> @@ -11069,7 +11069,7 @@ the requested settings for a module instance. --> true true - + @@ -11130,7 +11130,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -11175,7 +11175,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -11540,7 +11540,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -11589,7 +11589,7 @@ parameters are a RESULT of the module parameters. --> @@ -11905,14 +11905,6 @@ the requested settings for a module instance. --> false true - - boolean - false - false - true - false - true - boolean false @@ -13404,7 +13396,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -13465,7 +13457,7 @@ the requested settings for a module instance. --> true true - + @@ -13526,7 +13518,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -13577,7 +13569,7 @@ parameters are a RESULT of the module parameters. --> reset_req - + @@ -14018,7 +14010,7 @@ parameters are a RESULT of the module parameters. --> 32 - + @@ -14327,7 +14319,7 @@ parameters are a RESULT of the module parameters. --> 100000 - + @@ -14424,7 +14416,7 @@ parameters are a RESULT of the module parameters. --> 3 - + @@ -14485,7 +14477,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -14863,7 +14855,7 @@ parameters are a RESULT of the module parameters. --> + version="16.0"> @@ -14952,7 +14944,7 @@ parameters are a RESULT of the module parameters. --> @@ -15100,14 +15092,6 @@ the requested settings for a module instance. --> true true - - boolean - false - true - true - false - true - boolean false @@ -15124,14 +15108,6 @@ the requested settings for a module instance. --> true true - - boolean - false - false - false - true - true - java.lang.String NONE @@ -15180,14 +15156,6 @@ the requested settings for a module instance. --> true true - - boolean - false - true - true - false - true - int 1 @@ -15272,7 +15240,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -15343,7 +15311,7 @@ the requested settings for a module instance. --> true true - + @@ -15388,7 +15356,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -15765,7 +15733,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -15820,7 +15788,7 @@ parameters are a RESULT of the module parameters. --> @@ -16215,7 +16183,7 @@ the requested settings for a module instance. --> true true - + @@ -16276,7 +16244,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -16321,7 +16289,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -16710,7 +16678,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -16804,7 +16772,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -17061,7 +17029,7 @@ the requested settings for a module instance. --> true true - + @@ -17122,7 +17090,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -17167,7 +17135,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -17514,7 +17482,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -17563,7 +17531,7 @@ parameters are a RESULT of the module parameters. --> @@ -17573,7 +17541,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.TIMESTAMP - 1622141601 + 1622558600 embeddedsw.dts.compatible @@ -17593,7 +17561,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.timestamp - 1622141601 + 1622558600 embeddedsw.dts.vendor @@ -17609,7 +17577,7 @@ the requested settings for a module instance. --> int - 1622141601 + 1622558600 true false false @@ -17632,7 +17600,7 @@ the requested settings for a module instance. --> true true - + @@ -17677,7 +17645,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -17722,7 +17690,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -18066,7 +18034,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -18291,7 +18259,7 @@ the requested settings for a module instance. --> true true - + @@ -18352,7 +18320,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -18397,7 +18365,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -18766,7 +18734,7 @@ parameters are a RESULT of the module parameters. --> write_n - + @@ -18847,7 +18815,7 @@ parameters are a RESULT of the module parameters. --> @@ -18898,7 +18866,7 @@ parameters are a RESULT of the module parameters. --> @@ -18949,7 +18917,7 @@ parameters are a RESULT of the module parameters. --> @@ -19000,7 +18968,7 @@ parameters are a RESULT of the module parameters. --> @@ -19051,7 +19019,7 @@ parameters are a RESULT of the module parameters. --> @@ -19102,7 +19070,7 @@ parameters are a RESULT of the module parameters. --> @@ -19153,7 +19121,7 @@ parameters are a RESULT of the module parameters. --> @@ -19204,7 +19172,7 @@ parameters are a RESULT of the module parameters. --> @@ -19255,7 +19223,7 @@ parameters are a RESULT of the module parameters. --> @@ -19306,7 +19274,7 @@ parameters are a RESULT of the module parameters. --> @@ -19357,7 +19325,7 @@ parameters are a RESULT of the module parameters. --> @@ -19408,7 +19376,7 @@ parameters are a RESULT of the module parameters. --> @@ -19459,7 +19427,7 @@ parameters are a RESULT of the module parameters. --> @@ -19510,7 +19478,7 @@ parameters are a RESULT of the module parameters. --> @@ -19561,7 +19529,7 @@ parameters are a RESULT of the module parameters. --> @@ -19612,7 +19580,7 @@ parameters are a RESULT of the module parameters. --> @@ -19663,7 +19631,7 @@ parameters are a RESULT of the module parameters. --> @@ -19714,7 +19682,7 @@ parameters are a RESULT of the module parameters. --> @@ -19765,7 +19733,7 @@ parameters are a RESULT of the module parameters. --> @@ -19816,7 +19784,7 @@ parameters are a RESULT of the module parameters. --> @@ -19843,7 +19811,7 @@ parameters are a RESULT of the module parameters. --> @@ -19870,7 +19838,7 @@ parameters are a RESULT of the module parameters. --> @@ -19897,7 +19865,7 @@ parameters are a RESULT of the module parameters. --> @@ -19924,7 +19892,7 @@ parameters are a RESULT of the module parameters. --> @@ -19951,7 +19919,7 @@ parameters are a RESULT of the module parameters. --> @@ -19978,7 +19946,7 @@ parameters are a RESULT of the module parameters. --> @@ -20005,7 +19973,7 @@ parameters are a RESULT of the module parameters. --> @@ -20032,7 +20000,7 @@ parameters are a RESULT of the module parameters. --> @@ -20059,7 +20027,7 @@ parameters are a RESULT of the module parameters. --> @@ -20086,7 +20054,7 @@ parameters are a RESULT of the module parameters. --> @@ -20113,7 +20081,7 @@ parameters are a RESULT of the module parameters. --> @@ -20140,7 +20108,7 @@ parameters are a RESULT of the module parameters. --> @@ -20167,7 +20135,7 @@ parameters are a RESULT of the module parameters. --> @@ -20194,7 +20162,7 @@ parameters are a RESULT of the module parameters. --> @@ -20221,7 +20189,7 @@ parameters are a RESULT of the module parameters. --> @@ -20248,7 +20216,7 @@ parameters are a RESULT of the module parameters. --> @@ -20275,7 +20243,7 @@ parameters are a RESULT of the module parameters. --> @@ -20302,7 +20270,7 @@ parameters are a RESULT of the module parameters. --> @@ -20329,7 +20297,7 @@ parameters are a RESULT of the module parameters. --> @@ -20356,7 +20324,7 @@ parameters are a RESULT of the module parameters. --> @@ -20383,7 +20351,7 @@ parameters are a RESULT of the module parameters. --> @@ -20410,7 +20378,7 @@ parameters are a RESULT of the module parameters. --> @@ -20437,7 +20405,7 @@ parameters are a RESULT of the module parameters. --> @@ -20472,7 +20440,7 @@ parameters are a RESULT of the module parameters. --> @@ -20507,7 +20475,7 @@ parameters are a RESULT of the module parameters. --> @@ -20542,7 +20510,7 @@ parameters are a RESULT of the module parameters. --> @@ -20577,7 +20545,7 @@ parameters are a RESULT of the module parameters. --> @@ -20604,7 +20572,7 @@ parameters are a RESULT of the module parameters. --> @@ -20631,7 +20599,7 @@ parameters are a RESULT of the module parameters. --> @@ -20658,7 +20626,7 @@ parameters are a RESULT of the module parameters. --> @@ -20685,7 +20653,7 @@ parameters are a RESULT of the module parameters. --> @@ -20712,7 +20680,7 @@ parameters are a RESULT of the module parameters. --> @@ -20739,7 +20707,7 @@ parameters are a RESULT of the module parameters. --> @@ -20766,7 +20734,7 @@ parameters are a RESULT of the module parameters. --> @@ -20793,7 +20761,7 @@ parameters are a RESULT of the module parameters. --> @@ -20820,7 +20788,7 @@ parameters are a RESULT of the module parameters. --> @@ -20847,7 +20815,7 @@ parameters are a RESULT of the module parameters. --> @@ -20874,7 +20842,7 @@ parameters are a RESULT of the module parameters. --> @@ -20901,7 +20869,7 @@ parameters are a RESULT of the module parameters. --> @@ -20928,7 +20896,7 @@ parameters are a RESULT of the module parameters. --> @@ -20955,7 +20923,7 @@ parameters are a RESULT of the module parameters. --> @@ -20982,7 +20950,7 @@ parameters are a RESULT of the module parameters. --> @@ -21009,7 +20977,7 @@ parameters are a RESULT of the module parameters. --> @@ -21036,7 +21004,7 @@ parameters are a RESULT of the module parameters. --> @@ -21063,7 +21031,7 @@ parameters are a RESULT of the module parameters. --> @@ -21090,7 +21058,7 @@ parameters are a RESULT of the module parameters. --> @@ -21117,7 +21085,7 @@ parameters are a RESULT of the module parameters. --> @@ -21144,7 +21112,7 @@ parameters are a RESULT of the module parameters. --> @@ -21171,7 +21139,7 @@ parameters are a RESULT of the module parameters. --> @@ -21198,7 +21166,7 @@ parameters are a RESULT of the module parameters. --> @@ -21225,7 +21193,7 @@ parameters are a RESULT of the module parameters. --> @@ -21252,7 +21220,7 @@ parameters are a RESULT of the module parameters. --> @@ -21279,7 +21247,7 @@ parameters are a RESULT of the module parameters. --> @@ -21306,7 +21274,7 @@ parameters are a RESULT of the module parameters. --> @@ -21333,7 +21301,7 @@ parameters are a RESULT of the module parameters. --> @@ -21360,7 +21328,7 @@ parameters are a RESULT of the module parameters. --> @@ -21387,7 +21355,7 @@ parameters are a RESULT of the module parameters. --> @@ -21414,7 +21382,7 @@ parameters are a RESULT of the module parameters. --> @@ -21441,7 +21409,7 @@ parameters are a RESULT of the module parameters. --> @@ -21468,7 +21436,7 @@ parameters are a RESULT of the module parameters. --> @@ -21495,7 +21463,7 @@ parameters are a RESULT of the module parameters. --> @@ -21522,7 +21490,7 @@ parameters are a RESULT of the module parameters. --> @@ -21549,7 +21517,7 @@ parameters are a RESULT of the module parameters. --> @@ -21587,7 +21555,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 16.1 + 16.0 19 @@ -21595,7 +21563,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 16.1 + 16.0 4 @@ -21603,7 +21571,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Sink - 16.1 + 16.0 4 @@ -21611,7 +21579,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Source - 16.1 + 16.0 16 @@ -21619,7 +21587,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave - 16.1 + 16.0 14 @@ -21627,7 +21595,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit - 16.1 + 16.0 1 @@ -21667,7 +21635,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master - 16.1 + 16.0 1 @@ -21675,7 +21643,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Avalon ALTPLL - 16.1 + 16.0 5 @@ -21683,7 +21651,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 16.1 + 16.0 1 @@ -21691,7 +21659,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source - 16.1 + 16.0 1 @@ -21699,7 +21667,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 16.1 + 16.0 1 @@ -21707,7 +21675,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 16.1 + 16.0 1 @@ -21715,7 +21683,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 16.1 + 16.0 1 @@ -21723,7 +21691,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 16.1 + 16.0 2 @@ -21739,7 +21707,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender - 16.1 + 16.0 1 @@ -21747,7 +21715,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART - 16.1 + 16.0 5 @@ -21755,7 +21723,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule PIO (Parallel I/O) - 16.1 + 16.0 1 @@ -21763,7 +21731,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor - 16.1 + 16.0 1 @@ -21771,7 +21739,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver - 16.1 + 16.0 1 @@ -21779,7 +21747,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 16.1 + 16.0 1 @@ -21787,7 +21755,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master - 16.1 + 16.0 1 @@ -21795,7 +21763,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) - 16.1 + 16.0 1 @@ -21803,7 +21771,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule SDRAM Controller - 16.1 + 16.0 1 @@ -21811,7 +21779,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule System ID Peripheral - 16.1 + 16.0 1 @@ -21819,7 +21787,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer - 16.1 + 16.0 19 @@ -21827,7 +21795,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection - 16.1 + 16.0 4 @@ -21835,7 +21803,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Streaming Connection - 16.1 + 16.0 19 @@ -21843,7 +21811,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection - 16.1 + 16.0 4 @@ -21851,7 +21819,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection - 16.1 + 16.0 37 @@ -21859,8 +21827,8 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection - 16.1 + 16.0 - 16.1 196 + 16.0 222 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.lock b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.lock new file mode 100644 index 0000000..e69de29 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log new file mode 100644 index 0000000..201f5be --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log @@ -0,0 +1,480 @@ +!SESSION 2021-06-01 14:03:06.351 ----------------------------------------------- +eclipse.buildId=4.3.2.M20140221-1700 +java.version=1.8.0_05 +java.vendor=Oracle Corporation +BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US +Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini +Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini + +!ENTRY org.eclipse.ui 2 0 2021-06-01 14:04:23.380 +!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. +!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 14:04:23.380 +!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' + +!ENTRY org.eclipse.ui 2 0 2021-06-01 14:04:24.498 +!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. +!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 14:04:24.498 +!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' + +!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 14:06:17.329 +!MESSAGE Indexed 'D8M_Camera_Test' (6 sources, 6 headers) in 0.60 sec: 306 declarations; 1,011 references; 24 unresolved inclusions; 0 syntax errors; 201 unresolved names (13.24%) + +!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 14:07:50.514 +!MESSAGE Indexed 'D8M_Camera_Test_bsp' (83 sources, 154 headers) in 1.78 sec: 5,266 declarations; 8,569 references; 16 unresolved inclusions; 2 syntax errors; 51 unresolved names (0.37%) + +!ENTRY org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE Keybinding conflicts occurred. They may interfere with normal accelerator operation. +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for ALT+CTRL+I: +Binding(ALT+CTRL+I, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, + Open an include browser on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@24090832, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(ALT+CTRL+I, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, + Open an include browser on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@24090832, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for CTRL+SHIFT+T: +Binding(CTRL+SHIFT+T, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, + Open an element in an Editor, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@7e38d2a2, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+SHIFT+T, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, + Open an element in an Editor, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@7e38d2a2, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for F4: +Binding(F4, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, + Open a type hierarchy on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@79408109, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(F4, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, + Open a type hierarchy on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@79408109, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for ALT+SHIFT+R: +Binding(ALT+SHIFT+R, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , + Rename the selected element, + Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@33671907, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(ALT+SHIFT+R, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , + Rename the selected element, + Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@33671907, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for F3: +Binding(F3, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, + Open an editor on the selected element's declaration(s), + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@5fc3dfc1, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(F3, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, + Open an editor on the selected element's declaration(s), + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@5fc3dfc1, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for CTRL+SHIFT+G: +Binding(CTRL+SHIFT+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, + Search for references to the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@67d6bb59, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+SHIFT+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, + Search for references to the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@67d6bb59, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for CTRL+G: +Binding(CTRL+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, + Search for declarations of the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1a632663, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, + Search for declarations of the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1a632663, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for ALT+CTRL+H: +Binding(ALT+CTRL+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, + Open the call hierarchy for the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1147ab09, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(ALT+CTRL+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, + Open the call hierarchy for the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1147ab09, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 +!MESSAGE A conflict occurred for CTRL+SHIFT+H: +Binding(CTRL+SHIFT+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, + Open a type in the type hierarchy view, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@503b5337, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+SHIFT+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, + Open a type in the type hierarchy view, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@503b5337, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:11:37.605 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:11:39.859 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:35:55.217 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:35:58.889 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] +!SESSION 2021-06-01 15:25:18.150 ----------------------------------------------- +eclipse.buildId=4.3.2.M20140221-1700 +java.version=1.8.0_05 +java.vendor=Oracle Corporation +BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US +Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini +Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini + +!ENTRY org.eclipse.core.resources 2 10035 2021-06-01 15:25:34.463 +!MESSAGE The workspace exited with unsaved changes in the previous session; refreshing workspace to recover changes. + +!ENTRY org.eclipse.ui 2 0 2021-06-01 15:25:35.756 +!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. +!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:25:35.756 +!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' + +!ENTRY org.eclipse.ui 2 0 2021-06-01 15:25:36.679 +!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. +!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:25:36.679 +!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:01.828 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:03.222 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:04.718 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:06.290 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:06.295 +!MESSAGE Downloading ELF Process failed + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:48.922 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:50.294 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:51.067 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:52.474 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:52.478 +!MESSAGE Downloading ELF Process failed + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:31:19.409 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:20.799 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:31:21.477 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:22.936 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:22.940 +!MESSAGE Downloading ELF Process failed + +!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 15:39:40.412 +!MESSAGE Indexed 'D8M_Camera_Test' (6 sources, 68 headers) in 0.40 sec: 2,546 declarations; 4,517 references; 0 unresolved inclusions; 0 syntax errors; 0 unresolved names (0.00%) + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:41:17.879 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:19.297 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:41:19.587 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:21.001 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:21.005 +!MESSAGE Downloading ELF Process failed +!SESSION 2021-06-01 15:51:10.061 ----------------------------------------------- +eclipse.buildId=4.3.2.M20140221-1700 +java.version=1.8.0_05 +java.vendor=Oracle Corporation +BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US +Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini +Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini + +!ENTRY org.eclipse.ui 2 0 2021-06-01 15:51:21.549 +!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. +!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:51:21.549 +!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' + +!ENTRY org.eclipse.ui 2 0 2021-06-01 15:51:22.916 +!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. +!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:51:22.916 +!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' + +!ENTRY org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE Keybinding conflicts occurred. They may interfere with normal accelerator operation. +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for F3: +Binding(F3, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, + Open an editor on the selected element's declaration(s), + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@612bb755, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(F3, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, + Open an editor on the selected element's declaration(s), + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@612bb755, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for ALT+CTRL+I: +Binding(ALT+CTRL+I, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, + Open an include browser on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@6ba226cd, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(ALT+CTRL+I, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, + Open an include browser on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@6ba226cd, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for F4: +Binding(F4, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, + Open a type hierarchy on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@62e99458, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(F4, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, + Open a type hierarchy on the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@62e99458, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for ALT+SHIFT+R: +Binding(ALT+SHIFT+R, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , + Rename the selected element, + Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1eddca25, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(ALT+SHIFT+R, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , + Rename the selected element, + Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1eddca25, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for CTRL+SHIFT+G: +Binding(CTRL+SHIFT+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, + Search for references to the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@22f057b4, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+SHIFT+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, + Search for references to the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@22f057b4, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for CTRL+G: +Binding(CTRL+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, + Search for declarations of the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@581e8969, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+G, + ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, + Search for declarations of the selected element in the workspace, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@581e8969, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for ALT+CTRL+H: +Binding(ALT+CTRL+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, + Open the call hierarchy for the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@767b9d66, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(ALT+CTRL+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, + Open the call hierarchy for the selected element, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@767b9d66, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for CTRL+SHIFT+H: +Binding(CTRL+SHIFT+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, + Open a type in the type hierarchy view, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@687fd6e, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+SHIFT+H, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, + Open a type in the type hierarchy view, + Category(org.eclipse.ui.category.navigate,Navigate,null,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@687fd6e, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) +!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 +!MESSAGE A conflict occurred for CTRL+SHIFT+T: +Binding(CTRL+SHIFT+T, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, + Open an element in an Editor, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@266a47fd, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cEditorScope,,,system) +Binding(CTRL+SHIFT+T, + ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, + Open an element in an Editor, + Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), + org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@266a47fd, + ,,true),null), + org.eclipse.ui.defaultAcceleratorConfiguration, + org.eclipse.cdt.ui.cViewScope,,,system) + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:53:32.747 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:34.132 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 + +!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:53:34.450 +!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:36.047 +!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 + +!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:36.052 +!MESSAGE Downloading ELF Process failed diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.mylyn/repositories.xml.zip b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.mylyn/repositories.xml.zip new file mode 100644 index 0000000000000000000000000000000000000000..ac170803a6ca9dae94210cbb60f422d3d7bde7ec GIT binary patch literal 438 zcmWIWW@Zs#;Nak3*jsQghye)*0NF*U1^LC9CHX~}sl|E~xjAn`e7%_s1^#L)mT&G- zQ1az=vgPawdw==vODU__EoYXL{eFG-mVTya)ZQv-wVBh+-`if2J|i7u?DM8+`>#WD zvRn7@O#Qs|s{Z2Lo3m|Se0Mu=S-q!mZp)JiSDmNLcv@<`{QJ8tS+8nRzgk@9c4vut z>JqlU(`BD#*SfQ8-bE8HwuIE1ed#;Cbf?vmg-1+xaLBEddnTeJw%tQG;o_?18;4AF zx8CzO-X_!S8J{tG#-Tl?GdCXMOc7eGnrc%wFR`uvz#qAw(pPVa%1?ayras{+(|tvO zo{hm3JA}VhgnThjd$T~zp@vENpqdazp2d{d*z#x5wH+HQjkQ-NvN651JT7tRSlgp^ zfk2JMYn)NncOUup{7Jaw&iI!VQ<6`sSG@Gw_@DoaJwt#uJBOanF+&wb1_lQf1|Xl2 iNrV9r#>jG@Fh&LFAs*n($_7%w2!wV(Itgeh0|Nj9_Nt5k literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log new file mode 100644 index 0000000..18cc56b --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log @@ -0,0 +1,2 @@ +*** SESSION Jun 01, 2021 15:25:39.63 ------------------------------------------- +*** SESSION Jun 01, 2021 15:51:26.00 ------------------------------------------- diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.1622552776633.pdom b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.1622552776633.pdom new file mode 100644 index 0000000000000000000000000000000000000000..70e1021892fe37c9a59470858c776d74ff5c55b2 GIT binary patch literal 540672 zcmeFa34B$>+4z5e>>Ei)AcQQJ-~!4HBDfMlfK(t90z%bZBLqlI0wzJhT1D&n)>>?B z)v8sysIA&sYg=pWt5y5fwpz7Xm#Q_^y>&rFMMU%eKF`dYGxz2aXshq<^ZCD>`#JY4 z&oj?9GtVq%&P?!~AP5FzT+eYGSO2%efXv(fZyVr0+W*G_|FOV-Ebt!-{NJ&_!2N?@ z%H~Mlfkn~vf3E&xf&W>^W#<#3&YhB;fGJfT% z?(wZ1-7TFR&28gXuIp^!ZdJ?1@vA$V*R;2E09=J3dhaCO{mJnZef_F+?c>*XuKQfe z%I@*O?CA^Qb@8gmD11Ewv+1$^2F$n(gn;^)4*^Ad(7b5ox z9smB;5ESH|51r#U4&@lYuuaovPVE`ZdDFdVgI_!JwAE!lJ$KO6S6_e2787UShv0q6 z^0@@E-#VmzdDCI5TiaR=ny{g(b3)s?mCbDvn%hY1<_VLgjh{H7qjg=^ItnKE88}#YMIc{a#CCCapTvD zUHs7dGRd5d9JDeAHgbsk$kqEY@@$L87uFv+zhPE zBr{>o8k?P@%fDl=jxyGInm>DI@jk#d)NKHum1 zCmx?80_`vLn;(7{#p!(&hNgP-i!W(fTu*FYsVuSX4l6X7dO-|Y%+9I zujf2IuXFvbfysZ?<9lGox1%^VKQ3K3Yv~+oLQ&7y4<8%m`wt=>a+N)07x}Tri(}JX z@CQ^|xi$yEqn{3fYW(g<^j&pM5PZHq2+q1c2nucqf)R%WL97t^-^MT32EmOhgW!V^ zL6CcqQ2F;mFZtVr?5_pEpldkFk(q}H_1x=Y%U=1~+rK^TvsYh#oAqOZegZD_poHEn zl&^1ma`jw$^UAfY9W4`DTUuIHuOGj5=e*wDoeMMKwF@TCIAXwnw0PZ=je45ODIiWK$co2j&7o9qnG=uKEuJ*3Zjsz%+Z&4`fbgA*?x1Wm6Gm^e|ci{kNy`uh6#tcJPq z`h~L_Y8MU|P-36WZ)j?oUmre3iH1d!kFWwPm@-*a%ZpPVP{TFUDWrhghPuU#v*y=+ zre08yVnM^ACH1rXoB58&f(9q*f+dTlPEnB%Z(&2@lF8r%#BN+X>4=51Z3MZIOU$xp zQJsCbc>aOYLu*WYJQ21g)lqy6H+cpX&unrc9n#H%*y>b>y@IEY@eHPnl{@gc39s7a3hi6gx2|8?+_6b+G12NyqXpQjJ5RI^*REgRY%g1< z(6Tb9tuv;nV8m)|HayU`^@Pb2P1^9ht$m70!X;PATrQ_gKi<-4pKdM@wSDC@lu(L@ zC3kCAPEwtsTO}6VZWJoms9l=ya*fpOE$ih<2zE@Gh%DY68O_C5x3N`YVD$EG`>aV+ajk3OEgh>`n>z#r(bsiOQqhUlwbn-KT5s)&B;9K_v>zwWK{ye0?8O0G zU>nma6|mf_oHT9YeE-JfbcG0jB;VN8y{@x;lPOq!J8BRa&qnsWlbo6NISr@_y(JCchYau^1A2} z?%;O!}#(3xeC|cieVv5M1GG*AKUWi|JP&to|7Kie9viME?v^N9rT*P)i__b^|hbA zR=<~iY3aS=c`HLMfq$A1C8UwUAs?;>y+=7{Gq9|$+xEJZFY;{$9>$|hFo^z~3)w|| zFRXXH9>u4f9=p?0S3)0|b zU)le1n7XlmFQu7*5$LI6DZYUSa5e z-2b6H7NQ4bTV#}wUzj3&jxaFVx+Y(f55ua+fFiprhu%Esfh!?@ZA*dto!=Vqc$|KkRIhA?P%zMD?*Q_#aNO31nddg7s((i zZFWX_eG@ugf)2V#C|H_8uZs;V>$}hqzeN60`$#L-fFgR|fgXJm!Ie*a*d*KX@FLG3HkT(l2r0++(!k6%Qjr6U zI^ELIewjQC>Cpa3dolDdfR65~h<+l@5N|G~zuvZ$amI(&q((Tlho@Ke^Sw z(!dSrXb-@nL!FXFz3)O%K?*wWTRPx6I;NWt(MeB(jyDcbRM(eI`anZRa2*}fsq^UM zL#NkC69h#YQqak@bih?QJL7dzH=1Y8&gnygAU(^Yb3*`E@V)j-dWnGxuA?(0nNAEk zwhb=WMZZcxhx%JWdQ9l!FWye*_bDuti@H3$Tp#IQaYld^NpkIL;OXG1T=-|(rwsE^ z`ef+rW$@&`_~gF+B7LHz1Fq7+KWopJE=(xT!O$7!(YdK_IZvkyOGpPdfet4ockWyAJ{ql7>>gYp8O9fPm%t%{uf+FXSR+`aKD-S zgFlwKLh3K^^+S&3sN?u_5R|@ zGCzeLs|-BrPOAs)LmeOBaY$z!bm$*sbsO9v*Ys^4rng%43@ja7mp*3Hz|)I#Nk7HlDVFJ0=GCk_z^sk`j76T}` zF$KNL4J;j8)sy;9MiAs_XfNvG^h*t%{Fn6h_4n!DGw`f8eL4pu(zyvb-$ovAB$Q50 zLFb1CmUWM%L-{fTc%D4JgUD@iOYcunE*>ELR5>-YvkWIPV(P#>q$j&NaERSLPDGthK! z9UUEV<#9;ob?EdsD%kTYDd@av>459XnHdc9=)4CV^1BPe?oQ!{tPRt_RXUV=J3bf6 zlTipA+7T`cdnZM?|HwcESLsk5WTZ}>s$V`DUn_nQIvH1RT*EbWhSWb<(bc_-+J;)1x_92!tjB8(>a57 zfP^gSJEenO`nrH;p(4v=eml#jz4|ua{55OBK6>RP(2(9wp@&};TnQC_4hrP#_j@vIotzEC>E~XCg>?QKI{06~kx;oP zg?_giSO&N*z0I33PoCdG=NAS~>D-*c-oLSQz*Rcb8}eFBo`&)~2%WnOp8QuWPT{xz zXy94bMe>*zoF1Jgpz{ap1CE61xhdp%%)qip8gpz{cHz>!dWbPB)S zqo5!QJ32eNH?bj|e?aGH=zt@k`h*mAe#OAf8XL)D-&aQRybGNdp|jE8R{uGLJZ~9T z)+u5S^3kiQUYZ%4P(CTIZ$Otg5~^PcO5_~EKf*uV$UCjmIFqkp%M#xk39gJQ%R4W5 z#}r#{6F(`DyV*=J^f~J?bW-3w%h!z^A9J7VkFpcZWKrDxHX)=x1eER<6^Cs#E!Hd zLOW9TXC4`n3wQ@qD0ga~UCcMjY%+1mx7hSz+-B~=rFHQ|i|ZHHV?2p3e7F=onbg^t zM{~3*NdMA)ZTktgQl9P^J=#);#|}9pHfh?J*#0s5#7Z7J?9kZg(e2Hj8xvuSZg1@v zGse(VdA9=}%R?#e-gs5!=L~P!3NC;7@~XpBdDnm^@}9`y)!D)!{f9PxnR#!1e54v1 zYi~7zs2n?Z(%y;`@awTO&)`h{bzxAUrRU3uFOaa~ZYw9_=PTE@ZRnE2fFgQlLl57Q z`GNrqnrZvlJ(k~BIAj0Z%?8f9gnYS5u*;VX?5r}LOTMDttLaD$63YF3==>LSY}%z= z)YtE4TK`ghiItmrR_TPfj^~@PAqDvWd_n0d$`*OB>|~DBL9o{|MvhPNKh)=D^!Xb4 zoNKtHUDvms&$M;QHaxc4g?g;ByQRI$)?=DSehHl$@e3J-4sCxthUg!J^Jz0}{XLz2 ztJ0wzvwXo3CcpI%U-H^L96w{s8b2=~@4>$9n9REj>}_yeI(41cIaSMZkEL@z=R0T@ zVp9qE6H}xU^|yo_$gXr~@7JxE%UHV^k5qZ6!!z$iFMNrF{2Th#tC`f_5=NlA_1B9U zmoPu5F21C3>EgP2V4=OAh2BH7!(Y`XLDj+(`Tnm4cG)CL@9p^V#zjnD4XJtb$nTj? zLT{U;*Jkr|56`iu1SuD}D>zf;VkNd*+-hJlcP$scm6ZjYdcDs)?bk0p^||47xBc{U zS6~0L!%Unk@+{~YwBG#YCtGi>T-UL>b&b@T=)KkOb^m_;%1SqSh@HxRS zxl=oQ$afkRlwhx8Oq`X_QhqP{{Fqp~q~SC5mZ92@HdvS+S4X_$syrT)$+-`|9ur6A z%}6;2Lzd0=4!)ke{T{mC$XJ^oUFp@QlpT4GkoG0c=W^Zx{4MM6UHpyIZ|I+OK4)Yb zkY)Y2gX5E=pRtO(m+=qa4<*IlZ2AjO4l>>azSEX37ynG?(vFdkz7D_jt)%$KCGQs$ zjw}OjNrEGn$S*zXP-~+!KJm#+iIR zs30l+e-+O+DL6GvcN$|&cPWmf+Ht^mg{?7uR zXW{s-ELSgk?WHW+4$K1A`EfIG+qO@n9KOKul%XU4Su2w41dhC$lsN!tg8$_tImn-q zk2UTV=0yH9DWANF?W`U$mYehUBs;%D{BN81>7-}wG&`QsW9|4paPo@014{oLaQA-2 z!m|fL{~8lNgM5(p`@ZeZYy%Di*VQBKeES!+_s~n)oig_CLjLANJ>`9jwoi}XOq-WE zX-d}R7a5F@yYA;QF6EM$haKz*{wXkkP^XkXtQ(FFmt| z8@S-Q^we3yyciATsD>ByzY9hCB&KKf7}Bwl^n8qS4dbA9+Vt@G>|^;*KWaOOeiIE0 zss6RCEzpqP6!_5|$Oc!!kcl?^eR>lOY;u#O$GkTEV9O&I(mN1Z_;kUQFyse`VFr8{Vd6jYq8T*2Zi5< zN#y~bl{GU6ZrEmU%JxlyuLnM#bhKr2_&&D%(qrvRzbXs_wKhHkh(gRoNyUXjxWh~f_!qy=Op#B<09#j`lcoF6<A<4J4t7_qWC&%@)M+PK)hxBUB@2)%k2ba`sz54ne9AG%)lD^ru zn(JQq-uB8*t{)d~?&xZz3)f%&E&EqSevwP{-4?H1xP&j)>gLmVvvNKT&TSmuHuu@T z;rc#vE&l_HZ8_K)J(DZHot^!A6DODQX8o{!N4cbFcAd1Vvw!Q+r>~yb1=hZKVdd#YytDhEAf@mH>?%UwwO`5x%;oQd-qk*pr%T)w`8i_-7$ z=>MH->T(y-?%M-B-Y{`aCXd*9cVQzAuJK6j#ZIq!^xvgzKwftt?HTJ&`m@sqCeE3& zE&ZMGMNQVXYWnXuab4@G*nW%A;2$BIn*;Qj_UV*d|_kl z;<-z}R{E1X`UitMDa-P)vh7Hrzx~8@ zZOz>*SAbkzJ(IIMiev4wq+#yDddUJR|5A@WelBOVNB>06K0CTv*L1X~g%arV#Klqi zAH-)jHi`8uecC$C&*U5rZl_280$J?9>3I zsq=GAQ;`0pf5)T0a~J7*iivYFWkAdQTU5exmM$b0S#M+cY%+XusDE?Loy$!k4E<21o!cEhQc7xb6hk8Ee z>l{~lay;whw|65s_K5El5iOff7%r<_yuk7OrsaDrc;DstQN%Yh+w}v`|Ezdx&x3zY4kCo?R6Vm&>h?cQaT=_=19D8gw z{BwTCnevo75?l0ejZJcFJ2H~y`i{0vc#I;c zJwH%8n0wzpy&BO{ym#YuwM&{*edYU#;VThysFQL&P!jr=dADmvz<0-JDd5U5!M@u~ zoLu#b;IXcQtoLD;tWY}2hkD%ksa&4sW_f(xvh5))zcTI}TeWV(apGz<`22F|w@KU` z{Wztlc7dyp3T3 z?_JgbXsrh$nyncSe>{`|Xq2E8hVPQRTi5!w=(OW_;RC1}eT^M|_9sd>kzR}f9 zHMWvh&aE9RqJu06^;z!ZD6ZP~J+hi*q^Dte%c9jb7^OI;-bl9{+}jw_FY0?&-NTlsjfLCVr1iE2)$5H!ckL z(B;4AeP*tyVuSK~ZMyTmSo#odTuRe?1u`#U-lCOb(2%H~t?*-Ln^Mo@)25Jj*Mk;C z;}r_W*GPZFg|zovez9^=0AxI4Iymx!16Ha>N?l!qy{&6ibf z^S^_i0R8_0N6ue?mN!nI@*%sz&jbfr1yfu-;Mr-T;hrn?spNC!3+elCHI~UZ{`iK{ z-3+}ACZ7BkG(_Y73WuM(&(EiJ$UK{L{eCoFr|@%)T>11TM0Vhm(kOl9THbr-FXR0E zM7XR~qBOkr1zHWEXoMjz;1ytAw)DsIjzeDRg%MIte#$|;-lsqQR`&H@ zKj5f&6AG@r{wCTr67oLO|G=Afv7U|3=Pywl z<@37X!%7b!Bh~LQkI&z@{(Hn{&_}yp2P^lbD2~sEl`azbJn!*&gX{MqJ{b*rz-L<& z$LGVsAc=h5^!V%~ZHGmCviI2qA4xBN-qmK_-Cl;DBdtoQ&*UATen<2aw8dWN@<|3i zf94H|bkOqfib=bU-s$yxy912 zi0J2yChnw&{@@qA_rbnBeSYJjIDPr~xyJI_&*Mk^k#~5+ulS-p;CFBor!PN0_gH?@ zJ$|!^i*InD4piA$h+qQ$Ye z=I->`fm}Gckd+Lp?JKDh^1f)}P&eB!=%i%2r&}D0Ywk|3&EZ1nGOtDC6~dvs7uYzb zm^1%_ejMZ^%6q=WvAE{$^x6Sjc=AeykL117#yQ8F`A@$qS>B5+j>R>1r`Kk4;ma$8 zLwUbzPyEY~1H8b%xJhNtU1bUP7M5HFq|j_mST)aPsF5GT3>vGi)e6AW8mw3vjsR z*5>zQ`8C%^^5;)5*m)mVB0*4+lO%sWeqMsZHFq}O_mST)=-nIyC;T2i|19r@i7@5w z9Qg9F)g1W76|WmApVYtbzx;PW@LlTktL6v6x6cfMZ+(jM1JoxlU0V|bo2h%I^X$OL zmxSWvEe0<$2p(u8K0fz5=LNxiw+F%F4NUE#o@ymsF6;?{&)gLR>%D%jBM_$FLFP$5 zv}`C@mYjamEsn)Ccc+Vc4gCt)dwq9j1IZj`Vk2D6FuFKubyVc^T zzp3zLroUPCC~(pSc!^L8>3_!bH;0pcGS|8fd=+r&tb%KRGiEU01vj1-^`rBfg(9-7 zg|6t!`*h}YOxI4A?Lde8z>)Qq1Xseq{3Llh4P0RP@E$m-@B8-rbpi#B&dLwJy)=no z$Pb+SHiIj12F`Nh@#rJ=+ic(h%ZK;C6@BOV2Rj^~OtLfzhWxu?^c^s6nk;9m?P5F$y$U%J+dzWX(J@PV+R*p@q zH-RrIeq1>J`ytd98Fk$*&JxluPqOdt4P0Q%i4W3$lES_}7bx&QCfe6mSp3?-=yz~B zb5!t0!yaP&I`X;9O^w~cH=WeeznY1D-(t*Vs8IWOL#Qt@=EF~9m5}~OQu=N;aDnBc zd-|&>(wDISh3`yAUn-Eq^eq_6J8IHV=1IvML|OkWlQb_y$K8drsraC5WVoEPAI}^q zBBcE{cDk6kN_*`tHgavI9ym4#et@l~5&v%Ne9M(Va1(8*!|*$=e}}TU1=-N|+|P1N zxt8zpitjVD2R#u4FCRdfAnOmvclZ1?2yR~!1V5P^1ixP&3<#)Owg5k1zaaS1YrL07 z&iu!bm3l`)Xm4z!Yr=7skhLi>e-)sZz$GvjPu9;UkZZsHU0~Z11v%E#=tJw1Eq(02v0Sn15HWK%l^vrqI`STHu6y!7ccd zi6e1~ex9W7u?8-%0%Rz9B!#|53l#XORQe_|4*7yxKzWro5{d^T=}X-$a0vy+0~3#1qTIPDfIOV5ojS_a0}LQaF#IS@g#juHE@9yAj6P%Qs`^z<$^O(>6^$n(lb-|i;D#c{9BeE{W{6VKEse7I0Y9OT!~Y9t$k0`WApE~4P0Oa@GiYG34RTD zw+qySa9pd$#FwYizAUExkatZ(Uya@~$#-JXMl1NCi92E%XMEC~-n>MC`=Q|GV8DGr z)*u}x*xuIK-r8-xYrd7b=m_}CBakZy(vXcguIb(^-7=^$%E| zT-XHeeTIv^|Jd?zz5igVj|pp5uJrZ+IY2h32zLYN>R!bFKhqO~4@dX*emE&hCmu5C zaKL~9bIGeJUU9_d$->#QmN3aqKg9uF;@^V8+mP8j&W+Dyy99$Jt2sBw;@L8rQHd5Z z1J=Aa2_NOk+_%{cbLPaGh@PoYqpNt6tU(Do%hxZ=Eq#<6Y)S+@sa373SI4_WZEeJKwVvD}xB2Gwq?K!%>BWh_%)rGa zBBr^5>frct-HattJd5Sz>l>NPtP7BfAs5y+G5uW^yq?XDJ?lE=v~806+<1IWXG@Eq za914N9nVfbEp74;V!TG2E0-*)y|AU*BJ(^lyuH*8MBWA_p~?)Z7`;TISbd3~r*Cf6 zGD42U#Fs5lDOnXh9w+UTw15WNF?eH$_*#`T{3==RI$By*#TPD}KYu__$Qy0B^9;$# z-ulQ|GJu2Lho*E0%=G28oKoy+Ibj1^8Cj)W8lZud0=eMC8Ut~1vcAa^!7>~oMapkC z4c^a`%d_9%xx8Ot9Mut$_fCWNr5xU3%HiNi+)p>x@;}^thqN_%CRYjc@gEYWXj4Sr znOf;j@#r54F6G{Z;XicqN!$~2PM|-_#3`b`sPeyWQxa5Z-;Q`1-h0#bg!Vr8&3!)4 zaW2Q#6KQ@DcdFmvo_^H9gKfWE_zi#5%`Zvho}k}nO`M|B#je`Vm(N(O3Fm1<<#UwB zXEkx!BbviswEg-1(uw+C;uM`_?YP|)UG60xNImcJK_~a5rwhY(@{{N3a6`OzNO55FaGioS38&_2}{CDu8wg`Dd17mv>i#Cgr* z^Y|Xv@9!o~(T$eRJ6>bOl2Se|d3@d?&ifvpt+xHxpWWUwaf*HnAE{@IpKg+*QTp3F z`XAA5ERE=oNZUpE-^{m!KVki#SHW-OSk4-fH*tKGdGi-V@jt06-%l3~F)|mC@2!8Z z^{COWurSiEupHc)NUDmw-TQ~aYW8J#Hwezg?zSHAn+{4$>?mtuJ3k~33u$vps941M zR*&=@*Da*}KMR`YjIna>l*WpQqx8J`U!E4y25{$zm)P$Cgs+EJNS$AJxZzz1tx07T zPsim)>%`F5eq$!WQbU3r4`ED+r{^4C^<*4BY(qJG;Wr~uPYVhvSHSPbHa~jry9*l( zhV)1NeuBfc?+YY9GM`>rvjXYuNu*sk+u;Wl-wQGZCdj*(Hpacki#(d{?}bh2kWS$- z$V>h#YB6-ua_qcEZ+xYY`g_C!r0)}!&buKU{T@`(v~Uf_a_Agt>5R1PBcBdq03#lS z4tCId@>XJ>MPfSr3b8{W?UBkiExj3i$JGiu4D4)lu=P?Tm=s<@NRPHvA?=9Dcg%CX zna)$VFW*M;+Z)&`JCrY>$(um$H0W*MuytJ8DOSE7lRky#0VBT`{>bvXI7R+G8(!4+ zXTM_k(eF-dg?sYTcF=X@@hKAc?ut_D!LJ2QIv4(2o&tZQ?|Yd-{LZMi;hAsw@m|I> z>9qx~@p?BiR*Ma8{2k{P>3_pzDCK*v4>{w9_xdw7nhNYw9JL(N8N-l5@iB!ky|8QH zg&e;$gjv%asXcwMtJuFt@-Jzd{)Z&{V<)kH5oM~7v`T*|DL!@*dlre!NUMzOBzwYF z>{&dTGq%szHyVe~{QeuBBVRH0Da7^}b5o=*^}mF(p>ONsq`r@*&jZl813$QziIeeX zE03Q)?=!H+XotA`E)5FPH8rr%eosJ;G^|2?37M~?$nTUz36K5W(&N3E--#4hNbfo5 zJq*3E@|1DsK`F{(kAi~7psVuXAH%+}0Y&s)h2GN~TMZy9mLk9Y-N4Si6neJ*=X%ef zT*Q~X6{^US60#OX^NKZ{-Zy-Te!&?XvVN0-5B0xh^dY*2b4soLTa$-RJbL8jLdsNenWeXX-}ElZvGj&pdT%C;Qvh~$C>lmy&V(L$ zUP8{izTTUVcr@37_9 z8>=#WLwWF9MflgMW6g7XU7lQpaN#5V?F7z0;@Fbt--=f7Oyr>sRoSmkAXq?;P4uDby9p}6MGd)d~7@H)+G5i z(FfQU`nJ9q_CTT^kujXXQ;hENKkT(6`02n8kKkpc(f0|e-&w$q^5CCN;(s3SwH|zp z^)EeE|1SgY_TU?n=wAqYvj;ynDgM`ipYOphO@dzv{1OlDt%srVUjh7k9{i3Z`(F+G zW)JTAcRf~q;tr;MbD?Z&^gc%Ee;@e$4$Ambc@q5_fzzLKVR&6q`TQ|(>H!yqA7%YP zkLCYU;M*J&`s(5> zVR=AT_ErhQhy^x3`1J|(vV< zKUx~jGza&+u`0}tH++*bt ze=2@$FW~LWH8~>DuW9=e-&XlP@MC}wTtfQ9G`sZFTep6UkTd4pU#k!oI(8 z`QpzRJw!h1XLOlNMrrwUE3U%JI8gB#j)g{-;!dtNnQQsabnA>--{2trI7#tIQ5^Lf zAF%(+f+j^(`rU?p@n+7aa$M}FK>tzuU55D6o$|@Hff+jb3Adr+`KjWwA{xd|QBT-~ z5PIPu>q?bAKwtZ9+BxTO{FOd7I+iqWO+GCd&KVyz1e_t?;C?yhpF}eKJ6Edj8Ae~B zQ+zpxM?3Qk@B8%+Qm?~MOk2RkQTv(bTZH9g^^>^_-9A16OciY)Y z|3UK06Raz|gb%P6&W(*`%Eb~ESe;)xtA4&L$UR5)?H&_bDWAftJTeZbcX&i857B(v zV)B9G;q2|^7OTGZ8F?gL@uM8%Cl|8ZekYQDy>)C7=sy|7(Q?RmzkJyqH($2vz@+k# zvgpd;b6k^0UC8>9trv8hwg2zpi^Z4PxNaU<^!;^vb6eXwvk4Kzl`rE|A>X&S_Uc>a z&rzColb-KIaa2FrSrNbWEQQayccR-ik54aUPE*oonDs~ZU7)#l|GpiEq(yOh<-79d zeLkz%M7z107vr6)+t+o3-xPvrI6i3rb=F@jL4kn(Y*O2`@J_m4rNJKNc%hvP# z)C)rnisGmq%s-%9o!H#Ap+)H*=+UnQ7ys-+_NBIe*dP5lCQjK4l0Mjza<#U(E8eoP zyM+lL>pCE&eCj+tk`In_To~sm@yZqb4T*2}Z&0L!bZIhi%P3#UFBQJn?bC+ekk4{` zG{-*Vf2ll1S65>D;2i|>_(tTZ&rC}%$3<2JOmb+?L^R?lNC-*)hi=b&BdLe9Pa z0$dIMXucSDaW3lA98*aNBJ;bE9(=?Z#be}Dj35#T=OXT@?_9|HitQh0 z`b}|<6Y7&8mqu~aPd(%DSy0+?H&g1NlA8i2_$JJ{dza{l`O%08dZwbop*B-z7 ziTjv=$$$PdSFcGq+=YIBisEQG_IUc$HLkNAQhBj0*0u-<5g(r=%jn>Bqjc^Ba~l z34Q6OIQk`fb3ehO|I!}Vm-^eqaqT53FY#ryap8gcS+I!ZbY?ZoZ)lRT5I*V_EtkAE)N z7{zh^Stds>T_k%oED6`B5}K+XBObXx={G!?)`Jg*(T2LrO*?9@ptxh@%YKC zf3qr<<@ZI8A8m&c>Pr_2cLYP_y5DrXD2k)}UQW$V`X0TT8H1p|Qt~cmnt4O1OUfuq zGFB$*>1RB`@dpmtSm`~iulzhPuRau6{+*!qz0lM5Mr8R}M3HxiWpdZAzBfm4QrnkB z`*am7Yu_Jv{C-JX>T4H@4&MX&-WkPFezYh2^gOb5@j|U+A*%YxID)NbOMXXOZ0thO zvAb_il>9M@qx>izK0m4IW;fKumoHy#_>o>AKiU!{)YC37hZk?X8+$$##Zf;%d&1{8 zdj&%v@T*(tFfZFk8L%(Dj zcyDohV1b-Uz8(ycJB_zPm(s*D*!%a*y>yWi{LcFk4UH%JAvP|ot8JQO>`0jqJC+XQ zAZ}^4P&9#b)H}m(V{Fu@*d~GK{mzYJHwiPj_xx*VW<*2rc%NYSfrtN=a;W@@xGvz( zoNwrqyyV`4;6LaOSUE?-X^ip_f43{XcnqO+Ci&j+HN#Ps?-0wk8a!HGrTZA3@?RRx z3xxhhqc%E-(%D5nuyij&YgZjVlCL0S*lhGh zRT8v*`A&&wDIVjIZiQX7FaFJyi&FahrCvQ=dY&5(M9*EU%UgPQ6vx@ot$-_jRNfoQ zyXAu~W$x!goa;H`Ll6EQ2X)9N8B{;oKcRlhkoA~|W9jc~{*ipLi*{!>?!d{jPrq9XI*~IX0a{PuhJ+{0x~;OX&IjlR;}M} z?6{$4bH92TGP~0ArihmE#lJMSnWd=JzO*5PZ|UtEKj*mH<9mViFJj+k`{-%O#N&7z z%}GjsSAOo-5p5?&SIf$78PQWY{@coNKX|m6N@+{EPsS~1 zVe!vzDO&efLF!niHC{sgcp>8D#^vm&ep~DDbQB0`r@wjmgf>IzdrmsuV?SZXdQE9bK6PH zd^~DQho8?Zv9%Zv&`{z<#8yZ z9z&-_e8(MV{kQP_C)dFpS`*Q7`LAPLN5_V?Htk;&chjf1fd0cR;GM#8JoBpXg+t$D z-UV%|$`2XOIWUsto-Rx^oR-hZgE7*u5o=rdVjMfEy}#_)`(kjv9q~Uf!`8dv?;q>qUruc8YCW#Ct+ktPo=4XhqBT+z zCgiuPBAPC}vDiBH;9aHsukiR^3+~Mx|5}^g!vE3T@VE7j2#~;^`rpxX{MW5s-PO{) zZng5a_5V=%_Cu-vhkEt@fh@i#(wKg`i#1xlQ63vx-P+P-mWxMUroIyXe<###soY4IpV4M@E(u`Yj-m%2X`W)1hvT^54_1{7QN^;+yiar}FO=$>Qv94TeRGgp%)ujkNMl<{Co`JIG1T9%Zf8 z{^BPd-hSA1wJY0FO(=ft}p>j>Na#5cRJDg*&C)bZ` zc@VqawJW(aUxYI}`pX#VhxXL2)Zb1Pm7jW0m~^+cw>Sk<{`pq^W5GX?gYk724vX1( zP~`vhZsix!{br4SN`BJb$>Qv9%H>2SzRB0LCA3`9P8hb1O*=YhYOy8pk=g;5fW~_^4JVQeM{YoT*%FTC#C$%&mA4zTe z`LOe?+*g5rF~@bD+^^bsy)ON`+NGu+FLZcUHA=bTta0&3X@RWv0n7qpHDd))=tHL)5llJ%nzcVg?h4PCsPQ+>9JJ|L3> z3HHAul1=rYUIA0z88&wtnYH}gZuR*i_`l_N%+u#d>(`{-|C6WBruGwCI>oT9?d#hj z8^>y9@(fV8j}7tO6!`>itO6vbB*^(l#8c&@-WNG-b4rC#IUlleJ_r6&9RG;qoHg0{ zIg#_BJ(4p)Q02QjIbSh6%kQ)Kh<5B8K6I3=yi~pyt$c5TM|mH%Ba&~<#kL(Q?XR zxyq!i66c^U_#}haUwBEmEQ5bpjAOrux`=1Am-gnIPPr++ zig_dWmX9l_-V^{$Z|dK&&vLXy)aO3njT1gTT02Z*sK~`I34-iBf9$ z*p+-28eTiDwDK|DxTtXn6Uvu0ENNi3EyPgyE->wo$}c+dE&(B z6B!{BdeOY|vTvC<+m>5C)F;bXIWFSD^Ucg_`aSD*R&d}a@}_eXGQNlJkni~_3z&oQ zuu%SFg6eshr{~X*8K3FGifmh-NqT>H;KYd*kf7%;O`IJ+iS(53?i2LHXO-RR@wuD( zKR7O|yY9Yw6F$3`H&=FV6vxz)%m7)^7-zy$V!Hjt<3qjVhZTo<>9%w7#7UD3w718^ zk#)c2dl?rpms$NC{8=;itgM$ghKs3V%A8;I(*0=@y9``yU$f5Ztj49f8TBGISZk_Z zyd<2z?&!XNZlt#h*%R#i7zf994XJZb*7e=##>1@K)b%ni*#-7y&6n$hblPg5W#F3n z*UX1*?O55iVO5I)Lp!{OJg*si`Oi5y$qpaD?^q9ha}pf;$XuA=b*N($84E=KQm&!}KU92~s+GoKcc6G)6y_=bfh@aQBOerhbl$**C ztgp`)&i78@d^>Y+mT(*mAM(ZCzvzzW_&9>Im*_Ek5C?UQguMFbJ3#Tl@?7RD z4nF`nuub04R^J|LkG*YtIb)l=4N38-6O_K3p~Ze@g~BJ~kDV2c7a9(Y{0EcZ_-je&fm|}za`>rAJ1A&$>LRpR?r2 zlFdo_6G!0Hq6f5w4oHG`5a)|xaTA6vxAUDme?Oc&CP9WmwO-iCrim^M{l*y z4}fFKstc3sgIsE#9|Fggz!U8QUzPu6;ON>14quh;XTZ^+4_x?)eYSF-OKeb*eDGEI z9t4gqBRiAigRjc>2yk=(J~Scy;H&aI4jf(j!0)v3Jp~+HLbzWJekBxHH|H4gg|zqa z+qy;MGAcf1@az1^9-H6pfzE9loF&u@OVWq(tZ+Hs34CA@oIbF^@t%iR&wjGYFec-~E{8T=hGkI@pvi;yI z@|C9nN0)KKljMW1$XA{P99@7X$R~V7zVbZa=+XxcU9nGjA#il*1Bb5iF9D9M1- zZ#nUl{wm@l%fvGh>jNqGs^41R$TIP3iT=L43A*ARjOSavLAA*{ni7=*1!||OF43@e%-*aMIShHRlkkE zu|*#^bd~=pz>#&@%Srs9tNb?uM^@m8=?7iqeFQI(1 z!V~#JSNUHL99fB<2*2F$*Z9cV2YxO2Lj3EGIA#OC#^xWdzAXQa#0Czo>o2->Y*wy} zGgfUsb_J)m$I318T*U($4tpiBzbO1v?w^2%j)zZ9Di=Igxqc2D9S)zDl&^U%@uyY- zhu6%)r1-zI@i_~xnR_S2zsJBuymDyGJUoTocNyW!!PWLMvR@l;k0O2KFqhuXFL1bfh%FreWg_tF8d%daE$ zf?kQim;Ytw+4S(~jWlq28<{pv4MSJJ;zx5LQdrR=LNJAjxSM(nLyv0XOIkg?Ju>*m$6eGO=(3HK3Rp)T&dpmuqj zX+U!q%1$B$`Y2>69A&o>OG`-acDs_CXuA^Gp(3P(AVa*nXn&GjZawl(Z#9yGx|W}? z4sT#Bdyl9V0|sQfOMM)wmhH6UaZz|3iCO49v-_y{Xl^5woDfYnOG)15gzZG41!Q#x z4_7a%G-AsgmNuL&bdbp)nHC|{~-Qgp=(*@xMF-laJ+(pimvFS;Z=O^uG!t8?3 z1_j}DNQT{3ELip!0l{CdR<~c#h3La=Ok{fzSnET(amjABLJ{=DMVNKZ7k%XRDS@w9 zH`(nf)Bjc|{{APj(@OIGCn)7)Ln-SAsF!73u@Q%v`w{nZ{eZca|BSe;N2x>nAn!Y5 zoy{=bBV0ckVPu@(=G8{eAx4&<@(-kg!l=3k*wP*Yx}a6Xi)Ka z+Jw-&yp8c=`pu8N$C;Ay7-^M*jydFmk0Yr4;N{Z4;_L9fA);J!@h<8Q-w%A##Ho5R zw4ZGQaKD*6dL#R*(r37m{ynZB$e2KRe1e1WnNHie_zd13PbJUc_lNwNJqfU}3(_U2 zxF-nya5sBB(ef*UTQ?}t5#&*51bG#9woHvOyb*1_-?hxunx>Ya#VO56>S5=bea8B`hj4BR-?ty72w*sYDNnQ=M<~#k(P&c|yN(phus)P+8*9 z-*@-zi^?Jsr<${-%hu!;u+c;2-newB98Mh4(S=b5*?OcuJ*rHcYDNr|5A%!?x(N_d zeMWeEsPikS!(AA)WcTfa%Kc58YGhPC+x%{zp`?69dwix4=U~T$eNT?J;~xF#Gu_0g z9$@+S`OI#hrF;(X_{<~@`PhX~n|G1VB;R=DK=3Q)^30aGeTLd{0G_O)@6tu_<{RoY zpW^-6KDHeqc>5;deVS*JpvyU22RR9^avAUy9MG)!EZ^DfZvP{RKO>3HN}e4~{Nsu5 z_A~P8jml>BaIg&>Q}4Qum27^1ujp4veOF1nSb4V9Z$0bCxN+FWsjr`jzQ=PEVxwgl zxUn&o{h3w2SiV04Z<{_dkH#8W{=A^dF2my->JnQXWEjC4YT)^1#)5~?cBag{ejo>V z?)7SpH5`o`z+SK8IEpdu`#GNAc!Bq=l+A&+8J_>nzve6Scj;dFr9^6zpW8)w^!Aae zzBF{i6F$1OSU14#+ zZR6eqkM8@nl?b4>$ENL13|8eO=FIU&-_;rn0 zfva3uR<4^&jLM&zv-_{SXMj!T&$|tC51KS((u}EJ@Z_^`D!=1m1yk#S+<~F|k0J$f zRbC&$r~DU96y|{sl$ueVSN__-D#4Ay>9sSX8zTqvxFb#Y_?aN>`pW0*@k{PE2Lpm1 z2LlEk69iYE90XUu`;X}NkChxx2fYZ}PN+N@TKO%C$kkzy!T} z45ZTHn!8`uYDTk>H2o6wUL_#an|2@bPJ+t6MCoCp=`(vhg;x<#dc20up&V9iq&;{D zZArIJS&z+cZvtNq{AkKB{wK}tKjz@n*|MKltd28fI?e4T=HT!1{CME_WZ8Qx+#k%s zC0B}Ht|AR&kCSk}FVF8)eHSD`6?wziQ}!#-_5JY^vKK&_+fSg!$X!KV)_qONkh>MQ zx38C!PhGbk|64`>K-Rzy_w{n|k*DxN;4$D_`}<^ReE6!|>#;XF`uk)l9KI^wDrg|P zzfYFJ;j8j32af#yK3NKfuhb8$+o5aZ`}<@md@tb0SB>u#T{7H0Sx)}Z!0(_gxs&s6 zfV+LK9DFR#e+T>?&JWNpaQj#}_(YyR4E$csPtf0R`%*dhRGw3Qs<55x0hJZA{YD4p zx$GZR^C0I}fqVN$sr=+MiC<0MM)v*)_m6V%X9C{_d;#{y*5STT4n7@Ft$`jqF=E z?+@JVljPu}tL%GJvz7A!^i#ckk5vDok^hUtKZOJN3tjux#Xpv3(5PBV{*p54_C0d& zRnV=4{!Y$Pw!D3els@q^|KX3Tu%Ew=k;2<}CiJ^GL>9M?k)yvJx=U!^WpTzv{=P&S zpLm-8e@pyAaJ+qq6u!~Wj~xyiS&H_t?Q2ILz18pFkL5j$zb}!--wa&r^CJ%A^!FuF z_?eV*^3SM>K*Nx=N%YSJ4qX?9T$_|X&jSR?TzZ@cj}$DjNt z{Hu=vhOGX+E*k$2z@b}R4}3jvZ(kRMKY(5F8KVvn3jjYkDP121ew=|R{L&=&W5CyW z@Vlb-`bz&v;Gg&458Ls89&5j6fM4vvUr37o9B}k@dV8$glBfP*Xv+V{iFUq=Uyr|U;8oz-`6}|3 zEa}ar!Etn@&nR=8T^RYN$`B&?|AV0 z67#$C^<~_^g^@2L`U&ZyOMl;mk=v8v4+lQNLGc$wiGD!Rp>nhb9}~^fQ2nTTG`%=i zk+%B`h~_;ge18KM3PLOF?|OExw)CsPHT^nGPiuF>JGA!%=-}5}*k}JFd1g?4o<@)R zkY{=jjB)ebeSXtS>}u*K*Iu;)bo#9X}9M;Tyt!evi!`v@fI`6*~%e47j(CliC-a(hjM495_C~ z-^WSe_zG!<)I0@zCU9>bCxx%Tk7WXfpX}x2@8hKKV}O&#YMuu^54g9Flfs*!FaB%; zaD3lHZyzUxw*W`)>Jxxh0QdHBQg|!yeeq|E1r*~`!|@BJKX#RUoJP?JlRcmOeVjBt zbk+W+!-KT)_i<7W#u~$IJ!)B-~T!OJg>yhkGdw%F!}e1@#UfDH|hf7!)xm1D1Qk| zWu&fmq58r-+hLVVH&48DcR1KX{Rrc&iTFIHTvp%Cahb7& z+T(t6ebDdew|&i~w@;Vn)!;lv*>(QO7ffO+UHpZkTm2_P)BUGCXZ_4p_r#oa93{`n z|Acua>H1i$!;{`%^;3>7%PBBZ(`YXk3GttGaftD_Uzb-_Uzv;$;3s)l?md)E8oQ3|K7mHt7^CGaQh!||vdWE1(80IvXkIsEZY{Xiodl*dR?C$Mv6XvP zA4xnv#6GwC`bh9)KIh(_<&3>!Cz8(xB-KYdt~%z~$G?Bs+sm)M{+nfnL+oqdo@uUi z-@|Wwa{C^-ogUq;jeX{Icz!5GTR`wV4kIJg2U#A>W5;qm149dB*aLI zgz%+Zyoc)X;t)R!Cq(@XnJ4S$3S9P-lm$<&m3gwa(l?O+CCCWd&G^SxS>ms|b!CkCl`&D474;_KyCoRl;m1CHdV;|y;;_&(wnWx(C zzWxmU9V{b>t0TAB_oC+hE~L@#!rECvUUqrZ&bIQVpQ z$1a(7USyVy2AVrMN6Ymj>t$GQlf`Bz;!%lg@Wu3V06i)d*+V7{p4 zgYM2vahn%39moU9|0M8n7$dQP3t1(0{Q6%>2lA7nrTd?-e`hj(KP`l(%CpJJa~|=j zb4Pl0b=GXVAJxAi4`Tt2RzkVxY-ww0HXcIxf64ME%}0KNgTAT@S*QLR`SM#4t%Q7O z`TMp|ImB0spCnI>yw*uz&RIYBC-a3jKVjsL4Gn3RM8Th*V5+qyfW19dh6fDh$Q!~K z8HXj!PZ)W-#Q}G(yBwaSE9v!yp&Qne@g|)|l6MZ};tCGRZ9Ziz?++Z5nY<4ijZg0E z^m80W(zdaIWnzl-eY&Q>W7q*-5QeO%Uny`2*^TzRjPt>+emBpQ2ENsWk zYr5BVj7Gl})~Lv}ar#1go(VUn8=GRHn8oYtF={{1AWDNxxzydE|{0{nZcp z_E)73Rs$&s|IhlXGVbtx{79MqCi(26fMo`=`uU!U4?&V9Au(e9&wbAnBz(`b>%Q?n z{pC`o)k8k~`By&~O87vCk6scN$k@f#KYT}HEU4q}c^lXTcTGpjDrw*{D3|!H4_*9~ z>)SST$)TSheY_ohj2rJ_fzy_bZu!*cpZbPJ@*(Xq>0ghejp~BTyO%lstDf}UM+#m= z65gl4TSUE!{|Vn43LgFa1bWoJGKX;03*P$zuYEuABgzFhqo|KXo*K%ti(hjj_bZ%a z#Q(beE_iG7Os-T9>MIvdp2@sH38UU-J&hc1-r~MVcq@_b)8KOs$MZ!G53gn|@Z$~5 zRexvPeGlg&CdjiMKIn4L-QKu9d@7Ms@M=!xz;+TUk!97+k8z%%R}a;kVR(oi+l#v1 zgwt!YxX}K_j+4m9W-426Pm-;8uVBOe+ky=B(<=M<*o$-y0xh{377ZLC{hJybicG?@8g80LT6<^c`gGlRwU+@XLW?yBg|Ac{k;cGb#Kk z;N;($n}8#yKhC7^?*jiKaOxTvlk&%z6n-7>8NhD^PM-9~nG_CR9cQ8}$h$9poJryE z75PTxK?7a<`ArIkugEtFc`ArIc+{ABL01bHg^P3d@6mV=asssAq`16|- z-UFO|_bBRYnd9WoZ&LX4=Dp&m6M-*HoZqDIm(6>{QIt`c5+RM}|=oIRfC` zJRF7dT;}1l+z9_)0)HTy_n>g-O8k~e;=?PfPkW629fjSL|Ea){)t`r>@ectm{$(Zy zY2nYqQ8>?K9!|^u$J=+nS5=<>pM*UHR6sxpkU;i?3`QUeMOiXjAeTTAj6lGIFvI~` ztJYSn)@rrxsUx0{qbB-`8jSF~C#%aXRAroA7;o#xFuT^2b2d1=>%0{rm{U zk7z(YL-P{6ht(TKG3$X1uoJS#R(cQoh^c6ceuVb)4jlL6xWQ=ncFqT)8gJN0y2)08 ztlMMCGvYvaf)TJc>pwAgv_llH`V8O^TRZ-Us(iFV)Lzx2Eup;-{yqqfC)y!uuj)?) z{-5D}5R6AVMEfA>Yk`MMe;)+nQBG(dM14K*?}zt6F#bHqr}~6>_6U?ce;)+nzY9F| zCs%;~5#a55uG;@S;Hf;ni}nyY?6}GJhdDp(gQ))ic(jTBJ_wc%xx7!{7U0ol`1>Fj z54%WzeHv_ltp(=!4I}?rvA@0>@bJ~&2f_R(C%n%AXW9$l?}K1G^b&qSSMWoYzYl`( zx2t`>03N>h`yd#PblL~e&=K-40N&dNL3jf4uaL?Rbd8SL2f;EP6gv7p;#UWE-^s`} zoFg9n`uk3)$(XAy!2Q*epyw?de@bv4WY47|D>mJ`?T%mLKFCawp867K(WeveeUNLv z-TNTso)hca%xdjFf8Bco>I?Zn_Gvppw?XVZC*~)bS8<@NA{Y_H@ge`@e@Wlb`r4iz zl-&`ZBX;9-T)fLn^l(k`-@{?#ZxUJ(PCT6!nCCjt&iUUfjp1YZZQxPcI$foFpyT$| z$lt|g+qqDey<@%=6V7WUY%NNnD!1@S%S1*}LI+xQq%D2?;==BpmiYYx8_>&8{cYaaoJhC-g-GsYp}kf>*Uk zxiaxSPcW%UYY0=XN|yH2i#Oh=U&8T@YW+oDO^`~70`xjF=kL{$INZa35OnTfp35S> z)GLK1RcYml%8BD*fA{hWXBf@?-U?nikk2;$x2Y_oDRkKsbh6KZvA&y?Mrq~h{DB>x zoP>x7us(cW6;B8*q7>G56?D;oGG_HXAoP@`(6tk_i~77B=jVM&qqK6x^}t5OwOF5>{W{klFa^LZyu5QhTu~{%l$u|=jINKz7T|O1J(g;^re0PKYV%SeJ{Se_f#He zjXdtR63P1l@^BBqKynA&f9}iMr1C&(kJ>?5i6(w&y&&%^Pu`=t|Hkt-jXGw)XyiQ0!@Q2ZD27$> z6;1kq1uJg=##3D|7S%pJ`FS13`0@@Dd1;_!dDy2a9i27L=5HEwrnx>p=+GSWA#Q)X zm_}6ru=stIhw?HN8)|FNB~QlZ3uXgn?aP9^!5Fu1WtO0W`M!g1U%KGZKx^Ws9i}zV z%FBa16L7F~JjLx_qW&SR2=k;j^^AP(*rM@>N_(4DB6!l623eg}$Kc0-4&|4|s04BN z$$}>w$+F{1-=9jt0uNfgKl&M-12!GC^+;%}Uo%0EbzB3O>l{kILvf!b*fh|ZIB7#< zrCNEXK;E%N8rJuYPf*TIRC%B^^0a}8lShtE~j1a9qIK}o#nek1F>JM0xinKO45Uhk;5!+@)S@kdXcQCTrHQZc9Iq$zmyV^2+H zpLBb^?g0+%`|TB>DXNH|ef$F>5HV-gl*+lVW6HFdb7$3%m2?ZQAHM1}clMka)3|Yp zOqoH6eer3jlc!fqn^L7hkakE+Ge*|nPXF|y`IQlo9{Foa8U&_x1_IxQWI3~^Oh0-m zoIZvl0Xgw|lD!+2xYg;m<=@tZ+-;4mvX($=|n3xnk_ccz}2c5d&@y@qS${mJuq| zB8=_ZUu0*4G|u#a{Y1ZG{oDtcgB?XjbDziSFGgb2Y-qxI-3HME8-n1>7qQ=eCDwA^ z!f5nUw2SD6JE5=c1b%uqZ+DD)ivAV^A0d)O4`IL78BXv2`iuPH@4RUF7@30W94EEw zX5DZ24C4FBdd~Rll>F=z`FS>exL=G#643c)%Mji#Mvn0T1P1ynk8({C>Q6E z$dQo~oITyn)cs!cKE6$Aj>%4vqiYNyy=6`Y_uC))jZviPm>TR0)+}ND#iD;C`unu~ z;MIPCtiX5r2GE(y%RW4SkVxOrj)(o+8ktowy?S(MWOhwWb%ZMc>%qE$DNiG3fJggn zlApIp_wVpJLwf$&qN03SBM6wq~RfG}9KP*O1?V`o>ZGey- z^rMC+fgY|iRE|fXpUwCS4rtD3#Bmmm%W+f%LHct>crNh#TnC#sI$inWWBe>A zEE*l_=Q)li?B}$(Q|Ea3w%*h8UGQA$>8Xp+Q(s&h7tc!^PXawI-!Ag>TnV1*JU!o! z(NkAnzc|*PYaCAkJ(6!!KCbfg+=T0&dwPByqbENw{D12HZd2Z&XHl%4UkOhUT70g@pK3j>nO1{Y%Jiy}U4zd0?(_6L4&JAX zHrxj}p!?rQ-~Pfe1`{gxPY6#@Kh^h{>Z_PtHD}7S8mA3-isNrReb3_hB_kDmPl}HF zMITxifsnozgr{f_)j!x}+Q->=e>TQa)LXHh=RG~If#+=_4SFVO`zU%w8%#*gTf$Q` z9C|q3k?E1CQ)bVZt$x54+b+EaTJ*mL7XAYxaDeug+?MgR-|v0scuaZVF`?`BBwm{Ju@=sY{vPJq0k5zc`wU&0G!h@=r~aT97cc(56~Z z!|{b{5$ckv;?uN~5z5eoWecT-E)tWhSG_4I?pKQQk^Brvp#*0wU9E@U#DD02#Z)4* z>gl4u$M}jN&eT}xp|Vp#*I*1?7lw3r+|o`uYQFZk`8t-{h(=@KSsqeB-mb@xBILk9 zriJ4cz6yose^JsNuXXBd{bk*VAO0vsDD%BR7pIFD8D8l)gg?20Al91kw$V6%FZ` z@*&`iaq0nI=9EY9!nVdHJjW!}770>s6OgTFT@_1LaB2d&`sU_Xy23a*JkrxlJ3XvFPyAR~JjduxF{umODy#=|2qR_u!Pv96fLW}+8Me-mlwzejC0CI8zwbA7`& zhU?pl(1$*M6zZUX#<^ykU{d7V-h5-!t4>C!o{Rs}Wh z*S}-@Z&xq+ZzCgt-Z|5ZURpn(e*SYDn{ezjT7#hRSN{jS+nfx}?~k-y6MxO<469b^ zH+*9Kn9GgAygj{(r~e&&PUXMwJ014}j11QQNl3pPjgl6MdpgdfKfO1Oo;dnD{ih$M z&(Zxi`VVz7IPPDB^iP{IyGr!-QN2fkelQMN1W`EsXkF+1Z}eikXk@V8W*#kZ*HJ2m zUGxuC{a8;($31{_JIcoW=d;Z`+E^s#_R3p&p_9RWV_wbUO459E1r;~Zo2PmwfqpEG zV?;LnKixdH_aesEZ>OhY2A_dm$?pr6)Gl9M8=)r^@C#EAhIZ}38j{h$c5PO>sO5=F zuQ>_xbS?*#Y8N`*bXc2?_Q$~K+w^`6y(jnGgm&3Jifn4v9;_o6*=&!QM|i6cY!B?> za#;uZ2##gO8tf4$)OkcZ_L#2lYwc-tvJ=@u{R7+6p!QH*IRgjg;|7+t9GpGW-+iq; z>z!=2$J8H<56xQHp0#SvMW8<)$K}Qv#OK#qe<(ihCC)F34>~n6r~Ul;fs-97KhtKS zAk9W}wETQe?YRc@SK+wdv&WPlnm2B?_B7YGNI=>xFV{O+q5QG>Bgav{S%~s6vKZ?* zsMqOe$1{G0;}IMuVExj|D`(X=_lxu6TJ;|~)6wUrKjGvr8=>Wc=gl$xN0w%^>p%K^ zBa8jtru7&8&m4ovDS-7qruv@&{hx5W=;=Sv+@D4Kw^{uw$Fy+vx1axib+TgPKN&3? zP0d+9*3h`Vz5#ml`RP$l|Hg#=H(-2Aw|3h1A7cR{E2Q7+Nm>7!svqkC=^xRbx;G|^G)jxZLFWBV=chQX1bl49~e=v9VmMo2kOgrp!^-? z*|AICmqYFQKhsAhYT$WN;7noW_UysC=By5mk7?h%o}TJQdLN80Fvc47EBrsC$@52}qS_;p^Z;Y9~4dA#y7sVw5{e8v}IMSS^<;B{+(7O3&Hh=1u*Ei$2&c*Ab zl(yeaWuX5zGDGEL_MBOBW*mpT0#Z(?ePBECKo7?=N<2FnzqXvTtZH7hVrc}gx(Oja1%8jmaja+0-Ct|Z@eF3xtLeb1PI<5bVCFLWM2{mZ{>e);uj`Jz=T)+|=T+Kto6 zPIkzy6RU9dv@+ftwTCn@oRDiExtEM@yHSNm=O-%oMe7 zJi3B5V^I7zpl|sej%#s081YVDiv#25bhIVumEivzeGTptd|SZz_7{x{jRil%vE6A~ z@s`f(+a4b;D;`}dg8{I$n_n1b7}*>TGhX**oNNz#=6pgwn6VpuZWm|I%E8`vJ({qb z(~PfnnOfAIJ(-yQ8`+`qeRR#7YVW;OPNK&a{zUb{o5n$GYMe%`JVi-phZ7xVAXeYzX;6}zKk?3U)kDRAHgbs5`_Gk zoCp9cI6ES9x9`^K{@rmwP9^d2+O+3vwUC^9nTn-y_TK zgt2nh2wi5qrBD7O=$yp%8oB8E2{J*O_e1&3*B7hY-I2=1CD^BiL@Rf_&}FW)^c{Xc z_D0p8OGF;w^AKM^2Ry%G_v2G~<^`M{WP;YgP#`X}m+aSGzLmgf2R3&}MimQ;UfNfb ziSa=u=5LwjdiMPU*YJnZ6gu4L?aycZR|zH)v<^06cH)5b?~g1sDXi}{$h{cHWuCq} zR3Fk5Iy@94+x_{h?^eNNg4RI-eY@#%IBV)!K*ahUfNb*ddQabjst;)j9Ui0j>+=At z@0Wtf1g(Pv`u6hb9FwrVCn1}Byvx(~N7aWkg${p=ji;9NOwc+=pl@G9oC6W-qr4*@ zANBM-t@@Cr(BZkDqbpypD<1VF>mH`)@N#VVdPz7lLF<6Z*DLl?^LMRDIIy|f`2&-< zgmFz|`zWs|CeM5JVa&qi3uy`+-lY8Yo-fLb3MLb@`6CS(_&(QqwJ#D`wOrC|y+L^< z$b3uA_}{S)`EBwQ>6sWG5M(N?TqTI}E|QPCYl}6`nBQle1HS)we(c9J`GPcsjzj6W z2w&eG!DNEgL7V!PH#UjBtnQGDF+?WDF~+|v>aR(k8{2d&h|!mYc8P#!9VF1Vll?nw zMQbycqpX3DOFkxh`o@YrN>k`qMc?o8{LAVum@LpbXj9+vy4rQ3Z!&bj$E-fkw*k-P zK90671AAdU!tt8ek+oXzp1)4TH~5S6zwiW}tE<2_u+WF?#&JF70ZVYb1asld{|bVS ztAJ01ZMVSAL1E=b{uzA=+L)W434&c)z>hiDjnzR?r<=f!c4SKfWPs+QkMXUoN#MUP z%y0Ae7>ze#qR{b#*mze9CJVF<62x1|@A4IQA&=LFL!}&W) zFj=5=kU*c5r*-wUTAmg`F6Hr5PhXwtLz+Uz^|Agf6igOq9ki)$jV(_rp^JPx#nXp@ z6#IuXg^riT>O*`8vdo~1uiDhN&gwe{JmlkPp1uvL4`~V=Z;sW6vO$owflvA`fxaDF zKO0->T2^s8inbt&d|d76`@ZT!nnK54({qeoJDP>|mmmwY**)bqUtgooX>N<)c^?{x zMer7T>NP$2*YahM^&L6mf2Xpbmn|QZp7mp;QChh=e_)C{QpT6uPwb8~G^qyiCky>} z7TW);%RPN};u>~RnnI^pUcb!xekPbK&^n0GC*$e5mKBlHu$N+)8NRVz^od#5;JCrl zi$0L`B2A&w_w{>yG>6MV+eCO8U(%Q~^XZ_|yIz0Ec0DYdMC;%v{B)yIjsu?SYg)B# zWj#X8_D~Ec7HB7II{+PQ57HDmeXP&hu|0nkypfDP9IxAO<3qORS>enAt%C&i?2a_H zL>8~D1rggrv7s1Y9AfQxL+x>6lg>Yl8DD3;CR(yUTktEVG3ygM4wmsg>50lXH|w9u z^OB|S@~Sru&e{aMq#O7G$(t@8`hFrE@9|tZf?U%kB)`PjKM3iAQ!_fSc>KT~+m-X)#O5$}u^`X-+bItn5H}VmQR$ouiM`;ROzw3BD;@gSFzfKG=;91x`x`d>{P*IgEr?n zLk2mOTHf&7Mhoul5az%JydU!myf3p0&QyO!`*^>m5t+aBL>^=1if2cg{jV#jFuyXm$UI<<~#)`lB z%P?++Kl$(D*ok>B%18dWIR60g!clNJ;(+U{DAFo%U57LJ`hr!W@qhVm{YC#s`9n4- zbYJ1sANChS-OU~sPHfPgul2>k)~%Ph_trm*U0EN}6b649tM5v| zWP{d0LVX$u_3u{5hL71Ob5`GNst;)jLk7g^yIC;VpmiYcDKXbv5BA_W`C1vcuz$aV zZ1NFpg4Oqc>O-2skjZ|%qx`#1FxjAWkU-zwNJFEJC|Do*#BA~r;}NUxVbzB;g(2rr zIgCobm;EQj4@F(9V2UBX_1?F@`TL}B60HMjS6%DD{(lu&WQrx*gF2s0F?igw=M~k5 zG=(8g1s&`-j`jUbFxjAWkRX3|MV2jUkgCY~-hph2!Ly#ecU2$K6o%~c<`t|@+u3Z; zI`9UKNM!$Zi`*${h7VgcD1>_ z7L6g`z(@U(FG$*$B#UPC$-|N>I3VPAPA9OE?;m(}>`*(9rhuv8ESv8+=zpl5(RyM| z52hfz?N2E#Im$@14yd3c+eg@eJ~8EwbaQ=2ngU+m zxY*fwh-YUSe(#{yJ1*{E`G*NUwGd`yu!N(o^TuWD2gVx&IiPhwehjvk8gJ}imPYwN zbP)WYGM-v!V=k92?j;b>3CE$HKV&1~N@)s5-5L}-e_*4H`vlPS2ng@|DRchNJ9}~t zQM|5mus=m2IR~^3$e%IxQuD=rLE*sWUdc6H@!|ZK1{PvNM%#G8Cbr*XJ|4Y%H^^}I zS9tcL-sGe)-q}Ax@YFKUSSb5pdYz_we+%1xoS2ydS_cW+lUCCev%T{mlVV=u*^5}S zy+~6SIwz(*!Mp7kazN`KL4WvFq;;hdu)cNBH4VpX=-YrX%Y7J=JOzCxp`UyQ$M13c z4db+3VpGn!z@LZfkDZop>X*%*_3AHdQW$zptiNjnlLJ}@3EEHiYdU217ky(6#rO;_ zz89-Lq$v!2Fjn6Mg2@4`gYyS=@J5PSzgl$|%;Sw5^o=kB#m5Ins{uH;gt7&H_Eax-9Q@@#aGeZcMOT28a~!yuP{?fVKWlxOdH_H9x7kfwl7+`92xE)vOp z@4ZaJXW?t{W}eRN?_S{~S_c#_$EEhsYoC||_hWVuPGKm zLx%LJI?vpT`|tb~G0&fJe# z%Nadl#%ZP=5I+IcgWOYaG~uZ9?3<5k(vLKSoOj4R9VfB=lLV6sS_cX8cTc3LamAt* zqG5fcYbuUep1y^u4`~WHoBVuOsd(g5?&D06v%_l_*q+mcGZ(ZDC?3n~rN+aU1AF8? zd9LO^+efxhOzJ%Q*5jJufi#7j{S*&xy&)InmLM0j`6tM4zP`||H_)>bqp7&q@^!J$ z<+`<){C(bhhSGB{P#UF`EA;>JYD~UVzvu};y$0V^I51z$J=fERKAr5NG=+ize!h~v zD+QAq)Bh*XM~^))F~^N`U5Mico<7t=)`v8Og2GsRHwz}$)>*ptoWm9Sw~roc5L(tp zy2!`tJbibnKBOrWO!L+`b03yeZ=c7>Z+q(ll%D&5&=^{|N?5M3GpcC?;n|*NQCGKu z{~pht$8k+IAx+`rP`Q3y@u}c_AHNp{r@Zf#YqsZ4!kG)&{Xa5ds9Zm7E+jsCu+tBZ zy;5Sra*h5w_dy)L_w0KM*JK~k6i#9L%za;q$E$+L1+4?pkIqwp^Jh2d$MdvEOQ`>2 zupmY>rnlw)Q(TjNq$!;8rC0vh{|^L{3t9)HUk3t6bo!Ace4U_P?*t3Q;2lr@Zd{Xo zq$!+oAXfjEg2@G~g9PP)*8LX?1(k=qPLNAJf8q4yby0mtQ0y-;MJ1rU)hvv<{L}JvZfj6kDubd9E77>~8_| z!som}o?i58YK9_jIi zQ<(R0Fw%}+@{SWc)uV!47{|RG5Z>78Sp@nvU<}s>#~HZZi1WGVqtVCbV+=*>dIcCVkzGVfeWSO&lVg3rQ|IEAD*++PknfX|Mwc}DQFV_ht(K;aeuD6$3-pzAj$gi%+{)R=) zKlGV-l!sS&_WfS%Lz=?;i~M~TR9^2AOde<*Bq%RfZ(h9$&t`y#^*s+>ipgzKUh@7Z zziEC*dkCmqrGA{&|LL9s%}>59u=(*<^%piN%>Q$2{diU|d7yQWT;YC`e`wFxUs^&w4R{$|qWjdSx}6HFdxeJGe^-%qWtmK6p8UeXko@h+`?lpgwKvJveuwV)=6#_wN-J0L zeJ#VqdbUSen_64y>-aStg5h$qAF&$^IUhT}@()#+NK-hqIOrMDo398%E0?kPgf=M! zvN4XNbx*a!dp1qDs6C@~M7q~j&>hE-;JX6t#-m8vgEN(7^a=Ey@sE$k5fQ#`>c1&3 z`NKsb*{X2r+*rS18-byftMdmA@=B=s={>6{R21)g^wW8WdHw(|-XjH1X$q${lisMb zqxoYb)!QF>>g_>C+fGqA$kz-pw8C)|PL7N1+A8mJF^_H%9ovPuG38Z$re{|*VI@s| z1*hH>^bVJUiGs;jTDgj8pQxN~jfCC_Ky<8kCgdX~w5DkNoux97rf}-Bv3jQ~jnc~1 z#tL0V;7e{NcDVP_u)ammMZSX2>N`PYIpzf&^}YJEZz;b{@ZP+3!7#6%;P@|88A>Zx z6o1F1{a7O<_T`UrxU#schG!pgS#CFhAC=3xpl&fS zS6aDBXqW$NCX=yU=tuJ@W@xvpUhTUnO<}<$-abUmr#l3bue5S?{=lw&dqVx)&WL%| zOUlh7&_%Jh+0*x!%0imLf;+wO1nYZHX_Qv3&L23?*C+cB-LqUOjQxBXI?31jJiX7V zOr$9+_%rQ8^!lm%r<6u%r1b`|sy zngXSjs|0p!#b-CXXI+VovYr!_z}4!)~*pk zQ=qhRmB6meuq*V8tJ;OJSpi~3Vk= z6G!Py{jdML9MzNW>2a(V--SX?^Js<0o3yU#%^M0%Rhor{M6a9T z$MvI2itTr8XgpD{QfW`JybFKtjq3}LUU0h7D6L!#1;TZ{>JQxyX&^l2#pe$^%I#I) za(xz9=)kzd#`7GNjWmUY2fTG-)_<2Jbm9+ zSx8f;O^?mLiD>AP0wDNUhva?Cul;Kxd%v~tDe z#kSh4Zy&waO0cZ&Zj>m@M`$0ajpq$A52Q4O+PU6%t>9k4)4pTcV~+jfwbyv#M_$kR zxo{G#1Iq7T*h}@_?MK)9JtUWa?fV^AuEBAOXCHLZJeATEYHy}^L}h$b@PxCE@Yu6n z`&z7hzab28Pw+|qQM`f&mscJ*9?uD;0JIL0 zv5x54FxIz|o@0zOv|_QE{r?wqQ4F5)^u4V5kfyL`g11gp5EZ<+kCcSZzYzwy6LIOs8*ArTzd1#DKjv=K96UY8#h(&zW!T<}c@CT?g`efi(|Dxsyue%Lf}D#Dsw86Ypkc+d?ATFQgLcAcNTb4uUipl^0C?AP}LM5R3|oGIT!Edt#LG@nU_>U+@* zeh%n9f!vdXJ?U0`pMk+6y<3jpx)p){Uj2T9!OvHIoJm*G7cu+`6^}FNNM0F(uM<3J zD1?mU8)NXO8)-X$!9QS=cP+0^xt1p3YvnMKD?J%K*+zMr_aFL?C``sjULMW_n|-Nx#B zJfhG?HeuY!cHMw8eC~Zr0=?g7)}RpaVm~*>e)h-HhrYh>VjQ3)ICPr6&&!Y7j|I00 z{^)x++W2OWCztHI5{I_QgOAkrZ$#A(^tZ-?-ZOYiY5`~&D# zCcr~3>A&_X(7@KChQ#QHT-N_*;9&iFgGLy@<5v&#EC{!2}^ z{Cp01?<0PoB^Z&R?_-N<`Pd3PmCyY+t_6NdO!?day1{tH8!@17+@Y>UFMuBprP6O>KYbsN-dk*BnCez z2zqn~g1b?^dNcxGPNNAbm+1F9$+)5j@h2#nMCoY%QT}5-BIf)-xi1>aGo zjW_xEChAfh&PZ$dYYcv>#Dkcr?^v}z91lvzHv)tDk3k38*5_RR-|ZIFfiVC<+12=m z=PBm|UAj@cQ&6(0@1gIPfA%<00J;WAOZ0nt{4G7gqcHz8ThJ)2N$Z22%ugxI&n=wb zixvjS=OKa|moHn^wdC=G)cUnL$!E)Vy718dq9wryydqclz97EEtu1&98b8SeZnBqv z^!ZTK6ddzB?c?S7SZzy7eKUU=kH+5}`l6oI;#=|qg5X1pwTmuC{*6Yg5w8Z+(X&1a zg6%hna+~@Mz_d6bO^Ig|{DzZoS04;b3 z_7E??X5LB6VE=u3jLBgaI<-ZwyVCl2H_ zLD8>qn6ga?M`?SunJyfJ>NjFcJf(=4&bzCo&Zs&rGAF|C)1q&xS@}=k_cKmTQi;A# zmfD4F=DYSO_Cs?aJ?Ni_xAbAvvn#@%34$8Y^G8q5U-A1@Pfvrkn^f-G_>NuC%feGO zOXKxrg#DOOO`Zr~osYj2XZ=B2RP>&w=MrsC4#uCT@Rpro<3|3>vT=JGc#|(5Ivw;2 z^NwzGmV8YRH*ddq(HBGsSt#EQLf>zf?-m0|?FQ*A+9#AnpIDBht+Wo|%iW_iN-I~g z2E*k5>m4h-@7R;v(cC*g{+Cv6a+esnqtR~=7+Sg7STPAdq}__(Ms-7j`HsDb5z(Q! zGLT$N>qb%WXY?UBqnuml>iTK6>j*UU_n>T@i}BuZh%Zh=>zBXL{e^opXgTg%Bga&n zfX`H&Je%tj(??a`k+9`Zp{M`&j$&^*TYH8<-}j*JvLG1r0NR-Wu3tC)(71wt#vsWl z&R$N_a=`@nH##FoIy~qj{lR9_N|?{pL+>L&P<)B>X>})q{%1JQRwjct)V?KGo_y@H z+s73p-F(+e-xuknYd~8izyE)Huhs7XzskpVaZ0*RuEw|h=FkVa5`2!eZ=`Z|wX|yZ zAR*UPMJO%LMmoX!Dmjpo6E>C zbP=gK`Dozjv`-UhREI;9b+O#Ays@aX zn1qMF%!+TrHes(mHVm)gY)wk)qbYbNWL+awVv4>H(IaMb-CM8MEIzFkMmmBu5JT79 zB9Z1*_)-$-!|xV+Vs|n9MtJ1+>N2{7D&ETyHlC6~uH!?ul-9DcezEfsysZ(|uOD;g z@7%VMBQZqOSGgoazBSTv+KOh6tjlRD)-11WT9-ukf84lu^i5I^OV?Ao!0RFjGF|K4 z8=$=&2gXJOqtTX){-yk;|H%;@=Wcds^ed$AUg5a_^_ttS?S45GKI-2_--W~ILEk<4 zw@zlK0-b-grw3z!Vze=3$3hSFw>wc$$TPgal;xu>vhx3c-{`9eh^Nad-uva>j^Krw ztC|}Zwc_Qhk(Bgwd=Gp@9si^mbR?3Iks+*MKc9Cpr5{>(+Paq7x@OR_evBcke)RdH zKUN_)b;YJ!x)QsU4;@V?j;}i&rrUQ$t3F=O_eEFV9R#mj7X&ZAg_rd~e)q%CnLLJ1 zdvC}0X1fQ$Q1pF$YMst+@)!H}chA4i@q35U&~>4%A5p&SA-ky;rSjmF%Wc9_3Lm(f z;Jf$Xx}b4H8L)BO8w6uII6d7u={i6=5}wjqRnHdE<5gtxALV`w^2Ycx26;9HeYk;czi#6@N?zQ?3=*EwJ5&$H&2Ju5 z59U`^&v5XJHCj+sx*tT`MhQ>p)vD)#$l$shx-lnXS$~cZPggB4)?hA zAo6X2@RUBTda!O6+wpKYoagCj01xuZK#zBH{iwb2zEpThfA8WJ(_>5APV@A%faemU z1@D!bdk}G3D?Futbb4aO6j{f&=@Y?kQr5540>tj&giKh(h4a?u*hSe+|^~}nD5VYPp z&wy(Jw2sQRzj(A!5R#8JhIq=#m2UU+$<>up=(D$C|HGdACqet1krD(0Uetb<#z7z2 z_o6q{;nl}2OEXe3Qz#brK8WAmq8}$2WyMCHjkPRBzb{nTfuOpfOsm@BUZ5Px6w{Cx+sA3FU< z^w4!^UMJ8Iy<-pi`GMmVJzD6aIZZ^D7T*uW84s^bYV<3K<5Z2`rnSjQd36eH*=E^^wAcuv;^DF2>o zH$LrUpSCB7^RKwT>E!tAMtn}GnKc7uuzk6je^Wt+rlr{HUk44+dbAMsorVEAHk{Qr zwKU?zh#0W1UeQ>Wk-px1p;zX2DVQsokCmp-3@as*-V3`DA16(h%Z!y4->{-N1yUP< z%pXUbDdST}%!-B-d=qzNM#i|5tSlsF7#%4pEh|e{=664vDl_}F-;`cld+kUu`hW7N zY%-<8kL~^}Tk?YA;PwBMO7j&1gDZ4ig!~-+h{Vg_itBNWzK>w=-1v2+VvHk*rVR3> zJxVa|7Iw)G^qZ!gE4IF9Q&r^jiKU!{G`cTO-r>4|x67Gz#5I9ktgATRCVeBL9o z6~Y~eitOUsg|Zm+TcPWhX5Xff`y-`MTDg+v^QB(s>;wpEH(HUWn~-;5d}MG5cGcp>(?(uK=EZ(P`BwZ>#4=}DUH&~ zm2B2zzxMKMh?A{c?fZ*=;pE;%?=$e$e~Z!I6BH}0TzTKMKt7m-d@J{9p(@7sLZKVp zgA|tgC#6wZx$^R1Pb)95P`Z_i`3XVs6MUk7-RH&1eNk}5N-I}h9QL(hQYfib?%T@q zyqxjB`;TeAn;(a_ltyXg%8SF^RucwiFZvjQ;@9Mi|J`4VjqiU1R}9*Zmm4}y?rxn8 z0cGvorabQ&`k)7H$%gISqBNl0@^eGy-4EC!vme43xh1`oXOp21dR%GZ+g0o3KEV;~ zwmU3cP;!*M7i5>2?MVH(8smm-4X7_;5C_y9Dr;0Os4UlD3|xaYu?B6&w*l6#ej>dX z{k=lZaeCi?+Srm59Pp?APmtbnul75l*6Ya1?$Lmw>cOt5(a`7vMkI z=Ms`nM}IHQxwwWtN>k`Llj5xV_gP=2U`jyiK=RLAOM3-+(afYt%W)#euW*I(jI@2I);&8usdDIv#qK4j2Q;rR<)q?ghZdSZVj-zQ?b z76_i~+V(yCo)Gl>B-XA|gtG*+4r1)0dBZN)1(WENNNmrskVgl`8a7Vo6WAW4DfHY< z<=C(oQxGXO4wnj53Dz7G`f@)Om2pAIPoNX>=nZc(&cd@R&j)>P2s+DquS}e- z7EYqwX5&eEQ#*^^y86|z{#>s-SI8Ov`~HU7_o(VcyG!y?J3IWZ&HJ{D-rEIN0@^Ko z3?1w3D|%b??yBeS-O6*bp%41L;_F49O7c?sI{fpodbMvZ0qvIIhK}_P5xq;C-VGS* zppD-B432k$UN_z=WOGt{d39vR!`qge7|3V-|#0@+iFnteJ|GU zzYA{(Xs@};$noxH?=^R`y?DcCf|9?<8UOo@i|NNp-Vt1h(#n-rfA=n}Ul&_`HYty- zKS95nW95FLG@!la1NluGHsIw1&ZpfiGUGyujnii3vGhT|C#e2LwOnHDpak+#wfzQl zzlVda;rg&!Wq@{1hWw`b-4#Ds?;gHO!>tO9@2Ooa?V>!}4SjIvhv?VLmEYc#c9K-$ z*}UISc;mm_P0JdaaHkR|V|QskM{)nOEy4N@wQC3%&1Xun_CQdYDQEM)bi6(_ zi61@)-s?9?XNcrd&^qwO(L3#3ZdaZ#ZXhT#Q0G z8}B8`Ggr>|KX_AYdBS*spcJ&%VC_o4le+^i>sY*^3Cnn^tlSo%DqX1LK`c;CDmH_<(8x^GPPmI;yv>Uv02%PGbJbpP5w za;4js$@z%>nfKqI9i_cG$v5aaiQ&Hx_=gaaf8+c(@aQZ_ma(t&5{xC+VGTv+3!MhK zeabBVGTe)OU$l2c+lOysP;=$@!AJXX=A+LVeI$PWAqI~zz@S}_kNg<4ANPqf-F_UF ze;w99-55IPx?0yqqU!&RIBVNWdb_@$_lpevR^Y!Pj6k=v82&pTzq`TWe)5JG{}B5z z13dgIG4k&N9{sX`9)n`!KY)Ekh_8VjqhsR#F!-k%EcW`I5hEXYQuId;|Gk*_{T}@D zJp5B`A2i1gykkyt_+GL8KMlM$mgp55-{+BM&!Bv~1KVnG|LQrtUlG;#qg)lk{?fNZ zN3VBd%I7QKzX$jaaiFaB+7sjd>nK0ej_$=d3xm`CF?h)Bh;no7K;+F(;Im`OC+uSV zJ0SzM_P#DgKb32;|5F_BwJ#p7CrfSlhFw(t2};jLr`#zNU|I#v0-b=Wc;4G^tahjrU|gxB~}2JcD_NE-b=v@S*;>M5%&gTr@g+$fqxy_`Js|7Bjg)ITd+@5|8dj=2jo+LaIZPB`D` z-rATy0gp4mz&_z}M|^}QAX(^qyU=eNAB-7DK0z65q0X6pTRi&zF=$&1#Nkn%#@uMI z3HXt}RPG2$QAX`Ld{pW^t*KKvAfPg1*WaUxp9TH}jOKrX8{P9A)GpB)c@gT9fx#EJ z=LHy#F+lM$gN6KOV&Yo|{TVwKbwc^i&9LOqaO;BE2NF(r|WqY=$J!Uf{aMm7@qvHzV!CvD-=xp)d z5qTwxw~;u22d$Q8*}OU1?!I*LE(6^;LQnt4{6ypJ*N;mCUj|yfpYk8I zKf%^dYbV-(vWuMD;#t8Ux-xkBP2&rK(hG5z`w~&K_qS+Sx(@!}*;fv8K6oNt~R zS+003$7z&*eS+k3gFgIR2t7~1d3P()0sQPp&!7_?X|&IsF#Zla&(VZu9D0MOYtZQl zeeQ(!FK5Gkt!FIckf776!6D4w1??*H--q&vdVB%OJW9=w1wn87TnghKVov^7_CRn{ zx6pGbEsIa9uZw#wh53H#@jVs{%LsbPKIHBET*^ueD)|``qM~>b5T6faPX*94wu+`H(rH{X4Tw*B-ha2fg} zg8v`;m-VH6qL0San|tv_Oa4eW9=u2*JEE}ZDJJ3PmFZXEG5F^_uS{t~Q}z-45-9r* z+@<*$tuOA5)Z$hv=ZT3c(|>NL!Mll{Ptxao@Y-VRW+jFY{g)p7R(@W|%Rje=oTx&4 z`#iqg!9hL8MD~sC;P}R3>Fr>iV=}QaoMXGR@f_15LNOL?Qr)T0m5!qy$_auVDkoi6 zZU4^&hu?AU4{yHfr)!i4^SH4o^85csIiZ(Wuh1Gr^J5?U`_p67)DPIF?eX-Q6Q@q8 zr2XepW<;piV4fF@9gc%XeK_af80|?+(RR5#J?OuQXY4}Aqw=)_-z&iOZ~8bG%g+N2 z^2b);D8q4_laJ@*yVKQy`@#1(_R}=bP80dwLCQ$nxSu_H&a8}-i7ELhV{yeh&1)B* z(c0KtkCzAFb;~V{tLqIHVRZ)%+woO{y>TCk3mhjK#!`8f`}TO(hp|{}W4miWLw28n z1MRa9$*XjH^-e+1 zcHjZWqy5Zeb`WA%{{5c($ME|%p8Ul+{*RT9_NmVhkMBwRMjVEttky*_H@r;eUy1mr ztug*QgWu1AZz=c?=Z?4Ocsv2$P}pVp{)XSLVekDPg5b4V!1sZ!U&QixB~L!a7h~Tej6mlnbzd8`qkHn#=I7@Z5GK@qMIDcoKi!j$@?!1( z5Wn|}Z2I3BA1|P@@UeWf-ZAp2-Y5^#?S=ib&}b0d2Gncxk4GT?ddx)LAf5xz_79kf zH87OT!wH5gGf} zDOKiXwC+S}SDhe#(+_c6hx;4Q-RmMI{Z{^wf8*eR$v=91&NyBFAcb9UlZeh<{+05z zSN_Jqe#2w^n{^_QaXlP2%#)u5S}p;M4!Zm}R(?S~^ZD|TVtB+3l#iMjwtSDHF@)B; zaV4NF7uoc`%U@&5Utzrb@s7vIpT!x(@$u^QxGK;d>&eGUk?6|BXLP*$V;ql_Kh4#j zacEnt{WCy&f|K9%$XNNM@$%<59xK0M=1jJW^7nX8KFZiQ>Ms?#PLGjakRLC9q2sag zF-#&693L9Ln)ojPZIcSesq6JI@(UBlU*>qM{24RhmCA4Qk|CW93ixhP2`o+jEO(6d}j>pQMG1-;Rjh_5VKzoHJKPLVNe|-Ee zcRWtMG>{zsA9(Vw2JH=={7b|3BmD95f9iOw{Hd~cp5_0B<+zZC3v4p#7JV z-@BtH{{UwVBmMq;+&>+UmG6xo#{I*S|8LM@Y-pf&xi6oG4)O9oay(YPH+~rRfhYe9 z(C#qOvHY{fmtSZRA^W#G9+v-=b`GHC_;2y#?+t?S$yQ9z8z1+iE9Tpmj>jql=gS|D zB*P>2SI(V`XS|t@+Sjj~{PA5t+goJN|2~g;_U|jmFXYhy^m+Z;_@0i3&w;|DwWefyemGRANFrEeo_Nd7>_BlA!C>fZE91r`kYbx!RZ>n7``7nOCCqEms8Vgd;_iuV1j_1b-?DOM= zVqkb#&+a+XW~wXf2gZe_K8#1-J$@3q!2kNoAAR@uI+YKeet+@hC$7)q7eW5tAip9c zzh*jGJdS_FlaIE2Jlb;t;yEzc z>@*!u@_J^vyf6?8JS+iqJCx7s9WUp@9#F~W(!`Ioo}TkS`#n$3g<7vk&le#*kPv?U zYy3ry*XohaqKTdhJUy3z7JayZ!+zeT9!QAMbCu(jawTp@Ou4;6^o+j|bm;TP-)^*E z6VhhQ7xHHtJ!a+w4P-2D|60^qflwTO=43G)-e=2!gksLGn^o_V-}^%r9Z+x|QY+Lt`N_jqyM8i_1vYF&)Iw~=LyEvtws6j#(2!^?X1G&HYR$)87( zxc)``dlz)C;n?l;G*)T3`8ncxFP0mL5f3OWUa?|nV|_N3HXE9^0Ceimzv>Iq!|dj zYK3E&fwgxEa0VWoQ}UHrS-jHDcnc zG0x7G!?Zo%=Xxz4#n~CQ674PZ>sJ5DuzrjMj7*zfD_g_)Me(XsJ1{PqFbzkmv!m7Q z*9xGgi^PlXP^{5-Q6VFXD28iN%JD3sUMySGK)YxWQZ9!aI;P?W7Ex0(GO|-Bo|-sp zLdvN5O`{BbHx9VNPBfh%kXw(h6nxnvv6{ zeUW@#jJ%dxE1~?xT7Ze2&2NdfnvF(q$k7K|2Ceho$wo{!^H_V6Y)V2wg+t}+w*78{Sk-PFRdNpjU%?33yw(`bXCLDt^C=&|E*oF?@^HPCzls3ZS=Hp`+CtA7+I`$j}{7} z7yU8o{Qz_rTTIyG>AhV0MQSJUJ_2f`Sud3;)-1H``i=DiBa`WNxkA8xW8A>{w}WmA z#s*G(uoe$r(WR-6w){Xhr&IgfRzB}`vY3Ax#T(z^pj)x_JKf-?@5~YkGUNQ9e8HH7 z?V~ZnKFGv)#lYH4@%!`2VX>iMy;~&SzGm{9#y}*u9CQ3~^wZ_&*A1-eqxWAazwz8R z?9+$~MVy`9e7Ss}lg0YKF!6R4uzrlWSpNvnr{Ksm+Jj(SsoB2-{TTQCH*qgdce2>d zoei}ut0L6mayc(gQ@!IsmxrUu={?Kr@1^^xw^4r>+Z)0b(>w9T741(jgUL=o2Q^_r z3K~rsP|#!e=rp-IB&1$uWo5hORO-#XwF%!JQI7epu}%D#iVq><&9sem;s**U#gCx; z7#uU?H~l~B7urrx`8-f&5q^JGjKpyXexIy z{NKppda+sK?W%>u9X@j0F@7jN9|z)K;OsZe{&K{96Y*Q-PzJOce~bl;OtC}bZ$)YT zU#xaq1A6q`KAdCT$3^Y(N5pTLLjj44hxgn?`Hdox_CL&f)JQ)HKXOxu^5`okNqo#IEA&#k-wSll<3K-dAg=sHH4a;ag6I|c z4-5u|?ZG^`T-(7E4nbikE= zB=|VGsQ59lzu?OuSE2v9ASdj{SIBFnGemqwTqh=x9|vr*mODIf)k4_``?{xXOmaDD z{n!ECj+QOnj}5@1ES^*n1e4wff|D2F{ACbSV61)ecY>gCI`Ct0ycPtNr#U&_)Gt%+ zw2TMkuvek~1C-BEjbE;$QgNM#I#d2gK=@F7n3x8f(itIqrubzbeiKL8WQ||LGQ0wV z65ER3L^LlGvn^YE{Gw_fY$70fh5j%3_7_Vk+mGMym+<`j9kmA&Pw?=k;JPsA|7lEp zpNJA_=!_CRcR>2_Hoj}vh@hD zBOt#NuvKZai}T%*%5g@2H}MqO$}~D0=PQt|bVdlD(aub~gW~Kue<_EE^J@K$e00DC zNgI>ANN?nz_o)_1HtvYQ#Os{&xOkel6WvWXu2KFr+UbczcN>oD4}$I&pu43Fx~P^{ z#D;+6Dh&8;Q0d}m`u}S|^D>SL1H!xd{(^9k-xWXS--3U}_3smZ4?d+cO889W`B{qd zlR=6iw7#!w#)P*4X$L+!q{a`k^lq+yqc(ry)5=WrZN-h~{(|Evp^c-9YCPa00m)Su z@Ir8wi^nEO<#GjG6YmcQA1+tu8z(BA5yEHk_e1jcov^=q8d?_DHj%qu?=R}s#1EX* zI6q8zBDzm-yeG7AbW!zJ<3;_V!oZoqnbzM)og|g~oirEnUJeK!_IEFELYLH0%L{WQ zA)UTcH}R_&e{J@{-!ACysTnuwGpJPdYD>KC?iugw;etFWEMzDeD{Ho!@1(?0E2iC*D|iNTVveW{S8bcTq}C^wUG z$i9(b`}VY00}pKO!SzJCL{~p19SOE9CoRrCEiX)$588C0jiZZ7d6{&);E7)0h`WOg zVLv87j?x(-J|iC|O(j1j+GMWpyH+=t5^><8!|TKKow~B2f4A{2278TVZ?nEnB0BW{ zlPZNa&L879(V_pJbaWeZQS}>TpMc~l3@QxHbM@q8N#%O-OBDId0pV>sIjLFjL;nsL ztNFL!y>@a^9dJsgI^;z8zntR6O$4V&JGt6~4RPp+{Kw#5!ez(*lTHQOQYWp=I1t@R z96C0Rql;=B#P)#XDhzrosCRL=N>bU+pFrNp0pUaK#iWf$S2`nv&s07xCqLcbN6SZx zarD4PeYkw^$WMJGURyrS1pCEKYMdV?--+&09N!h%cImDJo%WA$bWx29d?lC!xe9~R z{PJ;+q*7dJYC*R;AbdD3KSR3G86kX5Li*1sF1Oocj?3N#S_t8^w#NOFt_Ry)mMy-% zMAd$jSpuS07<>=Sm!pb*QBt{lQyHEc5I$@_#)FfT&IsW%Nk8PApHu1 zUkFNFT(D+Gz;W3Ex?cu_569&lq${0J>wl`(A5mQ1waFS6T3F&Vsn>2sZ2f!#Z2z%r z@%d`}B)U&={99<_;t^FpVLQPj&?^k-84R(0R`ifm^0VR)(EU9ieAv%@z$u*(!e{td z(V6_*Z$K6&ru80T?(JKu31;xedXDg0} zETuCFJ|{_1)-Qcb<9SOQwj3nFgQ z-U~$((JKu3FgETRAWP{C5ueGP^I(szT~eBjdu%ya2igl9cboRyBs3MES2(f*wP$`g zxB{|nGHCBi<={Fh2S2ijY|oz7CR2mkEC-i>O~(Sn9A}>?2Sj%RjvtGPIJ&5o1LQ3M zt)(a&nHC)B%7M(exxK8we6M10KzKXutoWC3@%;Qfj7xtV99d28EA`{>0Qi*7DB*KQ zq|^Mo;z66taoCIXIQ_uQfj5R;pbH=8B@LsNyHBM9tnaC*Wh|>5LDj|Jeu@sq|y6Gn=$WeP82sI^uIVCh-!W#j|eDE3P&Cn9Ov>| z`+Uw%{KlFK;az^C3=lB>bKu{L!6S`;+KtK-;C~jzS02V_=C2$G{C|QYuZb-$yTPk; zLaqN%UMdfv@`42c1*ta;YnmHZafXD-OKPaVxJ7^P+I-sznH`L@cIp$+b;aT9O;lcp z4tZSJNeHDpCnzsO*AGW`L+5OuUnajOMg$e0S2*&vB~W3Zkjh>*C|pDd~_)K zGgDXfe5Z?lWh&TvmFY@Bn=iC&wP%&^fq>{0j{0R#;^L(l$o?(_T}nWB7q9uk zMfW2s>-e|e{di0RPU%#KoG2bAQamu>SI~H1Vb{c?AL5}!F*%i*GQRa`%3~$QF_qeP zlZZG!wOwMmQ$RaQ6vfd+rM_1#6g<%@9QApS;o>1aN_|D&iTDxT#p8V8A|t0R2EJ19 zemqtnUFnPxK6gO+ITVjGY_imchL-yJrJRQK*LoWdyc*C98EibTUQoHlvbP-%qB{%6 zDxr;whlv}}ZN#Ddb{t()h~(IK)TX75AX}) zk;)%aTw?nFy$!4Cmn~ybthc2h9JbA}@jyRa*q${0J>wmKUUb5eHBAl;#n(Ofz9WGJ0Uz|3~TJP2OTfz2ACoL}i8-m~% zl>e3egW$)o>&Nfl8u|a@uW+viDz8TUzj`9Z8Stz6IUN6%w(aT@vF-u<{|dIHgM z*sCz~M}GX?l2nc#Y^=O7AbdD}FC$&)j1WFk{9c2xm4CO%96x{mTwC#b9&G=xZ1MFW zs^u3p5mbU+Vdw+FAXk33Nh;gF6Le1pgb&+~zP?iFj1WGP{hyQln`|=M@9%qTWByr* z{Hoky+1j=rHW3iL!q8W|`DaxRNhSNMFxRepCm?*t{wlP`hRz7#GwiRT^@6I7Hd)HA zw|}xN`}cyan`LX$ezJ+667&i~zwpXW)i6nA`_n+TGa!7}{z1^CbVdlD$^IdzUa1u} zS?pgan}U&Q+KZ|~K?_$6#MQT`l$R>lKtS{gDSd;ZYhXTTf z{df=QG4-2eQ91vV{CGdye(bDmY1A8GTF!aPAMsfGF`lXVSS_OT82KSOw5?VD5!yJq zsQ6i}{bZFJC#4=1EDe`)^r42%X~r*<^Xl&8N6h{I9kne@>Ils*Xrm?PMxSciWm-G8 zLk8p+h_lm_U!v=ZLkZiZ!~DOxlMu$yMb+;^1z!cZ3aJ+btu}wFwX5WLdolW>Apzk- z`AZ97hRz7#GsT7O=U1o1B^8wFntdWDfi zK||P&7RXULL&RtD<1F%{HAqnex2L-rJU@C-e@jhj`L(FM)(`aW)n_UbPI2}bKZx#J z9BYI&jxMTxpbaIccH@STx6}TfsN!#6G_R9X--0IR^?>lIZBms0O{lsKaTWP*m~1mQ?Cj zCSweZzJ>5%Kkq@h(itIqPD1*9XWgETuCUBcOfCakpvDJ3>Qi^a`Us3KoRzLH}N@bcTq}R1V&x za_~yH9PF~)uGU-I?K=Btt>Xcp?I%dCLi*#u@=$)% zbU`V&LPl zr8^vS7()=m(M2WysP7}FhFpb=+~5LRk88AF;eN6lbj1PTL-n|3B=jhq5yEGR7p?2p zq=)0O(+;Z;m)`ZL=oJzcJFK$hmDb{Fa-GyTKQt~(R|Hy&Aqd)~D+L|K5DDp`8W;FV z;H?E_JQ_5+xST3@j>{#W8ypZm9G59bS305A{}h*#$g$&WGPiF#R@;HIu4i)vrr6Ma zECSPS@pmSVmo!e_?0)mLiAyEaoPcAx;MjDSO@t@K=^Q6&^Ok&sj-oRms249Jc`Q-o5s(-eTlf3fw*E0MEhTWV&f0U{V=&W z)kEBBE3WNwL3HbJV2nW!=f8<3(QUx7mdV^A748c&oR0{UjCLgrn;dKXW` zkbukCBcLk}2yf@9HQIl2ynh3Ht>T}-Dnu7~ZmkA=u%Q!a`k&%`AI1BpHrbX#ZMhCQ z&R0UrtyWCiaUr^&JeU~*W&)YvzCZ#%w3hqj{TFGAa9e2EV0|24XX5Jwl)xWQL~nkV=~|FZ52R@(A8S(7O)lc_xY zhVkM0j{e`!St;~`;JK-*6!+odBDbo>)Xw;>2#$DEt| zpRx;dq<;dw19u<#0HjZ@!|%R9@b4Z$@ZMfrqurZ~cHo-=n-7?45RiU_?5l$nE*}tk z0-l$ko?{M1cw5gWpCowBr-hKyQSn|qpNuxb&C}^F3ws`DYlc3$AK{hLA!M5|0lQA|3|g_A{GQBS0Se-jenzxUnr>@xAQ?aCLp|v z+qr`0xS?;KT&8$GZcG0kZ*Kx$Rdx0K9}o}`6lYXaKvA3k0TnGG2q6iCKp=sHB;14y z5M+``1gtn!ZJp~_=T?1c)vDDxwDzf5t!N!vt+o!mwpxd(rHYD*@_yIa>+Cb-BLDZb z|MlVAec8X?T6^ua$8&P-IpiD9vF%ghwo>9Y-)C#wK40#CaP&>Tw~+od&em=*QZOFE zTfwo&6}4I3$?%pH;N>kY#6m>MH#zha`JGALaNFld+=^MN$JGUbM{&E}X=&VU1aB}r z9=D6hH=bkLXGiko{=adT_-yNM{zSRZ?=5uvUFp>d$4kcPwH%i@ZYExNt0%sTh+UI` zo#pyX-tgbJT&?HB@XiVZPt1Sgm@CE^FEpa5)boC+=UqPA`bRjd@W1`vmB<`3?q07} zxSqoMHOJkKTd)x!?m8T!@DsMJXufH=5fYzZ2OdYz9{v4#%F6i zzgQk#-YHzq$58u|S1Vjk;l0f9oZ}X(C%%h}gKskMm7poA=T|OQ>RH?Y-ot_5$$Gv^ zzVSjMn#y?JAocv0&(?Z=w%lL92+s>SBMg@|<@)vQ@2LOBs~4`X@IK}E&~Y>M4d+wg z$-4A$0bbtqW2vjiIQS-m#>Uo{;yx}{G63}> zy?WvL3U36*Fvl$z7vYWOu>Hhld29!YM;5TRhCU)=lK!Nz7Q4;tnO2fs6#4t#`WDX5 za?T@CO!+2*hXgC4_G@stdVX{c`@H)D!TmZ`e5S*-eb2+reul@^v0~Q$kY{DMMA~`;_@bo)=Ke zX2e9t(dWzk4|F%ZvjgW9;jJ@Kg#9?8PZnPvYHw!V5Z+B3*Env$_zI76_u?NH;N>lD z)Kw%lCJq}Nd@qXIJr0++jPC{Syg=|MZcjU0_hD}_-cApO{4RChQSoolGoEAHXGika zO5AMmCtKt8MQd|-g`WYx#(e$;*+St}R^;r)T*caEFkJe=|tRC*+hL8&V-mCa|)czJ(E9f7w6%oG4kS}BRs}+Cl za-|(g_{yGG@Z>tMj(p>VMl==w*Ngwr3BUR;zr#AwF$?<7UZj}w|4<6~FFuLLZ!1iO z?k@KW%5&7dSmdAo6M~!au3bv({8{V8T3P&7AbQDqu@?$?p}wZntE30@D%sX&7pg}| zCuluAExV58%^zE@g>N$S@K`&P?BR0NU%pds!ToqCvGq^!3h-Tnp_5YMrKCUk#tRG4 zl<`tBNa}Nd&(?POa#1*3qf=uWdmKkJu?VPU#mVXL_}4 zJo4s0K8eV^=_W&8iT$3rpb?c{5sG- z4^Xj!^|%1_YrT5mdf=N#34D{GUj_R_?eK`p)p~pZ?+1b4$$H#DzVSjMQQA5DNrz^+ z=ORiycrInEDx21Dj}znVBhIltdMXHh_%#0~#&Z8#$=B?ucH^0X?C(E5Kk-|rsF?r9 zZ+<=a)EO%IsW&*RU(hEx-{4z`@jOeuNV`2R?e?tCcH_{$)|7T=|9253>@`Z*1BH?q zUu)RAKg9V(J@44QA_xvz6a*7)4}yukf}nhU5KIEEV7@CPM-anteOcdFFy>sxGqmV? zWsoP%LjTV}F!>^WpV;15GK11SC+tt`nZM@$1O11%vGz6tw;+*a^7>2oT?gm$SIS&M znN`@_Qt|CW9~6;znG7q9&7-B;yIkq7r8~j9F%Ue_UrRfK880-VDeYG(_Y;dOYu!a_$I?Hi2Z)Jbezl8dW?s+Qy_S< z9!HXIywHfI^ykviQjep3wwnj#cjU3j1GLcZrAr5+eymr|wuh}-${P!pIYy*leW`

-&9phXjHr>r4L+d5&$L(r(rLlfRpn{p&jW-F&Rd;rd-#j!tc4 zCFA!RaN*$Z!vAIba_%g9kju!Me^bz*^ZKAem#aDYa>U{kS^R(d7LMD}?>Rf-i^;H8 zWt~~eK4fe6_wLda_-VY5q^b0SQ>7oQ4Eh+L^Xli#;bVKG9~VjB>^4?^A3^B}sGSm7 z%fu&af8m|MvD$Gnyu9^y+EhgBnjHQ~>^frUEiPBv|1NmrgCiEE_TQzKl5f1wh^Fl0 zN^g{UUhcECo*P={^MeR|V~qSg#m-kx>(G}8z-~(N{o#Dn?c80AWa<&tS9m|>V4nO& z-v7Y6t^hA@abYbIDaEeI5zB+IejiavEJXDB$aAbi=LCZL^On-594>L2!1+n(_k$z5 zrQ`M>`Nnf>`;`9ixWw(ZK3nIBk0fR}Vz*=|4;0Xm$?qQg`HQ~^1uY-HUt;DlZ>Dhk zg!d%JBaWMibKc@YT}4Xan;f}=oWJA^U+;1yF5~CIyFCy*IbXa&zVSjMnsy@p-%{__ ze75T^?)NH4k&%E z0FQFyd9UMlc6ce}n;dz9JRj+yXxkW4)DR{vfOm%q)M9C>f-cLL*w zyIk?Nat-6^&Oq=){~5n8 zH4)Zld~eLyUO<3MeXJiT?=ZLrs`}=6N5C6cfS0%UjBvQvH5qMg-?X@nnt?(Rf}0Z$u!tpU1~v z=y09Su3&sWXZYIKcwL5`@f_PeCEh=fc&|vtd%eWlE%m-X=J$xjo9DU}_#Mmm1(-V} zDy3i?g?9!AbB@SndFQ}8bqjds!?XQN#$MjyO$BI6&@L_WT=W9i}1gY_ zNA9!xG-vB-ZgFDWh_>fZT;4?eQdx zu{?DiGGQY5#&c}@q)$z#lX_0_*Q!DnTTh!OCJ1i^ z#}voSw0oSFgEzeZFK=;^U?XDJgxB##&rO#fNwJ5{n&Zx1nMZF@mLG*^g!@v+&t=VjR)uG6V5U` z9*=v-H=bkLr^Ms85|8_Qw$2a#ws?eZcH5GEayw>z_jBaWh^5T)&_$6IF}7_xWu{aNbsi&m-AWIkCyn$r_zts!21q7 z$}8*1-#!>4ZTIv2haA5Sg8k@Tu6o@3i5>vY)^iR)OOt>fxbop0oI*^A{p zKDfugg2R^#BmG8S$M4fWfKeV@)kd0A|mCRjC?q@ zUY8LQ5se>f8Sxf8ieHn%HGa(b#5;-G`l!$SyMVvNHX9y~FXyaf#&g!}Q{pSn{VQAK zv%~nh2O&y)chAN*dK_XD-`SWs(VHn8U*WChSn9Y1<14&VIZi6T%UgVjnMfIYlaXHs z$3*?p)=7=;-SBDy!K3&RGZBsNec-tieuv?#t24N!!m|~femuT1ml@Bo?Nj3WGl}mt zK_AP~_41Qk`1>YV8dNsDvvWIf9v#J3=DVL5nWSLcg!fa9>m7HqyxZZ~ej>xmTYQO` zh|ISp$Cd;qNAV?QA{yVn!aFMvJc{q19j@_x9sCD|$K%KPUS>SUwoi$l{GGn+(I|fI zyw!g1Bj>H(5Vv2W{$yk|Q_paI5Z<#Kk2~&Wc`v}T{X~YBx401t5m}>5j{QUIcc*2< zLPX*=u{*rG1Hq%X*?O&U<9peCNqk~gr!V-#oxxuZMwO(_f66`pGoEAHC+pS3tt77N ze0JC`?GIQ{zs&E&I_5Sib|Td7-?L;5F8j=zE8IVYw~=GL<7VO)wgmgDyYe=!GhC6vhdEr^ zV+8tt4@TXRYLAJ8e4_Cj+dk>%6XkxsiG6)`xPR2YjLCUMez(;5Xm}5?Qwi5OxzA+c z0B@#XJ1Fl^xP{h#YX{{Gfp?IV6LlHQ*p#>U5;GCun~b_M_P)D`#6(2nyBuEkK=3HO zHW6z4R)X&wjP9I{UorXNgz3z=PwCHc{eNPq&kffx_rgHtiI@9i`|)Pp8#r+k=CZi)9g*SBzcn$EX3-H#k=au&OF2~IrYdQW+pCs<(qnyrv^K(<)+M6~M zk$Rbo?jCzS%|zNzMBDpXc*6t1qxNRr64CblG583>W9!1iGs!oeW80^UmrJF+&&sR| z;pK3CS0AmX;h9!=(*b7uc#$}sA6YNhe!^o7oM`)r&GN2*ccHVH;pHuU#6(2e(S%z* zE{x_QVj`mPdkEfgxh3SnD1Hwr?Be$*_)5d$@ne0TXgtTZ&yM8ZC-J+(XX|+3y62*0 zi)XjUdDk~w_td`kORrvdo{>KKD~?|{ZozmwpT;wqlm`iZR}mkBj#h* zc_#kD;o6`61^#2hp5<=y$2&S44T z?mwA&hw+g)uQNq&+yY)Vxb%6EjJ>>zqr^r;{4n8h=FxkABsL-%$KmkamdBm*9-zGG z+q$lC90C3>!((wQCsgIeQ)A(W#8KA&^6dIg9PRp1Vm?d19?ADZT?Yn)hTEyH#ZP&x z|K;DX{wt65ru-Y$|8im?B7BqM?qvt(<3mhDG=7cndIo|=@vC>Z#!vd{&W6Y1$NFDx zJjb?AiJv_0r+mE6j`hRj^`zvwP&kgGFt-KkzpT0CtpDZTu>LEL^}oE(`k%LcL~KNa zZ^C1&r$uojHX<6wE8z{7$6QZ~;>g}qMB{i9_=psKsl#<2dky`xXE3HQ^QtlQ{3-WF zm!G0pExLSTY@Apu9=fFEP#%t$&Fv?^7FaKW1b7}aQ@Q?MsywLie zxAvn=M8vMim^nc%YCmEwqV4w{yheF+bS`SY8yv3f!*|X#k~nQDqWCS~*Q9XrM6~^7 z-fl_Z|8%(Oe+Yg#!dP?=gj%PPe^CB-$cucCZ@wQ0?*)#h3h>r|!CCe$V`~)O zzu=z#NgVw+C!9?h?QficZ~4>DxAZL$@x^4!bHSXbAJXjnFhwA1z+TRZM%c{{`FUVxW(^MJ%uM9McAJ2E)m z$6sP1qVbn??Y%&7AOA^X9Io-30RE}r@%SBxAI5WR`|L#iNQvLUK0BPJ<%KRfPrKiH z+Z=5dc-ncdi}c$`tpA}Dii`4&ggeMFH_Kx$FlpEp@J7QsssJx-DpP8&z#7Vs9pYc0UbTbzlVh?H+qw4L1F zn>U=;iD;Z<%ohiOM{&0GTH|~z_=FUGnbY4_#^H~7%>DU>$J^m7FylG4eRd@OB58+n ze0JF1<$>?o-?^VWd`}PeeY*Jqb~vw3T7&upUcGSqg?9)oVZas0v+RWM!;IXt#L7M&W87cmgg zc(EQ$`cWWw6faxPG+v*AUu$^0|2#{+@tigLlz99_;*mQ4|19TTl%(LZVTFlm`%2GcQKZUcR0@q?-7n)xgs;X zy!CTir=%@R#%&CSMscyVQseR__HGIUkK%$I5s6Dh4frjF$K&!6`FW)!KRS^Aip1qF zK41Ikry3V|fWqQJ_e)Qfe)PIm%f=yZ^}}Zo;hPj69`uju_l3*V`h5*=Z6J8!zTe6J zCf|6W5lzMaPsIO^efFk2^V0|3|9I0Xr(k_#OlOUe?C+_{}r~M$nf&6e^%hTh}bnLemqzjwWqD6 zTF)wYdj^6h>&dl@irAVP8saZRK}DH3QWW&DOl{B4a_;%0vQI2j&k?AN_m&E`r|>3m z6gzIgdg8lC1$>i|(Xsay$lM{K_53cp;qp`?-dm8jIIUFJ%`-9&Jr$JvGIgIrMKgNF zb8P$Mx>&_Bi9@t0vg@zOA5cp?xNpzSa>KJ0|6@n77Val2*gsS(h)T)SJM0I-WBsqN z{lsQ@E8s11HZ#1u#evv}Nckou4+h6Z{pB>5t8u&zUR5A?6vwL^F8hwDrNnWG;j#I; z;ym<>7Z#$a^e1_*Zv_j!iS;MRaIN&|y6uMm<_%3(ptAdy(U5S5&6HaeIG-_s0Uf zyv2o7qyoDprN0RVMg4%eLPX*+Mb^FF1cLkVFr~A@W&bjTHHmr1;cKJc^H#9_hdjr& zPvSNukhp#1vu$6O{xkkJ$B*^AVuLqRIDW$WisKWQ@WptJZJ&&{De^p@DZPER z?V}`)@ds%!!#J_$YVXbe)%mn-`+Pr&WN3elx;Hp%GiBJQ*3F~IR6k{X&`tq&Zm-ZywHfI66fzroKN@Jwm!QT z4sT|^H)SPiXM456{YiM|ah&P6nKUq7-_Vtt(8B0AA&+~|$8kZN~{ZM|=T%L>zeK_Pf zwtY(b|3TvNyP%I{X}vb&!ax7w@8AR=~6 zCe+66=by6Pn%Lyiz#n8=4N>x!F#U&FK_Xo&LUF2$%I#9`?{&Sxm=0Q)IH(7 z5D1=#&s5?N@nb3$wt(p%K?Sw1>yDNU>+4I7#HE~!qKAu zFYn?abrqQc--MqS@m$xu;iFxy#)Y+Y$_Duf(y7U~u)a?B^;h2Ea1V9d&GL?hH)IQV$HF_Z055Ox zB1R%oz6n3+h@Mj}=Yk>{PtI3~zu~LKdG3u1xIe=R=i8|hF*h?RrC=O|*Ti9ah|Timz-w?eH_Mw3 zkMSsy;pHvf#85>1G~p*0S4RDp7>a1TIS-v$BtOx(GK#mYO%m_QyTMBhkH`Bo@?&e5 zv&g56d-=Qm)H9-ZyWfBL$KG)LKYpKo__&*>Kd(al``%)G$d4;wRk#bDR zcZmJIV5+T++HOz7TM`JKoOganeryd54QabPDiyge*)E^u+MuD{6*~O5kv_1JI$_i=e1^}JUv92eoe z#qpZsX6hTpPk5}|Q~zFoM_KaxzNyqzWGZ}pHeyA3eMIFFiCcru*6VE_=b{%B+s4h_%M-hX-~M}fDyLy?wl`Nej>4PA(d@Vd z<0!lnING*=w;bMr0=&G%n;43SpC*(0$KHcoxyI#cyzhWl9ta-An{!tYeSYSB{QkC= z;qiF?06pV5wtaRc|7MBz`953Y{gK2weDSdh_@?|`H?{wKNZG*c^Flb!RGxvkOTD?m z@fM!Ui5EL=!FUVrIu7;@BAeyi2=D4G;N1f6h6232wF|Kpk@}cSzB_iGvh3MJv|auQ zZ*?Ge)GoAzi07w~{wlFIH_Ph*k3J@{S>BHDx)tE%U3*B3 zMJg%Zq~f*U4Bs9SLlJEcnOpxE2=3dXYKp@(-ZQ{IG&~mXD)t&7&#~=O;(ZM9t~$tP zhw)B705f?VfD_c@a^m;rRedmbm^W82KFT`+?m)*a6i4NK2j0*v;EjSeyZ~c2W5wsedM_jvFi|ppPOAJaa`!l6>e|gE#+Vj zAyTltg?ADMdx&r3eHY&H0=zZ6|DcldiNPEzdG^6EtT8e#pFw*MVea|~d0m2_JLPm| zt(vg|=}SRyShcga-*oiPLw6Q>mvLVHI`>thUv(V%oC{V{m#3&p-`@s7kL`JP%9}xO z$o@faT~G5TZ|zMRib$MHxO^HtZ@G##6w&r(J*%1~msg|bEm!@o!?nGi1fOAeyuGPQ z$a8G_l=gl=+WTgo9ggqxgM>Ea_l&i7c%~E$@^Js6W9D{mu5dfb*!l&>&m6a4dkc@f zK$Yz$Hp_bu-aQ5EbM2t zEWCF)-q-@(hw%PcfS0%SAjTpx&ze+rj$OyDBE}-p9@7{ztuvG$m@HJI@n+dgTJX?xKg)4KU=-yX^B2J<1$Gm2f8-5ZUO zKa$I`@-5om%k(~txg?ZAaaP{0aJO^J&GPnyx6>Bz`oQZ|fR}gilo*Rh`6iX;2ABE$ zhQwGz+k-izDd=9 z*!9F|#8yPx;dFTW2ZBfKKx{>%9jYgQAC$sRak#d_S>OW=kGI2I@{Q-%_Q`&Enmo^U z+I*iKjthT-s3D2#G_g%#xL!?C2hSNEkKe`Q8_%)rb1U+1koaBVv%`KI{oOHk zKUsTmU^{b8?O zxW5VS_Z+sr%EaRxu4DE97v3K@e&=jvcx%AuV-hdrvUW~q4>)}!hwXJEZ@&F+;W|0Z z)@$*>WZFZqea|%7LPXkaI_J65eijJs+if~?uZXnU^zPt4H$2{M>%ffX*!C&ywn^IU zBcE;8bC-uVUVLM_5w~d@yn5kw6W&)GpEz!&J;HXAcIXK2ivqm7>+cc^k!kQvrkBR{ zClU)0joT6M-UtMb;x@$L8n>gt-!eQFx9Pj#i}4)WK8fY@Ly6n;J$!b!KTqGlkv#v_ z8#vs?!!*PBR{LIGZ?0e*mB(ISx>!L9#Zh?&!`pWYc!S{$D8S2GyosTR*fp8{T&$l? zCx#*#?`C*i1Hq$sHz@4-DW|ctkHh2fE+XG}j%}Y3?^=m>iO&w#HGe~hA<6S!Cg|84 zEfgPb8RwI{xx#T2UL^;62$6#E7G4bpdx&r3&4gzgxs1KM#hVz4$b4i{T@#$;*TLz; zP(VF33M(uKy)7N%+7JJQs;8DBK79x5b_D`IrzL&yTvqZGrUP6D4;qi83 zt)Ff@$F@&tx8F;rBLcdXqK_p4aTIh(%yeuCLwMx_;O7vcSi!`8FS z@_q~NJ}ZYcDlUm~ABFee7Vw^cXM2cDxp`|p+C)UIBbn5!2(F9Tk2VpJ_N$h8=kh@C zsQqXY5oy2bv%#-Q;?)k;_N(p+ex2d*_WL`!#!Dnp-UeyEw|usr=faJYA({J)VtXo~ z`1rkox%a)f!t=irTmDq}CI}L*OY#_LAr)t(d5gA9-L&0A%Jl+oMM?#)s+b3mL?@v2a@8q-j zeO2N*g1f;b8Y*GDtGlBXZ3_yBT*~zYT3Bvt$q_6NBAbSOM_lf{U*6wJ^yB1;Cx;1sD5WS z{78mi^@aMq_WWS$#QhP~Gr){zWw=E8%|fYPozJ%OAo*c!bIZK8`Fed&y3LVqb@V^b zv+GmhlkZ1UG1KJDWb13=v08ZS1*#hyH`DHM9+w5GX;+cW@)pD68biChHEv8w|CtBj z_(Ou=j~4{NtHk>$j#oaQZ@kL)SwS%SPio>@{qXYxbFYZh-=y}k*!y3qXDg&3GWt;8yvS_`wEY10o8W>Bg4zvI3i{u)$mPf zUx@u)yPBAZNPKJ7z&kq-Jc{qr3cL6|4}PxU@ptXiN1-hga2-t z*V4GSW$|MF#u(zUO@H^C9-S_t@xy+hI<`kDSWkQtsfKS-*E`rZnlJw8a&^9V58nNO z;K})b{>~;ZD^ia)q#l3snA=CUwk&Lo>L6gjd5^zIRKMxfv+bX^_QE%jYWOB~Me-i+ zyy2T%uGFJO`see3;K_P$ZeDG?(1@l|4|$(p^#-4<_4rRKZLu)c8k?yH`-N)O+fWL% zhxjH^ZTkzp*3Aw2`g+t1P+0p_4RcTRdx|IOQL`O&G@fJIC-tb=iF(xR;IpkB+-taC zVZ22OwMWg?sPF34v+c3QUE3|DUkP_CljPWQg5a7HITi-N7vq9p!!wcoxBV?_Z;6eF z_+V0ZQ9O>LU9R>A)>YyzcruR6=QYL)jc6)yl;0uL9PP6$j_$Q_;nO2P3&wFU>c@KZ zY#j5h-`3!pNDX|Gy8GkxU@aEWdd!4(NFaE!9+Sy8o@3jm)T2u35iKHGkB{8z-eT1# zT#r)Jt0Sug`!&9a)WA2X`&-Z_>enk=uGV8UyrMwxWIfu*H(qE&Q?8fQ$o+*ibT$*K zN8r`}L#de;lss%dL#_dxH8yQqPO3`2l*yb8P!$A6D~YiO2aq+v1UUY^{Ks zUf$=-4zdu-lZyMgFX4YB75_whoX)`PWiC(i3&lxz>`|L!%JeRNLF`sSo%885HmbN73=Xkp%AM_UAMn&!R zYs|*>8kzWo{abi{tlc zm=d*{?JqR0e6P7L5Ikx(TmJ>0@mKJ_C-E7bomFYK89l)7HaxZ;t6}{Qd7%@Ub|hb( z7f|z=&kpyqOL%?6QvLnM=HHuJ^C9Z-_5U4jD)GgSGx#&u3)Fn!;7ohvUEJgxRYdHY zGN?=E!}(eqi>5YB%E_i>wH>!ikI7JQkN3z3|0&&YFGV-;4u@%ZxpIwvWq+ zvzE1LTw;GOjHX@RFXjHF8GXEZ!FgAC{o(HAxS4oZ|5e^W@cI?tw+Y&3hqS5Ro;eNPM)s}Eyu{-$N$X`DqUWK_kf0&*-az?Yc0nL`)Sk4F$A1oI zZVJEIaORKN3&Dp6v;UB8&y&eFo@3i*7xLxr>N8I9*&09I>*yMk`$O&@^_Xu@X*T(_ z)c^NpjoN`d$_(}gp%iRa;Yoe$dP2c=72c&B=Q)d^y-d3bj~LCkIL2$QU4?fY$K^?$ zZ`W`<3-3mbt3#ek!%yG-j8PGJW}(UK=Q|Yp^|7RO7|S@`-hbQx3)4YM&kFji{HBzKero71aQ+kJ4W$yH@9g3efjt#A~7?Wds?ttH4g6u@L%S%N!yi?QU{>Wi0-+J5eU(9(j5YT>7&h81uiu@vDN)iTKuT?=+RW zIyB>!1nr+;e0B0qc2Mt!U00C4Xmb4TB#yCmtnKZPTDZ0EgfyvGZ67V?U)GLo3wkw**}&h#6_%XDPCn9Tb; z*u}TEj8FBiPY4IczaIo$d_5<-{8)dVw!J5H@}-T%bDrDoFn+b9FpWqJQ>9IAiP zcG8CPV*c6ql01`n&&K0-uFH*$qj@i-JO{%y^*@O*t>9y-obQ)@SQ@{iIcP zui+A}nB0dMZe#n}y(%(h!~JRPC78L~j8JYu8Vd$37)=Zd2avD{Fu0?1&#G^LGvE{k}qCBO*TATqn5SSJdVe_SaF^JI-I0 zj^m@~8qcxqvlIF9oZZ^TeYU%flZyqClPpC4t`-JzZ!*|Fwj0miVD1@j?wjK&{1-U> z;B*ScQ+R*jcs|C9$J6$6^4|K|*E#+i@*-d4n~(dOEbs&=t}9Pk#SBgP@7AJA}POm&!m_-w?0EmgqOL#??w$uP62EX!{{QICpmIXPvDV!qs;BG?zQBYTVS)8M&PIgMM4rRV+K{ zd8YXhx3jau>U3N(Uw@p-jhi&Ns601ubagJLptr}_W!(;DjHKs_ayKgF$L&e~MC#yb ze_n^Z(z2rR(G!b8liuFJ@Q7n5I~*GHls@?D*>xPWz6qItXK@olj(Ao@q@my)4WtanTY;x!9H;I zcR4quUqL@){c2_3IQ)Vj*yZXJ&N+X#AD~Yvvde}*ccR|^8pB)QU~AW2DZD-idfguc zIa@DxJ;M4!-s;~7&N^~NAF+;paSF$7uk+FW!tm-;eA}#iQt@%ulTzgq7xiD_gRNcf zPT|ZQij&r1W7p?X_%g#!1;@s&AEoe<$fJGgNJYT4HGON$CurnN!h=_^hxU|1AKMD{!ND84vwu1j@@l{0Q;qAwS%Ll+k`b**u10SL^p1aBO98>}vTBgX3!kM^DRt3>;s3J(nsUJ+=P? zI6i>4CCW!n?LQ5UFBu#?wf{UgzU+Q%%07B(|3z?o0iT_)kDl6p4IE!GIC^UT4RCzf z{kD|-znFc}I(z|Nldz9nE&nZWY-MolYWe>G$JY#wo|gX!IKJ*NBvt;qW}mbUAHYvb zl>dRl`Qutphph}wxjH|74qn<0PPyveSK!noqmQ2WSKk4gy6o|*lz-@n{rVo@_yXP% z_fPc1emzGWzGQIxR{OhvnAs;4U%-z_l#ia4UjvS<3=UiElh)%y@A)bF#~4mp zkFDPPF)(3&wBe-n*y#PU6kcRFX+1W2|2~D68cte|joxpk@QH?#)?;I@tx|Y};iUE0 z*el(?stj)g$Hrb0Qu@SYn+;$s>_h&j_=H6L>Meex^~4zcB#vE;ZyPwaGB|cMzN^6T zaj)xA<)bI%H?pg$$5(J_5zXJSFH-xbfa603KhgG2pV7Z1KA&ax(e+EkBG+0OC%PZ} zcW%t2iIYpqO3RCL_I^XYZqf9pqcM-qhoV*5@oZ)P3)}QuSdU zrv8%FQ#bG<6Z+^W{!4IdWpL&qwJ&oLzU=*B%07EM#YyY2wNGk4QO`Mt;-vN1*k@Tv z|8m1g>#?!VB`KV}oa&Q`t$kAat@`T>C#}cEK7UH-|HN?8dTi|T?-YK!;dg;!qt6b8 z$M)~_od1iwe~-1-C%Gv_Mdg*bN#$j9F%{n)V&{LD|Lh#6&r!j)Lhv|o*82Pg9zOL+ z$Lj%W57K(t417XDA3bfahrzLx!O2(qPlMx2pKDU}ea!Sp>nQ^~u|KMR!r?Oy0moJb z$F9uZGkb%RX7J~&eA0S+?YmE^eEPBYHk<7yE4 zoIT6ASKq(b{ypAad5IYMaCLlfepxlOC|51#m_sz2dR=svQ#t?Oy44vsa?ZUU{MUkD z80YbabLM^cZhZgB+4*mL`u^~lD>p2o?t*`4ob9En#0 z*9Byb=r_Z~E3_AuGm~>UjXP;QZPV{}$+*vCzEixHYny(laU${F{Z~QI@(HhAy_RFC zoj2#r5B!sM$(@XS+Hl`o^1MpMgVZBO%w|yPVZWVI{*$l$QreL|41T=Cz}KIA)gOR9W$kx%N}qhy9}Z4g;L8&Fj0e>p0Zv){ zyQK67+qfaEXKaCAoX{U;IH`=S3{JT+u4j(oz(xkAT=lO6oVsLi^whs9aO%?k+LV7| z%|7W&d;tG$!awY4`4@p>D}z^9`8DA9>hajPn>k4=g2Q#!Kf|Xd#o9sqD|0;g+<*V% z^?{k#*Lu{$qt5#eP1S>ZjYA_iK7+T4olSY`hvch%3pi!%e{)KoeAQNAdJQWm&O0a5+QSN$`=DQiG#eVIwV>YoEnS>Va~ldt;cfm7CiU#H3^U-hp9 zrz~*1jFo?p>0bj*SqG-}$1^XrenvWzz6cI0rjK3ihhKqXD}!TK%fA5}Uo$xQ>i8Xz{U^Zj<={an`{=3tr@`?BJaK(u zCVFcBd2oEm;OMFS7s2u6;5$0mm2cMG61WQ~R%h<4XodPwl?}jxUF#&L3u? zr}p0h#~1K<3H#`&{dd9fC4-}<_CEl}mqRX3`H!C3{|`96fG5@c9Y*=!yN@HsJV@!M}3$b3MTE<HSjfY z`%dUiTPXf z)P7$VgA9(I+V2mJF9T0V*+)<99|(>w;LVBpqo?){1;>{Rj-J{-3>;quzLK(!p4vYg z9AChj686zk`$vJ}O9n?z?H>b06&@Y;Kix_^E7SBd0f-g;HQFL zkm^7D9bWp+i64QV3H~SRKe6+K+zT=yz~Sn7!spHI*ZAax`}myr_q@{dufy z89zD>{|S$}9{x$H9*hqiXYYZZpm=f~MNh_g17jd}Qad<$YG3MsFGnm-+2{U0wNIME z7jSm7G5^pLyrC;NwlX+>zZClo=;ZL_$nd&T-krzD-zVkooK2Hyj~ejflGg=9PyUX1 z`oF;&!KYd~$^B21he-kYqi%tul2N3TrPZ`t9~^&Wr43ulux;;Uk6TE-?=!YkDmIs0-QR4pPtZ1Pwig~jxQO!!R(VZ z;LC_TQ}&w;CvCvih>0nDj^U&Y*cfqg3ZG{U+rHAjt|G&m9l@f>6124 z26$D%{<((V29B)^j$OHKd({o3T(caxee$|(!zETeX#>8Fd^lD96^4^GU~A;pDg0`~ zuLsA*u_Y;-eC;1Mf>$ff>J^I*`C9*Xw^e@N+*ullcoQx4G)?=X#-_|PfgfIPwhVnj;#z% zzS@5d9A8GK&PyBCnm(zN1)jLB)$o+zqz%~0;MmpjUjWBO1}9(3&x7OZ=+u2R4KJHM zsgwnNVWNJo8omx3TNxa?(teGD!D;IZ{-%{r+JLXeZJ%ntcMKIyzFQ9txFejCBDmBGmu`;DEz@nOt1$@19(sJ^5P zlmR|1ZeR4oeq(oVY-RASW`AdJd>Jz*Wgk8LyJ#=QcZ=d!i~4tCPqR-dKA@k(v8(0x zc0Ocq>}vh?b9Ee(y04`XJuSZs9AClbB+Bn&_DRK;431qbe*iePGC28K{xEQS9Xm2r z|3gflw2?Bv*?q<8KiKfe;MmIG*wy~IhWNhP4nEwLG zPTGi#qSX4zRpPaSwK#ajbp zQ=YxVYVs;AKBSGr5d9>MU2UHRaBO98>}vUQ!0~Zh>b$QJJt@CwDLB4@C-(dDdkM8q zD!ycJ>?(c*IJPqQJS(5H5nsnWm5SdY!$}*lHEv@H$1n9?{KH0Z>b$mbh2f-)*eGsD z=@UcMmsnz>ICb5!agE_;fMcWh@svLK+W*c5AFMc5V(mk|+CLYZGD=eOUnBXde>FH| zfiF(zUugQIjg(dLV5`s_X+(Q%|2-(KJaq)r2U^7PAax|sd*B=&2Z92Z18gLBz~viq>b3%rPxXQ9>Yl+ zvBArnllc9HlQv?5mmnwc2Ms4}#0D<|PU6Hx+ixv6Hh6h%5`Wa<_a->8mY3@$;)9;X z?@96gQiaBzI!C0|MV=&Ah?;P@gh0ZZ6NPwkHa$CnI_p4uM^jxW57 zDrp}*wO;~`FW~1T?4zgl%fRs^gQKVRCxhb)FIP(1M^Eing5!(4d?{fcJ+)sAjxQM; zJ+)s4jxW5-Cutu&wciYmFY>aVgnjhX{v2?8$>0rUe;zo#@bZ|Xee7!gJsZ5M;)&}& zv#_h>F9OF`dHGDDeC%rZOTqCqgQKVQTLF%*yi6roK6+|@H8{S=%T^Nh(Np_t!0{!6 zqo?-I0LK?z{*kngp4z_<9AD(+APM{Esr^g9@g;*37qx!{IKJ?*i=_Q?EqfdeP)PlVI$|s$LkMfd; zMER7f{;dVaRtBeB_3ut_>XN}JSN*#O9LpITJ@xN?aO%QK6q5d-r}iHN#}|3YLc%}v z)c!-@_>#fVQ~QsC;|nhvNZLnF?LP&MFY+>ignjhX{&V2?lEI0K+J6BYU#2~jvX5Pj z-^<|FE1vAX*wyl11;bD-V}X)(^WAzNB%{6Z=hF!SRLXCnoHRp4#6T9AD%i+Hw1$ zr}le+<4XqbZuWbF;|tGCOWN;g?L#{2WyP;c*r!}=zdo)F%uh{}tNslG$5sZXT=j1RICaV3l&k)Y0;et+ z9J}h@Sa9kxxSMCVT|{Q6fH+tNyJ3$5sZXT=7pt>XN}JSNvQ4DL8e>;3eiC zX%ltfC+o@hl^IUjgss}kQut)UE5WhBZ+w&b4?9bikDgvX`6)QQ%EQnS<)7>9pZH^Ne8}L~)!!#yPup+c-hu0r ze>ZNr#L6da!dK?;WcgPZej}Lpn7aNZe{Yuii<=Ll|2zhMYsx<5>ffc;gY!4+rnNz@ zg#Xx8|K0?nF3sDf^r^ST=TUHcY)$v?+blk$O=k$+VQ9ksord27j;#z%e%Bdb_v7ay z?cn5V{2zov85w=@RsT6~%F5smnLcR~Wo2;crS{i?V=IG`ul0Wi{6?NN{d}r@o-%#X zCd!b96(#DAUuypaaBO98^40&B!KrHoCtvkn1*eP*PQK`iG*MOt&znAJ6J=%aHyytG z&*0d~;M80Che*@)K`{5FRR4J2;zQa*EO{tHvj47g_;T(AXu=i`2T0=UX?J`O8N&Sl z3x)?f%J)rq8{c2L{=59A=wA(9ZT&ZX-^ACVLEqgSJ>n(TRhxH#zrp1Rw$QHI%Xg9Z z@7kNUa(SX-&s|v1vb@Dz$6Ld1>%U@+_&LAn_#XXZa1gwGGxxXLLfp>g_y4C;2I{Tc zi*R&5XXo4e4C5emlX5~?Qk9Hj^IopLf;SPf<*C2J$@(&6p3@%MF$h*v2i@g=-hO|# z4xP~n{mI~ePOd}E2ikKK4rdD}rFv+sS^`O#aqusn@TF1HP_)J5~NMPJj8m;FNXpBT0Sn zWt%+Zvc`&h_t;2(8|H59Ge!LN>~V*4S52piVC$|DpB>8$F9rXD;oAnCejRMPjo{ne zV>o}g>feg*UEp*LI{h)|s`#EG4X+4-5AHMl7{1R{hF1l_%V&DLGe%Uu|8m2L?+3RU zzHQL?S62QoVygbv2f_MFBKkKV@NhPY(gpv$d--yh|!49C%2`Zd`dDrbB*KY;Y4 zwVitIbx5ywfB5m^vu56K>#xpnI?bmL>lN<%rVF{h=CTn*)s-V#dC2wAgO)8>JZRp+ zrp9@L8s{x-S=>12upg&Xf~Uh&c^=>zpZ%9 zYnwG_c2iRKxYXjk`ujgnl44%@k}Q!B@gEgF|A z=@9hH6^|LCj|UlDR$5%1tLzZ;$dwMImGq$;qSF(2Oi5{ZQIyp!H@R}k*wS(1guiX{ zAMQonhKG8D#=H6N0Ndv(YbF=v7A;-e+}7HfTiT&RcbBuIZDou6w}<=hiA{4F7t8;* z&sA$@iHhyclUAmV<;S6wLvlE_Ee|+Z+|nXh{I6>+S6p6IEML3ja^)>cEx)HcW@PM` z+_a)8Q%fh6=W<&Q8GP7bhYcyiTIi}MZI?r+xT=}?rbY9XEs;YDThP+doGY&?E0eJ0 z^nbD1^sp?ePJlh7g!Lh=meICgsniemm$aO)tcCwMpPeTE5%WtvX^I@cx(IDeNC?=j|Pk;&BgNzb5iX2VY_9f!K@jnpyvw`Q2MHHdpI z^HxR=>NSaSF6B3TmjywmZqfQCzP9WDUQK!uI4#hrZ?yg>z6&_>&Z=jL8S_b}qb#nW z{oTQj2mcc|b9|?|X#G}w)_-ZI7S`c?M}eGuVvy<1ot_ZBEgbt^4E{oN|Fh~-ZqJLrS%>$X3;t2cKIN)^=fR;ao&S*Xk8;(& zQ^BcA=eJY(l&k(p9jQx~ZBqVGuKM>HICbfAK+3<-;Nl;Bq=mY4i9P>D{VxLNI>G9I z_&x;wV#q0Ht-$5Uj$zX-jZsc`QUrv{{Z}dhdt5uDgRCYr(ISvZu-3s zJ|KnDt~(3@r*HOK7X;g{OO<~T{!9P7lH+i`Zi$?67~zKK0i5dIpsrls^aEZ?i9K>>`@>G1t-}5#6 ztHZzE;B!*;u`Bwk{)Rqf`E??U4{_1*e+iDSyWNl~|8{Wfw%o-*tarOVRe$ogXWm~u zkMijQyFHsKAG@Nziv3gzW%>0dET6b(`F{b&*FF0UzzHEk2(ESFQHFaeq9U8e*&B~i0A_<8O5@@EU&qbwFzTJrDjB zxWuR)d_<~!UZl+#f2;d|H-gus`akuS@wa+E@D}i8sq$aLzN|n0;UJcS=cnTH2KZ56 zA8?QkJ|k8Cx4@-+t|va=pT{okehH^FZN|33KBsrq4eYhugkPcXEMbvX7rb)7%( zOUhsM56Z_^zfOntKXLxAdK~;O;E$#J|J;6OPb$C3A2L4~KPgv!hrj$0^szOh+Hl!N zd=#ENG%i@uCcEHh+_!czzp>du9f$lV8JAY__gX}~AEMqjgFl%pS8PeWmp|j`K5R{- zFPPN5wWoY@((+Z%wK>>sE17ph1dmJW&Oz6Yg6>offyw~svSnX2#KaO;(Sbvmubz#Ztm|NnKq#)g%X6VBI|)8i7q{?f`7 zL#+q?X}v$v^9#1(Jn#F&*^1bTz)kvz2yO zbr4)iYaQQSJO^y-`o@m`x%k!_Zv7GCT%>gs$8`67(-riyYrn1Yx$OCG<2*T;{)RZ# zng95uaa?Cl&B=`}E1NWCbgsnWSOagg^SiZ~@9ZnRylwqE9mm-9w$?Uu7IB<{J^fvc z^G8DxVoMG*t^rGw;yxWl$i(LaO>}_EtfMlx85QaiNk-nKW@>u{<-sC{L*&%R8Ftm znAU!BEBFtczpboUa^C4>zU>dv{g8em`vkA|Am2F;ZO#+NYiXyYwl21(M<4TgzvDY= zelzRds-K|u{Q~oclt+7by(jt3K3~pRSK)Jq8*IK$mlq!|oYRTU@;^%dq(A>lo_{=l z5f?RDc`ZSXOEX;BVjvEtm+4+?9HPmtINKtiZWp3Q)(z2>4 zMb46z!@A(>MI2gL!$awGx9yK*d~Z4|%uZZ4Z+$P)iIp?8YRs6TsZ*Vbmh*P3oR9ea zxi=SddNN+lU=JkL2Vx!SXg}I8Wpw#ixkP30Vm|iu_?q#f5pt$F{oTf`)Pv{phMIBv zv$u+LTtA(7Ty9L&6e(fTnjrY};UM_z6OJm5Mvjv>9^kkk2+q2Q_w32|{V$2cZ#K5W z`psr8nZ0jRSm$fQeYERWddamVH-A>HY1!i3{Kgdnbec@G+w8v1#)>56Iftp9bcGv<)Q*{WN*T`n~a+@(z+P21&iZI{pI`G!ff%hjg$lTgS0 ze@C`mjyhsUvRzDP_Jv-z(>}r0QM)`$J@9AtNwVN{F&pnAXxVx>Haap-_lp#FIb~R#3z%j z{t@pNQr|x~WVUhL|Jtc z7u!cD%zIEf7V+{i-f6X8UvhkT?>p_-yRtj240?3jw3d0B8C}QuJD4_}W5+)`CnhG{ z$9#~>W!4*0@#TJQ<-Xx;`aH&YbR! zFq`?+@N;*WF4`!fvgs7Sk@ zKb4L%2fj&{9|!%C{!GM{@j{ZO;?E>$uXf^NYpC~U9Na09c0qsUJI);VCS9IS$0vs^ z+DeWA&wbv=r3SbCql32(IbuNpOLQ&Gu`?*$^Dw!H?fFU9P@oF?9d#nk9vd|` zr_Xse!rNrMdLxOq?c{LTU)Wy7>Br~s^|Yn&%mrdWmzY%nBq(>vQ2 zx%aDv4dtjlt{V#V{)HTlyBZm&90P4*r^S9T*(p+pBF)mn-ch-{-s)2=3b}Jnt5KZY`YMQuuJE zA3I;}+A8lcjI~$WLFgGTbV5_wt8FOl)pm%_);NB}>7(UrTDNVH45--$x$RTfPHp?5 z##|Cg82{#$1qy4Q{PU9Uec1^m@3-(W}*KK34W4w^0DgB_W zsDEGC-itZdssB?fq$hYiq6OM)6tPwgCIypUc&9&<5Y6>(@d5q(4ku zZN>{pno7SrP5Rv`pRMJ5mU9lVlFEJtho$Q!_A_nlI@{KGwXAK%@ID1SE+k}_-nGwA;D46{BV!U z)%Eiw=7+lj!IR_rX6k9Y(1@lI=Q||MKlRzxo?NJAq*BLC>$Z_N?>D-mJ2~?G;5yW9 z_iEYrg>e?%FF1bYxS2TT-MZEGCx^GeH`!)E>U^c`x7aaWNYZpG@}Jp)`Ry! zyL#|G=y!Uy#QTd?Cz^-uNBwcHUbsHOWBqUQ>wlyp-+X+8$NJyq*Z&kRZ}q0lMB3n+ zY;$dJbkx58aJkaHb8momRUo)~FK8EcKHv5R`Nj*4fQ*iPr#@W&{cOpySqm2}ZCpIt zkJGvI0g<*>T*~J*n}ThU=$!sv$;EmTJ6@y`DfQ{g>TaB#9$}iFXl2Y ziNvnAcH<|@iE%%-KV=!uvF($#oI6nbKfq_3|9)YY`Bm5TdaA%b??0JyqwRp}SL|!k z>-t>bvHtVYY8ACF``^^MK6kpqg>TaBhrxl#b{L5r$LrAL|ozWzm9BWjGqGy{=f2Y#(KtosiXM&O6K+db!yL> z@j=#flIBxin|l6C);)QP*PBvZ|1}c-dnJO$aWEHtOXq}WE^$yT{*yB$lhl77RbE(8 zZWapkU%77JzX0z2mxE0fayAw8Gdupz7dqyy`O-N_1$2392X&Vu^fvW;BN>|7`BhV@ zcHRWtIf>x0oo$p`IwwT)E|hN-J6HQ+wex-MpJAw+nCtgmF;v1(7ZmcN|9JiXP}YOq z?iR#s@?r|@adr#cWgP1bZGqh(-It)-vIBIi1?FE~gf4IWc)h{rqu-`pdnTu(e#Cc@ zbUtY(UY<<^_vaJyzh!Xs^Hb<+%;1k2Tzs;i7te3)Y4JzX>&x?5Ys|NFhT8sRzL@_r zX@?*BV%uLYtgl`?TMFA>*^T*PWhZg};H@h=xxn-OXU#GHUe8|K|3dcw$32F&sQ-oT z=N$JHq03vpGUrR054}yj%9HV_U-6Zs!CUbQc9H~7{rZuX>G$pzbVJTf77zW#96aCB z8EX5tE9LKs-(K*=>bLiZUvZqQho2jI6W6b8{1*Dp1+w?!Gl+ZHi!1I&q5B8NUkq(g zKMLI&9Ckk4F5NrOy}kqTICr1_RuQ_qZ5Lu#(tPyW)a$#+T;DFXEi~R2oDLn&ae(`F zk+zVe?RFse6B(Qsmn8jR0b~FCr!x3ZgKK{{3;bIa588DBYlsDw&QROGjK2%^rCk>c z^2MetmtHddmYDvKjze-?Z^2;CRgAp*uYhJZ(qXMv}Jkzra^yaQcoUZO6yJ*Jp6bBuPK3ka6v- z4E_&;D?jI^3m#1Ruq8(v2fwA<(iv*|SNhq@5(m%vV$;v)Vlwb&`dJCfAn9|1x|cXuV@N96-a_{ZM_$Pa=_r@`1Pkz~B)P|AQ=iFUKf@=I#QznC zKzCmvc-lVi8eILy?*)&=c*SQ^ykZ16@0nd8{?+#dbIws|f3b000b4~M!z+Ko>)Z=I z1haBe3VzWqEB2v1D(qrF_&Vr+*>;HfUwR2dMZ0lO>obu0|JP_N=69vrgDTkhce`|Z zL$~V=$lDLPJ&VxgO*=~8ktF(U>T`9_&!p`nX+QfLcKj$2+_$5&jU;JjNyJ5r(|06E zJ6B3SdpCoZ8eICBqy;~>c(8t|IDz_EIzwgucB1?wxo%zJi?ttpP`O}EeR*XKrM?|F zf36tk$%@8x#TN`s1@t!c9h(e_u18c%Lzbm;M4ES|{49y1>Au*`p9`w%Oog~Er0Y5N zsEM!NB-qaMY=!l6@hx=ca+Dd`f;h?Bc5N|u1@t!cJtNsCwqqW0ES)3Ld?(5miyaGm zvD)!orM07u`t{&Et#gUF>l66-LfFLdHWk#**&%cd9F>M}yL8Ra)fS=4TYI+{yaKs4 z^=+2x2VoqoMUJI&M4C$+tsf@l_+l4Fw%O7+YK3-_Co62Hysgh&hNc2~oBH0EjialO zW$7G|<`PF=7yn=Fi(MRPePn(p7Dr!%?K;m^SU=}~p}UEr*!Q{T-`tcV=Lk0DicR^701~#$g*^fNONh|7o=T(=Zm#n-_6+pi~AH@Z%gk} zq}R(UehHhMyM?)+ey&}GF3<6tQBgn#Ci8a%W4R=eYg50AlEeJ^s&Z#jD&u}-Pw0jx zf=BC{inqWlofD!t<40vDvGW~Y?AEz<014*pzA}#N10k2)7)~sQ9~W6aRWNV6RA7&@ zTj<(3-ZPZjqpRe6wBq9;ba~?+u~||Da&7AOm1I=vA3N`6iaO_@_E!c(=f&Cawz4l`#CtXsvs>v{`&8~_2)9djAap}^ zfbKBph83a9TR+`A z7NN^q|6sEup|`1jY1p6aJf!})9=d6X;IV&}Q*P;;5X~hH)`@>s_+pI%u8-Kj|s>`6`JLcfApKqny(m5fT%eeJ``1w1&SpEFb z!n*W1?LAg^;>i{nlH3zq@>`x4ljO$r%9{{#rzz8NeLt!2c{`a`CGce>A<1QuzXWmd;fo&Aa-!Qp(gXAJ<+`W&5^|hxZLfWxC`T zFhAKfTCY?x$5mRoR6f6?U;SI!`*mNedOoNvcQ;t&`ZSMM+V&3G_a)d^AGlPs-Gq+w z|H^+EN~Qa6E*;PEwms~8T?xHS16Ip({}!)eu9YPIuHu}x@>hxAQCwGb1G997+Wy7P zs{Ytn)x#HSJ>Iu=&K3W5Y-bf5Ree31X@?HtQ|WerwwIwTW~bC$lF-{U;J3-ashxH{ z6+3GNV&}(+;IW-U;kR^7h~{Fa-1o0Kz!#@>)?843vDn#@^{3o-TiK}uD%W4D2E%rU zXQQ0wN&a11URPbQsC-V&FlXtHgom|+B-Qh=C-cvrRLwNF$hT==_hf1mpH*+tIX%G3@m@Zoj0WBr1h+YeT8Q) zZjaEd;aFy9RZnP-onNYij`_c;&C#W@<(IdcIaQL-+cfaSbE$~O)jK@{> ze7g#In|AqqwteqJj-_)%noIjWBzD-zn!>;iqKp5_I<~|c;^#Uauit_FCn`<<82(@$ zckL#04|1@Ekfd}S#kJ6J{$KUefG&)KytVsZ2CstNrd=OT%Hp_w2050_5oz9q@>j*~ zbTYQ}(YTg3MB4MabG}!SjqF1Ee~FkEQt3tQ7rMW2{NB(OwO{D|&hcVE7uxUqDRe9c zs{ZQe(mKd5-w%jwNmbC>wA%s6MZOwE_Xxzy(zY1eeJR6QSGFlKaxp)2-YTQ%1ms=tuR zQa;Xm=2g`+$SJq?r=5?4-lpB}$-M7ay#QJ1yyfWhIm_zGVO*Unp{6F8`c;e>eS!VI zYhTs{)xkB4qV-`el_bwt+O+%2nfDc{mx5b5N2ED@v3ia8Yq>ABdZa>n?hw5nK)ro? zE`n{9XEXgd^p~?k=+<+rFti2d`+3`+i9Jd3K7dVo^h^#<$2sOwNgAiGLU(K;cpRr+ zq1@6LYWr90yg}?_L12@yv$`s0UtO`!XO`|qG;>UGKPT|K@!$dG=e9_lMAZ zgX3C5tMVBK<~CH#R=T{k^G61+hTf(jy{YmOXr9*m-;-?!Tp{O=`)%4U8?Vc_OX;Z ztxu-^zieoP-ljp9W!CN0&mzmxIU>!ao%7Pp&--H4^IpzWNY(}BIoy39kn7~Bzn+5a zPoB-hb2vV^cow>sIR0R0i^elCDybTJn+E+bxK3BYTqsH6xu!34mn4G6@%#>$r8Cs_ zFY|UySF!UwUu>^qx)W{fy8_Dko`AOY`pXNc-Ty=k>jRgF=MIyPbLeYi-SD9yE3n`B zN$9$BSZM`xdD9=nc1hLH+cfCS&`$$Rsru<`=w3|(_t%GNjx@NO$2Z)={I#dWA5E|S z)C{H`md+{BT>N+_eyriJ$@E*cGLi>+`9iO}OiS`TqsT?JSL-jFV6xc6x zQ#mFCbfNt=zG{T7jAOE+b2YL5&s#qcGm?bfrahaJoS#=}8cnIhSM65l`X+)${jf&* zsHJm4G?)0P6F-`{K+4pvk1v|NNEQwH{~io84|F1i)NUx2N`&=|V(xBlPRDRc`t z<{7-8ABA*_psOlEm$&}-lEG_`Ytx=DB|M)R_Lnx~SUN|fx!8M|*t^;ntGyqIy?WY= z{bKL+h4!|Kch=tPkz?r` zk>=7*?i71(@WrNm=ceuZO5fEqtu`=iTyTC=^A*^?<=KndEp*@EU=1OusNF)x`k>~P z9iU_WulZgPy4EClm1F!2-d*^tym!pEiixw@#YwX8nk4z+NDlh!#?svWjQ9F5r0)>L}B+JeO0q9*jP^^=n*f-6cs% zE=oFe%^NxHZwd97&lq~;FW|YE>#vM||83@aD+GU-2>)Gtn}y$7kv-|Wq|?q_9jD1% z`z+kw{J#cyHhpGxrhOLnAWkfuBhsAqSvZ*XS=h@LoBmV2!~I9%Z{YyXUbJ5*-R{u# zHMGUrN9p#04!=p-F5M951}Rw~UEaj+!p|E#=qI1KEbE`ckYni#wf&3F7oH;iVZygb z{quqN=fVbwVBWW7-;H2=vCmey@p9n-u%~ldVLk2qpmfJVOTU&>)NYY?BFE7?KquqF z@jE~_2|AtqI?$W9ezfzY)YGQV{8a8s1@qFvGmvBH9FgYYM|uBl;VfTl{3zqV4*HQb z$HH_@Ebbqno6T{KQB~BBLU%F8`8z;Y30?UP(A7dWzX)C4`f;nl7oy*$&wP;e<8tI! zI!C0r^w-P9k1Kq!8BZl1B^o6jb-pV)o-S;J-Ok-gUu2$FI_B<$t5j@pe~Y}!I2iLj zQ66iSg_@S7^OxPOH1PLA7lfbK`oeSZh&ehMA)gQTMI zEqWi~xVH#h-un9;gNxlZ?R7!s{qTjqMvkR(M4InJ`HSN3r+u;do9im`tjEoI6DRB0 zS>R{Za|@sIY{l&mI_B1etT7}NwO8o=!twhebgi7rb4}oN>ipUV9IUHeW6U4Q+||2< zEI$7=U$Vuk`N2mKu)SfE#rh#beb*(G*cHF+TtTk%KrJ@Sz zXV)7_$2zn2BtyGhy3?R5Ekc*K_SP9(3spt-`pb*E}m;=z-GsGVRrqXE_mLdigJ1GVq68sGS<`2B+1D)@O<~jN%Ezy za(tKPAc)_(AA9wm+7I9FTa8GmuT6VjEd4%MKh;vV+Exc=^R5rzCZE*K59Wx2MvtY` z>$$>^$a$hodtaXH5$oBA9!uwlG?#X`MA~7qFIGM8=By%l&a~&S2i#p-sC+xHPN-!J zb*Uhp@-|Mk8j4!GuHb)r{~*}^p!Qya>-8SS@|v?1kFNLB(squ{P}{#!|C^=$nfd<% zzSrpd#J-8te?>`8!{h6J4Q$^|r4`h_mAR!cNj~^ol3d2TQ49TTS8@)19>*e%OE~OW zAeHjz{qpVmJ0nx{+q8E++s_`MewNM=Y0k7!`)l#fFMP3WU+W;+mwg+3`=)M6+xdRj zpY-g-$5WxBpVdBLXbb$AxA9=->ssh-8r(ACN9Tw% zr#Z*&(IA-fB z`_R!)|0D5#-66i%`rlSa#=AbH{`L&@cJU#5 zqSYPY*$U&s*&%eyiFJn=+M;&gOGzTvropd6&sG?BdE1WIE=iuzw`rd*hkm-s zl&YWZg6{l8@Yqk6Qf}#-5Y46jS4jQS3E#w1RqCfVJ04f%+GyREQ)xxp`3HtZ=xy5P zp=`gt5m}bb5os>{`a4p;Z}?*CUt7UX9#`d^hPqold!e6Q{}#I2Ilg6Ri`s*aC5c>{ z_IWGp-;bM8ZI4%>Tb&3Vx5tB&TRJC1b7_yCi@gu|Vzu`}Sq1B`O8wa%jPc8jy?z|~ zF>Jr^Y=w5_Z9Fl@N($D<`;JTEeedg7bJSTnLv8;`d&qtNy61ec){p00wSsy-vwx}9 zFEi2kda~xI`;%uctfy-ap?itr4~DjAd*EY9b?I7W-&T3fBX8~fNMRjs>-U82u0-&- zJ>H?*(iv*|SK8xUd0v|zHktmR&uho?+&`gZec)0-eQ}w*$5_9cp^#^`ZQA$#WOuJ1 zJddd7{NB+!V$ErXdU^i8zK1Wi{lZpE#+}}NzLWYp|JTD&-`BGh^}o{X0&OotTVPM# z+JjFe3B67Gz8F0JUw@b>mHtrwd3^M0B6#Hg`k`Q!&I!>RuhbtXb{^o1)lS(*zoJ6R z%yVWZ`6uJNor7UJ#Is>1&kb-rE4$Bs{RBfJ`zhNrmd**$T-xJov3Hg)HtkX2H z?(#OCW*eG%=xy46V6vz0&-E>)H26Nu{)Z*q;`(!;z|lD&noE1hJ^}SLzSz~@Z6xXU zk-QWW_~+|?5o`^f&9oEGRb=;(tQR_#1LkWIZe3isk7WJTMwZaqw10L#&-%50| z^PMRFlGw4{7pooSd1CDu=6T|iiat+U-wOLBp54ctf3M8NozPvuvB}V?o(`U;Re4uI zcUeFeK2Mvs?R&q$h2Ez98#2!m*E8MM-|yfc??U;H#qRV3UF&0?Czc*(pC@)5uDJbQ zL(F$m*(#@l=ZWiu?hX#ShA3*k(A~pvyOF7Mq5aOELU$j>4;@`v2l?gZt$Moz5dAjo z{~vaU_xQg}sr2Ip8S6fu2p;!?Cn>jdPKf3bKY!YnKfm_HTHp8evHk`LAQL|mi~H|U z*q`<6#p6fl{>br+p)Kk^q5Ct(^8sDxzgFH+-3U%D&!X2uzw*5#S^gWItN4TA`CtBZ zes6H|9r|q=dT??^bX~4~8*O6g9FgY4Z^N$my}?iS_*tK4bB<4+Cp7O>O#Gzh;_LB2 zL-$mR`nRJv7P>whT@7u~b`ZLO9PYZB%$s35xHuNNJvjO~d1)Qwm-m;QSEZgd4ZS0o z@8h^(gelcH&e5)%9Dql0+;AXzES(dgIc{t?xPyJ^Kbq|~rg!6a!+y{PYm8#^XG4k6 zAoMm3J2vzFX#>-}qjN->OMQ-)=XCuvZ~Ddi4OQ+*M_->&(4LrboBk*J_Q%iZHk@N< z8lbmnSXpMD@rKFBvUH9}bLP8-GbG-o`eGYzu0m4vtbe`#Y$LT}Ts70GdFJX~%{H6FeU-QYyK~8QQtF7$Y_%b&!t)UO_{2W%BfBM9SZy(kn^8Eyt3hZ%q3tb~ejiKBw-BRf6 z8e+S2E1|QO6t+vp`k-O?4(Qzk-Krg+WA1LSONs)$c{6`E&?b@^u-m2sj+gi7@)o!A zpSItf(B%@r>lW6TfUTJ;1v0iG}-t~Xi zZbJ86j+>3DqV{5gqz341I^f#ixoLS8T9VrP0(4(a1ozKPH#~1}sb<5UxeqeX;*X~H zi5ngQvvh{q{$-wSct-s3b6;%zVLm`3{>bm1K6h^X5qL%BarDTz{}Ya1dNK6l^tb$q z=$KO*9y9oM>7Iq|$s%-l8xQzIlIXYTfak*gj!z_s{}y$D?#@K;)PFAZyy{2k$o z46I?W=pgVvTRikHwmLdXZZe6t55&K3`(is@$oyf(3*Jw4;U?cd@qT(0wscM_?oXln znByIzqQIXrzrLHZ?-R)S)%Z<(A*lg=n}+X|jPU(z5p|KIej5he3yI)yKih`gmd;Sy zzr@v|y|H^yKVSSw?=LK3jj?DqPhQksrTYxDtRW;7*lXia>7)vK6rsyoKVX|Ap|@%H z>B09Vq|GI%A5MX;OCq?BpG7AdT;gXbV|2sI77yZM(P;QAouRgWiH}8}lS+>9#cn*3 z@9W5>NAmu%kB^cxK4d?YMaOxz;(idilQ_m2+5&&&tsk&WlE}4b_~pqVX*}disrrGj zdC{;$@F*S@F*h%=bWVuoVyB$fFPh5cX}4t$(mwoXRf@4br;Xx zai4BA`@xv^FnH?5t>j$4Uvv@d!C+Eghl_8aTf$-25Zk3|g|5-mal3S@p<7ynE^p)H zI)h6+Z91@Pa%yybvgnJ*xz52sE^%DtM^GPyW z#@_!VgM?b!v8(wG%_8Q1N&oCE<&48`u=-}z`A0^4TBfy!e=NyClT{HN7Nz(1nBxyb${3!4VnfgA+hislsk~Z9b4)ay# z2K)W_ytN0pd!l0z^K$tIJX%zl^p~1={gjLT#a!E!y6$vzM*pu2f8(X#=-O#khW|Iz zk85j<*PxHFcBii-y(0O)qx=aeF{#VgL}vr9|M%4YePol*&L>HiOA~rqP`^JJeDML$ zAgjwY8T>Cv(v!Ac^e^~d2mdJ9IpY5tcqRBhq*U?GO78VjF74Cy8aZv>^}vk%$W{Kg z!O_+A1=&S6;HO;me+W)p`ABc9|6RksxHCAq>c5zL5p|W1&EkC*F;^VV1|7R>tz8G123^vC1u{gQN;v=dtzQ4uE7bAm@n8x@47C#sq z8GLjz#wnNhTYNb6|2_ESaePU+`uAjL(7{I_WB!qrpIl;8J`x%EcZ|i!MOFcRti{g; zM@IL9GWt(Ie-qdlA{G4bgceETZ!z`iLEJC?f|M$brT{<1*8fy!u$7Mq#`ULM+kZMZ zNcSt^_?Ea-`!57X7a#wN`QelJZDdqg^seGZNBXI^$ZtFXe(KWw>5P2rR{OSq;|o6K z7u$zj+CCe=u?&2#NIvya`@aZ|uL|(Fw*KUcv9ZOzro^P0vB1AXYeiPq;8F4!KJP4%fu&sm-cI%2z~~? zf0k)K+3U>5Y9jscDgGU3kOlwv2&Y`@_d{^%z(-YL{ol9zIe8eHfe{S`YFQ$(2k%y>$@M-;i1&*u& zoN|@_J8B&Vln}f&lx?7xFWU^UzjQ6%UxyWJ@>KJF7SNXewBZEz$W1MoG4-Q5D6veBg zmcD+2EI)Z8I@oME=HJ`m9AzN!ULN4P|O#LWV`{#h;D>j9U?WbJ$F(GM0muwmt)$d}wn>0rJ z_*KT6#ug4_72wEK|9=dA68QO<_HVTM$s4IFn;ypck*oHt0!P-sEg8Jk>L+icj^MOt z(7y0#{b)a_V*yUN%D)brIt+XbJ2<*_nV;dO-g3U#v@7y|1%7Kho;Ff1weJr2@dcap z#s2-Ktv`7qHp*sxk$u!l{dYGwvI_9;+4{c%j;*_n%G4jd7wsbwP1^O!q?`O|&)e|< zxmv&b;lB?4$20Z2*Xk#4q>kWau&4a+Y5g7oM^*t&xypY6oVu{tTx|cNmY=*49kLl+ zBp*JN{}ec~3UJC*{&V2eg-zXJ`OjE>@@0*sNyis)Bli`2I z;^d9UVzaNJR@`_@9sapOmYAhJxeEJ#L8oBmGkO@s0H1Js!&NQ*Z6R zZ^C~~k__58?!RKU+P4ZEUko}t9zUCgSpOUd{yuo-e7}i$$^3j3*YFw-ReWq@AADN> z8tR9wgO+CM50AuO6S>rd&8=epA7Sy);K*WAs2HbQ=O466TMT+Fp1+zXSNX>q9c-2q z^HVPT%@yG2lFgK&`k!R^$(zu{rb03Qc#D%aA&bp&Vtk^-$(xYD<}xup+2Z6)$Y3*; z7@uZw@+M@k8AyzmS)9BH8Ei%oP`V~Fu{El%Eq3^o~v@$)TC-h>QZo{#Z4 z7AJ2)1}~4t_{A0{Z$btyJI6SF*Y+WALIyAA#(0gz>%oz+*OM8Xa*eN7z=wjjXX2~T z@{>2AgO@^M`7IVFZ$cI?QO0&ere=$zE_OENe2PwWsLTb;O z`Kf7>f7AF^3ysQ=TZ?!mi6Eb*ND#odo`u{p`WbkrP zj8m@lzY!c;2j7_)A1GJ;Z-S$Pmw{q_%9a0n;OH9smkj@{mY=){UA$xy^TVg|?*K;@ zFUQ0<TK;#y(ZxgKas6Jg^V93#{gY%! z=6*;M<=TJW2d@Fo+z)Ab)9^Rr^d@u-$=nZVLa)qk&Aq{Q1<%|MX+o~r_c1uO@X&Z% zKgvaaa~E*xIwUiGh+g4urtYGPhp;1l;gk8dxjQ(v?DvCs{*`i-KNOt0?3bDUq~6Lu z8X4%?@7fGMdey#@;KvvH<>UFg8Jo3!*q0k^p9uiJS?a<156wSz0`^WMdU+w>gczkY#PwPJ(oI3Jw zY^?tXE1z8IAP>(*^6{JMp9qeu0vx^S-{Zk)i_boo@h|0CziHsu^4ZM&l;)ExKi8_7 z(e>HeGW^tA+lO;kY0J;Pk!c_5rS_G9;|m@_jqRIk>rdW{jq;FcWFPfX`(}Y7s{lu? z)}L!x&Dc8hvW$P>Q~ei%Q&%3^jP;*u<&!s42YIM7(tp0ixpvTutO9%v_CE^N%K0Jv zVAw(N{nBRi>iBUD@%cya(ed~p>n^Qd4KlEWhdATxe*%ba=_CW z`S7Xy>%gfC4`uaDNbPysKWP`0|4ne}A`fjv_;v8h_3NS*dh-?*8&{5%6|tqx`t2A*hjhOm(+Y6_~{w_$QAxJ?m{=C zOCCBB+V;G)AG<`qq-N?`fZuQJe-s>F4d0xx{~>UhAIO_qz%%Q=X806;0vuU91QW*( z<>KFe4gsew!`n0RxrQ$MZQSJ&9Xupr_~rQ(`+P+6(^Q_+46S``_~aSmb2FySoIXyT zjgaK+{XKL~nKJo%(3zR>igB*eV$3ybwbHUeS`Wx5m1#g=G zj;uqkigB@}N1pzA0?&7FAMwy%rua@+s&m#hp@NS2OKR7a^zR}5n{$D|mvk1?rU38p zb&JzNbJwEdWN5l2$=8x@-G#p|HjDfvJ&`pDTt@otNvFG#?kayT>ZCY5Xc(jL>a$~f z2)Yy>m?X#C0RDv--w)p_zB}cl)fe(7kUxhyDgGJa?Pp37;lmbLd>?XRZuKe9^h!EC zk@V8~9Yg#n|Ni8cfS(%U1E*O003&-f{}=w3EdN|q&dPtV!S!$dq|=AizjJvmPw~S8 zynE95aO)o_6+BE!MkbxlP5PPdH@`Kz>7vE+sz%h+ Pq;o%oU*xpJLyqY98e~odVJ}uvJ>Xct0+HqL_=Neh>B{S zFCKA0MLpYzj5u=4;YW<9npa&nvV2b6hzoJUMO6(WCLY!}c1&)}=)-DeA67B1s-f{P zDaAV@E}A{tkRMiFF?YmKhmVAPUe)Z1hB@Wpz&Yh}#ev&~w6||Ntb49x%8W_5iMdXl z`kJ3J%Epf`Jta4>Q_?>-VcfXfX(h9AC6gyjn35~&l=R6>8iiKR(;s-;#7R?1)1sd8 z^`G&RPB}&Bd!_%$bxL~VQsF)QZ-9Qeva_a@=4$F|=ggZsH&@@OQ*TpJH?O%|{@cg= zck%3tFQ}FO_sh*v<5RW0b2%9rr<9hB%wbHgT<#Q}AeJKj*FBe;FlFWh`Pnm#~i%K4wEv*F}FBA#bLc}-#7z{GFS(ZS=?}!~%Z#Nzx8t+W?VX`x44Qiv&+9U7?(>X1 zy-t4`2{_L8BfIzOBfrL{L~1T^Kp`_ z8P79{{TWHsKKkK{e9xs}UPb-9s$BKlxx6w|J$J}~5}St(Ij!`xlS`)`Wai;_w9FJ8 zYUH)&t&YdBe=6fn8P5s6#dC5!({-oT;YsjW;A6or1K%&5rxa(-@6UX+<}7gh-{qKe z-J|%k;0wSRKgxFlKR10&QE}Q=+vzp%2f$l0{4at_d($4}w}XG#wy&%IOW+bW{|3Jv z{H}B!SNVSj7r(y;{!j2{(s^8Q^!CTDB_Dy)7G2)X$Vac#uVpec*wXd!O#RR+^=ml^ z99z2nJ;RS)sb9-zaBSH*Sf{9d^h*6&t^voEorkA!Ab1PSCG~4r1&%E{PcZT+*5ul; zr3-Y#%9{1qQ3Bm>V?VSIHT{19z8M_3%tgILfam9b{b|cJ=qjJYbi7k+XA5(Ff99>0 zUBPF94~hLK<^8GOnybK12cHthNy{L^zvfD0psV}C8Gd}&{~fS(@Kev8yW2R=W4HKW z2>5@=H-Y~W{E&=&L%HYM4g6B@UxQCf*L%u;Aou|AE5LsXULLno%c0+?}zX z@_xgS{~z?<2L5D5KXQeCO}WwK=OL#bzp4JM;M8@<=QH|Sz#G6?IcSR^7iRoR`QG?; zZCCXF1iU#Df2$1tnhW4Zm!IF9{&nD&Q9oi+#=1kQGWKl(e+Qf~RQl>+!!!NwQto~m9hUuaN1|>LHOs-!MyG4|0eqX3Vs;)%izDv*oRy_-@BLdwSO=lcge`7 zUZQ^ueP70EKVLifcbNLGIUO8Zk9;^&|GVw^CV4aGM3A&GsfkANRtqgzw^1XCGL$}f{zo=CdS zN&1@enYSx1n0@iQs`3#RRMpMX(;1yNgi`pz)be!F{q3Z;;d_Ur--w(p{0tZK4feaV zy2|`&VO}ga4>@A)bJc%*Z+mc^W@&d*f2o(guk$Xa57Q@2nZSvateclI#tbF)%{B(S~!#9ZdxE7z$pOW9${@xLv^lQgA7`~zK-AP|N5x!S!oM!n# zd-gXxtM|6{$i61CEA`VXYR|rbe8z{RM|f+J-MZN4bo9MS;k%%&9Nx%ZTn7-I)k6aL z^B_Wu%0DEKe-yOG2lB`4fc#?(&uZ+}_KRfVaET?_+sfS4*E(#q7N*+h{jlYwujW- z4=c+nXJ1?^g|d$b-^Cib--=HA@L&73wv;2k53G!@OV1ZdlRAuZM^_H*c{@NiAG!;R z(B+L!mP%ujM88c`@IZaPOk#KGC8kv08@-%+5CT*~^#rJ{Zjx^*0_hH|@fo1t4>gf4IWf~}I4BG;x)Q|x=k z>KD$FC8=M22whDgct z!?V-B(%**P#+A_B!f}Jaw@ddu=$M0*KPxY9{erEMmLk`tPHU1A(|Gx^77Un z*eFT9BV<#jcG*vk`BeO|Y?vt(e=L*!_UAV z3=w-{E#3brYY)c#WqYLcjr6g&TQ-DtS+=JyHtnMGt!+B@F=EF^U!2-u=dU-r zV+XH+uV7(F@^-N9Sa!5$D;i(RgpT#YveAaNs2yh-Ti}SUN|fx!5sX z?D)JdPVKPs&6_=Be;<9XU5LCLC&M<)vlX{P=w@=9W@wArQDg9B(A(7cO4+9%jH?Tg zW9b}`=A9{DAa)S!HmM!D{xds1vj1tvcANv-JjYeMzb_Ly&Qq4nHMB+TSZ{Elx2f|Z z$)T|wOORvf9FgW?$11TSJO4}nu=CuTMfwN5XW7bBT0#BnxV22^)^aR2v;}l|+dmj% zBniDuoj;cTk+(SGizFS7IFDTVk-R#-b2L6L+X}y>Gt~C4*m=F!`4wNBwpX2gBGK)q z*nhl6`{=xy5R#B6)rjvPzph%~2bFZ;nT`Rs@< zRy$w_;PErZ^so$A@=)%*P^Q!0L6&gjovuoJKR#eRQ; za!cogXfE}AT>SnEU+nvPMLE$e_3AlHhFSHy{NKgR{jf3ryHvFP?S^96{f;u(>561< z9RGhnmZkGl@WA0v- zH+X?P&Tf$>^Y6<==vu*KY@l)yLn}CkkhWU^&p#MeS2BjLoK4yN8aD?(cW)wi6gSKJQZGyAglLZJFhH|@fL!cW}gf4H!spZ%zDe!lfo#gvgd5f1S ztmD}7Nzm<-2p;?8NXjjpp|*d;FDHp#M)~5vFFIgT!oDj`BQ+}TKWvm71YnQtI#!ZEHsn_ba`tBZ6HbH+SKKK z*?&E6@vWv*+lz6USnk5BM{#>?pxn|qA)1Sw@?F8@n|!g_`62U|nT~0%KBazMpv`=H zwZX=?=Tbraot;AWC5|nIvVbmc?YQ0G%b~Za%Re*gz~wg}$I>|>&BYGc2XOgKzBskR z?5tcg?pP-*|F&l<-VcTDyBs$g+M;$mX7J_E+thWxtR43v$I>|>&Bcy~#g6-YvA3hX zTDlMQ>v$cw{7%?@=GltdA#{&&+;3=$+F|E>k!w@edBHkx`9Dpm#@$=UTb^{iB^!6o zQ*P;;5Y2a@{1vhD55CyghkpLD$V4eWpR?8WUBy1#M!$*7@CUgLPF2wmRBDYikV3{q#T7VOt>2*-LqA4-RxnDwJ!ki!B#A)(5RS z7olrq&2ts&oA03KG`_8fJQ=H2KEZttxo8UAbaP@ z$&_gQ*?I_eSh`5R`2AS%`(eIV{r>TV<|03(pLG4TbtvrVa-gU`m2NDwM;KMb{H}DL zgYKvzba@kx5@V8t-lm;@WcR<5>qo5@m{N_$a(vHwJ>XG1woXauoDj{$FXxJ1ruky^ z%SRW^t~RHo*x9FCE;4quSEXItwN8L-re`be51~7oW4fU&>JOpIaoFn_1$24q4{Veq z`fb|z-!kv#Exyc@sy|jj$9qiRu|H}kw{%X3=8Vs+P2vwaqD|Hx&E>UbtsvvGcLp@x zAM;>a?6`{ew^pHR;jn9nqIObuNkVT^x8cb?shwAtQnmB9(3K~G$98U}+|oHAns=i7 zl3}vdu`gCT|I0&Ww#3`D2HG!rvch)GTfg6CXj-ASsoUgaK-@pShAd0xh%^_!e^dN^ zy)QO?pI0@fyiv=Ft;bro!uAc%R#-nf9xB}}(B5EZi~9Z71{Zppy3I}E?>V;KjT}qo zh&1m)`4eJCdhuKBkn8rd&4nJmQ@py9zoC)d1oQsB9rpWEsRi|P_6Xg>96vF%+ofYI z()!>I&^-y=BSq-)ww;MJNv-I&soOnCX&RUBm{N_)_n}*v2p-2}o^ng)glI0~(Hr8w zzxZPBKesObg!_lBtVvq!wR;g#)GtCO!)j$vZQKEBx4QCDB{3D-ea>;~Is zJzG&bl`?=Dc4DGRoa=UcQi7QSlLYKGgN9;)wxiVG1ru8 zoPM7;eLoRAj?-E2TRJC1b7|iT#4k+vHmP6U)%!r^xr-v#>sOo(Th4J6@3%@f2ikKD zZGk`X*3L$Q3%yM}yU2a(N7LsRRxCu0rE^4@GcB&D|W38brYR8oZ7r8cZMss93&T*a~N%v!BY+W=v5j>8c>(FEAl-T_d zJHI7%e%+NAzsvoeD&u(BZ&(|~_9@>ESHX6(XDe=}(A~;$qoFNoC$TR{&V6j^)s!5N z+WBWws{Q0u=uS%nkL_e`USa8+5Y1)$kafa}pZa3cPozD?Q2CDGE{q>(d%7XS+xtV< zANK6U?G?JmI36^#1@^jeM(CLTS3FXLt~E(spP3{xnCHp{a^IN>joqEHO3n#6FDe_s zUh1XfXQXxdG=H_{ZNDa_B(0#nHuZYc?*A_5Ln~+tNfLi6NAv$H6Tx-=cfnV7Gq|+d z%3k2#w|F=%ur_gYhT8t6-Bxy%c6-+s`|(Zh3(0r(`jqQ8MC{da-(FI;k33uP_L4e6 zwgNv(D%xH`*A=?|7NN@kh(-9G0P9{C(Do}UV9q})S$oJ<>@F3vTj_>EySJg-F5N-U?Y9GT z%!MltC_86? zj&e(9q}jg`cXB^}WvMULxRY_Q!rea`Xs@S*qhsLT<6-~2XD=F8dS8pn0V^jO+M@my zd5i}uPb)%~w|>J`Ng~&#euI<4{W!WZXG+yC{9ZXW5j^$VvH+)JtUM>_cUsnO%&99a zol~N@_)X5|SEdgu27a^maX!Isvth4Ir55#v&~g61vf9vYm#zi6`XY3B>o*w$NOF(S zrha9i-@a~2)o>K}fuT$u=-#??as z&h_$@-$?qiWl`+EJ1MtxPKoB7DgUYX?=D~L6bk6_)*sj)Nv_k{)c=fNy|OY`uUxSgbl*+{kL`V$@{ZOkV(%Zt-rqXe z)R*Vn^*&NLSJR~adf!h6AN{{wSIBkZmB06F#qAWj7df6av_#G-ES)3LTK_M=j84ll z^`~6*p9zj+9wLtQv(`|4=Jqyp$V0}Fe#&*9imBNDb_Y1+%0B~sbQSPZuKac2=qkXe zm-3$jj;>CRWa>}3*8d`KYR1F9as6|apS%rS@-T2z|JfENZ$nlAj$GBh02~>eKFH{& zT=iFjQ&%3YjrCJ5{Hs_~wxL5FzK!%_xAHFmM;8y1#{7$|f5_YLr95mJ@x!P7+X#-V z0(`lZPu@mdc*rxB-)3?0He~VeWQ?!1IC&c~cqlQ((W~{p92^-u>=)yg*!q#TVS_vj z7}Xy>t^W<+$ST0UY~_=;QHPyQ%*emm;^b|};-R-#|JN)|-i8bwDvNRSYW;5kM+Of! z#rVy(e&lV~AP+x9^@mUE{{wJj72w~s^2yt%0}lzs^5IkcKL$rumy`!o0>7AJ2*1`k!l{Eu7wNpNKFutJPe zF8kQu%AC2F@uBM(Nw3I%)LZTU1N`XVA%U3xS!*A88$OVS2qJ#?)czO2kyU_GuJZp4 zPF=W}9?O5p@{_lrLvFT5^8aq}_rQ@=fWKz;30lRPyZJA?H{UgVZ&k$|=#~1f>W+SF<)(C8|Br0_$lI_1e2UipIWs@5;y>aa z$=i_SaG{ap=FwGMEZq^%AsZLuHt&FTBMV&|&fww~l|*u+iZsGh4> z6Q~{JVhcA-V|-VOlZz~FHpciMi<65iZvMqM^-}rFuB(v2O|=*wV(UK<99z3xk*WVs z@T{|f-gLocTMY-xf2^rLpn^LiU{Hpw;!O8RqpJX?Udlfn99`YNn5jSITK~!5*vieLxc>M}_$94Em)u;E z-|cxDXYgr!TntWI^yriE-!vF3?IT|`R`F;YSOuTj ze?B;}=OA`UjUA*0=&Z7e;2s;>Q5Q_ z(X0KOF|LgKbN@E`ZdCjE!<3q>qoi9=PK|a;P++Zw^;sGaCC9;Kjx=g_A^_x z3H^*|y}D=gBUkmWg9cq(RFC;7SN)8!QrBMRW%MIg`7Z@W7ZjFkn~?hU zO5d;7@#_}$lxJLX30%&q&G`uD5At5>s+$eE3flHO=cljbax-U?PR~s)Ik|LlZrb$H zQzp&I5hTKwxApoid^c*5{_%1hPJMUVWji?Wq<;M|a_|8c{bIW)*M9di@Qc}FqI;%Y zeroy2SD}lGUok&?D*thCWO0!v#)(&z{~|bb>32y+K71P2PlD42`dyc4SISlXAHb;t z7fE9IPaFQP;DS}?>c4x2pLmhDyZSJ2Vw61;`iQr@f03(kMNCU<_wStHr{1ccxp5VB z<)TEapK`J9D_y|xMgR2~`N&oN*TB)m#ekTfa?$^+j0@CtKt)FX8R0Aii#hvx&%A% z1t;mTA9kgGKMKw@vF29r6XNr9>GyjbXyos8IQYhR9l3gMGd>8#D#nt5UysL!)kC-r zu&?2lzq`I;->c7?e!6-n_#r9I_ba-||Ga(ZUV3zZ&&tR@6nuPuZ_eOHu;%%j!RHXm zy9fK%sDF;7{)~%jkEA{iflspb1#z(2>R%15UQc}|mzz4RY|?3y&MvjXPFmj+@cHPp zKD!6|W{EyYJ@QE+Kbwh*A+u9|%l?mAr&HKRp>vX4gKxzfjduS>*>6zsQ^7xj42h#& zNkWtK5Puy*{S{{=x`a{mwp@(M{)&oE2j3t0Lk#|P%ij&1il2cjWM4B4yl;}+lk`>j zvj3#wXTwhvU4w7M+uMTuCl&t!IPrds_#wcD5Q~am2#(xq#>TkpKdJa!@PXjR$M~GJ z7N1W!>6(-H6Uf)SP$cH7@Xg~wK?-o$qfz8XsdqA@Ea~0D?QgRBQsf?JC{{0F{^4h| z&*G?)&pP!N?;JO()AhIfnsHCkYVy_V&2Qb`Enk=;nnC zPo1PD5)Z3uO`S$doRG#Y#-2gxbv7vzn$=&DUnZ^QlfXwL-FC9q{rtu;B2SXif7Sff z|9>Uv%qN4)zA53%)i3p6#CLbVcW1Kq7yLdtvLDXe@(b!4YRl{FzA|}3tMy}kb!qjz z$v!=jUdA8)5x%(7$?4vPFSyQYD6-yLJfmvX`PSTbs(uczI= zG&Rrf+q3p`;(8+VFLTfj3gUWn;r^krC(;_L?EkC%L*;#X*&l56FH@^!UmDp5b?pYm z>&*U=5gqfL?18fue!s7m$ZN>Rdk1+p82NtxEukBpq5A;3+bAQ??l0-|u3^lQ{cmJ% zNlAWx$v4ga-QWLzU(`;W{uleAiqOoysB9-|ivF+ei~0%siMn`^cv!>wW$7T4D$mE~qexj;lChnV6va6;+%Vg`-v)E4o<&Z!_m?T9_%No_&jjdLu;DAYrup3 zL=~?Dr=PD`3VuF#u%D>n3&D>8UjcqAc(9+S;^@_V_E@{io}J--qKcze>-Sq^U`x24 zsN(3=`uzeNTe=4Oi7Jj>t=~_;v1R9AKT*ZeEA?AD3>;hhexi9B1M9&r1MdTlEj!!& zMB5#{8Qji8EeUwAkEqIrSN0Ko_6qFl!m={hM^y1E!D*Lgw}P`~3ilCJ{3`Gl(EU~L zUBQQ>=K+dSF8hc|l08SmeMA+%-ta&BEo7i8+(%ULo4^?}*A9Sx9p@~;KB9`lC;J$# z-3^@eR=AI-;2 zBdR!j68~#E!A~CUBdYidM!rZ88^V1=6~}HJU;YVxdJ^p;s`$&`;-6PJ@MXAA5lpy z74bfziX&I}C9Of1UvK1%e({^=U%MYTbsZAyBP#r|KdAb*2RLmJ?jx!=<+6{cq?Q)& zU>{M%`xt(~MVDX4uY>*IYy72gva8x_AP-bYmN!L&L3 zPw{WX`-m!z-O~RQzb)QJRPkZR7yleX{m>KcBdYj8;9zTy0$%~XDjt7ie^6PkNou(+ zSfAys{YRqzuY!W#2p;Sss`8Pm*8xhQr~iigh$@b5(Jx8H=x`rV#Xo22uQ;}b`-m!D zV)qFpZ@D|(M^th2%08mco`-y7h5LvqKFQYaG;nMQ_YqZ`^1(G)y`<&-cpp*0C5e4k zZG<0Pqgzw|38pd_A6!~HbI0}(b+SF*xu3j`=w*yEa&6-p>a$jki#Z?ZlsV5Hd37$g z^{bcMIpq3VUjK=ae$`s)xy}6kzp;;KL)AP^FzxwXa6M>klc~4VN6)|it*75Jr%Ylu ze{*?I_m^C|2)dl9@7fjow&RnQ>|?KYzG-mxSI>9wjpt)7l=B=p=lT1)P}OXQ5BZ+& z5`J@DFXwxj*4TYzv-(22m=}ddlAd3Gd`fQW=k5QW17rN~@~`Ii*9|6rho5KfYsvFt z?MHY(pNs2C`yK-Qbt#XLKRuTJ)j&cw$H+f3me084>;D6O z+qJX^8@?ozKeA)_^jpVc{|P~K+m7~{ei*c?{GF7mR$W9+7-s*-%=ja&(JeawXFz$k$h_D6wZ>t#pcS zHtpBzP7m~)3y&Q;MCd`^3;T7)UJs8#i@I+4QM0^r<~u|8V2fx_R&{ z^wmxd`eSGhi#MAhd$063nseWsO6D4oHbC?iYt ztPb>C3eVO+&-r2djP*dI=gO2P^_%F)c}1${i-8{I?sa#0amm4(Z2y((U>Zl6dWPfQ z4JohQ-+x`>d)oA=<4R}Dm^yui#KkGrzSbmJ&%CmpF={>I$9l%zP4wsW^s!Bsb9{~C zRu1O&E$hi20edS+Hpt-lDI~S~y1?#xsr$pJ;6rBG?@MaD9OHq=zsysPr(=7kPMOS@ zB}w(&7wCHo-rpEZ{vNU-(6{YKzwnCmu`X~t+MaKVf2U34mXS7!$Wc8{1bUu@=S4wG zI^;IF69}Eywe3hhAV+#wM>rnU^QP!2IV04hdSv~;8bs2%zj82NyL5>Ae!bD-2gFFv zt0|A_(ed!K)5D=k^~n0c=^+Nz1?!JPhX&)vwj=$380mR8<%#r6n?7l3IPU&C(8K({ zKA8Uxofhfw17fIWJ@dcgQ9U|NO)r}?c9>Uq%vz}Ra{VvXHe21_lm@l^-=?BC} z5A(m{Q9WEN3 zT>Akr(t~!#leQ1{|9J$-t(?>zTG#725uOQwo^U<0?MOc`M0%LN9gphKep+_+l#6R13k0Cemb(l@nHSwDUXgn|I~guzG&8F&3@= zF=P0b7{h09oX`IsC1+0G)XeX+3jasH)Ss+jynTz19nAlSeJ|-D3aot{jz{a6{~b>n zho_ZHo5n&zPKv0%>S+x0F#oR)=KsU~7{=kqQO>9+KA6KCkLuBN2A_wUJT+bKN_+(K z|N2Y$|5hh0Ne=HGtTVQa?07t4{&zg8N5=`PCpW!h%7jv55AEdr#{9qj8-bqDVgDW3 z@p#1b1IMFr_?pB8dZtc4i>LagmX(ex<9mrJRQ>n0K;JF!-Vx|KKiN(GXWOacap`+0 zPa5~9vBr?4&9sskGx(N@6{-4e3-sLsFY~rbhi{7FzT@$Un07qs$A5`^GI>m&RK|*p zRp?aJL!5g*{v00WYnKkcI~gdytzBbXc@!6qr#$I;VtQ%GWErDavrU*%GMN~Zr26Pb zUf(nDGH<(d_+P{I#K?}vspnH3wM)k-rjU|p6Q@p>Xt#QP7wGvjJpXW7l4L~xaGV;| z@i@i&?ReC#zl;B7Oe~p>>ui`zJ^56kWQMVewV=1_4S3%V^c|noYE=^UI9( z)+E_{JqP3A<_{PT=}DWf;2^d(vu@hL+_tXKN&WP5v2z1ronJRFXK&a))idG>`}~^m zYlq{Od?Lm1r2U|THPmFTn3|=O>e)NcgIya&1bXfd`@yKu4vGADXv(8{%=HbwRudl8 zb5NiMNgF=rq!E{|hvVa@4#%wx^a{tLaqjAC@UHF`P^xf%j=lA?WXI~va+*s>55MEuzv7%t$}AtpvQgRT;pSOhvU`;))9^;jeBd5^Mmwb)(>9K z<^2DeRL_y_e!kJ;$E(PHTT>qOpN_}Ye!oKGqH!UJmpHAZl zk2S=Gf2aCJo)YQncwA#G;CMRLH?DM&ZBVt3w)6JAPrEWdyEL-a>XY|S3j7q*JGj2J zp*@vR5Xbf^mtEEv|9+h6-`E}A0Zu#jb$<}WafjpT#y%-efxa0fr^Hd`-wyRyKzvUZz%r{=HF4h z!g2hl4#(Av%-@bD(l_nQLVd0DO}w;G&aE3cI5%3)dCU5*aj?GMRLc5^b>_Mtrkw4c zMy~cA5ZKH7zcHBqM;#s6d!*ADj=LL~{~b>{|4*JefqQOlCQ&`X{J)X;f8$wBT9S;K z9nSwpbvV9nq=P#i9slydI557HZ%xh|S2lHeZcL$Y!RM5z+AlVqALwEJ-&p6QVc(C#^Ub41 zJ0#Lmlk%t@J#Q%~E1fl|%tfgBF_`x^5;GfHoiz0PJv?tYs>AVgpGi_ZT*vT!BQ7^?HkkY!y-RSNe%nzUj-wklq&%ueuY;aEE_ZUt4Ayt-yIDT&Ya>|qX4L##0ofi4+ia-zjYvav(wqk43` zgq|7WN+w%_)E?Fn-fy?UbBB|L-@Y7PM>(qF@rwD<@u(h+H_>ChrYeJ#>iJHf=N@<- z4D|dgjJGizk5inZIUXIS^g7!(xmuH(Q93!ex?$tt$AP{_;LQj6-U!#zV>%uuo=ADp zadF(#$&+(umQI>5vCOY-(bvkD$GP0P;qah$BWtCNe`lU#4B6C`vGH8~KZt{|Ytuju z=7dd$ruF$h{iXgzr*FrXksk;>YOioy9Mkc5#ah7eXglip-neNq<*dlcQaiC}ot!VQ z9^JrNVm+gSTtnT=8gUCT*Y76Y<-3La9G(%g{nJ%t<6+QV06($3ff(5I3Vi&313uc) zkK|?Lu8NF7=X>zUCwlRL(bEw*nzrFqcMRqTT@{ZsHdmb9BBQ~)Xa5QP$ zmd=_sJvW8XS-YahmG*OTH}&WLw4+N$)kSgJ;W)Wzx0EN^+;pS;L*l~g84S-b zr-k}|Ez;BBIJs&6lqYS!NmI{og9_r*9%9eyIT#)v{pfi-JYOHv;W)WzM9QP{(EBp4 zO`3KF)GD92_VOv)bV6Xqd%^QC+s1S_K5jZL1Uu`ioCWfD(VWUd3t+Q!?(9KGo*Czbb6r$*z+F%F6JossgW zT{^!^FF7+egCVSxt8UhR{P231|2JLaq@ia?IKLd-;W)X8`QP!VUAnH8s}9^?Ig|4a zdDR<@Uf=nFz6yBj0)01!=L1LkNQvxPnDV68+oqRJpet!SR0Z-Ip=}N1KOJ80I=aJg zX%q9m<59oqI%9fiZv4z?F0@roF#m5_4UZelP&cMMoG*_aQ`>65iK1y5n)+ODRtve_F|zQ;ht}1NmQt_NGAonUVaC`~TNd zp43k>rm?Jb&299smhkcQZFqhV=xK}mMDH~z@)P5{<4OC?jA>J+myD;|u#*7KYB;{< zpuIKFcQ^mP--2XEe>dzmM|V6P{3PW``^}6Qx#^|TCffke_Wx0!=V5q$8R+>#)NjT* zB(m$Vlqb-07Wadux=!P{+jY=JN+#<|Hw3#%mT`WjPMk@0;4mQ}v(v zFSQqaJ}&>ux}a;SW^68Db;bCo*ImYTI1X*@obsq&b>5K03lBNYFtSt+wm3bTv2*i) zK+nc--Wc2Ac(j>yisMQ9aoO~eappeW=H7w)-J#t#kbhU$kH>a64sG5m<)|Rt zS9(V26n$WmL0qJYJ(~vw`iAm<)>tl$eJQ-II<~`cX*1{ljz{&qqH!YjSbct(V)O;) z-<$E!=3|{U-gEDlP#fP)9gb6*>E(_`+eyzCjD7CPnA$~ceLI~9&xAnFap8JsY=`62 zX4a{WNA>7)AVv>o`zlQJlmvPv!&4UMxhQ-NWNe4y)8^Ato}fRg|D5Mk&y+w9YlqDX z13i~V{dufI!trS{YXQfT^_#q}uIE{;&=7wT6PszL&G>LL_H9P@X4d1I8|lYO$k#Xx zpKh*pqQ|$}B6KZJbsY24sNFgoA2&<=EKfEbb)Op33G^D6>cb|lZxy_o1AVWB@pw#! z2oH)jrk{-j6?qmpR*|V{ftNt2?6ifs|ME>UDvzo+nRoLx<|U zFVM@JzxipWm2=L&hwG7JIv$^&OnCx*fnPKFo(S|k2k%R%zTeuHyVn4eYsJ(v-^fR|_eml@V%|7Sx|3?2Csf=_S!rzmpjTtTBJ7%=$C$9&3-{agq zRht~QIUEO$VU#i{8t+(-I3BfA=ZQ1MgnB%m`1ONS-xk&mTlRHmk{oxB)hF>}`kD6i`kC{$FwePk{MHWoQGpcv_W#g!9q?UM z*Z+ngBP47YibfPgi!v3ch=k;aq=p2OP{3Ay1PBminSf}m3ROG)4t53*NFeN8tHo7E zYt_1~wpy!3>%djPqHOa2o^#J#zx)E)>iy*X-pf7v+4_0?mBGO{q)92KL0wL+-iD(Xbp|cfg`{BsEy4r~Bfq9L(AG z;N0DmOYZQ_r;qmTWfG9Uo^nUS{gBsd;$I+VyGlHHt3ivkR#Pt7>8;m5o=HFgdB`IS z4a?*6LfuZre^I&Jf#o5Ou=ec$?Jgq?etgS2FM~Xjhy?QPb2RKn&cn65+~Fgb8OuTb zVCCSP|DM;JoWae!JREYmfPr;|%s$ zIUj-M3r|jd*e@>>P#_2Mx1ovkr+FHO?ScQS9Qg2_Bc2?8o`*dqKm~FzZyOqx!*Q?= zo^VUeiQ+t$dI-z;+R2%pfVOMq$$7wwgOFnalrLxgNsfl)@cIDcM2BW%rE6X!XMRIZ zPBQ*K*^~2uw>|(lCO`#p+BzDR!`D$rPI~0W!iwdz^5mQXnlqi8OIv&E@Q`ByR3InC z(XbqjPko}pvUBo`D3;U3lhYkELp(W`1pUB1@Dj*5-_g{OlQSxdxY4*Gzs-kD^C53O za*6quVavldjxfI8!gfNY>4)<%SI?)8BjwV<(9U>qasH1S4cjUG5R0d{UW#{Bf)~qy zT~PMl|G`>3t*(Dp3HoB!wk3N+sQKP2Mi z8L%r}T$+!0z|gQ9o=>zKxyxP4@#g>eOF*+i+e*Jft_$LM@3?UZ^S`0-`yKj2lvb-Q zH-0Pe{DyP(`44z2HRuUp2M2(IIJC= zitSu%#$ir{@8pQv4Ki*2$GQ6aCmrh{&jj(;KKK*#|35k!e|(J^sn=)89?SnPz;pdm{}&w%`;qgRK2b9#5;wL3KC^QE4w`qJoYXUde8xWL6Uh0eqp5TMWKQQzQ2cPwt1{vB#4;!n=R*XzxC8y%^RQuFSuhFP2Bj9BgsmO6uGo5^?lWxn;rJ4x$^W)zJ0ain*9DmK7a)$BGIWsF zU+a1A!UA+ALlfGWk%gN`m=)y-Es_5=hu+O^#CuF$!#y6br`c2ZAAP1lA$Y*w3+^$J z!+j^rhi9W*n_~=qg?4D(8T-5NuAb}hJqOS=dgdjFMGjH9gUMSGBs~_ zO#ehbv2rGYW~wLWF<*`wHGv%RxzKoWGDb$CLq`qs>_K0&axmvFz}#)h(9e817nW|=qy~yrJKdl@*-XyzM$BXhdF-%=5SNeI(m6Rt(%MjyKZ+h&aSL% zOscqFS99Wa!dSC*p)W01Vx%aQmhRhSX78AGTIgt;oFAuW+Qq8Yd@}9;mVpn6jMZo}6`{*=D4`o)x~Ffff+>ZL_0cIbTK5PI{(6 z*XP1=5SOey_k!kG%TA>|?VZ2W^BnzxU5>`d3C!X1il!&yy5dZ{gL(?KIe72Z%h;ox z2L4*9&Iho+1smeR=V-@r#Qo24{9P5c6LHqs`4V(~-EmLb7uea`Kmvav#u*y6a}W8G zA7sV7+(R?y+BC~~#gp?EXg)C9luA$W^3`MZv?3f|SVu54Eayv-(+4lp8bOm9q==k% zJUO3$=1WgbrgxrH&vX0>zHl@wXD`VSDH594p3givn41^WdU9^?^3`MZv?8?Uh@)XS z|0X%9naJ_wF8B;yw_I@0$ywM4b7GPwr`liVi~k(|!e)+!X#;AmLhr$!#-1c5m27c}o%c`2Yh*OPa| ze@@q)UWD?xIhq)GxHTw_JmeTw-UXm_;Z;St2l56OP5l18u&<+W?MD7&S*e+LfR_D; zdEd&p7&H?5iDx7)Xg3?E0y#q*4coPw{7CnE;JK+0BWX^_&cw~Dlwz?w_{rbY98Pic;Tcc$zEYL^` zFSp1Eh-AU{I2R&r89a+c2f$o7uG<;(xyc~{;PaZp(SjQaXK@Yt1^s^EXlxS%2|LC+ zfbUJt!rRu1J$(=}sSv)DgqHMu@TXyK6R{UDccI`JB;GebJ$)~DVUew7IfwEmOeiRZ z6q4ICGB2UQS>_v=RzKt#3+Gre@mDmOKW)ZDa#4WybKq+8G~S_TbY}i6%mSVri!`r= z;439zr0N~o@f+|FJcGo0@+;k(v+zz^t^JxmHNR*Y*VXQ6(rsR9Q~YTZtKTm`w!o5E z{fY}FX!~oV-K#auLf}hDxLchO>Q@0?f@hF;Px`Iu#%?tATE7WXCKhVnTYXA^yV_up z|8bo&p1&T^I17OlC#6-u>jSofc&DLGy84?Vc98-A}{6{rB1S zTukHVeoJQclV%U`kh?FuSK~7OBhlz2&EAct$2EN62CHkrhpI1sFO|ml!aW)e71ZK% zJ|=kZ9p8n|IXsOmzYS6UCfWIdtu}Vfm_wtQ`e~a2ZWy++ihp--J;o1>!zZ!5%BcLq z^arES$wf0}V^~>x8Sf3?BB!BZ@F1svTG+NP@p~KF-x$sM&yI(=a5uW_l+Tr#eFpHT zKfpJ{f9SJHjd@t9kFV3T-^Z_wbMQA6k}IV_ni}fb<$$j3Uxjv=%*O=h?@d#?Eov@s zICJr}9-R8~;R#b`6c=zM`w4MvQsLBz1r*o(xP`uJ_-JnOi}ADw!#RNhsTAX13J(j9 znOg9x(c^c~sk+V!9}Nzv(}MA`s6F@!p5uTclGh>5cZ&~Bm{m|Tt&qC3m7fe;oXeWR z_8mpsmI|xS1-fDp@TD~Th3XROa}Ib3o2q#eeeh|FqEMd{;P!CT_3AT3<17Nc zl!hB)^}+1DXovxOd(!9PnD(G{K01-d2w__P^a1W*M_Z@;Yoxu#YMe#Dm(u8Tb!zCJ z%fU~Jf_m+^9%Q#WZgsu`PU|d)(~AfX`N5)j8n<3Msx_SOr8M5I284DX<}MOEgT#BX zV-?v^7S9fv4&c9f+G9S*Djc_Z?I66>*p_KrV+Z1+_EU|Fk4H3o5%8rn-WzMjcJL8A zgT#BXV;9*GJO5LAXva7^x`zDiy z8`J+{+U*^XA*V2BO;i>EUrLkyYEWoLE#`i~Gf2E|g!(q8 z<0rvZvz+~t^od9QEXYl|gTphQddy3(?S{N#G0x*mVLIevlcwfRra3miO9U?Z2o+;T zY@WJ^__e~;G{m#{nCSPy6s#lx}9!1oWo zR_f6CcuhIR^>x|UzkvELl)7vev-_WaYHM^{SUg0tA|0eO9i&DC?XtKJbVD1|Dd=Ad zDK0GTXF=L;lO{}&6NB14i9By|qKrOj{QYGMkX>xaw0{myqSIBbH?d8E|zm+IxpDs8T7{Wbijl2g2nK&!SiJ*^ohk+ zlbu)CYHO#Q7}V}=jkqx{f$ems1=e0}S9i{B`$Oz`0ptA?qVlvT({EzW3m7jSc#_XB zo}U-jNc-wsoBNe0-)w@q#QEh~UCViSIsCFu5!~kGik z483cN`UT{-8*H`K6a51QQB&8}bXaS~t?xUNs+;~oV`A~GmW}ma>^Nq;+kyLYjcfc@ z$IrwM^Z(*`AzmFn6W%@8e(B-)aazVZ%UujS$!CmQJKk%gJ>X+1gfFGpf7I2kJ!H^(hjS%@yY@gmmHudtCFI&`W8kP~_=|ueo}e^;Ml}xm`4-R#9%BSQ#B`gjVLOgY zno%VE1bJ{{ZYS`kV&lj&`v1ji^&Y>?UsmnIIPwr!3!cTI1H_TVe&a4@aYBIg5MFK~Sga2pSn$oW3Ug9vqnyQyg2`40@HT7PrUhbqVMN&vLN`q}QeP zKd0C1P%rSOV)cR#s4Tfi@A2E>E?=)aUC;hP0to-MSQo3;NYo3SqY%i zy9@n6;$y78W@wTn8J4ugHq{{*M@yn$EqE4-4ybNI?DFv_7WSM5xO4x0(5TVZ-|8cHk}`3|+K7xIR%dW7z7m-aO}RZNr4!k+YNTl%%5D~n!(Ad*7QqCET80))hVtWp4RpKUxdu( zFdnN^@-Jii{dT|v&vKyy^3T20|90AH=N}U%lDg@u2Q(VTU^C4*nxF&)*;RjP0t za4=qJY*0UGSV*3c&A)@;3ifu3WgbK-$l6;RJH(t`U>^~eMw<5TU z!|!IKgTaeMObTlQbizeO`qYvT&qkBZeBvZ#=Mq$Ka~_5M=V zvff_qT=4o6s<}-tboAFSe zPJZZb>$D%H@_8Rsp6EK-QsgU3ksp|%+qdp@G{!?-wDgA>)8NIP$1&bzz`NAL^UvdI zq+g=VsSv)DR)17!uHVWM0QHa3T;SpRvw+(;u=E;DMe|(=jrU@Kd*{nbe+oLmGeUTe zd46dD`R!_3&GsCbS~&e$UFFtU!}a^6qd+#nlIeEEIv1Tl^+C05f5MxBZJgnU_7}X~ zgjXYeSghg1S4!&+YGBy@v%yF33=;1#wwKa_v`gJ&EbR|F^a4-q?pF4^pVwPuwzGO- zZeMz{<7(P9_I!US;r#;J&or*Vt7A9e{R-Qy9v=EVpP$1)f!w=*C-b(?kNqR(0W5bR z@O~4A9}uk{*J(K6OKDxHCWd}o2|j{nka$nyx-!nVJ~F*3n|a z?`;+P@$l5*f&$%$rjzXf-V?xmP~);3wCjvX zlaQ~*;5`MrM?*Y&9eJ)&v$C;GR%-GBr9Qa;+dE1XUkV!Na`b+suIZ2ajp5^KqE4^x z>d5*XzNSJlrL_4et&`VCyU4ss?NTxfc$*Z#gFIvDZqy5&VZwWgFMChN&nLE8`^zq~ zz|XiImFjNbv3`CRWM5b^-5zn)S(XysK5U<9T;?Ay&K}Wl!k5zaD!Pw@=A*+i^KoIC ztBu_y?KM`3!N#YHm#LG(afIA(sql4Z=BRO)PkZsWBpJRG{sx=(=#eF@F#Z}iQr7Rt z%)*&wJV`t#X#(7~j#~TGTn9!!tkLaP(n;eGzLa)ns1)12C4+Ts{|~_LM@n7!Z`E$0 zY7(?>$ytC2o?*iKMyMZ%_ANQvR*OH23i2m%U2RRrJM<#;2e9i58iK9T^+42cV^0^b zIp4Ce<1cnxl@K1{XUTaQ*VsLM{Ef5t{tTZV#!pF456@o@@$m)$ub+n(=RP1>3!y^t zrL-$ompMOTY*68KlVZ%ncn>DvcAh5RQsHql2mR))82AiFbeg8 zr?KUi+Tj{%htam0{c>pLoT9>6+;eJcI(hAIR-J}0Td_an}F>n8n@nd zAUw?fCF4B2c$HI+F_}NQ#LTZ_&AOKT zbr5*Pis0e+uSUJ#8791^c(sn=Rm?iZ;i74=_YIa*0C%IKW_{zt>5@k^4&h5_e>CR4 z!4k}k2G1bzp7eQ;+Gm%o)_y4-@2)2_>!!m{m%9nc`h7dd96oE@VC^k!t81zMmt6w9az*gK-crO@gJ+oV9`=?d!`{-9Y&EynLD)M})~R;4 zj7WRE$gTFGMzwYv1$hfgZu^~(J9`PQHMV9#&v^^ZxAd}zZC_g3pi%;SDIM?d*7r+K z(Y3q|g5xD$D}vkjSSsi04DSJXc+VKaz4%yqHtGe>2yy$yE_p$v=MTNERHNIa6uhaF z!hKRYKJ2ZFlwPcBS&vHKouWGZJm!3$v_I+v&oFU&YL|ibUptP9kH3yonypDnds)&> zx5uoDlnw)H!LwL&fbm=UBa%DZR$IAtJ;sjTDnc^-p!8CZ$yxx{OZy6$Yu{YWn((D` zdeR%erIU3ntrzTVh4DK=5j^bAnBNVaVZwXTe>~|w&Q`Pjhl{5c6wKs0taHV^PrYnwCGF&&SJjBVl^UlM_)ikiOQ!o-ob|-g=QU0#@TGMAr|KH^ zvq!;8@C*{~DXu?F`aN!|jeZ>2SwHVSBgAy8A5xFfKU*?84#=n|LQjDAEN~^CVE*y! zfN!akx|HUWrrz@hrT@}!YKJoNccmhD*l+%cdco7!@=NXTHm*atZlm=*h(%nPtF6As zElS^Y)b;8sc|j@grJNF>^G$#L!2Dh+cm|30q|Y9tH?jHTq1n^Tilo)&Bj95GH-+_y zlTVh(d|3*7DX08hbqn+<13>T+8qF0f+CiT(+|{kh&>^H~IkRm*q<*UB_W|Pw#p;K= zqpXP#Q9Z@;ucJ|{GjKpO@vtmO_?hLltL(R}Q+TUj^AaZ{?nV!;18;XlGsb{P@NKMMYWXR%a0{0oXOJ464u zSj#}np>?I<@Exs-T)GeIm3QObAzABiyuSM*>%W^d@3OI0Mwd~s_T2>g1kYmCXCGWh zIF|o%O;I-6Qg*qD`1|AH*WfRB7K;v$uauS0xRA*oRl1!p%NaR)67{&i?ca13ZO6kr zkj;18>YU%~Mx!Hk&~@vwCD`uLxW~mS2j0SZ@M&T~B?BO6kk0%f6Vrq73K%WrC-%{9p3-E?c4F z(Dn9)!uqb$QqD!CY`fm$x62V)@2wHPyrt_oKSPd&HM+CDj#-~8dj|A^XSvV;^@G<* zFHHDSSg!-LZlq_n+THE+^@$IVyr%vlA(i5hcV1lf1jt`D)Y6ZTFW~;JsRh%$enWVF z!}fy4)%JV$fth|ocyD6+tA`hF{(M)%Nxqb`j;UGBFYpBwijU>V;CG24xILdNZ?54c z4~L(-Js9gZ z;T^;FwZ^U2f50oJc0KIj`TjG0CcLKDM6_;qxenOdjSKP}l``N<>Gq8JrS)?;<}xbe z=hf)mW$!A22YEnwC&&>zjV-?oP~R1PEI-9ovmQ7cI$ zMVG0ninQ>fRps7g@DQAz1d7h_yDD)IOgT#BZclk*2BN{;p+qqx-$j^mPJVz*( zEY|eDa@bs+VW{Abdd@o;FAKO>W1w7-kX_p#3PZ){iz?X8)8FW8)jfR)siT(8kgnd(a50rsp&Tj&JR&)d&b z(Rf!#)agrnkZUYUVG`A#yS(SHM*Ml7$a@5M(5XRMj6M&*pHBn!IQ)e^++dk}2g=Zc zt_gHr)=sIP-2nJbc`u)VgO2_EBzQm!1NF@RdBCAd!?R=fKO^+mmqQjFq7Lan z$NXOg9K7&Qa|lO0^M30}p|QaMZK>gMdTVllsTV-z)Uk zmqP{~z6|NV5;*qd;Dv`3Lpb=7{ACy`<=}yb00a0kbSsj-jOJ+Q+GL){_xkHHi4Dtc zbmM3la+8jj!h>2w_qDudNcs)&>$nSWtaIP~4u*N#aGaAqEEj#U96C1b5!$^B z^{n@)fWtOCBp2$9{>t>dwC+t;#?W^Jd@EoTpnm}L_l5m>8TdBp0{9!46aEhPvvl>- zvoA&Dp9LJ~iig)i`Kae{IR<;kX*N6@m*f}LzaQu!3lDjP^zaM&?-9Tux7pSh|G_Ty zANoA`y4hc1{0F{l{{X<@t7iX-u^;s;e-Pl%6%RFq@=?$9nSeu9^GPxELxdjtWsrr3 zi$eNzfn&c6yztOY2p=wR?3aN@;#o0p$YuRT0uCN{cqF7pJ?obTIBZEQiqQ}CO#f5B zAp;L-g!E&Cek$OQmH2fGJ?OaossK;HLkrn4?Ke*NW4{c#;9-l9|3rafzYM(a&_f8H zB5>@Nfd?Kw2;tC+^`8kic;F`e5RQ7b{|>-mYtrd4`rjz@Hv5b8+6g%NT+5j;{Rn(nK6osHj=0G< zl#hD$&y#>dSG)_K&ls)$!I$ap101q&Q*cO+dY1n(;Lx?zh8Xz|2tD@8APYCohV+jJ z{29Q(3pbsH@W*wWTK*Q`-2wk`Oq_z=6sMM-3wRH}i(}#x__BRO4_~ytE5^R(MLzb+ zpkwRG82PY^?SBn$@WM@#p?;_*`@ktWBoq{9JaQ3JVyTygg^GnpbKuQ3;BbN_5U1j@WM@WAsqG0e=p$BrR@VT{87*J zUjYsoxOpw4M?KLmZwxqOwfj*F{XwC}ei>xprmT?usD>|3035tIn;O3c-9`&qW65z0VN4zKoxD!T)g_Hwwqk^4_S!dB#4(mfQb| z<6bdv$Zhhbj^3U30e)Hxe4yxu{c_mSF(XF5O9YPna`3{<4x#?go9)X096WH7LI{Un z*?*$|hc7z57h@mj*#9E{Zx8sP82_W5`CkDzbimC9A%D~p{XWb}%OR`NrWkt2W%{vz zLl!RfhxDjt{cZ#twseYZ->ZZk`{j^@i}4|SzQ79s2QOTd4&eppGf}{>za8^9U9|S+ z&*h+F_-nucuR8E)!XNwP&;b{jL;lwZ9DCx0i?|_tw!pDp4j#Dp8Ny*V`)3~D;DL*g zA^c|X&r-lg0bU%_exT$2zY*{*fd5}i|Gz`{W4|0a;NoJ)|2G21emQvI;#~;8Ti^=; z2M=6y3*o4z_(NscQNXie;t%v@`zk;W8MrtV(wB>UYXOHZPTd+~ALu$CQ0hN#;N7-K zSoHodX8cqM|Cd1zU9cz~@(16mu0#KvtJJu=lsc_{O#g)6xc@x@dgyw_LoxEVihmym z{AR#kiSh4Fob&Ei>Z6C?hpB+?4%b7L-v>BgA3ciwV+vRJ!}*KmwMN*eGplkOSdg#UaKMDO{YuC48^hZ7SkLLl0tzGdxi-14)GW`dDL)KX_ z>krF8NAf><3UKIo*3KCD@H_GU==Xp_m$ROX;s2Vn&&PmQ0DdgSKG?+L4|^J;v^XE= z_m;pv2OPZWz~4p8!g=oUgYXaZ?`GboYy1bhDF0ajrj!G9TN=}UpcmP{q7mTmRkzsq z54qfbzXBY#Vo^J6pS_|V_RC=dEqVv~gO2R`>^Z=}s}3A`6aN)W0f#PUj|=&e-eljt z6u{w&v#TV(qjf2I?iZJ^E|@|Rbus;h>><1N^#UDyboL)YyGb6~-3oB{=K08)p~5KNWq#J){Z~N{6oDms+u$-``cs*{4Bt6yl(;EiAq(eM27e4A@J^~ zNBJD{HoQ(l;Nig7We?buLS(F5S{{mu>3)QV~zavBO&~Z4+TC1@bQ4}3E>leCGd2> zCjq`Mgx?eq_;A#tynX;bo_=>cFYrwG2IHQK2dDp8zmXb5zsrxRQ{Pri`MO$@1{zNF zcjSNvloHtZ*v)Wx$3*Vw`F#$vgb;|^-#pYdkOHFs|Z+yB@tKL%|yyne8 zXMK8c)#mbzOrloY0NiWzacvp;|H?s;F?oaO)mlI7IlH*1=hPV!@~8I9pNh9i=J)J< z(FMJFPA{BM+^1k-anJFE(|b;yKD+1e^KTe%QS_pI=g*vQJ}xcIzTteTWwi4PrcUaK z`>ChSo>a3%C>uxJ%i2l%v*Zsea;Y&lNgL|eA7!ggw<+P&wXkJ0_tmydSnLUT6 zW|FJO*U?!w&MYW4G3dDdTB`LSIlQjEH;T8I=SB1Ih2dQI3`36JPrYIh@aAegR#f1) zS|9Uoip0NIzxnNg_&_u-zirxuq-12_j^V0cZ#}~vuHFI<-K0d9*XgfB0a&T_8;xSK-`5qFj2fd5;KF^v} zR5*V2EQm_!-aUWnoctS$Mfkbrf-I2#2ggfaZzB1lBdM2*|B!E3`<`?%=_qkCjdbAA zcWyro2}Usm2Z9f5(>s019vu8p^R!j}IXQneQRuJDV9>zKDHPR=leB_-%fRIw|D)zJ{$3 zT>am?)RS=F`1Zbt>Mh^B8f(QndXL}c%VYU|Avk-X??Qt&FO?RAnD0T>ml<2W6uVJY ze4_XGZI1g3LVXWu*b3mj)63w^OGS6{^zGY+Ack*62aUG^bF-A@NbLi@6~q7qxCu>W z>SNpoliCc2p1#bub}r^G#D*8q<}V;Nzc?9Nxl(`q8rSoOVZ(L3)f4fGx#^gbYn56x z2IC9k=B3$&@Bg;9c6HT!Fziji=Ws%QRitQ8h2ZM{=A{n7fotE3F#CG`!dRtJaf;sK zH?dW$zc99`R0yvAZ(b_g;`@sk8+|nnXwEiADzPu=TZ1;Ai~5VPM?ABosmb5m^~Q<; z8cMkOc@&N(xg(;vIcY*@_|d-=7fK~|%`cU_Xcy{l)%DegZxv&)L2;f}{kVV0Z4Dls zH2Ifz&#T(J0dq5zipQ~y)W@}tAujyogmE7?ZgcF%9d$bW$Nj8!fCcz*tzwq0ruL|&;LYVU z{8r52_T8u5R)lv-@y8JWVfv;z-d14zQK`61@6B%oKK5BJeT~-H&{QBdk&^t9YQg=H zd|83GMS4}tF<_NEO|>|Q@8?8dp!$ltHH>gw@$a`3`wy9?U+I6)t;F^aHsqhRHQ1B9 zN^G!$>ZBxN38_IKZ@GpLt}8L}=zQlZ?J@guF(9+tt>8Z&+fq;Nc9DxZDalJzVkmc$ zhExF8l{j+uOrJe%d_fT&qK}S8j(Kgd$R%6J=4wywBO(`dQj#~SR-xPnG^7H!uEfaY z`>|OXo6B~Rjb!V+P9D*-e^DnT`H!l(Yj4c|G>&X(YQE2ndbAG}>hCm0s3iSf`u8rx z`IE8z1F+A4^Deem;>437*Y{u6{;ECmUai`^1#<TBi!+0u}$($NYP``M!@=hr=L#eClYl9Eu;A zT1+H0ohUh8?ACHB4q*Qu*zd7S{!HX>o=5oso0u{McUGocl5*48bkHM(cQ+G1ey)lu znM#$^f6Y>s3w{9+i&gAbP~5#w$->Rfx5D@p~G=6iCbS;y5N2Oq^rSS*~HQ%%~H*D zKPEWwr2d%f;8Rp$liC_>>q^N5eE6?uyc>8Q;Q{_DFJc}^rK*{}PabiP=vMa7yjK$L zgZfy}a9DYP`A4_Uk?93DNQ}q*y8P;0qc`>2mFO9~At$i%A(L%zc|y`^)yU~LOyHzn z0k*Cx>8)7(hKPQId%sbM^c!ma(fS>jSyXU+;SB!HO+5X2frPdndiv$#fATTvq$KTD zO&j?4k*~Z$LskMeC=EdOQ_>?(e~b=5?w@;Hdlyc}mlfbMyyqrzhIq_(9QX4iu=o+S zD;;+|9tx(vxyQrpVmVUOt(e5j8jMBQlYUJQBi{%rXj&WW% zTs1n=(u1dk&%^O-)q1oKr#jM~uuMff@w3ZhmYwwBh#+L!?x2MAYbCR)@jcgX$Sb0%1Yo%!KGra zok(}G1v;a~rmKs?7}FPwNCuexl=>Rh!wqpO6bMJ;CXCwQBP# z)zq~E@g)8PzaQH=)n=Iw|E<7DJ{_-AZL0ltCK{vPgE-!%+WgknkLrX!*$*As4)pZn zI-%#|->SBGKL01+N6dG&G?jU$M*RC{Sx@~N&PO_8x$-Y=J(c6{Ur@gaa$nFKT6ycW z3`hNn&49lm@Q2)W6o#Xo=&K-aC1m0CvCRq7_G6L@`sddH4q0t_hV+C>>%FVy0uEc+ zUgz{%fjW{wWz8u{t^Fqs5>?_&DzPc8cdbgn_G`$d*n0rmM{)9$p|!`Zy=VWnO~0zz zynZ8b0uAJ?{6Zh|dC#*a%u{9-6<*ILJ`)SB*E6{+d>t=eS-DT^Me_K(=X0H}q(^>i zvI$=MB26ftSh*M5+gh)cnDc{jmf~5qjy!K&WmO|hL4J8z+Dp&htD10J{tv}vYXKws z=O3$N{$F)gAX%;Ihk2aVjXt?4U~s{dANK8cVLzQm%*8c0wDV6Odvb4V6S0-zx=l7V z9Unuc-|>I7HQIMZcUr5ElC(=Daoi{0QVijKgLq=#x8U|nOMj8B<#=-gcrH^(3n|{v zckr5lmf}z!jk8K{^?#>fXR-mbC+BmV^D&s(ZPifOkZ)LddBRI_5d4?4oYu>Hc|!z8 zaP@!Q!>Fjx^Pqc?1hrD@dRTMf4-pdk~7`FlHuRR?<6VMpCaKHR4=$2SM)j0=Y;QeY5Jo3Pe zQ|l!jW}c$;tW}u*O{tSl(E2j+6ULj4{UnXactl)ilVZ<7D1X&JYGe1EYNzb+OGHJH>una!ej5ahT!n0tbFs^?-x_KqWO{`!Oyk zKV2pLbro={5w~<<|LtV|?W1w8?f1Wr$oxOh*sItPH(4F048^sChNCtpGG z{W!EocmOvj384F$;?O>qAJhE!k<$n5NpGB_g{xv*mPCAR-c8~Vt7PNP$6#~Nap$;l z%vdA+5dN$blvTi&g7vgcCJgd?LUwV?AlqQ~n!${jyh^p;_`>j}fC0|&!xF!k9&Vw+emxWW59roh#5XTbsqCb2 zDuG*hNFVe0cIB!1{P9cY#~Cx}DN^`hciRRLj^C?xnjJrvTmuG+wxx3(&>|~>sg=Sz&lg5{8Owxy8TooaI2`>b0K|F>*!u?~qti2A*R!5DcdQ()S}h_yYotHS z({Nf3uhR1jZo$Sl@cZF48mAJtRg?9x?uXO09iKWo*uQ!em=SAtw{Ji%?zMgvPuI?c zE{|eEKU@RfRBpirUso1jyp-dfi_VB;jS!c+8+qUD-r5hJP$9WeTDMf~o&TzJE&Fc+ z@UBv=&xvj4do)fZaI5kR8*1l8b^L?71d0oM|LjR>5b@kYexYx-4M!=Ld? zE#{>(oFj~V2=Dun@oS{S?u+2Z#h9tY%{bKd{lg6n8Zq7nRC~Xnn&Qhsa&15ZBc@Rhl^in?n8`&~*d`d_d=drafrhV|`F3rR@Y?AH*+)yLqkcwW?*P z&&isy3b?MYK9-903BG3xea^!MA62#T^Z^;kqdF;V@1XXm(fy~Yhv+lVfK}UjV)f~+ zVT8Lz`m0_SakHS-$IPPQpFxldA60er^yww~piW9#eBZ+9Gg$Q5V!*2H&e-<)p@vlf z7ok-O`^Qr0_A=2!^vQxQXyLI7yX{mHP zF|)pIFPe+kcYnbDbMf9Rj9qHGRXrf{ZOpfKVQuhVr~~}_E@nKC93H1mX8ZH}cvb`b z)_B@@>t8&M-^bbqeEj@s%>Kw-l4rZ?5d^1FF^+et;z>ML_o>|XP=oqQB}RRw;cqm> zzO_oaPqpUtFIpdC8R&B_f1+`)K9=-~tdH^f8}-9|fd538(q`D z`dM-^t)J2TSKs`8i3#}=rWBYpFOo#6_!rg7pIUMFd+ z*MnA{ruh;+k2|@KI4w18c*MJpcs2aGx|Qa#`fQ&Yj#JEi5MjN)?z6fl=wVBp(g5}J zU4hkouqdnx>%rH%3%N~jvOBwY3XQMkxZcgLdgX-LtoP7)-|GI3Gmp!o{HiRj4Dc|w zuI?vt_<9093rrW&QEF=dgN>3?`{$F**Wr}i!qM(jIR74pvGs~-{$$L1u2zo#AHic} z^F#KICVNN5v3KG(vln^5>QROv`33zvp1p*3CAJ)m%Xo+5*gHE2W+iCcVof39oU z-nrm6R3+jS62beZR$q&H!81&FPxj6sduKX(>HeL6(K>){Z*=;MD9`cZ^Yv*|{p~#w z>}ESD_1aH(n3Go*Y2144pR3_?Pp*^}_tW=1Yd}l=VZN?q`#;A2<5Y{-?=`Hx)8QE= zZr>Oq7voJT-1QZ|e@FADPSprj?n?dt>f417*Rgugbq{Ynb@ejH6+DY&59*T}_`R;& z--+DCmQm6zv2roDt`D<95MyEmO45Xr5XdSmfI*)65 zljeSis`VZwW|_ZZo`KeU(U32m?L zTNDprFSXcdpoB1M@8=*t;<(mpFYwk-yC2lJ_1Q}{P+1LpDJ^Gtann??y;Z>bShZXx z>ogQs=z1Z|tG1Z?*=tZwaNM21*A=m+Ymm)eLuP|w`VSowyc*+_c(r`U!6%`Pc?kd4 zYk-W4o|*F8+={sxj#|#G=-yONH_Mmnj%x;k?{Yj>)(E^Yx30k)Tj&1wi%f|#yHHs(Nbl`$ zefdg_rdgA#G5LDWG|caC?f=nvj2Cy;WB^z2EMGc6zgd$7!D}*YHS5XaQeRi26(hQ3 z1lKvb>9y`Rg7?AF8uywjEV;xz?>>YYz)3`*#vyzuEz4-U`FdXM@C*{~NzaMIWW24` z*Ka9)Xg&FQ3@IuY?!GjA1<;JEHKW01vSp+7^{=I2P*`(23AstNlS=kGU-zPOG;I`t=)Os_jQ)ni2we{@Nnn9B zi8b?t3F9MQ*^8HX&zezG9Gx{IDyMwbe!~A1wp%m}#|z*7xj1*~g$=x)hwab3imf%a z9oQn+&cz0t=V0^m$XA~0;;*u5p8v})#S8W?1tJu(PfDvYS$AeQVift6{g3lJv{x%U zhs*2A3}36^#O8VGKTTAtcO{-N95I0Cy>r{v%@ppx^!p=hrm{xjh5iq}6lb2K_PaN1 zKfXSw*M7KH0u}52?sD84a*>GZrTsR7%>$OR?vMVuisTn`4S&rau*q5kx7&f@q6vDr z$Ics!hx}m8Lz*Y!`OjcJ zao2wF)~}3T2=7yD$RVgO-u{X7{HtD9^YQioZ?}gR&(Fl~D{P;8c=7xU-%(iud@1b* zQh$FPb#Os7!QdbAb9FZyzo6RBgr5zv88_8uXgqH{xcz%7?AO&iGlLIsg92{Vr;@Pb z(BC{CgjaHL{nK8?eZ#wqYvK%DkmUnwVJzTx#h_9x;P+0XvWfzJ-BlmF`VTUrMvxOtum*N{*Cz=vmPIIVq4 z=`dX3zTu}au90ie{VUZ2G^851K_Ne9kP(BNpXvS)zTT|YwDqjU&jB&1{!W3+!1Tca zVQBr*8|>WNT5lYwCcMkAU8)(>7atf8X+d?mhZoP!@EsMxm(sDfTu)^`{}?dX&wj?d zgLdgS!}sHD8cu8VhW-(i82T|^L#lxrl*XXFh5T3$$B$kd_ODCtZnxH6wnscR5!w3j zO0c`uNvSVR(EU`^GqFw4xVpbi%%3H?2K;dTUp-Cm_<1(Mv!7;VK!AS}@Z|)I$IU+d zJeYgxj>eOoKUj}>z`w!MBVIef_f!a9$|+O)cDfTV_?Oy=N_CDpWu4bfTn9K^yO45f zA+=Kt#ttkfdnJeTtS8oh4II;_DtKvUv`(pt3~BI~xa=F0H(8pOXXRm-bn-ImWiU_(#<$17#{ zK69$4d(Yo!0o@+Q_PaRe7sE0y82INojhc1F^R?pZwa)?fLCv-HC5-PkPk3Kr;f!x^ zUwQSTS`XsS{SNPo&&bvbpfq1pKa6d&mRtR29LpTeHJ#)=0GPY{!u<*3iR0F@nugYN zx&Q6S9h;jM84(>iDmOYhBRy|8>PgPio}9np7(Ozkd68;G$E@Em{R}(*PyN=&As#}* z`TR$0M_OuTS~N91JtvZz8%-aZl{z9LP0M>t%d7qf`**Q1={!RS7_7tas#%F&I@YLr1u(qSt0EWKI9EzXQGD`TxS<-$?I1 zP6y-f(K&Vd$y%&AP(N9VxpnOiv5jzgw-_P*-hqDdp!0X}tZ&^<&}Gt7^HRNj{)OMq z3DzU%=WBoDbn*1B+g~md{eKF4%>Qc(JpG@jPyZ?3*6rV3e=H9eT};1$|LgXfsOUcn z_}5^Qb78U~slW7_I{qL3{pxPA_)Vz!zM*v5>SvyDUxC_Uao7Yym zc1U_rVRV@Of82Q39dALvixJLEIWMJqY@qKs0C5tjvYrR_asSci7;{woIJtI_)}gix z^?T5NkI=Xkyt#m(->-%5*H2bz-9T)}an|j_CUcd>{Coq^k<#FtL zsz=`N&IZk)`B=#lgq~_C58Q&hfO5f>c)o<{YHO6eo=yML8t@B)aFD2)H<^Ex!*suo9*DJb@ePB&>vvAJLBDQ`IsH7WxwOHWM;nZ>@4N8$f}FKA zTGHAhun}v6rZgKY?=ScAs&yS54*TI>8QGNg(aXVNoI#zCS&^J|l&92SL!Pw`Hm*Zl zF{RmT#ZsByJN3oS?(DdfUgrk!Yu$N{7t?>hdB<_Iq4opKIa;4}{c+q6n^u)oYW6(d z_=aNU)0}iCo9ih~`t9iZ2mRadGWuXXJFz~9t>m9|n9tW?ePUf6Nz$cx8_|c>8$Qp- z9-U+K>5)Qbr4;L_aR2(6-9*(r{5ZcZ+wo+*-mbshI77xecGypuqSw`+AB$~@mPNnK zuTp49@+b5gM!qfh*HjL`@4Bex^w_I0;nyPHMvPxC{fPi#B({ub@{YsXXjz20`r$hiV9P|Cer z_xC$QFU0qCi?CHWy%Hnp4ED!2X~#Y%XvYs zFPfkEJWl#QbYZ=Z*N)p9FQZS~c8t}Ba{U_6K8$S_Hn+yB65liTpT&&FKHs7pA9p-Y z(2kMx%kVLy)RBC@7@zlj0^JaY*N*}Z#Ibebu)T*3K3q@dVaP$gkHYcb58{^^$a@nT zbTOsH`QM@+^*up9`oQrv?S}LBx^dKcNBR-`!0m?IVBHtkFsGT);*NUy5p#fB%Q2M=vep56U@i`j^B>!PjkGD-{Q8TXqU@!K|}qx2Kygi z>yGV0(ny!2Y4x-tbfI#*c3h8~((p3+#BIk|ea;ts27~^G*fO*(^qchCdfKtyw`j*S z$CK9`->SDA(=sEeIn?pGe$@jqlKf%5mHC8pq4%6SqBM^}+cp>vIF>XJNyfXiD;G z(I<9XUidBA^Jd3W>s2>&>9sK=T6hud$WeJ5-w#}=)B&{LfqB@V*MUh&{R6S=!{ONA z!;6n%e+IS-uw864{%-c%PRO6gFI3heS6E-AkLkDNQ1J`Rx3w5ZQv5t~J?4Ky!*;)Y zJiD_;<-u^4EAv0GT#xzRFD++Cp2>3E1&i7mr|a5%j-pv7Id1`+&tI`O<-Mjj!oz5j zR3m=>@|K5iuQk>;w*C%sKg9L`&OdLq z0Cz0^{@!m*FHXRxvZveY3rk~%a4pQp;n!#*=3Z)`L(^5aNmLPE;`lo?4# zI4PQjcV^}_#`ofjXElkAOwCChk=tx$eo;O?+FCp-5ofM=D_t}@D|2i@LQ)h!PtiQBAc zOGpsEdFM^*|E2v;e%1MUpac_eKdAxU4o$!QQygP#*z&flWA3pvd=T_czzmI!E9_Z~ zM{WAK#~*Y429H&PiF6f2^xN0{yl9kZyUX%Xa9+9|X=X`r`$=!x@+PclG$g{tbOC8`TIeK*0YJN5lM&Ml!Mmll7+@!uTKSts5@4Y*eGYsv-TS^>>L+z#sXCp%MMF z#)t&wKg{Dl61bxs|HiF+{V%eBfIsFMLnHkA49&QVahX3{W%d6laEmP))i~AX-`@fP z{`roE`5&bIGbVyGi08qL=${+lqs?Dpe@v-On2R=|%{TrMb&uhH%#q($Vf(K3?7IOv z;9TC6#@Eo@BBp)NIiv*kVg5HX;=iG(>1Y%b=8t*S`tNq&-YHD5YrMqw-#`lp`2WVy zF#ki5wBZ?Cf)FKbBQw|2*OGe-5~RWf1?I^t#u7j_G&j6CmLKvZE3GBV+JRUdE&O z;RTOB{r?WL=AR}_0{_?n9`Jw5(TM(I@U|wVXZ`=-@qZtEx z(UblZUoHQ3_}`oVn>-uvk2}6Lc5*bv|HCpeBbL86|8GnIuI(kze_y~q?)ci+&Cv+| z5tjd4!2XPRfOHs-x!^qPzs9}@c{voIw)s8_`_IciHV%S(nz*DiJuk2??)cuA>S&Dr zvh(tIfHVKWUi%>J*=Ujn$Y?q~;BONIzyEGTBsDb5|6n9Pe?ues8~5n=vB>ie=KqcNdi;MK=pR3RVE#8W%>PIvJ)38L#HYC!+lUIA-@urr zxdE~^ug4xf+jJ%NnESR&z#cYk#<;!#E&1(I2z%P{3-V`;==kPKHBl|5^(?S z@i*UH)cVJdAFnwYY5$CL@BDG&D<1!U0{35ze`3#|{o}`vcO4D$KNv~JYx*;(1GD~b zd;E6;_Y23r#eP3N^^33n=Z?nuCo|2Bk54@Q`+$4c@lWdH`@dg&{SP`C%Rg72K(hW{ zJN}zmq2G3J{F8SH|GB6iivElIZ8PNq=o1^!$7u~>BjV2n%rRTgyEdH$_-q_^Mm^&8 zmR|$*56ADnJz~9&T@*LIY-;axmi9q@rLX9deVf{N_MM9V&vaTOzvZ{jMRDWHrZXH3 z^FJKP%NW7KgWI2MHvM-~ci{GP{9F3xug5Nm8(%h^?`VX7R(5U{^DpxF{~WltIsWY~_4yANU?BeduxXy75&z@-A~Iqm zQb6W^i^m`1ebWNN1@Aw(&-eep_~Z9(N5kun`y#k0JCc{3jeC`(FS4Bf^W-cA4d!xF z+PyFLRdPEIjNgxzIU2U(a3nJueTWM4FZK9W0e6Gpj_;Fq@yFS~_~Uq;qhbDEN3uu4 zQ@Nu?j%5C8JpNmOd!NVO|32WcffpJ`&( zczBooWBrZ|j5}X!LjGWA#15>;XW@SN2w(r$^svVtbN42kKbzA2&+_5n|@#HakaFjc7hL^!fFe6COXJ)Dw3)+5XX>k3rV}@4#=2>uvz-$Jlrb2kX!tYnOm_8)ye% zd%&l1$80BLTRUsv>ysS(i>;^BjuqhXVu@@ITeja65ek{%k?^ zNEuFAPA5;!S)l3R$@yCDAC>-Y0(2nfTt_4QL64_lqjDqZnit!1wkHP>Z1Zp@r^CXa zJ$jn}7sx>)85(I1y1fF|xpE_Pp0e?6!0*L=CGxU!lzIeX=#gu&-QeVWUq@^ovJ=}M zMnIQQPFe?iT#Wvcan-wzfCBq+9F6D4)S-xefgh21SUH%xH;?z^90>ggmAC|QkUtn2 z(=Q@7ddG`{o2NP&)4wBm>Di-^&#=D`11&{R4(otlU3JwQN# z9Tkp7+8Z}H(?w_T_W?6}|2h`-V!xp@==aw1n~UhJ=XJvn~>&6A#-9f7~>f^8t@kB&y{ zK(d^haV0WZ=Kq+-|1ZFO#pC~3Xh+;}{-UE{JND}H2|v|gInQ}={tlXVoSe>`%zM~4 z{`cuCpumoQIvSSqAGAd}?j*>=ZSonJOu=&A@Z`J?nom7BJI!@`Ehp|c#9VD?WSnG0 z@;IH+{`%14zX!PcJ^mjB5lfc54`&AvIU*P&mj5q-dynA`KiwDPasA@O_btc;3=P}yRb+JbsLb@CnVi~deW*YE+LN;w|8I73 zPWR{ALlJkq-@ET;OPQn5<2o9R;G58R_$MbjNAsfi)%p>o`u-4k@Y%p$s#NDk@q80( zYl4q*>n5+8Q*zpEqmCuCZG?g%-bKHG~cNBZ>YB^|?m56Bke33P8@{L+{| zet}a(F5I36<^C?z)YfR(Tb|bNEx*-!{5H5vHA&$26(jP{bNgG6Cm1}7r5bjn@1*F` z0N3p?5AKWNHbA=fEBtOI*|_CZjkyIrmeTN4)gqMhio-KV_`ZCCJBA#}v-Y!`=@4Y? zFgRO~8%Sw5L^b30?y>xT!rmGK!oEu8Z`3gydwM=_>rZeVjXtREmptCUG5_~LU))-) z)b$&1@8v*w4%WbTqaG8|7VK~P6!2T!dn%a!XBxh>EpWgq;l&vE9;L2A9{AbsK>sZK zo*g59ANpZKr4E&%&m!J8xKrY)k$(W!EQdfpg==S106!-4pMf92H5-ck*w48hecaq1 zA#kE2IF&8n)v&$9)f(-et&KGQ&xZjHT^jZc`EP9r|2+-){qa0yJHT@!9(dz&Ya+8( zTM=*hIph!PzZ=u^Px9B+rr1u`v?txFI@6JbcM!i~Prh%qDfH{sQv{AZ@oJtH0|#Gf zx2@UOz@z#5G4L}{Px5IG8xzOG$j98xaN2`c(tsE^#t*}34<0Qi#lU+Coc7?+Dklcs zSKzb;4LnYb#K5z)exF|nIBYp}U5tLY(mvQzTXwlJrhUMd+iwHl z;MHYc4E!gkhkPnqq2p;Egz~AL#{KW7qyPL9Up4u=8+QZ$qW8j?dAuD!)ETMm-8!){v+ik&s67oQXTXVlLiE1`pCmUO1z;54zj&`@wTs58`k7TUJJPZl9R%ByN2Mc)PUxt*_$vO=Ai6 z-=x32;b?R|tEFV&IZE!&fAjd);CQ#=-~3#OLzHjS^PZutA2=Ge<3E}|E$u`jdCZLE zVBC`(Tff5gxl-Gl7}fk<$wMB4oaZObNVzE`8qF`BmeRc|K7^gptyhnff>~Wtewfnr zlA^9D-3w-&n{w{ODL1FgnNm2lAf-E=G}ndgJ6bTShxy;1cag^$nXKoRTF=or8F>*= zl3{u5M>YhSrF8pQFR}!-6phff z)HTJAOWQEgjjTHU%^k@~kbc{ewSL>qz;P#R13mrjI-!4yXFC5D&pfVwi)Y63?>3x2 z7@4f+SK7Zr(Gf8HX+7uSTF-BA-REMZ`k^m>h;cY+AFg>dQwa%2@cuDiy_brupT_^L zf7wrGil5S>H{-A=(=ST zYZPghN9~!o)`j&%j!ENX8*+keh?U#MdwO0a?-_U+dcGD%&+c7W&6K_|iVBP4>Wj&a zWIg|&#HBBcz8KHYHg8le&rjQaD*8)w?rFhzDAuxG!1&{S_HTb2YX#YVGetkl727apZ9~5^B`Hm{p<|Bce;5B5 z#pV>TVm(su{?{1oIKCV~y$parFXRG7hOd{7gPvZuiC&mvx7~xS!f1uOd5P$CLcjHn z(MekTfwDy-+DqUXz?dluU#o}LTj`^FS!;`cv1 zS^h2iALenA@dEm$uOIh6+%KDzo?&h$;rlkXBQ9;n7}xuo?|J&<%mXlRyypGJ{i%F~>@)4THw)e$`zPWvnr{6o@bi5Au z_WBKQvi$L&^F2{eRAD_Y6g@8k9deNE*-p<^eNQ-E2YlP{x;@j$VE_5^Y+mNj)HMBG zP8z4|zn=g<51X6^k#?={{Z!hYxP1qHd;g7dvi$bd{?iJw->wq&0;nYK_3vKh{g;|Lwm3KIZ@Jcao=cX??EO?_TBkzn=KgJ8pt< zym@x}ubiy9{Z14VJy_4%#eXHBTYzn)(Gu%iw|M=|S$_MFKCuiw?HhYi=yd@2$Uk;8a(dw-!7k1nzrV#z?2hNR9S~z=v3_2DZ#1Yojz^3fMX&1=1okV| z7dTEI1;1w4+8b(IPb)g{IL0ez>h?!({bC2sSPW0UeYyXMI;6)AFXPP9Je^&9`Za0ljZBco3L*KXl_eCvDg zM+^7{=QrChMt2}Dr?%XVcBHkP@3XL9AdmYE=I|Z)*w99%w43^k$6wrp_qhEA_W~GM z?4Nx)-<72XR)O`qM(alvJ7#0M*=UFBIY+$f#E0-*!&$SDB_J>I$Is6k4a<>rO1ejk z)-)KO#?Ks24swSb|HmNyY2Vqq-{~NhCqz&n2lKz7@#V-Vwv&UtPyVK|V?H*VcbkHb zlO)kG`|aTP_;Qvw8efioCdz(W=*d|Dnrb5j_rs6zreRq z1tSgjp>~$@tKHzUuY0c>aEAgFd2MCrN8|#AmgRox_j8tu$4-&pqp+P%d2%Tpyy?k} zD4t!|pGUp*Z@=A0ccEc_$~u|YIf|@gIj?zgYC!XeCug$6!x;PIb&jEZn7<9JKVMpT z);h88U!L4AKzqQGyGZ6simOMv8+V5C_Bon3@*=}sGirY#r?BmaIeKRkPu}lDUW}dc znz}&l&W4UQPXB>iXDIoXhJlg06Y+g#H&5<6(tos_#;u{e){Z8Qof(0hbAgN4xD&Bu z=XPv=!1n*ly$N_#Me;s;K#?_JQCyJa54M+c?G< z_ifZsN5^HveOLCK1Og!e5(3ELHpUH6Q4vH?5dLpfZ*}gy=LUbDd7iJI=iGbF>8^Ua zs=KSI`)u&9Gtdv-MLXAbVtFyrt-PlKyT3y{p+Rgt59+SU$ZP{wUL^1`W69ZXytz>2lXIk_jV0%Z zNI5SMxEF&qEO2l2$!WT;zdv2>Xky@{WM(-*xjn}SJeboN1p@Dd81`@c*xoVa{EMSy zT)+JzT-%_G3;$sI5xn_~zY5$MVgC+v!z5kh`Sf~&qlqDBMwFZwHx}~wVUFTv#&9PzV^iWgQ1CmmzgCTH}@ad zKZ~~rv|@dr-IE&6+516(axQbUjQfqxZ!@kDlyPBmE$(X2!grh0uG~A8_StVA=+@U5 zBA=Y=98HY&%!=x_u1jy8sV3D=0p{*-)*#r+Dj@UJGF zc)YJY`xyuBlXHio;p?KGDZSbyZhA*Q-lmMlx75qA!JPH`wZQ$^^JX?OsJQRy60aj2 zalGT=u`|ZT7&o+>WoUIAWxAbdA6IXB-*%k(8O3n@ei8LM01V9AO*-*DQ$P3pqnU{G zO&Qk1r?K>ag}I^Ga6$BzaHWTt%^c3m z^sKK0aBsUfBb_@r^FPD!pP2&M5srU*bN?U3ZHJuVW&fTz+|k6tqb6m%RDp+BV&>%n zZ?e8$kMQ>OzMTsUG z`-S8~LZ%N7v4Ejrysx9=8)jOP#^bEhu#qi!1KR`GzXV$v_EC?SkbUMMY(HYdyz7rn ztY2W-e)Jk}W;&D(*Lbi{kWcj^t6-nJnExA^KzXJ8gPay`9%xGi-hBcOQmX*r2~X5epcaKs+hmDuIU>VkT^?NgcKbJjh2+ zM$oenK7CT1HBA8CB|iBuKeYP%6tv$MG~6$YTcYVmw_j{K0Q+xf0`a7L=!X{X2hi>l zcqa)w$fttP2>CGoH#7lwnLhc@_bndg|Fez~cq0VfLHoF*PoJ|II+{Q{DIdn0!JE|_ zv|R+=B!LI{lzaBeH>;JS3BXIS@)biD=r7bqXT62(zt}3V!4J>E+^N13jeoK|R$jzg zvj#iVj!y`A5B9Yy0gi|#(M%;n^GZTGw7W~ibv$0P8E3O}0fo;3zRgA&= zCE7at$h{fJoB1eckHPjo&|e9DsPn2BpgrI7_Iv>P3nHo9G0O{kYvmmVJ{c~*<02vN zUSofH`}-5(2}8s3ZjZ9>X~w`aUYfug4O-OMB;3z`Jnif8Il!Fv>Gv{66O5-6%y{r0 zRzAcUv+@mBJRi_h;E{jMWdqdPhllG3h9&}!F441t9-=!isQCm zQeIpJXK`6h*dQw>eD$o^0&lN~X9MErS?@ZUcz7fxS%)S@Q|jH54P3f!S>Fo5qK#AFW|lAv(`GAcz9O6N`bctwBHN7YXlzT8>F**^1-GV znjpN4G~Is1u!&Q#!3NAiznO(TJ8KWNEjV{Nwoce!kLoLNdjiYqcQ^0^Sm>WZ-b1D} zBgV--M-xw8)-R1W#Njlr>J1!aN5%V$w<`HU8jvjiU6 znd7ZU`Ob4Rj2FYcU6OpM9sd+_SUmXu*%?Bgw}}23@LuWJ@c)J;9-bNhG~O)UXwVi2 zycYx>;z?echW>o*2mfzqg7lec`eQN5qQ6j|d}kxJH-Wtu_aT%ZPCCiq*4G~QAJ`r% zFMRdvzdE$e>qL77#FMjca5Qn`b^QnS&f>w|&AwOQ{Uq=L;Cb> zp=x+=95DMI0`H%oeZt`-4H5B2K)f^iQAZPu$KolxhXo$||Lj);-UNXM|Itrp`T7t1 zzoC(FhmbEVB|F)KtjvMiQN#hW(T`@&6}b0{xFaAAm_5VM#Kl#jI^4H~yztetR|?#j z0vGZkyj674-jzCt`)fN zgU9C%7en?$I%2s`Isk^{EG|*=FaKCdj zadD-*#i%R#GmW7+1F)TfHRuhnP1oa*(($;i@U?}immTic-#EGFG)5g@FHJgWl#mK)GY|uQ^Qx?y=wzGN{n^4FdPjAe$leJqJC((8R@+`bNK=bG*RqisPP^ zy?4^H0(U?4FD0-K_f$tC?Xj>Qm`b?#f!fsA<2f)$bIuWX6~Z0|d>3U7#5Xi?@K{P} zPwGb&FB!)d3cT+G9^}JnG-vwc8|r9+^qQSAvUjAtn3E!KGr$9W+N3URg+6D4nsz(ou*=LUg0LEs*= zuMPCei&((W#Kn!2ce22}1w8H&xDN_k$V*onDAyfed0#?oh#M>=C;9c2ZwWVN8OJiXNqOfU?`Y%V>udTJ zzpayVZW4I(5co2#`K_B#v#%e|h2J(bjQfRJ*N%{TOo|Yk<;L|9qu;rGz(e@^ldsp` z35}3D)_sF>&v3Ny@txp|-(TRv|IfWZ$o-neSN+1=w&Rx@{@>7W|I+sr>qj%fLn7rB zet+&L&|WI=ev7zoM=h_%ysvmJ{J)`%jT<4S@cVPG1nmTedrB{jtK>Adefi}a>u4BH z&vT8OY3V~!$7oquuU84Z=7V;cz#9|OPW8*}uFClObD^UR##OR1?j(VGGiV_jZ-yVTJJ;%ZSDcagwd1=<>c8y469e(M%n zPQ+k_hVk@$g<4MXe@0B@7Z6j}cHIQpPXyjS^nHbgBPZtThBgS-v?$BDRp5RBTFlo? z>h`X-Ju(`>K2QjoE)O<_v92Tq7jd zvz&Vz?z{$g7g{@q+uhu^uI!@z+POb|n|G9>iJ@2ermt(yV$==eWG;NbT-f8eS7C#_ zs`?pq*o^z??#K2N?8w!w4u9kx$vf`|A#W!fYa2i~-MdEgC*^c9I>0r5nwqM28Kf7msfqCfZO3hCadXd&?M+wsZID z9eZD%{>F=EQEAVc4?WJiUG1~q`y}vvZ~1uz*X9=1@m|4s_b7bgtDbA)_kCWNnu!;^ zDHygt^X^1B9UINVoPQq1ze)H`X>;0-_ui&?k2@N!_h-o?vcn@r(OcNYK+cr3>=BpJ z$K|s#M~$GDG7~@QH~$j2&tV@v+oZ;o`hMk|=8XFH^%uk!hDOB+qtfw#@+tI|w&B{nl*YzfO zX9!&Q{CVX9H&5X1Kl98p2M*#)pS)#`hTHQ8ewV#ZNS3cu;9#ykZ==9@R6kEk<6p_g z3r;?q8b=cYhlOICDuF}tiFJS`a|KSo`}^j7>}Ytre@p$w-i4nP#si?E`I!HkG6L@s`ZS-#1K;_L9N+n^ zaD0Lju;~MaZ{70EKi1JQ-j5_-cIudHgQnVV{?P)jBWMN*y!rZlnunp!`IxU8TJASr z6JGKNyiXohW=Lunm&Vjr9)MfydCy=SXFhx)*_$Q%J#Q)Ww-j|=dXndr!gkkZqJA{L zvyl5-9E&)h*$@-2`)eV`<9zskL!MbCI7tG4I!FZAhn<_%-!c-X8qcXqtJiYuZ>FDn%29)_1mhZzfqH+xU6{r zWwpbp!>{4}m;2kEa2TK6!qa*QzC_b9?_y;KJw6hYvTYNveL1n(TeR z_5Aq{I+_R^yyD}+uzA1C{QCqBeE$6Z8WhNPwZOrBnF!na_a4;OcVFgw#1)2y_4$>N z$LKVyCTN*`ls=yjcrRlAO@Vi}xi03;(VkC#uQ?i)i|ssN(KeL7&BOY8S>V7&&tK?x zHhEp!dD7qB0eUrTsvl>rqhYzelXBt4HuZ@fX3BW81YQaDD+FGZo@a7<^|2W~xmGwD zf4?NTfQN6mkCy#%nZSe3o)6z?QWNu>9^t8WV;OyT8ypSeZS%=DGGj#Q$kcSa%L1Vm z*<0=>)OMs-l9lk+Vf)UY*55ztm#{8U81uiyK76-HO^+7$EB%@@KBs634?Ul}I~@(n z%l?IQ%N~?h-Y!WSl06(u84q$*%JbnJbToeb4oSh6 z&r-vKFU?5K!o$(}e$)BzVFqtOW6;9(nuK_u9qse|7HCiN_?^UC(8AF$-geWT8V}#7 zP1T*2-J*{{_belkNsrz?fbsmf?Ea7y`Xti;7k|qDcWcJ7~~Z5h6Vq0 zH2(fHJUMg7CCQno;UQ@kry;=3pzt~!-9Yu@`vvZk;DNZzq-G_D(Vw1mG%7wc@>yK| z?sNuL5ezc7BgGSFOG*n~#Wqvz({Hn{z0UN{c2It&K+5};qw(7%i95z(GC#71Sf{YK z^Ke{BIVv@8EA3KmbK0-x#g4{rCx$1}q<8RWJbgY&MV2fl)+a39O3+qYwqEmdg`EhJ zbG4%h!o%ksF$#5it`c|~K`Z=r^D7OWs{_+Xy^@YJ4ePbmwPzOQ_t}}rZk8WTOCJgw zL~+9c^rHo6Q_}AO$h-jcSg;A(PkyZWIAT2m%j$WT=ZP@TYkrFtBHVcEU<>v-8ufl} zDR&x{zJ?`_fV^4RnWIxESaMpR{t+{Ay&%7>7v}#96I{{eFMIHEsF%?H!X~Z^>;DT` zj|)a-q{&JsUWBu-k;7To2FE7~oULYk%AKPhm@V-BV)W|H(Vha3R)L7T)IYTA1&*@^#RpsENH#zqoE-Xu03=dO6K6(nr%nd*Q6% z$@rKrt?P#I>30g-RJ%e>i+?A0z+aoxVuM*P$2=n-Zdr)=yP@&-+fgZLVSLLl%f8-Q z;oU9p9tG{w2F>$Y>=*qu!0#-4($VT8et53Pe4IhO*r+@U2r zB-R;%+ON#f_~f*!G`gRZ3LMD1@I8U^hQJ9}r&(CzXw>-E_fesT(#n@xrO|lMrIqV_ z(0(fL)|vUQJ4buI_WPfsVY&2s9^ER9#zA{ooNb`_-tkQMLA>WNV4Y^+w~mH!^n1_s zstH?dZYT5!i?bUv`yEbVD{);TsNe5%G>oI)f2eVIb%t@!?+nf&KrF(%$fU#`QT;w@ zU1kydwV_dd!L?JGZ&gO=Z&5>mmk3(;Ym*W)jbDKI2v`?cbhM*kJpCTE=v5Johjj@n zUwhEPUz?P8lYCE8>~)bvogIyoFM3r(<8>5xh$R;FGiaWd_>7P*U|nPpVgW2DW z=v5Jo*F)eThFFv-@G4E541ETyi!4GcU})G6=;yd2@m%x*++xr`uZtk-BH%AN3)`iz zYsX_l|C#*_HjI<{O4M%&)3)m+I1W3s#Bas@#sTXxi>`1qavaK48ODKJ7H1r2COVv! zt;IMDSdUqh=V-V+_5B*rt1-Gg#|ykd(85of)Uvm^Zi4mmGzjn4BwK}13pA)!mfyX?7yY?{jJJZoH zu6|FN#f@5>(75ji+{NIrS>XO8*F_M}>f~$JWsZh%wSADd{?!TK7USNnX4u++7X4}w z+ISK4zUVn@s84+-?pI5N+^|K9zHq3m%zfl>))yDmIvP1{qgO|?oj^s}=*0W~NdDNX zC%Rt0h2t%{@H|F<~7mErY@_m$lsocOC13U4v`nbpHV@akmH@Lv5_yi@4N zC-;f24C`4x=T3N$s}j_Y7Q?3*{)@5xzxZ^)e~Y=!;m$?jb$2w3r~P^4>HyOb-pK;5 zFOJV~yj%TRw?AKehNF?=H4@L~&k47`z)i+6{GLgz+t=++7Y}tb(x1xJ2(k-{FisYs z?=C_=p|&IalFWp^IK?2=&p)>(Fl>8X2~7A)lUfg!{yg?N$l|e%#@C)vt03ri#dsDM zaxQ``diP=6<64RB7TCos+!GI*NZ$~gVZdYj&xQ?IR1Ul}&|uA>>NDIQ0iDgg1N=sS z9`ne>Z^t0ir&!*rguH)6dC;2FI>+=Ub4LC9#tUKrL&NgwcsqI(Mvuq83A}qj`>5mH zy14Fmdok7%h^D#)@k5-WpPva{mC(5N3*0BcW4ge7!K@pCU%_4SBw4=VCNUV;b<7get!+CEc(hE_Z!TOX290ad$wm>fDQh024s47zCo+M zf0h$*ksT*xsPkHf*Lqvj{5@(tWO0R~;ePW~7!*`q1=25erWzML7AKq?9!+6^w@o*OsZojw)e~brT zZt>ucmo##`+q_%1|60<((WvnsiI<%=GBuN#GTuRlw*Ogx^z9lUk zO@uyGU@$B-9d3~m;VprkF!C*dFIgh|f14lc*5{HAj)t$(?PK{eMvPGXV+mqd%OC!K zNe>N2by6F!9Pd$*Y`h0#(z>CRN2sQ z`|JqgF3Zg1thAJH^62bQ;i02a^yg$0-uVI#I$d(Hz#CC_oVw&9N2A6yT}K)@YRKpj zso@mdVt{besWZ%zMAm1zz`YziFn2fU=*LVP3U{zakm~}z{+Od^4^e-hb@lH>dEDGh zcXcz~l>#phG}jq4^pgs)j)wc4`;)aqwhyPk(J;;rejI$RT4-1@ch5tEt zI(m^xKAf8!jk-P)&c@6FN`gqTK<2Gy$c;0Ip@U8m` zvHu@~R)7Dj=Np9lk3*KX9o{ib^mRy@*9FP{l%t8&o^-Y6P%+9p;d!sl#nuz@B93|W zS^WPE^1=^~N8A-Jy)nr1_Cr5Qu)R7KbXB0kOytcYun)r9_r;^u;}rPT9?Sx-C64Bp zb9H~>@AoA28kak*m#-BOD^l{c`$ESfmfUnhJ?nR#z+DcS)ruGW9y3w&d(rM_83f%}nQ;JEF}uxqpR^Mz#3ofmfQ56799fgA^ziXI*S*ilg!4v)8B_|9pX; z4qn#?{2dbCx-Y-{ki^jNIOO{{N&Z+~i^XQYRf-^d3GhlWw_Mf=8~ot%Zk|_`^RsC+?@{fxU)q63>pXDIU1I8x7DW^21>8r2pn9qUkcx6(s7rX`61eIuWdMgyZ!2D zcpUJ3;pmspXT&g2cvzP(a+Mt6c_r|>CLMQU-Rp)Why@G{%k_hkOWr?Qg7pZC1G`*u zyg@;KdBXTZcaHYtI4EiBXd?TG9tJE=NgIKKIe!UzI>q<6nYx{R$2chI;&}M%@u<<+ z)Gta9Ls+>^!*PEn!*QPw>>UFEMRDS zdL$fSk4w%LI72}b7C5Jf{vOZ|OE6b7G(LMwI1wF@$2a;R^$}`6(kaPFbtoC`aO-Q2 z+YvZcuj7ELV?V+?et6yfpd`=HuwIQnAbEv9C>bwst^*BxxJk!fQ@1}TndWGs`ibxd zB}D?~Z=ku`pg^8~{Ca);v~aMhEL`qoL-BjORO#|J?3gutC6`sc4n7vTX+L?sd9 z{1HdPap#9*Pkie@(aTV3IY^Cv#3vRXF+>Ucv`Hs4_PWx(YM*E}K6~?mqWu#7iTm$Y z(dG5BkivaV;JyjkIR@49P8e?1wQZaf^_+hR{F$L)yzMdZXn9ECy)E!C=PxN2c!lEr zqk#31k`hOAr~>t>3BrpRaMLo8ss~~XtM4`7`JSl9<6dt%V!Ihs59rs>#L+YNFz#Q% z50|_T+Ajs(2CZkBw|}YP-&j&{r=xm__0Ez{9j`d`uU!qT-)%)bLWjr3sFPacG@E;c%ZOUevy&%>)j7erbquRBAUv ztZxMLr_y$ghV92k5+}GX69VHOC-7k{N>A6|_-j|`AsRrrIO~q3r#hMlecBt$8Rry% z(-$=Gbtbj@MeCF1aX+eljEA@<=jVrMxyrBCL5?;8&%O|Z@dgOI^Fbs0>WQ61`vtt8 zwKUbyMBte4OX+X0z!?b|p5ZCUP8=j~_R%~9B0(TuKgo17YFtFwf1?V8H%j1L4%!Ju zi~OC;6X=NB>sLj_$70-aeHm%QPSxYj zq8^AHO5uM^I`Mgrdr`dgzf!~khQ`I2SfUIM9m4HbAo$;avc-lwGc*xvk88N+iwraiHnE0xPnlP6XV<@aP9!j13D9bCw_0&nq zeGH{59F2+-g7AWEE9-lis0Vz0DSWp{?LQZBLco0+rJEd096j4Op>%`5gRd@yk2a}8 z$LKi0*UGxHkgcWU>v!86uQ>IvaYE_mq8{-1%iudr>Trc(6^S2 z7WJ6mVK$F)ajrKkyU5W5>RHS)sZU$H%RsAr1mSdiPU~5%^YC@k=+5F_?_37gV0Z-L z>xhcw#dxy#e*vxV_Z>eF?Hn+!mrZgsfp}s*Ph;2O!T&G&J4vci$KN#G;f$-lIap1p~z)r6!(qm2OSTNLw4Dfc{7Yu zf4WcLJ`S2^1@1#){V8C*b=gynM#ZZR$4od_z9$6E3!qslaNZH|YQT4UmcjoU8Wo55 zaN-RczFxHqaxOz1mLV=!wi4S$Y@cF-uc+_D@&niQt1{q<_@L7&9S6l5w-t^ivOUd& zhjAdA#ex4{_JNbG(_Y=4)PL)^Ua)Mlqvig)!|5B3CJw#tup8phimftNiTH(d=MHDZXyL)%%r??Agp;QdL)TZ3$d4{w*F ziPWc=(6LGhIKyzfjjB;hY_;pAO@JdWXKO$xnf?l%l>$K@Ry4dZ+-V{?IBz*V}HBv!qiK(Wj$1kJm_&bWLtg)wk&K{W4jI;{6c*vmJ_(9 z-!6yGUw*km?L64rX9+o#UC)V(kCtETXw-dzEFWH$o-!geIWsLCFRL3eES29=insJ- z5_iUhtQPkw@PN-Ysq^LL{$Jn*#7WC@9S!4tVsPO*(}rG3z*y?fz-m9e2bQ537y7lh zlfdJ8gX(#mZ?F5gx8+kD4Uf+~gqxC_;a&%*4yNNHSUeAE4}KhCAA6j93o~Sl3vNSisP@aYg(wiZv^i1A4PKUx5aG*QBJ0hcT{p zI2u1rc4nHyLEBiIpFp$Upg^8~90txWj)wL13+YQmx-19U-S9`4=an@R{Gaq1(-G4J ztgDwbax`rJKGpo=M7V@o)==QW=a(HPaNiWqr3T?1<7ilaKN(y*oOnEywH7$-L35J8 z*&?nR1dOLL#1n?bjVBesvK$=+fB5RM^9BFiqCfwv*6ZQiljL|U!&-o$VL5&zIn=vJ z%quj>Ka(6~z$!Zx+c0eKTV<26{ng>s*B;9WT-#4lfh*#WlUj=Fw*mIA>;gyQ^r#|N z<`0=HfB65hF-{tMM-!2y>4$v=njD`V;r|VdoKB2&CA^626Gz%Emb!0dZV@F3M11{2U?QM9@xEdGveI?S~)7l@&Q!B`02HZ{j!_ zSLhQ~k2m31`1_M)2|cPfia*Wilj~MTqv9y$UuPUe{m|mx10Mep@~y2qjw*xyH#91a zGPv>Ms4@`;lsy3+&k5W;b;nU<&o~-ohY2@2jw<_)kPrU9O!)sUo$I#4Wv@FL#@R(U z(Q#DSD*^}pzf8=#yPP57sDST&mcjoU8Wl$|PV6|U7-bC`nj%2iW{{t=7?M>=3rS3Sc>~lxM?YNup zqT@Kyqs93aG`kE6zAq}TiwF6gosPzhbN9YzY9q^kujj3B?7c4Uig6wgN0l9LG|c~J zl0(N)k?T5SSI{3U-a*hdcYs}1oBP?^eFQ6Du}v8_uA<|p6^I`U-xaMubG+dDi5ypb zY=*Q~E8035KaM|+TG2+}V9vjyi)H6^*&}g!+YBELoPeQWef>iEa&gp(PJ(}Tlufa0 zyspjbj-yuebTlfC(){DaQN^HvT!g*iG;Hv(D=xt{7TaWpUtfDPehJsM-*6mfIJB-E z>yG1AjC3^Iem@zx{c#-0W^qP?<_dw6R(Bk?;xb3$#*>TVNN<)ueD#Vv!9Q1wCmqMZ zx%=X{72_QZ%kd-05fR6czR)%nrvS$y4(NJY-Eq_k_1!o8pq$oQ7eR>UvVeOJ|g(Pqxt`iIBLZMjtBR9eov_Hx&vLo3s1g&TtOK6 zqQw2~ULohd!Q(m3;-9Vu_4EFRu`ad({-0P^QYUR(kHZ)o^>zz05@I^(MqA}&}l2fS(o{>AdS8A4Xc zhriI#F#eWE{5Ww|G455o)bsX12m4;ehFERiOwT*-JkL7^c5wneyWw4h4dcH)66=4S zXzxvs<$H&8@?GM&&w%;IiVqwO#|hs_`6J@JV)(oJP#(UC`ujG_HCJr$yp<62z);UC zhi@xKza4-&&FznObYLz!C)3rZ3{6nB2sHh$l|ol2w=x6UI?zLp<HtFO==DGIZb;%X`91Y9+jg&V!?p%TT7`&AYP*>sKPkvus zFOKbpS03ePcpTUI@M6cGu!k#;5V);y+|Eepc_;tsh3JUKRY1G0Z0l&0{qW%i#(^v0 zH?6$zpDVjL+*7*N{l1Wuh#w3M>-SrU8yyd>>@4t32kiiXH$q&e2#5z)_H{JTcFM(t zD|-o?vp|EsWzs3v2|E=K7q0x1qhXxyrCe^EZRI%vXBcR%GAN#R%D>G0>cRbUCDsoN zP4sw-h!cy^m(f3|uTZ;@E=f+R!^$*=TVH!L9tp?xms}icUqqOvyer3J!1(x!qlqoA zKVBtUWAWhgSKjQTJEdCU#kTh=Z*Vj!9+ByAi#e;m2;5rOwCXkQk1 zXNmb=&^Ua-(L|3!7f-HyPT;%&nmGdJN<9uK-uPav3yL7e7gw&F;b>XDucds^@#V_5 z1@1!7E*H4>iu+H3>}iRkiI&gBnJX6yoK>LtMBuzB>`XwMxpJ+eiN?|KW--d3e^MWz zc3b%zHpoZVD=Xnkvm9o9?D4n3OT~@w~N&QI^64EIl7vhUaa#3hxN}8rxpf8+lu= z7Zv_L?y??$Y4lJIzU7IIS@)2Be)%xs?Q+BwM0*Ifo7?>d?$4?t>wuf^D8{mQN8`Ao zz#Aj(16J#pXOb0^@oxF?jz-ueixV&4X8g7S|0MA0De!L+b}3*Sm3MPAjKA40C-4IU zZpQB_@cV%m^kq`_H@#D7KVDo^j=o}Ogk3c9`vP9Z8zAtKL3@Eg!}oeW6?QR5-l2|0 z*eQeO3pg1sMc|DBE#hL6Alz}ZujGsEPs_6%4UeNweEJ;{E8t|@iv;eK;Bk#X#rJcQ z>RvA{AM0q;`1Rw)3OE_}DuFu@Jnj^@>3aM`uDgl(V|k&YVg0Ij-ssmCaFV?!NB=EH zA1X(?mP6O&kh>gpD4*nT>uZnY1&$rRh$+hd<1iE z#(P!ZVXeG;iNO0~{?vcS;<;Mu^F@#YFV#17@F1>OOPM;2ElpWQ_)U}%J2H~Qqj zlX0+MZQE@fXg)C9JnysKli^2@X6Nf4#s*>{7m6;Gf~adTaX= z5cif-ETCyvuWJ4$7h|H^kcni%7O15Y0J=%3~2Gv#Q< za_G1ma+U+T{0Gp(@2+Z(tvl8({%g?xxPO)tIHp}!H3JsxkV&UKq{pL*m-zl`j(c^m zlcWpE{U@E7(5KI+8vu>yK7F3;cqqRab^qa{ zg0a`+O%6}Yoj9rRMts1W*IOy}wDm{@kI}9kJucUNli%0suZEwQqVd&dq~mp{6!W3g z{`Yj^c~kXKWxS_Ce_vO9S)Jcahws1P+FKevE=ZhNUx-_5Jud_A@uHs3djq*#96!4% z>}dG8hw6a(jLb|P$v2A8JMvHu+#r;WZ^I2u8^P~4TXlt~$F<-&MbzVSuWz08nCxhj zeTk}v{h&O{jhMp9eIsc9;qXom3HyS0nP1k5Y+pP4&Czhb_$&&~3aY-AruBWZz`Ywh z9u~Mm#dZIH_gSri|28x%=e8)^A*1n9D|+h~%XzQB! zN8t?{off9X9Xw)G%7xB~Yk3i4SUto4uUaPLeN23}@z*4J9VQP!pPu3W4NU-k8eS!B zdUqCgC=EgOeHGey6?DD|dRT?Jtb(mywHn*|t{(NZ$NC4JmA@Rg8ywo{6?_cwK z9K!zbAN`#2sw&4r*`uiTV0~cF8=a_e4w-E|uvWebKF*|`Ewnv~*AG5&G>rR23|u^# zinsgmI}M08%MU$U+-=|ie`iw9L1NuFAg*2Yt)t=oz9Sl!-sM1v@wN-RpD_PdS^V2` zoQTH);*?dtI2yKR@5RCkj+JaZes=Y!K+gcjwZmKsl_wm z13zBTSm0s)U(wm1d0x-O+McO?#&JYl{j379fZ?H@XN%GU?x)4W1w)fZ^Ml?PgJjF` zipS)^xRlHCQN1uTHSJ$THjHKymW&2aUo zuRWF@cvkO-Ju0qpXuU4e>oK(cv?pl@95U|U%2YQ;qnNb66_-0&)_-l39O9pneoR6{9N#uB=8^iLUa^wJ*MI>j)rlg{VRR@E37}`LTXpI69g_|hzj^=lX@)^ z{x#r!<_g3DhK6y!j3F;@&Aq0?o8_G*a1l#X+;31luh%!?dl0|&;ctR5omj7^xZBYL zjCZw|Z1C<7c!(h?{^Rg^w-xbA5FTOyLlc1Kn~zmsJ;L@U%!Ml8mrd$@md2xa_Opoh z;`t3tlz*>y#qr{Ld=;f{8e3#jl%8J{^>`Pw3rsCMulM!xd7?fx!`GkZI2y*|?|}N& zlZ&p`l-#Z}1>RE7!iSsGd$C@>IE;1N3d}7HE%*ChvMwRF?ZCSCQ=YdSZMynNY%gPj+^b8lt#$SI zqxV>D#4}dzZy*DFwn=>&*1gVGfq25uDEp-44qPqP;}tSnT=@J-1B(AXryhoVI_PM) zJ%0wSUL|I^_B;NSjZikh0rVLypR1sjR7tjHCH%jk;rZ@v@b|3>D>*8K->+-~niCB- z$nh8P{7b<7ua)pmhK9$(*Mt*mHCW>vBjgH!7V~YB`usz#LkGy!)zPT&M|iPTfi)g1 zhH0ltTvMnVXwV?vOLeawR^nQKpb_sD@_a{Q$G5u8 zfbCZ0xq|;Nl)X~$|3r-MfOXf(5srrW?}Z$Cwe?Uj@}Q?m$Ur!iY1neHU5D)!hf`mB z)ZYoy>JMxFmGIvt_1UN2W6JZ3*mk+{8b@QtlV06r{*cG=M=VhZe``|T7KbsO5DOR@ zAAhXoGJojG^1m5n|8BT@Uf<4#!N1tiF#liCKI*ms)&6J)%l|HveOT}x=p98zOcyX8 zsJ!3NsCY>6k2e!xx$Y6T@cEU`3f$o$9ty&J%F*!n*`sl7bfCx269VT2(7Y~i3dQ}x z0rR}dR~-%Of&8PM;jkPp3I6Y(>^#B$0Wp5|s`~?quJ^x}qH?yQVL5hzzi%~E%P~XX zEC$U+f%A%Zo*>}5UM2jvp|Nu4$c@J-^i&C1DuGkE6x#>b;D1)bj@Nf$Jp$AALmIcA zIMlwSLXQFKu$3P>8Y`df3(Oz#SpHw2>`uXdqvo&Hv;7O70nZIpe(QLscsy$T6|cX{ z8yCI~e;SJGv0c<-5A^G*;q?pYc%167-CqsK%;%2}I3Db`estdrGI5-NV0^Tx(ZlLS zpcVeV-=D>OLMooiC2uM5R>S`r8twn>cZ$pc3*k_|u{iMmtJ|4ccwWC-g#QodudCtz z4UHZb0!OW^Fplv1t2=?_RDtuD7#9I{WOWxu!}IA6g#O}QeNy9cb!Q=GFYr1;;J+j8 z=MBQ|?`T*~*?z*#tnMRl&H+uT!1+|S8^`PU1KN#95JYx^2{r)8IF2FH- zr%C;ri+&RDeuUMd91Zu&9YU}6b#aO#*&os)$w;`X5mT)Gi|4&q;d$Si!DGPd;h*~v zFl~Q@&tHu?nbg0lSfBg3&%nNY2Mp*lsP6#ZxR~f@qV#I)5O{u;j(e>UXWfE+Qi;A> z2|u_R|KD#hXu_X#BXzry z{n-{VUv>t_cVF9T_Kg)#p zi1mrpPdgs0_nrLSLR@URBpEM1%p46JF)rqhR&LDIS7T0TQvWUDI(3jedd<+|=@a7iH%g?w;3wmx$ApU5)x~)N0KCiDuIRk`Ma-Uhz*EHJZNS#2;E_Ic5r+ zC7@a9a0VoZ`*j1}OSO8rqmej6)5hRAmyFcRv{C#%N}6L^c~*mFy}&t5-~{PwouiTZ zqNxYVu~zWkjI!|GCJh)a^c67gSpA`+Vg7rSz9_V1{;*-T{-2@j4#OSS%*V;Nzn{(U z^{cNO4g2>`EdRjJmT?hVSll1M1OC>e0XNirJ!AFHjz;$95u@<#BXNCv^=^T4(DT-` zH7JnpMbV%4_dgSfGo^fMj&L-xeQ4d1?J?vaj5VNK(;gda&zgbQQXEcw?U5b{*XVH# zVuv;G4<-#L6a8a<@1DJT!*L+_UqO1e5Co)IQ&WZQ?@@z zs)P@xhoj~8`bqT@HIj6Bk_Y;;c<}ja;KNNCa6ruC1J-}npa~6)9JdN5JQ~*;`8$!+ zMiwU-G-(C}?O#_fTmiR$g_5qcZyXe5rBlBhS}u>Q~wEDmh!nu`TaKYo&kmxJbNfs-NPyCA(?+FmzBRyHb3L}lyao+nC(c1%-wJ=TeI(vl0NYq!iRA^Z?I-_2 z9sc9c20mB!b^bL^I2zf06jCw&#{_>7OAyMymAd^Xp7=H*o)H83P_5%JKgZRt@!$0N z0P^Qeb7gFaCSK!OuSt_b^Kt+73Ha7A#`XKhqrMxl&Gx*84e&tSjjNtb9ZvrhN484q zy>Q)2CChefp8m$dA&N`Y<0!jG?W^mV=UkF?Uauj8aG9LnXdKSYEt(R(x@dB*;mIR< z;>4Nn8_V-*dJpS2jvD&2;W zqxKSzD5K8nGb9=##2n8hLUH za;{ddma%y7*ObJqQr5k9;TeBN7&veBs%2s6~=7@5ld8TrQL6_J499P^n) z*r~>iI-;CuFDv)Oiu2l3i_j*)JG!CGzYH`~SG4n5>?5`Q+-rG+%}3pBKKjtwi@i2I zWd2`uKI9~wtxfjY4EIj-%LyN8(%KrcXa3{s_4-1s*J96`h8W__nYg#5i|38W!uF`= z?SNce5N~#R&+x0Cf2)s&wY-Rx);`HtZLab9`{lh$)nP5l`VvOo+WVVK^|UW@WNop7<&qJeK*&C_LcSyNE`H|k9P)^5=K3!fYPkDWX< ztlJf|X!Oh*3g**mZ$dfp4y!Kd#)ocCvJ-LS#Gzpxqp{W~sNFF#g(J$}}{ zYhg|}fcoE zTWp`JvTSGf5U}gxlwZnz@5FsxV{2E9zvO)MA@FP8N#?`G zQ4;>K{SdE(uN|w70i7MZL8`_Ns7Y^j%FBL70AWat4I|NiTy*FK5})te5YFUbJhiQ!l;2=6uU0ST9sA72CP0Y+!$aER?EH zUZ)OIy*5rSqtsc}3-+secpdJRdVxQr#QE5-y3p(JZ<${p@-aB7MtL36BJ~DeU!}{b z()yS5mPdNav8SW-rux&kL)(u|jWh;SC)=Mc0UP*nlY+)!6_v}!riPu;Z>;{L2RfD4 zspCabZ`0IS)(iHlvb>Jtq+ae6`S&8f(Cb(fsh8VSnJQgYrAF z&G+b=@01em(%);Z)%;&pbHJ*Zo;MxmTABMcOg?=6)5K>keCr0}pJ<-f(e!V7-rH9o ze<7G7A&72G|F-_%`|fD}zf)gNs@3%1OLNewa%`w;YxBJ)lMlX(zZ&_dYwO(+ z{Ff?x;$4NhBDnSA1NZI8&<_&4+emC^ z&-zY>YPJ3jK%S2r+OhY>kmnbbUxl(hc`}4Nnjf`u)z5<8YyS42cI5s*z8QT7L30}_ zZR$hq$n6ckO?~o&P5$<#cI5V^m;im_gfAobqg|-IDOI5|?GTjHzU5E2)P5oSL;vlr zjG#YW)sJXM76ga>`hgquS4uRdD0rlO%Ri*XJDsb7Z8)*1f4o< z`D?HyLAnaHkC4BIlE0<{@}a9xuLyedqqjFI{`3oDb~OE)`q4hwt978Sf^7@UaQ5mg ztU-{?svbn8bZYE1U+Oh(O7w5d*ZJp|O#CB%gV(qT+4y9o8x$CqJifB9>y~t;1F$h^P7x4(oA; zT8GWREv8tIP0k!?mt%Qdt-1i^uTb@=zDfPtUwc1-J;OV^?uGtH_Ox2Z1FR3du0@5a zA8nxQ#~z9&x#r;_&4${aFstuYC#wIdGvG3tdCl41bN=Hf13f?681ew`xevUAc3#sX z4%NV(V~vgIsvprjP}Zf6*d;2JRGgWgkGUG3ApKRtzg2&L4fey<2V;cr=$uXoUA#uF zKCh~LG6L0aVoW{gC8Sb(A?x#^qO3+)m#98Or4rZY09_a`$KbaR+oRZEM{Iq7!S%s8 zof1ZRN4okfR{30?FR=d~FX5iZ`oK?8szzCtFmS5TiFM-o>>G=fmaB8dUJLx4%^Koe zoK$5sZzX+IU?ZKqE9wWE%=N=Lof7`#HEHP62kbhf>RSHOKg`yiV0^i5LwM9Sq?1yC z2P4gRIH&m~yy!J{`uIrYKm8tT>H=n;IM+MM-#%LvY&FWdM8Xo4N=hGvlk)Q?O{2*@ z_ZMm#(gEzbZJ%0&N9S}(Ttf2G`75wdn^1o$Rk_uxy_V^+zCWt-+y4 zJ-)ujd0lSZc2yoDPYu;L+l4_kB|If>?6u*-oGIM1Y(2o6QnjV`T2*?9JfEU^ zt~*lY6UTKAqudu>>zR@DIONLJsN(oVKU&vNAL)AR;d&HMMeKOr*?5Sov8fl0X-d_< zsDJ#nUg$M<^=hlm(@3uQ1m*7bTJJIAU+ZUG8&pA;vs_p|X8ardI6GtvfvJa~Ti3$u zd94pg{d52}?4(UiTwKli>#Y4O{%LN|BH#I0%BMtrxCZqkU)<(6m;ZDzXmpwCb5Q0? z6owzYi~Q((ln>+F09@~DkNsq9ePj5UtOFE{3iH(e=J{-Bmr-4ORd4H)O6u*)zN&udA#>j7*92 zu}Aw}6^y&lQsZb8|ps;sh;!f(iO ze@D5SM7dv`N$}y`g>tuwa(ky-L)UT;>9Cz;eLbM^316qgAzn+i6Pyp5MTzvS`$*zw zvJQtAG?_{t+SwEr0#s}8vC-F)Ab(Z!<8o-vSbEU?e;vx})bc;tPO%&% z>a6K^>mKl0zD|0Oa$r1AT8FY<6w{teB*zkWJ%Hly9mcH`g(v40hKq8s_B>9}#9GJU zdO(Mi*3DG^_-%EPcZ}=*s}w%_n_BEY=Cx`{`lfwqua8td`KxtbFppLjc!?+ZPA*Yc9D^dzkfJqPG2V4agCHn8f{D_TO6MQ$6udX&uVz z)Vi%+ui|{von&D-v5vm3%xitJl#}E`KFdk_tGw3dlbp5KC;od~KJkYxT95G(Q+#^Z zt&pkg7Z0g@_e*-%WjKX`P}5bKvT3 z=hDXf zyyggHIew`j+-;zHAJ~^-yC6pVGG<%Kqq~mG9Cj(@$=@kX>o=q9MQZChZg89hI?Uo}+>uU9xf&N6x2V+f8_vHEWzhaL zQ!T$1C0^6UKHPhdk8(R_d)}^{ww$+fg~mNlG$n_E86=BKh6kzUcJ+_n79V&=Ht^}; zQI%JNvg_gVRU+S8^SH9-q=)h2=)A8!PpOhM)78KEt(m3kvro~{Vur1cE?a}xQ>TP$ zyrbCPu^wImD(XRTsmbr^H95-C&p{q|kRI2+#U&E@lAqA)3Pe})j-sqVS(jknYi8I( zmg_gwwsaEqouduYKGaWZ;CpK}VS7!~e*umOALn#RINLkI)xT2Z(+v3o*rMucFJZ8l zS9ATe&#ysQm#BU#?IG9i04-;!Q>K1q=FRn^y3NA2MAUDK!l!dOC0t1BAW}aYRZb1c zx}@&!GRKU+Z~VBhzSPcmghe{35_mKQNFO+-Q^FN`UeE3Mi8?F#b;2t;zUTb!Knor; z16{uwb`#f;=9qbFQ{+v>_O<4LvMy2oH1k$!Ka9Km{&lOGU%->*IG>C9?pJtpPN#(B zv~FeeLwNARl-3`k{?%{8F3I9hwNC+V2URCYKkJ);_FHT}I=uCmC$pWvIn6I&w`>pK zt#71wP+6DIAJ#)DIw`zKxG<9%^ zeS^-Soy{8}uvB9Y*j)cJKmuK^KVH-ybs~H^r&Hokz23<6J5$$>>VGb_R$gMd9CxH= ztOrn9kFqXN{rcNOrRT!j9JY4A-`=ueuB~4~Xjv8IdX4}obiKZZs3)+wo;asd;#jHY zbWzVt(020@uZ^tdFh#i@WnH3rrrATK$LkC8)bbVT*-F-vx&xQxdgg$Xbc%Mg?FnqI zC(h}V_^8w;`C=N|q|XW1&h-+Xj;!YuigG>5x7?p8-a61j+uJlu zb?wg1@OG5tdXi2^rx3~NlYBSzPbDUCP24Z*SuE=L7|Kn+O>mBL*8uj0M`8=0Zd-O|k+;0z+9C?$}-H)iBum3`z&Z;QMLAGi==^U809;h43 zLBguk@>D6uYr3A$0j2eS^;-5NImEnq{c|{va#5)P&c8_YecsLg?L2FI5#1w(_4KYr z?PTiAJahe%AbZ7eWI3h(u0_2_k8i0mR9>gnuX)XQe#808)me@o5cAc%E^8QhRR1MZ|3z+|Nb_aBZbR`N1ki;8<(L8TQp*wj3G}h#(DCScl-H^C$NG8? z%K=|W^)vAbA5=>I!LaeuCf*9MocEIpm2{AUm8 z{1#rDbG!tb&-0@!mB+v0{txE=KRu8740Y_|pC@uY&eJ@NQcZU(W>>p;8s$@>dDw>O zs0Zd^ZLW3mFuGpKJibxo-Y0*B>!mm9>!mbL_9%|aaiKv>#5J8ZU%!m zOvhM2gEoKFJBH_B8+6~<^fB<^hoGwH>y?)+zV*U~AG~AkE7RY2Wgu}uxo1#U#21uk ze96T97=E6v18!)n`Jz0}*QyVDz4`i_!UEhF)bkoM54$8eGo8MJZl4?3iaPv;ZI?pd z&=Oe3iJBb7JZuAE0Yk$${5->mwDb$Z8V>aa4n2!OI2%&1!DelM?KY{|f7Eje2P^Q} z!uP`AoT7>0aJQtMdE*Pl<&F=9!@1KYU7H)u&nvh#tc40Eg-$BEapKjJ@wS+>M-Oo6p%v@vM`=#yuAQ>7M-Pr@ zr=hx?;FdRF>}$+xKAdWC~ znw=URIyyZiI}InK_&n}Cfnwja|t4z-|@i=+_E0hLMH2d0xS^ocz3TJ*dBRKbxD&m(i^|*YkkuNYdYJcpSLSUlN>1 z5$lTN@38(OP`T`(S|x)a+@RwF95=h!OJM)YGLk)qeX>dT4bFc_+kO16hmUCbj)&9pODjA&M zVH>vHq8@9^IxXt4Jz5SnR4_7e>+yr)xe2ne{b`8%GEguU4KCeERL^N+^yT{vEZV~nef#!(RHACOoN;A`}-@dRLWb&Q**>gR=P z&@Lav)`O62#D%Pl2z?|up4?CQ`mDbGH08$0xqzd3ko>kDr{cJ;sK@)}`Z((G3t6YB z{V3a~_g;!-b26PrIlh0N1}>(36DNlWigBPri-URdMwB?Sj7n;S|Q_)qoNK(83AwH>z%AzRiAT)HLP`7U53v;gv zofhhbtF(EO#)VD~_37TdmpbSkSyw}M779h?#XDAXXN9`aO9KuU3=D}5R7@6!Qa6R_ z$0Sb=RsSBU4SfCnLDzO%ewVhNuzKc02i*Ve)9sB|U?XCJjniFwAKgU9AvA9GXg^?x zQ7oR_-T18I#rV6Goe=P9d_Se}Uq|_uvB7_vbaWr{d;#$FeVSyosS3vSQ`xxnc*pVN zdhoo)*ncGq>)}mR58}6R9=7GQr_#}5b-Ya1`?vYz@Y#Hy{+Bu)%I`mq*X)@|CPym6;?4+$sNBdBsXAJiU} zMDwAsl1A^1%N(7dzCn{YYQzY18z95gYXd+tX-QZE51|nD&hKUW@~ZXF=8tW#GHxGPU?HDG$8X|Y-ib~w-7xgGxi!k&>?OVtS+6H>UYE06 zBA=&eXM)i)Wp1hSj&YrSRjgY?iVrz^`rbvkR>V~xU}ADQdB}y(|OY0=5vt`{hcJ9zxwNU zx(=JD6y8tt1%8@%O@H;8@N>>j7wi1@as3=?m7CL$-`s14OY3}Hovx{K8+?rOCM9^y zPWBQwpRezr{d}pl*X&PTYrgKjIYIG!KZLsN$M%i8eq-O)IC{sKAO7~*_q__Izkwwp zPMcAeO}o@SU%y!!c>N~weT~-stGl4d{%EH9Pp)rl+N>TK;0)V{<20FTP&rs}~oJ z^*jaR1C!F`w(1|hEqd$ghnzn^ou~T!Zwkt__FCXMQGfk#t#7j~XSvuNs$V~U{UWXd zkl6~VpJB7PyV>(v;MI0)SGj&PuF&o_HF575;QVAg{!&p6W3~ArXTLZf=jAmVQaxh)aW(*^cw~Z z3=iyo`sA^vv>bTrqMN`J*6yk0e z&pY@ku0y=r=#sSb^y~%=T85L;v(my@*+Y^u>E4(I4O)Z;XVJ^LaeG4+3N#L9rHl#> z$!gG`sV&u@K@(S?so4c>E4zR z`t%*qk}@*WQnHn7DB3h^c@juC+;7l8#w0#X@Q%r%ae10$L2m9i6quHSJ8p`ma1D#F zY=s*(blIY5h5cz4Ro8{+NZGMY@TZ$EQti9>N$fwR_UZS?;bvXfoufUnf1UOSy63R} zyeo4gUJAnDcI3bG`n$NEy7_fgM)5=c+XoS}@uPZdens)y zJO}^q|C_MDN4K@UYK{laG4J79QnxnDtz+j*ET z;o}+t$+LMSwq@AXDjxLPsEVRMjSqif-V3TYbem?(4D&sR3wk>F@Sz*S)8b=XFkix7 zg^loG6E?5M_L1QN{M`coTOU3+80qVf{{zR9@qa<>$4;7jBVbtnTaZuqUt)v(*}TKy zA9aWL&JXT~5b_g;ZsU3su?m>)0UX8BKjH+#lgsVpPRuo6{I8Ht_|zYN#CAa7&)5Bz zuIKz;@qbl3w>+fvwAYNwu#z8f1L0HJf-$v)dz?B>ye?q;i2l6=J%nhsK+i1SKEq$> zcgqoiKjMWgZ540&O?*oC@5pf``NP8y%@*9Jp{|P={`AdWGI$yXBi72%4&{>s&RRV# zJ2Ub+^qW0R-Uf`U9<6aJ@WdrkGes_-cMuGf!D)+gKXCpgaAs+HDf!&1`Jk+^e>yyId>#Uyy9A$#h<2fP4|d9= z<_|{XKY?d)E5W1O^X_~AVdYB^@=izoM&!d6-T5%`OU(TO2(=p_j>LV>TmGxKZ>iSx z+y{HlF^Z7)C6s#_8_G~>{-N>DTCcC+Uhxx=zX3QikpH8Le`)+|nTdLQjDJkH?tBLE zF&AB@?hu47E($ucCA*+2|aIteIgr1DPc&2oX|712c<1&yM&)3+5`Hd_TYT9 zT_T=`qJ1kL^hbK8v;}RK*dwBT&>!iU^U)@WgCp=E7wMVv(I$zPN902}y#n$!R^xqZXUK{+h@I5>g~?+*@N+a1a;J>FCZ|wmP=BC0Y&}Dr*xJrec+J0{ z_a8~TUap)iMLP{}zAqjt;uRB>*akQ*Y$pzlwI^)7;14NLd7YZ? zjjY#TpHdQqn`)kepRH#l*xpZVyk53xkazH+y-5y zvR{kxCtGh))LT(jjc2}jCv{}n@KIUWcsd8!mLK?Rz22gEEzCT)*43BRcfLbA(i%^T zYG+qFJ*CIOJ&JxD*)2Tx^rl{)W&ao1)+T>G_`QeiBhQ2B^|n5b?eS>4*z)T(H#K|W z`FEatZTcJ2kxyysR%}nIeU|TgTF5D)mvpOjn4ES1r?y#|dDM=<{b*_or!Hz+axIf6f8z^(A* zltAM(X-0R4X}W;#V{Ki5vhRRDTZEZr$@N z^k*uwwHEI;?V(FF_8L8_-=8}EUpoH__`KtEeiN_J4BfBkx&+g2hwoeO@;B-H#MwH3 z2W(w$j2*No#zmvAb^eLx>3p>5)BTY@&!68Ju?F-13Hj$BA8jV-$K9p#_aXm$Dk>%_ng}Q=?jSP=IV>@wplJ`t zo@}uwniZy|mX#$YB^esIq?VZ_l_jP9q_VW60*2)Q1{h$Fff-;}bpG$}_ndR@x%U~g z*Xy5WUU%+!&gZ+I_3jp5P4VLX;J;1ciz^&X%Vp`CTdZsjF$e*mPA~-Y2VgHxIIJYnrcj*L~!jIf{1=@b;!fGiSe?_g1_| zfOj@6ZZe%!zK+x4f6oAi|BH@ao(C0vN%H=jM%n@KZ?VXI2W<5y>(eIUyP8<7W?s*G z|Ljelu4=aP!c(sN{1eapV5~@jX9~1#-C@U(q2~?a#MbPIA3ol#rVWW6;kWAy;Tth% zRB3XYTYWKf=U824?b+W(5Xb?54(h`UEhY|?sd5Wnp=ZB%~!jM4Jqhq8$?CeNjf zNy?|Q>)175tLYD`w=0>YJ2a~F>g?4clrIM+adfefxcgM+-j}ENB3r6)Bv0Hk+`ypE zgDXxOl%zO$CUaVdGiOTDdiWjqOq1!Cu7~F+hi)zNR?&-=UjNhH zR^KJ{5HA!oPIayxcqGDqIOwSDjX8C-%o{G{#WM#kal&>rKj1?AAKL(aO(RGj@Me!eJv{xvF8KWhgLx+Xh^r;how z*Z3(D$I~Z{>gRgc--+1s+f5E!{KR%itBH$CnX#sWUguO?8Wu_Y+zZ{WP3H5VpYK^* z>vKQ&?@Z?Iw4Yljhi)DEHq5p3`d|F~oA|jcDzxL9_-P$Hnt$5fzZ$Vw{|?V888>&F z7DnR+HcMJfJY32=U|PohVY4LhZw>Po@yI+J__yYCi;I72WE@{(GCvmoFFTPFV#OWfN;?6y7+Y5VUlrBR%SjU;K0E)|^M?qkq6W4k1c;{(v0WeR$Qe%@e1QT>B{cH9ugz$a<}EP+&(>?Vq}kB>mB) zg3@5UvxYV)N$c$`=th}>PlxsPZHKP}BfsVXQ!p;X9|>^s$Sb%l#HnjZ+WwhGkWujU z5NGa^r0rrY_;se>g><`EN;!1vUuF%#dOb?gE*40;cseT5xYgNqQ5FoSAJ#5*B*s6t z4$2|+XOY;CY!_>U{+DdeTb`q}3#I!FbT2u&j~W+6?sB$Q0{Rad7lr=+*na2ek2Wq+ z*OH_ixm56rU|q7t&H2(UDjCDqJYWj`5Y`**L6X+%D)2{4!9PNrGQ~N+RLML*D%j@k zbJg*Jx|SsTm7U?|{?@|*e`RZnOS`C)D*m1+JSp8SnC^vcLs#0xuPnX(mv-^GFvTag zv|YG)wk#VD82^2{ka>FCyty+OQpeBZ43^cW^AY;wdes_Ywdr)WEp8mH8+dKSi0t4I zgRYIvSmmAXm+`{!ul^>yXB)HS8OI--kMZ7x`YH>d?+yJJN8cdp^g$J)$~?7~<0i4V zm~lS%LDrA+QrD8Cow`(bS}K9zjr$nhDK5xEwfwOTI`wpBBdkiYZ89pVi=a=-{6F&H~rG{K5&ZU`7 zdS7Jazv!0^tmN3D@{5cQ{0_fg)P8HHv-)>=>|Z_q{KRuPM=a-MD!*(Qb^h2Noj)3% z*I$Eiqw*g3&?8Ct4hWx~+tKqnm3M*9Wn-?d{5so0ODq4I9rEXOWc{o>J?FD#RyiNc zkGxEbJKwP~Ha0lA{pDq)r6cu?Byv8x@>^`^lT^w4U-^X1%m3zAx%ak7dw>7D3;H-H zA^&m9lUsy*Y43YwJsax~7S%(&M0%bg&$#JR^Q^mmoz{bWt9}{qy+HnFlrbkuYX0S; zoNpJtKLmU)TY7)4zWFzgavpsJRnK9V%ny~nvpmv1HUxEG7{@E$mT_shCE&lEuk?WM z{PVKW;V9$ue|KJ}lKHUm%}AWB&m(~xH@+y{ThP8?X>Gh`PMS1lB9FVqhiZPDQ~G~G z|L1_dUd}az)YzYchBi>i7%fToT;e=1-(Sx;T>3Wg(D8gb`BO~uM`b+s_(qHCI3W2O zP4j16KUew8wZgBsF~8@lHX{W|0~1~SX}h4Wh}zp=2hwWq~4p(eZ%y% zWNKzkr9k?(B#j@}=ly+&bLQSQb*7Z(kS+0$u?TxAccE_y08OsmoLQ*t8GNlY1F?4{ z`BL%|EUuLN3y=TT(yk;4&$@SQUjNgc)*esc+E!7a+O<#CJ&ERoT_-egp3zQk`{4HV zF3YvG9V2nJJss5J#Q42AZJ`mmW7*nS+K*~aO5Y87x2{n74{1+QN0Nlkr52xZ@41xt zN*zl)v_8)#e%noplAt}Ur5_3|?M3pHTTF}Vg7&bscYsTtkD%)Nc5~2*d}K!a%$>++ z@s*H&pv6`HDDtP77LTOb)7mS%>9i+gCew$vr)d+C?Md2**t3>(&e~-viOYaJqW&Z2 z+SOiZPYdzku(7!7|1$rtEsHJCaX(xyacWT?#$C$5 zHSV7!-`f;73*#nbo_{|1QKq9p~TZy0lv1IRwxqz;zc;SPj;QV;;e@we*+*>O) zVw1+}e)2b%;(vtxF0i=9OY%3H;;o@Sj6=e&xa99N#Ro!MWP1ET@(cJlK!{73*T0JV zeADuTQ2#>#zvS;REqjOj3j>_axR(1i^}Y2u*IpJmd?kCymztIpA^+k4m;6H0GSSbL z1o%?&i%iS=LVhX3ejP`d_bU&WR+G#ral5BX{TKbuvn@{HQs((zB)`P8`WaslZS?j_ z8MuzaFOy%I!llgf*N}h4wC)-5FAMlzCI5U1mooUZ-!5lA&~OW7$5_9Vfvf&E$iJMz zrOflMAivDCxjN*RGSB}O`Bzi8lzIMo^2<$|{UQH50e?05H&XaYi(e_cM~o!ii*K** z=s3Ab%fjm(?Yf$_ar<8jA2PK4=g8l0+I0@wpOk@X`>rDYkZE^DC|}AP|GFabt4zB~ zLVmH?@vkc;zt*%H5%No&3C^~*mW}(8`L0A9e<=f#_O-5#{EMdD17ZEvTU_}Y$XBOu zDHGX@%j>$bUt!w)CX6pJ6I}S$bt7M!#90<8F7aJ=3;B0U`&$G1#Aivt^_KR3HXWk) ziq9fL;=8U5{5jM9^&mb{Cb-0RT?g} zFFp(ZqX*e{C&TTZCxr6xS#XU{5BBw@!@wXu!lU-BWZx6KA%(*e$$y1>7t`UnP(D0f z{`xZVT}_8Iq5N|!uJQj2`EDs3pCtv?dpdsBeTPE*6Q7Zx@$FB(jeI{UUbn83GH{LW zX!0FQ$FP0Lb-IYZoO~bC@k=592#W{x%O}Mva-qaE;6B2zU;j}LIkf5vnw3-QSTzL|X1bQ&At^d-?R$0O@zst28RnKn_s zmomY%-^rTbi0Rxtrt`N#{@VinFOa{*boSSiwB1M<@}*s^`|yGvt>t&;Ka-FPmfT3;Dkl z@IOrcZgb4fLw+fPU+sUI{B7pgQ$qVirq}-%`LCN}uL$|2%d{ z@H%tyr_6DM8Z`bj<{X{0UFgKl^)goTq_)p;2B)HmtKX^COy*jiXnS!hRL%_S6Q^Vu4^~j9$SAd^m zPW_iTKeoRl&_5EqPYOq-@XI)|evy&%iLUZqhMa3ZWr6+&q3LfvQ4+=vnZhsYv-L~J z_b{KR4EeE1aBY8Mpc#O*{PfZs`en(5Ix#KmXi|G@C=Q1ZMRqsN8rI+xlfJmA_t7LcznJ+2Acr<8$feD5JY zD}_s$=YNR&eADBHVSJ^{dH#ju?@ZxR=iu5tSCQ{$dK?Jbr^p1?_PLn+5Oeymp?@ON z>wkv)0&{v**gh9oT<{+<*rGU1o@DfhIjFEMAF8Mdz_7FYdi$vL3VG5TraEbp0sf&lqnZ3jKy%_K>C%-g>zie@7pBuW6ztNmI zE3|K!(G*-?KXX@b{3~sa`x$he+t7{t1as!LV4hnqI>DuVZs<;aiaFC?AJ_KthQn8~ zU%~dg>6vJMQYQRdXWh^fKaVp#PYv7OTLHi1mz$m!g!aD^;~RRAUtxOQ9^#@G`MM4w zzwT$|tkPf|w0>29=g7Zf&iZADOP#{6?XQ~r{pReG!}cdU;9CDRFAw!sSzPr? zex>nI z@>QnS-$8BYeR_zCOs~I$e1qxzXs90^@BfA&Onw;+B-=)U}$C1+JxvxX}$NS%ef0?S{A`@Ko zPat1nt}F`m3lF&JpF)0!xiV3IV=b=wB|p+!`JYg}$n^TBk-yOl2>bsAk?Hl%C0}6% zJR9m49 z3NHGq`jek;O2X?Y8$>3!%&%3%k~Lt-pF)1|8C>*lzL@-i6u!vf5{q%#VM`{tZF(B5INB8*}st!Jh%Y zjs43~s`#0*kMQTYuVV%HGU)I@F2W}87a4m*{;N{T!)s@{`vP)KK5~EjE%0iE<)T_r zzsME+q61syqMJ}g`jM;p-vYHo-ptKOO@cDWzOIIW>MChqRv@cB%aps(nkr z@kK7qB1NzU1K(oPF$b_|ma&%DyQMXP-k>M}L1-&b5ze4riZ3M(6Sr|15{I z&mp7p&J<1^Xnfe`kkRF~6h7bK>~qLC=7to0r^DIjka5hG6u!XW>~qLCc6JKC$KmXA z$T;q6Dg1tiv(F);>s2ZIL5H)?A){+*e9ArK@WtTBIN|ve|3cy@_1n#8I$=-zJyva> zi{PjKZtM8@*W$+uDz~NK*uYzL>Ct;KF;nViJ92{HZByB=IcB}k1 zz_BF{N3OP?mEeoPH>CVSuIgU_j;)+rP3lLk>VFFyTjk_yLO*<}{~d5_&BNhS`K!RO z<&@O?nuAZ}uLZ{z@T7m(t@3l=*pi1MSN*F6Cl;qHPx*&j)n5gUt(=@q`iETAUk#3} za`HCeAAG961{_=SaQIYyJvg>-ayBU+K9%19jxBQXHX$FoRsIohY{|osEBkl z$v?;Xn1^?FeO2ucs!`W{{)>%7J_?-@CzY!T{ zr{?#K3mndVBQieuK#Kn!hqK>^j8AS%;rBb7y~sLeY6^eQ;p{gequ049{2_<4--wJ} zbt!zI!`W{{#<^7~e38T1Z$w7#>r?n*hqK>^jNbcF_!5Ud1CETokEC$wMb{q<;8Va~ zOVuBIT7O5trx|lzL8|_qckfMmXiOX!`W{{*5&^CCgig!}@a)-0uh>R;or|>r%&VC~@2ArJ2S2&#gMq~__m%`t2IQxyr z7;q$ozvFQB8<8>a-4wpk;p{geVLU#r7dr^jAF(j;(okgVnzUnvK|c^^lbQBewmD%#BOHXC&LNluP^Fk^^4~{?*vN zRo}2N+EipREwEoxC(~?#JbwiJ>T?`kY%*m!cAm3g zPr*I=hureSbC11c>E*f0HN;&~<0|rd;qPXDSGFX7w$1DRd2-jcqdOGSt$Ck(?||+R z_r7c7RZ<+uYfk=>fR2}{$*)GaPrR9Z-e=QAmZl1y-18SapJ^Wl9qm}f&Q1Mdxtp#e ze{~@D4gX#ro`Y&UF9H&JN-R$maY*YK66zTk=qV##5s9Obg?hBBDVWehI}n~N)102& z^1P|_XACc!A2_N)$yJE^O-=; zB=TSM(r7CuhI%fDfP|h~EYB8d&OY}b{*(_INNl7ZY(oATX&Y169%8%4m{*pw{mq#B zeqb_&I9yiFmW{;!&obIBM6YYVo4!Jx`!RjW^mpw|<9MMjPPV`MEYB8XsDJt%p9*af zDj$C$`CMb$^hhMeWZrh|Uggt}T}s67Vau~+rIWv3<5w}T;@WbRFER7>f8R^6`^-K! z-l=>)NFiqh{ilWNKR<$>v0(G(cuq#G4rMeuHTBvgu_@x48y8X#Y_vz_x9iHsAD0RAirD zvUZG~I%C4j+bq;r+q(2*vWs`zrLPrD#PRpWY;9{YMej%)BT<@Bd3D2vjvT6Qh#6Q? zGRl^x>2jDM{%o}Tn_jSc{ui7r&u@ou{kx^BlJ`^P$5mbf6D8HZ*ZMPx&yDh+-vj}r ze+;F~Y(58B#CFr0HEI2>XK#kKiZ*SN(_d?YqjBG-uVTpFHg$r3 ztyydbvL#77bSYEs?laXmxG6#HpsqF_X9|u<+u^3$&Cpi$^J1JGF9&wiTRWyqoH}{R zTuT?#$Hn%y^b?l~PIC7-s2!!YRIj5BW&C-_6fBYJpuzXvHV?8io1xw8uBW>8u<}zy z7PI4@wPVisanmCG$dn{~)1`uEOslv(TxUyF{|(UbUY_^T`kCV-ZH9L9Z)`r&@7k*E z2eJMv^RcAJ4rEJ`@xi46UO^t);po+l+0YF&g?e9Z7>5a#b2GG?|Idrj`dIlX*H1JK z4b~266p?=B5J@sNxm0+CDUS8eu%*E~QFwhAC$R|`dOiMD>gi5X_;d3~y`HW0NSWYj z{~hFSNa0fE`I*Z%&oD(DO#hhwO9B5^$58A)rv3`*Wzuu4WZ`$`F)7;KZ8@h%qE{w(?Ln&MBJ7BN3O!td81$Sa-{;>_!jeDYOoO!4ece)kwRq^ho_ z_`4zA!{O(EN&Y9M_+`^3)=wW-`GWUh>u!p-hdAYm%XlF9r%dsY&^~xPuKIhLmK{U9 zUm%}6Hne13kM;MDapmtL&qoKi)LD(Y|7sTf?S9kJKc^$@PwNa^>$im8+~V_Xb4g)T z|C9;8*6$E-ZmvtPzDA2)~R6Rb$Bi+qCLo>~nf*A3Tx# z8=)yNtu6@qtMYjJ#)1z?;qXNA7lD_VRue+`@Ob&}zYRXpw3-vjpI~wIe;Rm23ZG(e z`cI~C82KZn)stcWfk))){cZOU{|8O0Kbf=R_);f=tNiJhZVDY!Hq3S`E(E2&kDz*=s zg6!x*$DiWT? zoE@L5mKyUKG*vH4sp6lMeS|+l+voR|F;dzD{=7TC`zrE@u`K3mfa)N)Evu_3X62(?V@4$~Qc{qC2zLntkl82*L z?OO$oFDwR=_PyooTMLdavRD*b8gnkb=-o6>N^Hu*(W~djtKi3%JRH4hUp4shCUEqs zeZ*d3$YL*PU(VS_?5pra7K36*WFLCvJmZ#WQrZNLUbU|QetgN}N3Ys<1RP)TaP*3O zvZScO7Z!_2`|7QITPUr<7gUc6j?lubkKPDGx`l+SeU^e96PntM>H($Co@D zy=q@iY(NQ%&7^(ZoPFnjgUDi3Y>Dhcubgl7DGx`l+Sd<$e96PntM>H=$Co@Dy=vcO z;P}E~HEAE#X#56%zaCSM9qI9AENq^s0Sh!SN*zN3X_j0yw^~ z_)OY2#@ROo9A9K{ny?SOGCoU^u{;kS>+G8aKfdJQ=vDjXg5ygbj$XBIJ~+OxI7-?# z&DnP+IKIf@NoT?_+IK(r*d}oFs(lZF;|q(gqA9}U@Jp*3S1dd*{?|E>1$>T?_+V>(jzU1NP75f^C!SRK~VNpUqdbNKn z1IHIxJSK4TihYu{;7cBkUbSyIIKJfJFFX6*0LPa+9KCvd`7PED)!@PPWm(^BdDYqX z4tac$#i!WPn4?|=N3UFSl4~oH@^JL3eXF3smppuhvu`apzU1N9#r;K@7E7?Np8L~- z`+HSCc8UFsL*U0(7Kh3BVwc2M(iVJ`#iQgS`>{*xmn6RC;n*eiH+BGD-UObr_BVC` z$JaE!$mRNYrp03HKf?XzUykj&9n)>a z|E(uPRNRo@uA|8HyCZ$(UpUBO3A?!#08geUhdgbaBy%bOZ04&I(GJK<#O8A zGXp&n3@rqHr>Ad!izW2*hHoX^ z_X)A{P@nmI`u6D~ZlH%%_10h=ZI%Z9SUuA522Q9X64r(#s;z+v|5a8A#qfu6zexcN%``BP9o=sCYnU$r9P4=YyBc5iW(-HMdSh&peLzOSw+F+*7d6Ptm#pqd++mg{rg{dfxCra*vwle zX6MedB#pJjr=NNUy3ZvCb$7d2a7uxJNCEu?^34Rbj(D!8^c8W zR`ONzO=hAypP_y}Ww`|Zpg%OgRjbPkF1UceJs# zMKh^3=O*K!v;Vi2b?f&d5t#+1Q{uY#)}N!|x9I-{Hoh3q**HHS`d^L;Z99(Qe6xITOB|i}xy#f-3xWDf2sbU0(gzYfJU~(|+2^{U-C4oF5AAQ`ov0en;np=)QpR zUE;@>%MX0D5G}IoWFHv#O~&oo2QL;Lra3L7TRh{Yv&>8 zban{*#Ro}SWi4S-Cg;8nr2e|Krus{sb8Z>`-Y3DgF~3U^{QY;q_nXZAR6MpdQ@Tu1 z2{dv0u#6^kKa9RNdl>74LAp$kdt!vp*NG>R?^|v!m?gZJokMUlWh+`dy?bL(QayV9dq;Ssq&?o)H;Tkhbd@( zX4@y6UgQPqovTI-ExEe1iS>@$qO+}om9~xfXj{KP^1aTl57S3o!;{JU(dnzP{l)5& zcdbXa<>>sstyiFr`9G1CnlAF&wxd4t`wp^b*zUJo1%D~}nA6{XGQrJ{qt)|O8pg1m z#pi8fE&n#w3O?y~+Jb(zZKTp=GVRcLtEXaL!0(n4JSKgY?6@oK%IX_6ak9RoJnnBh zf>{$k-SxR`vk-H=m*V{&$P318nH`7b&6zfF;;f$Y&t~=2=PW@qPKV2-SP7;5s$Xtg z+cs2-9UMS>$fBBJ%DF%OPIs z7uzIBd|k>6ar3g^K1m#oR6#dQz8`0=Gk9G3N0cVV{anhn{gv+ax9yd)s3*5W!h3EF^J!9(3IpRrm2Ip6ByD3&kVstQlizuIa+YV?E0#avDR%T8XC2bw1!L-#8}pb< z!xzQxH4vTKUgKKB0n7aubmo!xyA+BupBB2c%wuBP3vy(OJvpcUP4G9Qg#C8@{UIq| z%8M9->uDR0{h2!K5c3P>{g%9>ZEs7z;r)H~yCb*H={@)MSrenr-x#@HyBeE!J&nu< zq2J9`*+e_(*Z=F2&U@=eC(e1|xmUVc*)_LA%N!!9aS`og$;a1D{;T$}%i1q?>A2IN zpR~|JXKfd<=K80#cUwJqH|J}~=luP+7We;!uS2(h?=bNKyzg#Hk@=iIuJIgpFLP^C zN#!x8M0PMoSGP9it5;g;%mUY5f_7hBY-ws3E3_VKvxCY;47#Q~JF;{XhpmIMlw)Ug zvsi95?W4M9Y(a+i@5(PbZ^`g%v2eVqJ|^Z-J$sXClz#!wx(FTo)t%UgLp5_w^+Rk^ zt;~<}zxs(@AHV8e=q-&k7Cd6w$*-fvDU9p+F|Wqu;GnW=vK51_5&i|nyzw$&_ix64 z^B&-v^Dl5@dOvOaSvHOlKF*|m4h#I8jIG4nr*?M)_pu+$MusQaE%U$U(R#1bcw|e5 z54@_Zv_wUz9@=LVPgc{_cX};6zki|c$Bbh~`A&N^9CtNR!7e5I`D)A)`ZKVsY{cl$ANpRThw~8C%ZUpCvI*7&p#`mC58SHme@`Rpiez@C0-BalZ-rb$!qA zEJ1FWIw3pvtnhe`@lVcIRsS^Rweb@zqp}09D);KFzUKmczw(i@`>t1p@rw^Qu(LpB z3f1#FODpr|&QHYr)ql;{e)hrK>AtivXLVKo&G9Vrh$(Dk3JUao;g;AGsT$ z>XWhXuWbJa^d024=%dUfzCV}Jx5XmW(8j3=eFx`G&rX>+Z#G1tZ#(rXK2~qw_X3t) z$C^w5gY{>|@a-<*|Br#}Z{b;1+GwBSV#yyz&c}Cq3+FF3xm4KKaKFCX_w4F(d!a>y zHcm(Jclv~Jw?m}&$aff$`cARPc4*_&gg&Xy+b52T>a#cc@NxU`fj;`P_$Os972cHg zua`x(LmQ`~=({b5=jF&1AI}W*UFq~u=2GFbw7yF%vK`tu9Yx>mfj-*icJc9oK;JN@ zk204EzmV29)FRuVjZ+i)8i?oAITPkEmudZ7k1p|XP@wNKP9J416@E?bn-AOPXp3xz zHcn0GJCNn;_H(&r)z|8qj4tu<(}BLJP9J416}~CoT?q9}w8(a7h1R-I+(K~$^y#2EqWh_itO>sgsGDz$&nELSGOf|M3h2($-#I&vZ2!LevT|+C6jhi$ z_I!=R`Egr%VU5jS)Jbu@pHb`K$p9yhJmHUV)}NA;|236S^wre))9o)%?&vJF`=6ow zC5hM1qGIdE^jmDSBwp=Kw{g;MqscU@DT)K<47UF=Vz+T<(0I+7%YYTt>u)X1cIaIy z;?=`(9I#!IDA>-NMG`#rbETG9KUYEXq$&D!+RwjG?&vJF`!9aVcLuk=6&0(WN4%GL zeo8nsMSi{xJN4yLP~UhN_=#^wI-dUrPn`eXzL|1wfR`eB z@k35pZFdU+iqwvS-D|dPto~EF=3l7rmY1F`q17cJ9rxAf&J{=h~}{ zz1a@EOU(++Ig$N4SW8F}**m1a@cswzr2U7%9G#_h|FM6Eyl!!OJPE5EhrRV;Z)|^5 z39v_g)FY!XmTLL6y=kHMLt-mwJM=C!yEtfXV!I@*hclpCWdx7f+YZ)6lGM+$!D~&k zsiq*|=Z>z};^-{3`!9Z;gr7T3h>F$DLzAcb7S~wa;dIxdY-KrTy`MWe!rnb%56728 zd)tBSl7!x+X46g2xW2GmlKMFax|T-p*v~61u71t~Z)=)8p7xVDcZZ|1)b79dd5QRW zQBgq@Oc`oeCy*}AEX?-UB#CAzLpm(X+lcAqi+fw!OMd;2jg2#SNw|EB* zYj-fWJh{v?dp9+H>=*^+=)4l$a}Hw16!EhnDpori=FFY#=ap3_->cI~hmx;k21@Uf zOpk$VzAGwkRKLvsJ8q1`+4}t;JJ**a=*B_I{4GiK9?4Fc?kE#0G=v`{I&fFWfE82=AiKnC;R~y0Oc)K}N z+ZD&lJI;3a3hZcMj{|ospgfpsRgnBEp!{nR@2^Bfwq3b#E<2m?pnYjU99?2=Nuzk& z4m;;!e3Erum=bi~fc9RC=F#QsxVQr!B<(=1OUAc0AD-_$%|%ib%}S<05o_WBZe(J!)L=RniXCqS@y4 zW=I?te3hhek@@dMdA0J8I4+eI*SL`1@p1}35a5mA|1m8}({bBMxudhx?!Uxsuf%P8 zRIKN3_C@2cwjlxk>-cRzT+o*C;v(lsWjqnOeQdj|>O8ufYgfcXlF+-bvlW=5v()ZC>xG^2UErNw7{6!P z8M(2#^F$p_WK3ZbF`~=&pPeG3Q^bDM_M~*jLEGNa=EcLsP3gKp*X1a5IU6^BeoW|H zDsFEkM{(QP+m_naPh1*};E8c(XD{?PIxi&Nr>?8(aoXHF_zbp^H0GQggDl5Rx8C4a z@!jUw#BtfqOVH!!EVcX3I$`G(693DhVjF+XOUw7RrC-;!JpEMd*k?0a1#v$gHaE6w zdC(^Hch1@8=Kr10yHxy?IW3OUXKbmJZp>Hu8NucI(*<&+QqD{69BFBGLR&-3Y?79+ zv)rB!&0_HEdDFOEj*Ec`hvzkSj*m>UaBdsH0_)F^8-@8bE?IJHcmnCDAc%rFn)^1rG4&XPTN_> zHa@WD3;f0=DRZf~J~-d1`fjtxPH5xQ#QBKE*dW!%@%v8JAUj!OME*bE^tp8h@`^v; zG-blSd##q8(8j4LeR^t6NmSn>$QB>J9O!%6>7&dgz7w3%_pn8FLK~;1^i7Ch)%Ocz zi;v$x-vZrd%@4uYC*yK{n z4rzaXZjqhP#;GZP=R~mjy9L=2lJ5u=gyXGuLTGEEVM_d~DO5e2H|4_R%-OuqBp!dK&X_c_>G8LQ>r0Yq z+}vw_@%#92{8j$E@z?Y0Jm|Sh%fAQXZ_SCw5j$y%F15Tf7=NXF=LZ%M+Bl{A4>HbV zyOgbS5U;cNjonh_QcFG!9*ut@zvgs{)Ib}jw0!2728o-!U?BCQx{hamN}#V7zeOKq zF17rzdoPU4H#L`AJe-eyZrVoeQ|-CPatdvn5Lk0@^i%6m?U8vC{k1Lc?yB>=m8|xO zZ4#5dfqk?GwU08FTD}(A_i0-i*4KKmFIW%Olp({>c_Hy$>>LsOwCm+;cJjozbMKHQ z;t=i!Zf4E34a4`}nwu;^O=%>eV;`5fnSAipglU7Om9lkk{1!Mo% z9>r;C0sl&ev#&vxob*cI$W{KeRz@C9xyH8&92+@Fl+>Sd{OoJcAt#X%^5IkY#I^=m zd3d#x&%Op*I60G)51;C<2S*ksDUx`tlh3{e8{{NLLO*<}zX2Rsc{t@Fe^&=^Y~Ub0 zDgTJ$XJ3O3IfxJC@8XBZ-_->iS$TL7{Svz*-FpT2qw)k}TtB;tDZ3N?>v&F*e%<0H z$@VAx{l?zH!Tnm}z*i;vz1S_|f+QJ3TGXd->{9=FfD;Q2wiEvC>gw!gUxSZwFfOth zbGH5O>gI6v;$t4(-QxB5DYEi#^tNJ5-PO~WJ63=P@7I=jTguhGKIHMG`0kW_=Qw`$ zyU+!GEat`b!Kd>3TUo`A$!Hhg{hWOEyRe0W=%oMfiT=9n;K*W9OyXBr`66={Hprx@ z@`G`8*OgKN4y}%>^+N_;SDL-Lw4!8SMXAN2cn*f{GFvA9TlEU=JC=BS3L6L5{R`l2 zS0v||UBeyDeiyRZR;Tb$78ltft6hr}PCKg}Ca6vAZb|ujgKLk>rMsxpc7I8=#|hxn zW8JIFWAudf1+G1Z@t~bb+6Ap!2ag<4K6H%Ur8}xzu_zuaTy}j{i}YXnq3(XHP~I&T z-vzBcN3tVp<=NZV?6adoM-Y*q-o^eYmQVh7em2!^n9sG}{Rlc@(&f4Q`EA!7mVX!X zm-?~S>m7Nmzrif><9_7a#>U(#sq5GLeQfdkyXzi{?fRkfW2ci>F@#&>O0t7uv2pu; z7@3Rp{yW|4&$$iVr@k zKe8uQC;rs=lXK$&_6ROXeD3zS6fSYaZpDdBH~;)j&hksS#J}!m&{0<>`Sk^O%O{S6TIhSxPrudS@ZL)wm>_G;*2a9>v~DT>UHpc_e?c3~8gt3~B;M|2 zhrhy@Ta9m*fb$M^UX7;wCo)d!*z{ZQ8stlV3GnWWQ;NR^e#DsbE0XxBTOIy7c&#y~ z&raeK?sNE?;Pu9QK}NJd{=I*5_+Kd}Egr(Z0RIAGit2wG{sv<3xg@U7AIMnpu5i1= zGeiGp+Npp27{`?VKgjMud>2B~+7xVa@w*p$6|c0s^^1~tivovlfPW^W*4}5R?fd4 z?9=xmo;2tqe8IT%-X~%nm468NB|}Gv43&RMApb0AMSx4q#=3dQ%J0*!Df#EdJdylC zLt^<-hb|uPT>$N6UOMaG`;+p|Yf3)jg6E0kUsZOENKyMQ3gi!j);9`p&YLz@I%ofd zP01e|^F;D_HG3p~P#~W%=sjCC0-I)43HkD%M}GW?gy)Immkp2Y9}&pE9@?=gTK_d~ zm6YFPe3-XAkIFwt{g(5<3KBFvp9$nogmzjW|3dd%gKIxc)-U6l=ZWkeIvA^+{K4|4Phb<5Qj;T7K=&5^w*P1Np4o-+L&K|FcAV`e+9bzOa9NGv_II$#;(;CG3AH=85FXO32HX zHH7cKFF?z9?^BCgQ}QK1!WYKprI<(MHxU2PqlU_wT>OQ%bkh>nOX4`EKI0`)0PUvY}&lIrEllE3vl!eNO#j{Sx{2FKk^K z>uFJyjvo~yc8Bp>6Z3fgN{8DSLgoJ_kiQYyU@k1~k&@q3``Q}wsQg3JkDGv0{^mgb zE@TvQ?;+ZhPvYa}tx!JmzvqeN+X+bJ2lM}K=KtLT0{eHTa4E-HbWA%VI5D zye*brHZGCbiT<~n<9E*!$IniHDj$C$`JaY%OdvlTf2Dr>ycx#tx|k=DKWg-tYsD^U zUyN0e{G0eaIhNmQo~xf#%zvAE68KTqmXGRwXLlK5qudyBRIJDg^|BW5vSPiE3Z3X> zyo~g+X4pMPMd-g)KMvZ(L7CL^$Nk-`1w2nAUySndX9V)+L(4quQ!DP%k-X&-`T67i zZq@>xCz3C&3ZK}2Mvqdl%j7Vr^}D=e3Iw6+>LRh;n1d zHPJ3AV_C7^kRo3765B}cCTO<@db4?P?IX*dM7!7)^F;DT2l9z)B>z2V_XYAF$(Mg# zT7F&36Ui4>g-+T9^%TiJ#QLl-kpEV`{Jz4Mi_h!9p5PH~d%j@cph2bON^tat7R6b?c_jqZBKQ_>G8PAfNbkYhJYwHBEOz$JH)co&judvb;?C=ZQDTaar{0b z(EC|8A1z}%|C5a8a-d?hm2*hpaxDHM3qHiEWc}8j7VENcDJdO1cxYLvy!y|Xp!RhS z>|^e%y*RM%6&IJtzNY4zQFL}|pA0L`QYzY&k+V@t*>_Q_D{3F*@j6S^>(V}IuVUle zVC~S@u68H5_95>{+TOEg&*^8K!E8IM;;inMU*4S@VnnCP{b2ezo-=I!wG8ZDW~@J6 zN7j}&{Y)^m!`ZI)QaJ5a`VsmO^O5>T$1-F6_C$@=ONG0t zKRK4E`ehwgZdWX-e}dCL8#>0;+S>#DkGXbxwD_~``AGe@#WG|6<8^TDT&Mpl(0z&R zfk6M8AK^b@K2raEvCLS1yiTsY$LaqLbl+rqHqgKKBlIKYBlSNT%Z%f1PvpqFR!jZY zQU~v`juBfV9<>Yk&ALg>0eqZE>mOa(|6W4xPm|i(pA^)8brbzh+#mqzSJ?k@PUbQz z?;ZSdDf6Bw()-?2=fBbUGS8qB`#t@XBGbTK0RFx=;j8_l<*bD^PA8KUE}K;Up*eH2 z6DHm&C34=mmgC<&GOn;LkT_Sr&-M?V-D=JLb@penUBGs{mGN=@XFjtrUSs_gn_S8? z%6)JiueSI_^F3?|ctk51k1C7RLK`QIN5mDyW9p2VLZk6$K=vDK>-n8?_DFn)zm&OD z&>^%(=4+{+dSmuN@NkJKI3c(%QR`>F<*bD^PHGQaHmN-ash{aHCvbS8_Uz&KTk5Em zx{B=i!0MASmkLgm=el#&-aTzB-ru8KN;pTJLw5H`iamRn^CbywoW!1(OYPx#t?Ufm zs&$LAryH^x;46sj*&}m4{!5ul1>7ng+k;q*4{K{lkCvN)0jc`hbAlkq5EG&#KQ3}y zqM!Lq+V8xn6NE(SYtOlC#Ar{Kz@ASydnj|M;Od~h_VjV~ylBzyR+@rOr|mh%VnQ1y zwa0M<_Dl}!8GtRsXbmuD08V`YS?}%oIQ72^t-p2g1KpXMp|qSv~f~<9G8oa z*kd23v-L&I?HRzvd4x&#%-%{}ncg(T&)%06kLnAX@<&@ew=s+`;yJw%@Q-v2`)#?~gM+ zZt!~kH~T0a^iS{;sU zq0FVCW77UFvB(~1m1@}>Fd;7aZ_COn_CiLy6z1=+R7U}Zp-+FY3k1GOwIj4^@mx{*9_cO!! zX{|-}p|kpzny&N101SUlo0F9*X1NZ%ZEv&L7x$E$gR!dtSoR9fEeRmE!4UjgzmH z#`+wra;5y+zccOMHRtPn!_t5E7D=gFpA=(TjpF*8pyHHCdYI!~-48GwI=JV=74K@x zb$v5b*EoVF?i+uYsjj#Xb&u+khPlbfJaRza!b~qzUeK&W`_k zyV>7D?^1^=Oz+q~cK|Q#bT8XK78t=3_U&aIyVoD+dnvLP`}$ztUO7UsN$og1{gw$+ zXKO)YZJW}&G6g;K5p{wP+20*D=2oA4{kY{@lJ0zHU3{(ltgl9$cX;P+#{7$WPiC{bjGvjb$5SX+50}bmS+t zOA>mQIy`6k#eOcZrRwMX&_;Ne^(}c zW>(s*q^Mne4));ug7;(2&GXdq1l^aQz18u%xa9fkmT}? z;PLpd*y0+GCE$}x#|vcsTS2Y1plWH?dmmG+IJi!c$+FxCJTk88cbClr8_#Gy;j?N3weIezo zBz~NjammI{=O-7xb`ulL&(rz1NWCG)r=$8Sbj56*|7h(`=-RS1vxIr_ayBl~RwV6( z-lfj3xc4GS-0IG=rP{7o1MFR41W&}Jjv>L*c_F%&xbz|}b={+4+b`{WV*BNX$EEH# z*w2XAkJ>Mljxnz8G)tT3uZy$N^?|PEQRs3mF8C@*=w0f(-Smpv%MG?vhZLn4K zp>*ZYUGrbije&0DQRs3me#AtQ*y&Q2uLkQ~Ifo)i;&+H;UEPI7@Mu1(`>MmgWA}Ud zIXsxp>ZZfz=q#1{FY&!g;;W|&wNT@GV4j>*m@$Wj$9plN_`0{x9FQ3yiti-Y=fzTw z8aJW4gUv2Ok6sT#_hq)*tjrIUcMo)T9)&LF;`=p=*CE%XW6m`tar^v{ExqsnvYsZ+ zBaPt6_W1~yqw_*^&-$qDaf#ofQL*i}lUx9$y|rb1B-5$1%L@-gar-80!5ZVJehS?a zY~Qmg^5}BTPi&V|2fa(j%y;h@l<~wcx2rVd&g2X}9Gmfv2^tLXlPrPKd^_tzVop5^Fa4zFWQ+jRrabv_V3 z-=*X18{jNo>X?G%n*#+8#m_$~4sVOsto;Ab-U{cW=K z|LWehcpbEMyvb(g%$t$Ljo1(L*Tr+BDSX^tH*$WgwszKcfo?g^LB5o-lll6D2C$7h zXGq<)80((X$vJ+?i`+V)h_aUb-TiqUCqBY|=oVz4t8MEP|8`^&i~6qM#Jv58R2+A? z=d{@G>Icrt&Z3oU*!1Oz=v!W_er_M?)f?P@~oXK{zJd&;gjbJ>r2>>m4|n5^3MT> zk;QdVei!QHB#}t_dlUSYWW7tgrF}}0Hrnya$$GEHCasqq;M8HqN0RkY-`$w+(UhylH-PNO4?VAQocb*e#m$DDNYTu3E_|myw%0Bd} zePhA#rSq_qeI?GmGH`rh@tL%5h^t@r^~H)4#UOt0N&QQbHXw^v$v5U~zpH1yR{z;c z3|Pb^<>R;F6Tp$hVkU`?aq*b~PAt3hNW}-gyFMlwslQ&}7o_m9E_AbV^)^rjH=9(2<}pX1VJtZK09A(7$|+Gm*N zig#ipQT~Or{qFGp6`Iz@EO5_x^*hJmi`c)*b8gpL{L$b!Fy&ti{vY^do`K)v{8IU{ z_o?oElX+a8^IB$11LKLyVo{BP=@Vi9k{rR^Y*Y~*VrGZa8_woWO<&cbb z@JnjEpYu)M`FNkB;+p)Var36l%}yFWW3E13hTbvg9ovzwlnom>w2ZHLW`~!KE*pAP zCetE2a^R?e!^&H7VQ1X*X;bISZR;N6EFW?0s6nNfOdEYntm68SrRCWn8M?1LHJ0U` zr-9d&Rb&Sb8eUPxw`ww(w%P1elQY^v#pMKjv}krory<5G%hfkk2WAeMN!%58OPe z=lxW^9#Mz(?_<5~c~pKwcJRQF1gHc*B|eR@{CzE+3@g^-t6&j-xt^z zH~0OP?MKi{o1A3c%%*Ft+^ApfS6e0v*u(teI-JddxRL3F?h#Atk| zlSuwJXqn4>D)7e_zJ1x-=#%m%#XMeq#i(m-{Y(2AAIP81Z{~8J3jUdjzkLiKDW79^ z&!h4gpGwCJDiaxMKW!c9xs3RRYONa@@boq{ClBg z9`&j4%A|bvcwACG=K?&Bm+!iV_K)_o^OJh=&N}M(Y})6EjyQ&x!HZJ^q#S?qe?~$FT4KE5!unBTxL|s=uu}S;w62~$*}%-HsZCwm=%-RZe^n_uXvbkDc`+( z4<78;&;0dqCiR!~WE9Wc=w<%)soA+fJdg5ys(tk_kN3|;!?lM!f&9bx#Qg13vx-oD zUtgSPZ(@$;QTc}?exqf&wC#;Ggtvcx3uu|YeQNfFU_59%&le}L8Ne z8CvFVpPD@#*dMM#g7&<>Ys{na501!&-pk@B{so5Wb@%SK%Tt82Q@_WQQ zUVb>A44Cu%h-Cb$wd7>O49#CZxp{QznQ;%YQ7*CkB>55?w=6zX#5() zzj(Y9-y-?9Ks(z@=e=EzC);nt7shW^%wyYEwA|MIx1TjcB>y&OnZJE%IX-A#N9!MV z#yl$ja8!*}{_TPMyP;+N_NnC;gZE_|t^V(id2IU{?3cqV>o~8UlQ|JJ9IkN1(CL&9|ZEB zhW4j{{BZm@TKjoE=JE2~bZ+f`Hjw`dXnzySZ`CYWztK7%jL))|$Hm7VZd&=j4CKEC z?f(VxA56x_GbZBmM$Dt~4@HNYR{kFX`G1A>pMiY;UO-vzFuq2|siFPv#5~S^e>iC6 z|1FUJA86MH@_&=G-!mrcUmNo{`~BgdmA^WWzZu$KJ<{r(Wc&1t3HjAAkIFwd*dH!g z`C9_{%>Vm?`M*_lvVJ{dLO%1q=W+7=;gXfVCy>wlf1pzwpVoS}jq6{G51uiUe*kjN z-7EfgZ+%%moZ=Or%hePx^O?f?PvTraC)rsf0@(%hfY6!95@bL zC&wCEk4o0RH$35Ax0uJ(zn|tceg}>Z79r`C&-@$rla`2%7em4A@&&rWYvK6M}2 ze>Jomzx&ksr^)u~858o$Vjh*he=skND;puN9Fh7xFf@=~&hKFT(dNuxem=@~Zx4)# zd7S;(l50l}F2P8Ze{CS2`TsyL|F;bH}>fOGAa8FRs(jH$fKnAH~>v;41A8u7(1iS& zm?x6&0;KY{2lDHnJru}4l#m|{APM;mF^|eWG&nnG_;nR(2WzVX`(pVG9k{-ATr9tR zUpxQ!lEwwlV^0HXhy#o}2k1KosJ{cm_yFy&fhN|#{L;W2d6;&7n6`Mur#$!n|6c9? zpl>vgg?=_1gI;I5(j6F_9i?#`t@R`}`*zmQ4O-@MpW5Hz&MQef_@HkzkR{}w8uO_9 zgUBx_i{1;@z`7%n&z#@DT<%kcNnt;UswvS98hXV%UjFD&fqY^b$!92PU@rHmgTH@9 z+i?`0q72{08Q7pE_2C{iIhEphW%N z6!WP3{m3u1@48U=tUDt4%=rzpf1f%X|IzD^hN&@+UdP;p{POFEaT)d+P8bXxAs?-G z0#zUDkVxNbc<=DqxZmSa(^-D0okuyZ)^JL~ScPt2ow>d`Zbw_sdX>fVa!>WBF~^5;Q#9uD+;*}X4X^)x-sH+(zhQNIt$8TD-W z5Q&4-|2G5qi}=l4?o+4rLHj+zbxn+JEW6YNK zjoHMU{s#WM@if~jv7V1Br~bVZ`1e*)Q|CD;|6CJ*uRwaP+z;6BuH}*YFT&KYk^B0k z-5j3ezM_l2IjgH-v!!hKd&JS@bki()E>OxFHaHqbYk%D}upnYVp2$BmE&AY%-2d6Y zvHHP_*sqTD9nA0>`=rdJET4*#Ugr7nL>wV#7$TI3+KacZJI(KpLJ)^Giz?Ht5MslQ0y#ZDh( zE*;Z6t?vSh9E3JbP3xOKf4-7vd!_9h6d!vB`bwNW%3M08ujmW<-9Z_kNC%+})4`Fh z-yND5b-c)rQI_)HG8lph@KXdJEm)r23Zes}P*@cxmFwjJpkYweRVmyY>o zTHlQpIXKpn$i4}E>3%m2*(2Gm3-r-!Bpy=c(y`5gcCY?@&LRhG6LVxuw8Mj`c7F$Q z#Yg&ZZ8o1V=oEXz3Q`7Z4N>krzZ5J+x;SBi;s*YIcC6{8LAKog8~9dlcEs8U^GSzD%M~` z1B!~Vp`Rvb?3ycBL!t&-oG1#hVz9u}`#fv!bIy9t4EMW##Q*O8{m#5=_On;r_3YDr z*H2{qr+A+4OOac?k9?Hh(0Z@s^EKtB`W|%1ew2+zURC?a*4!;9KkM)k38(8ouOzIVModd#a$zC;O(Xvs`tfnDA64{2 zAG3pfMd5RH>PciD$LcR)X$|b-^%45Za$n&<-(>n9%k4)w^xsCy?Qs599qn++^{L$~ z$#X$Q2FHhw(BD20kPJBK_>0+rFyxhF>jG zzb9Xxd-B~RYX4O~0$cxB)&c!wxj{Jky0Q(*Rr+>wn!_pAr*`kqPt)J4MnR4*7Z%cA z`Z1Ayj84>7w5&jV9l(#_U>hGxYha)6Csiyr8OIn`wn4c{=SL`s<$Y>@h^?>bDED#* z;kPjUzKquAEPvlS>WR;2NtgJ(cg4^4_wAntd?Bv?zw7r6DxLnS1^#&={PLNk!Zz`9 z0m_e2SD!b+FP}MTHadYruHxqdhm7X9X`R+a?GgF<+`zAZ!`2orCG`K?^Ir=bI$FM- z;J?T}xA1G&I~&i%lq7J-)#ny|2YfE@iSctMYCrf?|5cC=TMs%vp&$8tuBGZG9I&O; z?Fsp?OZjgD4qdIEP4NH5*-xLV;OqC!Pw+!-lQgh9@m)O34Q-?M4Ep%PZq@()PzJWP zJ0!smz4TAzGZx1r{DWMz?@!>TFXajQ?)LieTLoL&&G+ZMm0th-9>*_j#9)w5T~YnP zF17!Uz#*gE`w1NRw4aGF#3xsC>mPQJUvcPY-zmZWq_baf_}YG0!v1I7b05UNT7_75 zjGy~p{W9^n4<g*Jw8)!Wj3vJ|=R@O;Cs&$y5G z@Hr1AKI2hcjH4;!euRAp%!IkmdEB{s=qocW8+Yx7yKh9il&3Yy`te-9mvhs1`<#b) zyrc2?ALq*4KdHj}Pd}<*pYGG`)h9T@2RGFA`c=4Yzd>XrczPiQc&<(EtOV~jsH#Kp z&8O{J>^u9zeIn|r^6SFtgA%Gb`u2>zYg2t?%tQVt6(rh!a=qCGPXkMv!l=Gc*Y&>c zs*gh4ASk!K&pc`Bu9Kw6Fw{3<6Q=4Oj;ddaeWKrecl90Cbz}1vV^)ni%1P)yMeHA_ z%No=xk+U+(pPc?K`|HRSyx7vRnj&gQ)?J~B@fB`*vr10;dtuTc|BiRJ@AwK^zU?|XD;X^>Y4rt ze&Xj5-T(HDiF`Jm=Qy5v#76!3x7DMc$8mbF-w^q;9Q4&j&l3MVJJr)O0&?_R;CSjc zdp%!RJwt{J9X(Rd10MgQ$m7qU?D;sTlm4*|5zkGpM54bFLgPujVSB*|M|FHIEx{G` zx&=D5PdR?x?cI=dJOclp>+81p}GDB`NRE}lXLud+VRxCmLp$%Q299bi{x(wU1{Xk z{x9TXT}__)DLC}`^YGciktGvLMyjy-Rk;7RExr$U7w#3o8ol}jynC*b$i;g_rlLs2 zcC@{*vEKhQrG7$ZdOQXul%W%bPL`8Y&e*%V{2O$&(Q}*`m-IQn<9id$sjqKi9vy!_wR(o(O-bFM$;niGU&Z=rkX=*gF#b)A zkv9Bw<3HQ^@qP7wGd|T|+z)vg*ViLQUkxVLFpf3N!HaqgQ)+1geS;h5YaR16uCHgV zzLrK`2k;&pYJ-0_HqbY;fxaVRp2qbR=jy{gLge2M!5a^^l6t&>zLEy|y2U&V+sXJj z^M=h`&U&jk*68Vj{|6es{+Vniy}LKiGr;lGz2p1cm$sdZTY_O-$ETVTj2?{hHLgpl zvUJAGU)mmftGBj2)|5G(x=OF-^GMIoab?i6Wa(n{hy5CUFx8B~F~`yTn?AaMKfRcr zqi15wqjCGf>M2>YsARs*Up3gns<|A;Lg-^(L;Gi&tU)`eLEX_`#`e2N>gPD)C+5$Z zb7M{EQZs+KcsA(YHH#fj-OdK_95rm@xaITVs_LPCVLUNs)?8%toYf$n4g2*f$5Xev zfu7-u=0VBO`Abv};u-0=6g+E;o-2}i8b0?~bA{un`?P@`_+#{-<b6$2v%*Hz)O+ z(4F~qAF9T$6Sy9(!#I$evgqY;()P1w-kgPVDyGk!dHSM?nTr-JSOj^M&W4)XUD=x7 zL_F#3(o)}3P8(}5Pf-uwzeyS?{js#t_x&h-ufa**E}0)#`~icLzDqK*Esi-ql27_x z$=qr2M+{E-0mxCmybNH zceHWhd^c+iXr#QAa!`!#F*s?wocw-^bF2#aNq;JNA6R^!!Ab9te7piAmR}R&wVX%4 zlKhhmUfaas10lP%g~-n9Bp*Oc4O1g=-PKrJ@rvR_Go$`QT}-v^hkpy2 zOZzCUw3YhQuC}nTMhc2)SEY+dULjLKX$4Sv7%g?-!e-`Ldr3kOaiQ~h(kpFDZr%BQE zmY;bcKdz(IR!GsqmLGGQ=MRFhpr=dGtK>K1S*>3u)S_%%LEKRsbvT%oMVanAP}e_Y z^XK3_f_w6_SlY-AjI~VltMHD@PVRl0bJ2GA{$hRSWIvI)n6tj?6!qIHohH7M+NYwu z{(bozFKe&C0e@*X>GwKF5x!>=$Nh!~57O)-S4xwt#TjexzquIKE60eubEY)uB+X-f ztOVJTQ9dd{5SjYdk4nDwbJAPizAQxc;|MQn&7+ivHaf~{s%$dB2C`0 z{P#H=@8}Lw(`zk1c_5$f?yj4mMVj7a@h6S^_dwslOGAnA8uL3-Ey^}b+3*sfLfUR> zxXv%}pp ze;4S#C2;b9U)#YapdXaxE3JLx3FUtQ`tQO zk`8M-tVgvi)YBbu_PTNrj&UjSqaEl^q=lWQRX6xee{V5S3Zr_cYw7saPSW2>i!)7} z>)IH+tNPO728&ZC8UES8 z+eypTmLE1L|Lf$|)Uu1TiRB;baP@B*_*+WLnU1lJ((}+yZje^^5*Wp4OJ`vY;I0>3GhkMZX53lsr2zf9&z=5 z8Sp6y9C;x>^+R9#-3{fe&xzCzl6ZE?sX z&T*Eh_6s@WLOC_ozuMujKL`#1|FQ(W#^HloJAV82p{;?UyFzN82?H|aC_#XnkQ4Y(q{KyOWRsSYAY^CMjV)!2e|2=ZpEtVg7%6|s1 zrzk->PP5|^@*@4uq0B?l@oLMDypW&Fbz9`{Qp=Av?fHY?6yT4^;rO(DRR825j`kk} zX91rrhuif~-Cr=~VIQ!rk|nWeQtS71Dt-HZ4fsOfI~A79fCRn+ILD^l9zQ>UzXSYo z;GcT@(F6`X-2V$x-c|8^9$e>8FYROE_0hbi6a3Ii`xJ*Sd0!`R=%sy%!kkU>Bg%fhJPRAynz3wIK1f=e_f)|%dZjn)f*1u z-)0Z_=Wje-k32rx7p7*<#P^BNf48^+PV7&rz9(6&H~?@*!9C4+4LuMsUbg{lkF6)&~6WOZDFa9JV%pDxn{8=|59F zVg)?cKgd=6bzfm|)jGHT@EU9NTB0tsx+j6d zFFyYordF@|>z|d#XaAY&{a*$evBKge!?KZou=^R7Tj3;d@soqYZuM^!${-dEaLCpA zzZm$WMsUd0_+JVfwqo&WypNY0|ainymw;ys<{~F-1wE+&fs{cCRu(bic+Us8n z9JXR{ldB(cc^^TTxcDJmU+MKjuIgWpyj9BINsuBOa#jCE4<$VqHTfBdpAs^!-6CZoW z;wjfY=;eJEVdCP7bYvg&(mu^wul%|AW6nOs;Y$Plr*fa$#^Nbo%T{{(p2K}&XEVno zF0M#L`nTfUjom$np9c6#kaIiAd{(Xdff`3nX|JIFncnG~o0`mVV1-MT# zy8dy-qB%2W&8(QdVE&nNX1Tk)5X&vN|KfHZGw9~1P+t?>M|Mj|DKdEncfnc8^z&)XX=MB(n z0@pKLPSC=yL-hV82F|4%`G+V^5MU2WukU?5Z1DKOc*pbDQTh&8a;ToRM$eI;f8d4T zC+P3*Yt`pMc|Syh_Y4P^vB*Qeoge$lM~0FO=U8^k5_Z1tY(%I9loE#A%@N1Oe6|S`TXf@Ui0{M&rZx z@rCk-gC1q%|I5g4{JlJZoUA-Sa9=2Y)X<0 z`1~pG-w1w;kz27x^lCMs9y@I4=n1EcclC3rk&iZRQ##+&Pc7au>p|F+;#i35zJb6$Bpt70dnl_TbJl6U z-#hLn716CB7!;9|A7i2NBeqC^+@-(apFkeLbFUmHKRRD8XeI{Aez*g0WqqaU3wZ;% zE@a1fl{Dk^O!gh_tvUPF#rRg7E=KsDTt4;z0}S9&hxz19?!&q7+QJj$|53{X@+XW1 zs6&_Fr|urQ|J;85;2AR(h5v)^MSna1ze9VV11S*Xh3TJef8W`Qw#KCP>_fSG(PonN zY||ovybOCTQ5t_5vxoPfIUMr=;_8oQjXm4FEaZi0kF}>#b8URnM{pm6@yYY`__i+t zAA?^&ho-|p{6l`IbW1l6>>Y*sJcj7BH+JSMMR2_=tu4maUlgaW6xQ#nDupeIZmie% zI$a>x>qiRk0h=Hg;!C-lK)#^<&!S8>zRn+a>frJoy^$M!zjEEjE^az5Gy@CPEAsD% z&5a9OK>HNgw@))zK1_Kx@_FH~o@>-DSC6Ehl)S6m{;t+D{?Km4mEI?L8!XN_I>cLn zu8};v>MiZ<-r9N4NdP$@b(uplY?UWG5-hO_x6)MSPJlJPwtmF z{+>xWB*S9|8VDjYBK1aQrOk3QMj2i4Ny|A567pOX0b0KiAp+6T^Qw=u@Q7UsrQD=6}d%e-47FDx#>+ z*6$exC;bz_7c`r7j_T)3gU?qPMdK}gmd78!?*&f&Ov%Lj?~`G0}ur~F^wm@h?tvhtb7 z__%o?2+owEm#q9tB7Q2TjYWU6{ERvI-8dcui==30%-2r@Bh=Tt$zrG9Q{~q{UgNNVQ2=V)XW4+6@FjKZoLjQw`6AEx{AlV}m z_#c2bA?#9iT0;M$z}to>`0M@oOQ`<|w2dD@_A{(jhPh)-d_L~*zXE>; zIP1AcWJP>F?(jE&!`^H!;FvG2@#o_>-zFX<%sqNOzM1FSIG;`7JV$lX_FXtWXykl$ z%F)fXH7WeOrgp>KA6%iLg3K29{BtMPoHtKDecsGPrzg*wcc3i%4Bo==Dd^Mii);B& z`j>eRYuz$NK$FgsSetV_8hoidLAI-(Pxp63g_|Q39_9aU!PmSr;sW}<0Yp< z$Cj15K|}Sh|GcFlf>cz)PGoSu-~UoQY``voFFKz|IrZ9JQ!7JR9U1lBZXW~ZGebw8 zGQ4a=h106_6TUwyNcC5lL276y-Tyx>_k1SNfcy3A+gHzL*mgZ{kUB1s5loRLI*%)k zwE_E6s&|Zc^5-*(PjLCX?wdLrK49I^gX6qEp8;QhXHA%b)C37KYh8JN{_tA(pIax6 zU%t>SCsD5`KNH7!I8KJ&l^UM_YY8UJ^SnV{fBvBMxMjYsb5j_jgC9#Ukn0X>&vY+i z7VO#Rr8IgzlY4%pwv2=BpBj7Io~h=A_KdLhEO)sYAIvE!#PgJ7e3p89mcyQVa_mXO zC-?j+MOzr71;(D0UKa9v3MN>4R(ssk_w#sy+@4>he&x%ohCQ3YcFB%(c_ZgnLv?se zo?nISDXOzK^8m4Z)NubAu6_Ph7->l+MZd_=je!_A0iAZLTE98)P+@jA|KQR7joV%jFdTg@sf8XQOMfy?c@dq3Kj||Q_ z{D<^-$>Pj|e4IZr1wlvYInAG6u>SB}E~g*z)0pp|qv!f${J(a*EStud5+%exEsX!Z zC`;#qFLUBQcsS5F?yjMS14a~gtRYf$kr)^E+PRC*@L9H8I*qc`4$!#Q&Wp?WegR*Y z_->#u^?X5EL~&1}uHldN3(~2NrRQ5FZs~&zPP$Nf>ivqLe9YYue|yl)q}bmlqwPA) zJji#|uO<}tFzr5#c{}3A8VmZ07bN1IcIO=d$~KY`&pB}Z|F<1yH0;rQls-m9@SRCv zD!$I22Wva%1{NHHD_iSa%T$9>X49(3Sd>Ear9 zAGC`H&aEi_?arZk>DAudx8v{V)Ny1(@PzKz#U9j@_y6LdG} zeT~IWSKPMWKE0(yY#-)R%IA4|rZ0RxOZrT-{Bs=s=0^NprlIJASJK4%^L%_(x?lY5 z^S<8~()Mr;_~!WgG;!`-*H`eWC=;Kh4%hfx4|xygqGJ`WnuH!9@3(}M2 zq@4MKJ_BcbGT5Il`@alPL%Nv<$>2IAi}mQ{1%= z(znaNKDK>)X>ij2l7Z)1{A+`g{!9kmYVrLBC;g=ie8u1y`ivN|eJcI646Gu~J(5OJ zIId?hhL7}q8PvtfEigD}$R1Q~ah&r38fanPJ+mST0Dv zD79a0$?N^}FA4&->QAPbKGs)nj%Voqd(k;g66XYxZ4AA3c z#8l}R!G3X^y zdX2@YllGmAUuR?KZGOLA`N`w_*ZRrKmC~H{bE)U2eXDUSlTyrUoul!Cd6bWP9Q+2@oBjmOD<9gd5o^kd7*8fJ2Q~o9#*Gbt-E1!Af|Ebmu|CF6;<=+$WJN@gWY=z}VpHhCeFB4=g zm9i@=Kid@h$3~SOpud*=F0Owk6FAzpqi4vEQ_?+dACJMd??J|6iVOBsv7;WZ+cQsj z9KYP>PVGtH&p8}>sw$()>;%3Q_z`YTlybYbi|+5!b$JHo>+fT2lKBf!;FlzDT$TVClR$DBkHB}p6l`2DuJ4W}nI{T2X z`gb}Tim{o)l9B$M%FnDI16{?hC-^acD*tZa(ADd>1plWV{}ec69sha)-{bK;z#*&8 zWeFVhs`9@A4q1JtCh&b8-v=DBPWUE)*Lb`JIAry^G8fOnG0Mkpkb$iJuO#q{$1}ho zYrwe)yujlHDnm~ELjrH=@ut8b>!b%0cuSAB1P)n4v1nwl!}#O+n6vLF;E+{vdjfBV zb202?WZRKTIQT<~;YYhwyerB;76$)Zypy(XvIOW0QhIl8`_4iy+PA}>L+4KCwm)8@ zLHrcY!k4mpbL`W6=yy15MCT0k>-8{>vAE8q_onVZ`{DJl@#cD%;=O>sf_{Mb(YjgY zdYIyUf&W#4{D*+!de};TJq-7kY8&Uhsr6_(uM7eX?Kk=ROFu-LQhw$m)$ha~#OQv1 ze=6=jC9aA0aejz{_guZo`%kyP?lTn%@=1?IKf!f7eQ)OS=i~cB7f#+^aYeUD6%#hx zebaDPKJQ`7tBzyfNdzu2Y zFUVgL7Q*&pke#agWnVdc(wR$V z9yhyRac_S<&-MzN1J4uS1j|wWx{2Z|T>j0pb`|3EF#0^t zgJ50Z3j z@0Ct~+H(zf=cA1$?YZ2`xDxhU=gPb5I0<{I&s?-%{t_*~>p0n4aQqC%#m1g%ye#B} zX$;?&AI5jH%T;?G0`Dr3DM@?QX_+9q8TPCVr8E+s8eAYiESS`uXCRL;x&i;MLO+A4 zv+fi*t(V9K9NUj|*oM0h>Fbs4JNpy)`;e+on?hwX{B;{`FVDGLjR)r9EaHb(4d=F( zC%la35RYY{6zn5sp9=qR{jg?+xlga~qIP=i%hjRAhi!*-w#C%fRxcZQVZ!<+uCE;~ zm-gkI4_^3lQPRG@YMCJG%Q_C)_gW~@*;h4hd1zt%9@v+P>s0MyTVfr)WbEUZ%D#lW zF#Vd}LkQcG8%Nk*gFKyiL>?AgFEQ6cvb(^K_L}#0D8c^*$a{l!{wo5s-+k-Gms4h* z2fK@orkPN1VSrNMzIqm8Z`REV%By@;3&(I=VMb_Q-uDy^vX%O)e{f5RecyVJt%e;~ z)Jut;*xm_ zmn>HXT$j=7k2_vA!PfHbdRX2-i0Pq4U3p#)Yph-LQ8+;Tgehnu&0>H1J6zlK1juYJ zL3``(7{{jmP6mFM1l^PV4o5!L5czN|e`Kfzzx4Mw`db!d+ICg8c=*z}a~<^m^%w0X z4{L`oxqiT2Ufh#;QTy6zmpxV{^H(%Jk;?J>#y5i;Cp3){1(7b$CH!( z&Oko)_i)2$Zo+l9{66&8EqDm3o!_|nEnm8LcKz-)B^-^Sdi6t7)Q{Lld`u#KQ?G(` zY~Cg!_&o>59HsGMe$aQ5PK*oZDb9WuCfv?g6xTocAChbDYas(|V2+K4zn@9R<)5P* z=8m6pT&@I-bxhuMPORde;D3zWYlz$aaJiNX@@KfR(ORp<*U6$E zSJ96<-2eEi?fh$3?{TkYd&nI>QJ+jf9@cxV{`7y=r_09*l0;;u+y4*p)+w%Ko{%P? zA7Q5R<2}ekoxTv)r{Y*Q$Em=tlUL!qp@TjL#_30Rj~v(ZT>Ix?&mMKH&$-2n|5=LX z379wCxrq}U!rayO{rbIATjx#RaQDq_x(f2y2K*90>wmvnc5``-`93Fw z{S5PDkoRT`xpp^S=haiN8fLudciwwiCTCv7E68+Rp!f$U1G{xRA&vUMc%%J8ajXd< zoHXPuv^e`r$o~cC4+M{?7=GqqJ;DB!UkV!iaf|PNDt~XxpPvT33*Y3j{NFnMv)nwO z&V<*il)u{HE8mS{@o&GcsCd1XzXF)v> zF2LG!iHB!>C{R2Es`*|LC zsHCRQ{@s2Zfq6B*ANWQ(`x_fS)s8`m+j%5)wG>7DAfL8lKE%Aq6y$f76kcHv*CX04 zaOdY-&|M_8&ep?7$FJ>tHthLCaLbXKXHP5@X*ib&kk^E(918zrg_tPu? zB#$$Wq>qtwOB=^21}8mU(m#^y(5M|Cwkn_e*Ws8X>60w~43D!OF2&JJ(xYuX%yw}x z^G|x28AtLN6U>k5|3c8ElE!yX;`lKSI)+T7Y}f+-dSRYtsaD(~ f)aTRPI&K>?O~U>n?wcq`buxMXNB&;~5)t@sPLB6$ literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml new file mode 100644 index 0000000..11c03e3 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml @@ -0,0 +1,1469 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.1622552868714.pdom b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.1622552868714.pdom new file mode 100644 index 0000000000000000000000000000000000000000..515f33ac4fb7340d08b28b24b6f6f4b17b9e57ec GIT binary patch literal 1159168 zcmeEv2Xr0974|&2srO!=if!2#7a3frmL=JKSPc~y+QZngQLtsla)VGrfDl3n5C|oq zm(T))5CViy0~nG30YWbVj4{}N0o%Ci|L(mryR)wd{uBJ4^Pj^U&A!#{%>CxxxpU{v z?Ch+%N&Ogpl)#S?_)!A;Rst~xe7tV~ex&}R1b&pj|Fr~svoBP>17=FT@*bsP(*Cb$ z{FvcK3H&I5A0_ak1b&pjj}rL*N&{~U z&09Qr{?g?g_-{eSn$ZiF&s(&lqYF0|fWyAWk?*R z9o;LJba44gQQi?!)V|HgziZ*V#oZlzq3;csg_yBOS0(>Xe@m%5mndJVzRmgiYMjJj z|3B#5@-<9QF$JSQ>rh0WQofU)y*2>a_`PTE&+7x5zj=Psx3}JK=gkLko|u9QknV8F z&vdsh?(90LWBKS4Mg9(Dob+u#bqqrK{Sa#zhx7Ms0AE{!!TLbs%(|A~jG)d$^>s(K z^5qubcbHP63zTpA<%qW`-?ZEC>qAVp5q{Wn$Tz0oV&$7#By?jIqTH9b82hhP<@6u( zy?4Bpeh8oQKx4e*>3aiwepp@K7OZcot_{}BX|4~{1lsE725Xuc+gh6H>+5Qn9;N%V z?8xquyMs%+=AYQPEV!g&$|l^gvT%aB6jHoe)5NAL@s^bro~A%WD`8>EZSR$4Us{_YB}xYkI^-RNU6) z>P9Qw`(fn^GzQwJxGbHz#x{|2pB5&vdicEvxHAkN!2PnNuC=bs!z8trn3Yz2uEGBg zOCCCoPE=@Zx<31&$n|%?X`)nwABMwBdE|JPi@|c-S=QLpSjW}K|L;0rGJ>XOwU66? zGm9^)zFy#LB)zGdQ&ZR6hFWV4*4E7m)JVlesoy|jYg=_=O-RC`YiU<8 z2fQ01$G-yiIE_pEZ6;iirGaEQUTewm2JpB}^3ZYgBvnYird_wrZEZs}y6xJw15X1F z?aE32CF3>KR<~6f9zF7W&BbE*?cINz#TatPNB*dfLI4z&l-Xvfg}3>8tDOn`WaO)z$^8b!{>|r~JN; z2D+GGa^-$!?C7y$MbsX=J-|E1!rMf6Y~N~{n&$>vShD&)@%fF#=Qid0$gP1&I=8wuZ_A90S zg8pIUCgt1x8Ryw&hrS1yH1(anm^08fkt~OTSJa{JmK(nbY2D&r-gOEg}MuuVvpz-rL#& z4d{HQwbUJH*YAMI%2x+}f&%Lk*DvW`bqo5Fwz`_O_7>BBP`mlOY|Mg=Rb!TPF6#`! zjpvOK47o+e_g4nb6|V&mG8((WXW6z9E1selRtyRI6aj0*{KB)mGgU7{0UGrhv2TOm+oAKz+CBQT7%jwSuiy~<| z=)un$2cJ^=c`;dNy9SH!_B%;sxwuxlvHGzA=ntnIMyWJkpWA!|YJ;tTqjWP7e{7>i zj)9gVgKcx0O$tqi`5HH&s_MW4CrubT*2C9bz%zab$_i7_DNuVV=aD@P=z!nqLgY>a@}_UaEzM zerFd;Ad=w2APQ-K>QZat6i=Vj__}eXh zA5$6hUw`^LFdev0fL}^S_3N}x&9A3@LZAE=9{Qhwu@>I%Eql7VY)QB7;5>XH|FpLy z5957i_;h@DwyBhY`9oh}>`x|q@`2eF-YUia(R_d%Jb0sl_qE*R@xTWLZ(4nI>x^J^ zO-)^WT}yRaQwxo=>spbNaA)LMxc>oeg^MtspB z*0uy@)iokfgJrHHty~Y7(1!T z`Mf^7+^#Qw;8U))q2>#3Ob2oT?P4d#Qa;@dJeQV|$ zS9;p*pd{s+xJ&Z52INPg9cc*cUibm{HH;Mn2&fz<9hrF&1Z-| zG2?s-uLgMO7T&KcyD0j^9+Yh-2Emflo?_v-a>X>Mr<)V~y~4rs&44`|et7X8a%&mw-L6YawvmN&+IPCLm!8Roix!(Xud?s3iy zPraTAe(#oB-uWAuQ|5UE>PMfnaE1T}eVYlx@3nBGeZUp;j)w=KPh0(ng|k_v5!_i) zs%PLl@8V?a1P=T%6NdjrajWca95D#}?dsg||!|Tobgq95YM8_4J;_&okiXF3Cg3;Y0QF1#V|i z^zJ3#oGW=m?>sm>JHq^|V?Vihb}c5ef)R%w!cR22{4Q{MFm^Zq{7^k@uy8!HA|yY= zZxD}=a;-^~UvrFKqLS&H^>1g{!g<{*cx36Rr;WfnS#mJmM!^f8714MfTX-1F4f?>K zDHT7{j4S)G%R7L#+``lRh0lrr$7^@1#u~fZ1KbUW+msrdg;qQ#HYaaaG}dr+OrDGR6XKy%q+Uj|PFPK(@Pd2SN^!e>J?U+9}1zN&!-f5e2i z8!Ufmch%Sf^gWL}n}Ih|=5Cf!l6Sm5N*V-G0U3F5qfkh~@jK!3~`S(R?nl@QwqX);&UvYfwq_ z+h0F8_*CHOs%CxtNbsD|a@gzu==A^xZL04m{KAF}z7p{dhz}tC0}=h!z6>O1KjgXv zHj%>gnaVfd4xA*YZ`^2)KTYOLkDV+wj>5!P_!NRI6B!1sYZ*mlCj zGqV#KPuJxn?kRy?0kLjWu<&jWyeRzr7kKD(N5S9X`uxz;XSCS~7kBVKp-(?mYW$;0 zt@@c#$tx8`>u%^*kJbTqh@|CpVQ;#){m)KV_-}#VtuCI56+hWr=@)+s@O46#^LiIQ zbasOD*R+{f_~qXk0Hv}8ZE5tsfhQMbT zGD@kux%i!oINhM_yI;fa;O!W1f#0p09jvTWl{~=w*Dt?*@cXr-wEOc=enb0nlJgME z_7m>5jRr|&zONE2IY;l$hhUvV8S;&GE{K3KzAu@X;Ya`t3-=G z<%l>m;A2KEq}sTzwq^pO5*1KIxEouS)aswflgvlP74pmOnP+2;gB(i~{3n zzc+j~!or)P@t)^wbjZ9w4Yu%{>wIGO<3r{E?=|?R@LRe5)=B$_K09FHHfmfw9wpok z6=&f>tWoAchAaZ^i&8GT{_NQSr~Z!BIDd5b$UG!ePonfELskH1t%ak<%kHS$;^!xV zv-MUNr%Yvq=t*SzI^;~?JfU%@-G36qYNR$ii3(O@5QcfzBigE`2ivP#u)eQHDO$5w zupc7YeL)KPEZF6orTB$RaxkZ>4#hcaZqCtIGXndY10Bpc%PH6YbmGVrZIAWzYKL5A zMyOn)%tH*h4*WhW`J_Jy<=4Bej8Zzdmuoq@`kJxBBWI^PeB#m9yMg;>xzFw6^HAL8 zK$Fhy;N7hG{G~%T<8u{j>8W#_Pds>!0Pilj&v@%Y@v!O-1NtNJP?3YyMVAJ{&Xt`F;B$zjum@bCF81>M5jrz3%#+SS))hbM;B+65dzAV4$id z*wlvAHVR8hkT^eux9vV30P0H+bP2je&X;8ABx-i zR0ksCobM^u*dp+YF(U=mlTAT9X>F-)4b%jy+uNFg)0%49iCMa@^)fa?%lAaNj$_72 z9{D=g`NVE_vHJlJ_KAYn7kaMbd0t~xYY;O67H+BLldsYpl`-FL4`%*mA&2?S{EgKQ z#~uv)Q#r5r7hibbg1r99@Ch8&qjpJ8c!BTP9%5$zXPJfbr56Vard)X*ruiy%ajI>; zHapk(#3N6vfiCORI4?m@Uk}5DE8v+eICiPq6g#02jQ>%T4fAWac;Zpm35T^v>ulkgAX^R zTBZ3sxYYlH9tHL@LU3szyMwFsbSv7U4(VU3B+Krg-1fRITVJUEH~JreKU(zW;Ap+Z zdQjg0^u5)iRQg)12Q{EvPFi2opML%tc(9oi#DAjpAuHE|)2bT+^>f{NJ6qCi1;RHl zTw8vk((hAAE*{UDdDfRZ@2|xE9yoQ%R}a~6JA99D>KbRziu+niWvcO{S1(j>_`Fo( zx_X&@qe>2uXXN)uV($d*A(CI@LAbPh(0Y#p?T^O8G#00@rb~X!G3k97AM?0)ds##E z9RE0avBaYv4+7^v$>HK)Nmh^+)9CAjgSkM1^Yu>X=iLTHsiZ6QbBFI^SDpdhXbX?m zay|^fxYx#rpp;Gmk>$;`bXI94HF%_n;je zgt$NE^>dY)+^f{s-{8H5k%+jD_Z!sYO^Dm^EaELh_@fowg#5z<@X3Desz;UDxmLvt zCAog%(vIKXnxEU<*iJqI1yB8L2A_VuY_$W2PhM-vIL(kJa478j8|J1Q?N=&wyh^0& ztS5W0&Vl|x@Zezme_4*cPWJOH(nlI4VQoiUOCv9`2sfW>5f~q17=yq4BcWrC8SBk+ zE70BDwQ})djbquDxFq1GM#5*S{hj!MV(U56VHoT0GU!STJ=2i^^P zb!R;z@gJ$zV7AHr_yT1XE*#0Y3_~5b9hk{M=x?4ZZWM4~G3qcU!s)h=+*{jf(Ni(~ zkuLr8&p_WPJ?IB?y_$9@&m+cnnCCS%f0I>Asg?=b0e*i)aE!@eJgh%7&xzCD1DD}GGu61h_vgg+pCW??Pn zr)>KZcOh``?0ew#$VdJ4w_0xkjUvCP79RShIPI$wg_D<-Fx9ymI^laQ-EExLn^j{Fr7S zd>vuooC2I{ES!6d9=g})%p@M4bBr( z!j0eaxk=oUzmVV4bfyz`Yyo;P=Q!?ZDWBruH_27l-`xyyEb6paGKp z8$zB}c>9B~lOnZ)UBLN+l!y5<{Q+>C{($gpJE8fFgRLff`ptV%sggTF@!ffZ_*mdv zZ{Zj@5{~H)G+pKeawPr^E`(bCHAIeV7b3T}_$=UDrEzF}(%56d326vMj#O78{v&z# z7%S^w&6WQA&-ilST?%^){#ky;9uuBxj~)GphrCAo3O=?Ub#{g-9qt*Q7)1HC+jsm} z;GA!+gD<d2>#(Sg-+tB z%Ks0Q?#ex+JsuFDJz~vJOzA3J4xV3+1nZ8U821E8Kjn7$#nFGSN{=!BG2eFkwfX)T z@RmwS?l(3>#G~mMmruwz{vOd|(zUYtm4B>9kD;I6Mroht0q;bOw-)JMiiQ`_;pTVW zo%%jQmjikpkGd~=Q2Fz1J%?SggQq=F|36QcBf6aBeMn=MZW6r1%Ou}nepT{syM9f& zizQt}4}PH&2?15|m92-i{4xG7MH&h$|4$;yL-rvs^skllQ*^t;eA3QUj6nlcYPJqXLIQ)_+J>Y4ZDt_Ib_v@8@4gDC0vRwJav0$Cb@XS-i zKL`A&a-Z!9`9qi$$Nm-0gojhVe;3>-T0fDi_{B)`aO1+?BZ_|wI0sue^j?qPurAXb z2M7Kc^LH8e!~6$AaffIA7J3?GJ}mwt;6V2%u-$ma#)+=OoZi&un}Sbvc*-+4FHyyZ z+Vf=8-$ld!2Kb{GUiu$bp3XvRhtE$npQy)p$QGe!qg{_7_9@c)ehEI{;W;yfkot2r z0CDi(2a#N-z;@_R^nn@@WBy^g6lEP-LNaiQC1nVXal4%QOBkqe(BJ4#bWmhHSuhp} z?DmjQ030*l!FC|b?$2AiV%g%wu=R$ogfzjU`idu+{zDb*_dV+?VFd8-?&ZK2P!6(x zuMl3Kv8KKq?_OE2kYJ^HeKp>4bKheLV(O9EbIMVo`5tH3R)yz#=E2!QA!8mB#;ABa#YVfa`B7@rj3rcnL*!kFGq) zSAd>W^gy1lv59_FSYz8uD&O`psRt^rWj_;IRg8ZZ=55z&93F?zvqNl_($LIk4nHD3 zb$F{Ou__#?GQIk^Q(!2C2j_U;nE8yCP@ZuAptEXzaOtv+F51wlJ2;>BuVNtbk7D5; zBpi#l1N^PTTxu!S7afJATW4{SeR^>Chl~@eVaL(`PJus`@H#z`x7NRewEsxsW(=HS z{PujuC!VK(!5Tc@z*+#dx3Jev zJ4is?Bz&au=XlzIa-It%VEpfYUg-5x4v&A9@Ulf8WkSfi*QQVQY?rmZ!IuB$z>_-b zk8h8EhVlzPuSz)y&$Euev}?0aeT1a5^$bli#{ZDM-mCpNlp_&3UV1a;>v~|bd@6sW zx@G|TIs9I@XME(ffAp52kaOF?SGt_=adgOwvHKHC4vFah{r5>KmiwpP`scSiw8v=l zEpf1>|DYkSa-a6JGst~+*V3*IP1nXN1m2w%-e(c;wCCvH!M2e-t>}Rc!4~CBQn?R@ z_FFRUI)F@#N8TfWceBPLKj7uac+p!K=m9q|4{!{m8^Mz}4ktT;31G(|(-+yTj)ajSGh@{scbH`Gq~+?G-tb zji6BaqWt2RH%ldY#(jyu1U`I+)Gw(WKs;YN>ja&FpM!g<&>=rXhny~(Uy^T>aYN$e zz&S8ylbB037xQJvjX} zTd{}VSom-#60dYikiF`=-&;()5BQ6LUm7k?Gw@@1qHl2c%mmJD7S2@7r_rDO`iIAX zXY3}8lQy~h;#J97%;7fk<~<J)anKh!IHsa>}1|y461Ws^}62+pZ^N18=In&g1$}JhuWF@7ESy0rb?e30X(kcw`AQ zUCVz+ssP@>`Z~9duz7@&I!@O2Nne&*c<8^A@-1B43cpX>i7VgBE*`*m5L$n>$3YfXJ! zHElZ+tgD?~NAJ}Kuq$W_(O}$J7H$)84>Z&r!&I|Pel5PT?&LaK>;c=5N=2UQg zW8t7pCSB#?ByBg(_kk0|Z%n#Z#guH9JUm~=?cCY#Q2EimvTv6ANxd#@IvcUY(uZjD zvHl+qDLBY{An`$O=>>S*sDGGCKl}G6|9z5{jw7D^9`x6OKKd&Pu3i&;v|_o;enZkj zp#3x=`n4qVIZ3-v@9~H^I?+D-q4w*~b@{~0oGR&27jVQ)7N2`6&2nn5z5Ez_!snvk z<+H6tcYmx8RF|f{2J8XO+meTlBUX8E%ru-A2kZY!-jO_9UpuJ2#KR>%NY;ja(hkty zV9{@}-h19tX{Px-^wDHvO8#rn-&1*@?tV~ysObk9uOCmB%K^SjIR-VN99yh%3=Mxz zKRF4wm>Z|S^4nr?oaQ9*`?pIsX)p8xzNHBxd{%$Gr*eW*Zp%(2=L6?i$>Fvq@tnEb zsCTE_sGnr`=q6OY`aS3m1O3M(2lMe2@gZ+x&C*+WGS0m&PBQFqvbOz{v+`a~Jw@gN z{XZW5ad7z%kCEwPd}8>Bg^fry!XWx*dFV&fvt;!DCAzJ<^rbGSzfFcgOg;p$6LBRX z`rBmKy5#G*Nc;4_`~l1GmyEFx`Uodf2KBxIy=d3%a=BFZ4fG$@HYCum?RO*}3x3fD z@%6}l+~N+{Z@y3M4E!4W*z;)OUW4jm%wgQ5I>ITf2SW;0=YT-Jr3M1Cc%f{fA-*xH>%~~ z3;ln|<&sDIID^}dA4hVX?&2oH*GWFj!u^%CKSebA^;_Uxpm9n5TM0MZk1Cnu;>5u} zPS$xS=kPfmoXG71{eKDkFAChwzb2e8KT4I1ba9fqfpeAQq2ur|9(y0LUrDC^|8&VC z<59+m>PM9nxOj1w0q<59Z`d9S4}Q&jQcB$R)Z3%Lga1r{@isADR6nW&<0Ydf$v+3) z{gRiC!)~|qWbZggT!IJhU%*>xuA{!bA-oVjst0uchWH%fdc?00Vf$0iic@km(S7(s z_NI8Z%V*qH;6LdC4qI*U3Av7sB-c;D=ZTWXZRf5ZR}7!Sk>e$xub;(Gj$us-wTk*6 ze{^r;=dQ_HL0{VjPPdKZ==yQR@4EEk7lHmpq9|clu|nR1#|4WXN^y1$b z`j#I@a(c|gNxl|1-%1`j4&AQu=vVV`0G(6t;9&m0MB7p?j@OSWw))TbUC@JpLW_<= zpR;hl57h@<_u%09e@TF@>hkO$eq2AQ_(qEl^b09zl9rA`Z?^2i-f>mtj)(qm(4Q)I z#Bb8{zr$}Tw)(Y%p8>bXPzUZR3wIaS6W{dUP5|y?jm!Oy!S(u4#a9273_l`el!Y_i z(ucj{Sax*RgHr<>&AF@3+K=i1J=oNgDTv1)b|S7+>gT)heBv?0KjL|=?i+~i4;{F@ z0?%nr@b^-*ObBaeqQxKN2>sw9c=!u~zx||S;>VF3!~MA8g%-{_;IvB~I#%>rI8nyQ zDb)X0XdE8j5{~P~70w^sx`Yd|gu>KB)gM45hFAIBKt$w{YYH8<%4B z^A#6q`n}>uC1Y;Kzre-E=rx7@^Wgmgc$t!h^?qI0d>HRTx@`4=uAs)^`a=Dfa-_te z9H+QA6^nE^Tz_i(Lb9+xpr%?Z&WUj+rZnpP@lLv>4mv7-^1Mhqb?+{C_eG{A; z9zLo6AE0qw0?u0=obU9niW4mSGT>k3z^IBW3m^45K2p8@8Thdl{_COm{rg!(7~44V z9tZr}UHtOTE&JK$m}zf4Kc!-*Po%(p!sj-AxW83|F};I#81P_oOekM#;o<$X`TB-O z-v0sKR=L4=pV@dJepe6ZKaThU;wD7&52>i{)JntyHSvA;L;6+JYw>#&$^aW=Lix2; z{YII`PWcl2ejz!y9rb$njq3l=K75Xx(a)!RY*4W#W{rglIm1MAu;rYZ3S9VD6xgoY z^F}?O2U~R?#+E7fLYC;?QlC^RZ4M&l3=f76P5ttqx4=KH7tLfc&LR0lFc1^-I3%q4$#v@kgnC|7_8RpOczp(H~*S`CIIhOSTGqj7QFR|GyY? zC~*Jcu~V*JSah?6b2o4>)-|CV>ul*<{HZFZp?Yv80S7w4>BxS5Vaw0;4~s6ha2^HD zXvsszvbQXp?Rafl*zxjH2b||6Pq;k@neQX{t+Md`1-yeTy!$M?z5J;FzUkqs6?jke zhv)Sdi_jJveZU-9YQVy~z`}$7&JSI1+k-a`c#mj2lH*sl9JSxr1G>$K$05RZOGTfO z3cH^A2gJX)c>C&%?ao|_&mF*p|6oGdBFlf=J8rVM?%@;f{}t=9^7HLCL-_m-|CQRj zBj>%qUtv(7N3|9{&8u^(2$Azez=wZGf%RxpD8AQ^EjrZ2OC1Khvn4Mb@g8a({p#_? zBs!bL{=)ssBD8lS&(tZv)p;p@=}ju1ewjZScVa&Uauq!MJqWxjDHUB0<&QL)y$al4%6;x1_M3m<)V+7N>d{kq@MZ^++tq_+IA-X^Aana1b&VGq8?;bpvgEWF2omt*1m z+QN(C|D|F7zv4~{Pwa%@*W>Uq9(*#V{le!>8*1U5XyJiBJ#6>biL^t3H&5fybDr<> z-5IJ^=%m3-O9U=#qY3^R3pdI-z_fbc9%bQvpYI3}?n(={5V%tfD(bV)!Uew=-f-~L zC)WQJAE~cXead*k$k*fWv3wU4 z!kGk|pyXkk7cD;p`_?V&U`NdJy^^$5z^TzV#FvcA3}52#X_90Y(iS3iBVK}tz9kKP zZ`#9%+LzNw_sI|Q3;W~f&vf7}cA-jcxA@&#b)dQ9smIH}?;&!>@)vA=oe4_DJJ`aT z1-xGws{BkRm;U4aG>YGzhV_5Nx+GEX9LJOK_P6jb-buUC!kcILLwh6p?`fF-F9rVt!h!!Ti;BE{LK@cp7gtbfT&MYrH$3(YIME$H#!s{G z;RmF_#+p$4v4y|4%CQihdi*!=^;{>*wV&@QGTtBy?;7AeWzaBo{F}9}IQW%^Zz24? z4ZLj0!*ccZ+wAxePJ!jGq}>Ue|5!LzdY?0<{#)*u_hj&2LMrwt_3-%_a8oocwa*P6 zIZM6j2gQt!e$r_l4+8%^3xBD_=iVw~*1dLpJMhdrQMlb<2as`|vvA-GrNLJ)p}1b- z^x!(mlLncjrz^GM1&rCRM8tan2d_tb6A@|lRp54s`s9y>z|pnknvVDX;nr}7P@n98 zGN14_9eKV6UUs>qEiU)gXWB<{kKSX^A%1I!|Cx^Ue?{BmF8iPFhs}3}e)^r)F%-bo znsTJ4LY|`y1jfd%>vE9(!5*7*Y2P4SuA2`0vRC1e1Lpr}u8l(9~8QH_H4-`fBj|mgHf*{4k7PN6_-MHtl>8DzF`4(OjzbYO5e-XwO6u94dHwuP0% zmgFMu{Ve>)fq%8WiJ!vXYy4gr52x$?(f_)WCjRx1{w4hZlm}xS3Sy7K%e za)7oYhZj+fJA?)u3zu2`ZIpf{9pnEZv=Iu7yDkDQUd_d>ioBPDq=UYtSh(*37xvkN z!kHE>+BMB@ESw*rZy5g<-6J`~9}CB&JuE12edE{Rb1(2LKes@CZ-D!mDC4s94}k}J zK!NSqtC8@c`%0Xz2e|N$(lJM!{vX8m@lGmkWS}3&D5VtpHjo_hH@k93K>wAFYbF#t zVD0m^yK=l)0AuTY#sJ9SddU&Rp33AIk}w`@uaSF33Gj9pH0Z$j3SAk}^=duW_38hY zlP3P*kbXBK1Nc|TeXfU>!|I_Co08YoO{>OdMo}MVgVdK`YtQPbc*IIMxm=LHDOV!w zY{n1=Qx(kC<)Z#A%6g*=>i;j*<>2*^VdV(3J&gO5i<^PIKO^75&9&BxN0UF+|DoUG zAoD@txcqufJFnH3S1m9@QimLjf4_^L_%QITem#GqUMEEUqP3q|qIkSBa~!*o0>H~#wSMZ8I8c7EHvnt|BSUhad%~vSzzYTKg|CZ>AoUL|3+VK z4C>Fg5Zl5x`g4PugmF~{`X3YWuky&f$}Eue;GO{7Zpp#8A4lL9xMr;c(ZJU#TWt6WUcC{3U0_Z!gn%t~uMfaSEekAP$K`?>F1eC(RPY-Q&HCTQzL zCe|Yq4b?a_p7@k-A})VmyLS)t;+`!^-HR;u<|5W3PQkU85HSwDw*oOy=>5<~mg{pC zzt5sw4t7y;@3-`2dndMxSGQc#h`E3o$0h?!~@*C&OmkAY~d1TQLOXD?wl3$E6{#g}Lx4^6o?4 z3CN3nqX2R%gdHo22VL}G?Z8U^RPr;wusu%wehq#hUlVdKwfNo9+0ixcgvA}W>ERdi z|3x{HgXe9wfM3t-yVj#?T%4>n;7m0rDDxugz1|%sbg!7VVkLFa9vm9~r)nJX=XL-` zmRYdf&il1XKVvWGYa2{Ca_cO+y`v*C{SMHNv*>>d`g*pV<<#TS&)Ng}M@w2d=3?_Y z`sH@I(;@FIKlT4HluDLD`$^sW9j>k9q81M$6U0T_^m>ygiCDyDE( z1p1iGX8NdihyDoACjsk_wc2_gaCi6e`Ho$1-zUvH5A@M4DF~k^zbu;&`J?Z0=uZdz zGbAk?v*uX)q(>`1-v2AqHcb3Mq2J#~jQY>a{SX6)=;Jd_K!lH!3Ew627cTz3I%B!Q zJ~@1oUZee)kTu!jJDObY2jA-@2e+TCns3KDg1pJzA8z3s51cy;3gnw_;Y5?~6To?2 ztz`n@s^&PbGd{wJI?@xKe1@5$T(JS_tmkA%%Xcc0wd zxghvc{Ae;<4)n#$C-#}DxEkd^-)KU{a&5PJr5u^MUHKm{DOCLFA?3&#gmOG0_qqPQ za=#m8`*HOBFUG}trxm{euH_G86n}U9;obF^!oOHJ-&i>KmJ0>u4`pGTwZOR$Ivy)| z=$QVm@26;)~mOqeY??VyA&SWhD&ZQcM{J2e)T%BzS^?kAn=z|zbXCqBzGKITy>Fej0l+ z`)wyT00(O;QnPisZGuA&jfj5E4ox5H2`gGa{|cfgA?1Cm{-Wv2A3=X9zE874^YMky zuW4$huU7E~rWt3Q#<>kRGl6rHLBSg5^DI9y8qUMOIZ^Vkyfn_Vz#P1H(p=XPXySc9 zbI|Xxp8N$kwZOU8!kK98YZDFUS>Virywbh$BA1)_z&M5JKMDGWg8tuK`pF+!^%2c( zyaM`Y%c&P@`rnGY$facZuxU*H1<;=e`p;SPFSh7MEC2hTk2%KF%RrykLpk)Lx|EE2 zmd1SxxMP9)Ukmq;@1X}@02e+X1zVr%o3PiCwJw0ZrOEu^y}}Ck<5|!P6Y$PIq^{)> zjlW&MsgoS6_gbH6zLaq-J8C8v$Y0L-E8;&8p&wcBU9vVJZbwnj&0=`zi?WuLNh!RKL;#^#f{7N$2t=w`%a2hm@Zoh&P zY{zpB{!S;&0g!%Vlbt8qS83rKYptt_q956K|GyA=MZvZc;*!e_JS;!-2#nJPoLHP6 zD0%3Zm}SPD?ln5|$c_5{GVlXAFwWN^x7Nn$W^A*C5fQFKZrR&3&hfy(wQQ^ZPq@I0 zUnsR3JUAHt7Z%7pTMwwqXZpQNKc;*yzNftv=T?885NnNZ_jE5Cr6D}@G5#;)UIgXk zc^l!QEl@MFmF#M;wXVkGBYytLcq+RKc%S1OdTm1dpAA3gPxo}_(Rzs7x`C(12aLDg z;5F2tc7o0A^{sV!rzC2(*<%n7K_ow87UBtr%MdTZ{xsN^8q+(zIDCI64(oXEjTc`W z*7n4GZA$dN{jz)?0~Yj=LiPoSopMgc_z~9kbfWB&mVF8M9VB_|`Xkqd>7#9G`Y{C~ zael5#A8%(vOQ~KY4f!(JNbiJLb06}KVE0Tq%8w6fDF0973atw&LBzLQissYzGafW4 zF<(Z07REQ(f5dYbAB21Iv)9(a*5LP^y}!8blS9uRwd3U@Z@BZR+a*u-l}LN9oO3() zYDRVan9i>GW4bz*c2|u)5x4sL9!d6JG#==1{;k2F{t|o;ZWafU(?O7nv(FOz+N4|c zP5dOiVbw#F=ik{+0_R-iOUR}2KwjJQ_q5URGX0-f^nZ@D4_fpewCRs^Zg|?!3&_){ zeB*01AKwUl-fOUq%>mnkgV6sud|ZM&|8U5uq^tG5O1;WA>K5#?@F_nF;RLm3V2pOA zw(I(S_G|e57j!FUKkUyA`DOa7{xizDlkAUxc{IuiyDD}|$|(<8rJyVi^arM#6a2{Y zAFG@zv>yc-mBAMuWBGCu;J?Hmsiil6y7vAnj;y@@rkAhTbi7>@yM0@({T>*J{RXK0?GKF~Nb zIJ>%~5s!HNmBAqPP!D!?t(v#EbHOP8lI}$#4)z}t@ArFdRF2?s+WS~p{dErYRa2F! z?bYSnDsr6)1(>Oe!sSHYi{6t=-;?uBm2w+hch z%T($JKBkI3Z$D5LRYN^(`Q?-X<3Pv{`XTcyMlWe46F%5iO%Jzc)wN8kZ<85p4Ion^jkFJZA9or8^xRXg%Uz#0tb9|g@@;a2_j{suC(P^a7`0&Dig}|v<@*K7w+_#ljNaMu z3&`Rd@<(!D-}2Ba=R%)z(dKi|H|C$mb5o2Xl2J-a);xpJ?m77LbtP_Br_I6A|1q#$Zs@mskD`3f!GAg4TRztR06zMT4+~D$ zq*#s*xaFHzkMiB^;v`Pi{ade64>U+$obxtx400Vu`Zz?z{Y?#tV?Ev+x~>BFgLp7*W^Hxwi#T` zYprskP2`}gCM4|C^}N>V&weR)cfzjheAm>o?$7v^HexvEa&C`ePhhLf91`oJ-Cmp9 zu!O9(#gv1~d8u2@3Hzg*8_iw3?C_4ZD|R`rllwd2ukD0frQeOIrmIKrt&nnVi)>eN z(NCE>ZLRG4z2-&noZ$=P4K$sLOF z={jM3wENq(`l;15HKLEFSmi25xw0JcD#6%gOMU~Nf!ttADeQULl#3K_n6{K5@{3}3 za?y;;T&vx0h`%ZYB)QRkc@r_mLm?0LhQ1Ms-YQ|O{e4hlZ(f3Koxw@fR`g4IQ55Z0 zRmaL@K1w^DqBkP&sL?k>r=#g#Nq>ds*Cr zn<*;cGL_<%)0W@wB=gn$G&qelipn5YpPtQ9J_5&CFM1Ga{X$IfvXG!n>D_6H3k9tGRihN)%`gG&L z?SAdWosdfv#wBZ!j@D1vc6VxZE!kaDu3YFy|;oI!lsMlis&;ysae9`R1ddwf} z5QK1h(a_xPyk!JBmflg0Nsyfmc~>axxTk}>@19Bn?$$s9mQZ7=4{Mu!zJwq>iwM%! zoPzOV*G%LWauzP+@

3z%bAH?RsTw(qcZ(ovsEC zkgLc~&$Hjbqd@^!ywN zljamCnEJtYkvL5H7skII@M(4#z7Hc;wY;O~X&(Advqs6r(L-D&;o#H>#fl#A0?dgQ zDm?aybM=7dDI@!pdB*_fe93R)*ds9qr$y3Dg0Ab3Szy;I;Y8D)PT-s&xfthbsULet z<=_M*-ALHf2{qv9WR;m|>vexPtAL~D8W=~rBb$;GHc0Px`%~s4^a)uZ!ux<)!bn z22onGI1~3gxR(O=Xvx618*M#j+@@)*ZJdB`$)BA7+fHH3d+4JM!ASzW9-n|-TS9;K zJnwqoK^G}-`E)<6`D`aH31`C#fF=yZ>){yVZKztk7()ZxuVyH6s z9BJ>!xY}U%*B|Bm3;b$(6oKEm8Lk?!T_HUB=KRD;Lmha3gH){mc^WzUru-ao{*B!9 z$oXyH4%XMh^%uCVpbejRe?v`7vVipdm*z^p{o1F>S^FM=&p;$TiEe%YFpo9}^!oK6 zSOf_+pN+SI&(oai&UXy+wgdNbeLb8{;97i!+Lh4%b$#c79+ykEi~K~Dp0DD{b+J6{ zH6Om3d3PZa-}MpdHy?xyeL6=-Px8`t>K7*2*P{DdyMFU?fcv6kW?Zj*3e?+tYren- zhl#7@7ss?sDk;YN=b;a7%{wR&=-0Hgx{Mk9A)Y8$^_li>HOR7mmfrqC`Hs9!(tB{x z*3COMjO(?3gzHpjUk~J%iFgX)d1yEIpVqnKf-w>LG6VJjA#H$) zbLGnY3uNO zrTbl?ggfwVie%+u!HsHvrbGG^#jni28hCRgQv|%0R?Bfgefnl&?cv-aNuK~aoPV*L z(-H3n=g}|tarm6F5PTj+SNXbQhx2a-Za`nB`uxn}w=k|@l=SGp%@%GWaIclTbS#*J znHz-u`kQ?C=;oc;2=&?OX*a+-WCr47JhwRu^V`P~bvMMV)aze1@be%=&mn8kZ|Cok zG@kmzc-y>796`Q>YuKdz+}FOz$$^c$XD7n~LcN4|0&VLnn=p@E(f;?!Q-iIPr zXNsLZ6NVSx+OZm@p;|mr#@+7V`r0>xm*)*C)^xP%eFtb>W@~5n^5FcXT`M})tk6k3 z{H_E35a4h4ZhXTy;m;aq;qM0in=XFw9&3M(XncQzPH~6iVZJ|z#5X1Yr?sJr6~-C2 z)WKDWp8)q$3->LJYt?i9dzfz;qjBguJS}>jUN8Xo&>IThiLV)F{QWI_@LZ5BH0W5o zNR6gn*6S$y02ZVJSJxHm*LvYwKLOy{p4HsQN(Q_b%ypXf>zg$c{B9=%3B}i2a*i_I zE${>HZ<4-0JQ6YUhcyDmUL^hz{KaZ8{NTH0%q{a5#Xc240%q?Gmg`61{57}HB$Brs z%|d_Y;9dhHg*`8r>e4Pc)7q~l8oxgQzwjd{^usU9nDOR1cq$QnPr>n= zl@CS7Sa?y^YZa^k-V({fc%O#J7avrV5i{5O$7N$MhMr$v> z03Q523Q_S~dlWOq`p#eh9$Uqzc(ZN( zW;a`Suy+M$FD4ZJ){fB`-|RNvp>3Lg_4z1)uD>YrNCogC z%pPn}@LC-HdO)Ar9Qv(-=MZ6+X@4}@OO5s^+t-2GkYMhY`QAAJD@roSP~ zz6FedL;b0$bMSuN96V3M`srcN8$0AK`R?|Jwd&5^meKKqA;vpd@Caw@$7u7qZPEXk z|J38be#XC8>+kI48G=WT#<_#6)fLG0yH}of)Xxl(lMwM)M}PL4-jy(Wlt#z1_&d?% zukZlyH;g!wka5L#`#bcmi`j!!`X8tM9R9F+9#6f@tS;)A>T#}%JFXJAQw=Ko@%h&K zNwz-QdWo@|*=x36T&YX4QQB;fkQ z<6mZ%@g`|J^n{~f;|hzqT#Ipo-Sj5c*G{*joU7GW15v31?)nrKTf^UY9CSj z^Fs9hW-sPwc(qey_N}i6^fC4?ya5ruTj9Zo`=j57FG~CE7tTS1z28@X_#M-!)czY` z58yZEjqoBB$H??TzwPB_@T+~^{`fWW9n-4uc0#^n546us$k@-!Prv=y^TPXp$Gxhy zcM8aK}0MYdI1>g;l9E|6V2ON7aTaSIH{wi+~JbxCRXOcYsVbDP5-S2_-j=L9qKX_~f z*iNj&+6DN+L4GYGxTvG6D%iRFr&#sgxuR0*57%E5f4mU=zuEgg8eWSw=!~~<+49a+ zV`#y|;*Lf0=ATSx{5FWor`FGi`yLf;2j1HL|l^`O2mCrICfpzkrzw=U>A6!fhG`d&cpBZ%iPseN)F{TWaw z@AMSz7KpO*@Qe3>cB|M#18Vp+8zI^AKSda4n{Q?G$FFH0^gNQ}TZDC{vtiRYV13=k z;m;!{8*}8r!~C-O21qphsk7P#y%WWF=p$>P3mk^1gqPJ2FMoR~&Gvqt=Ql-DfOn~6 z>j%%#A9@bTc-q!r4={QTn((Oo9F+W>J(cFQQZHU3@XogIv_B_H*|>XbndbOAf<>um z{ZaW*usP#!{-==jM}lXn&x>~q@K$^m9<#^&Kl9dtIWeu{jJ;Iss8G@NJBmFmLjRvS zTjSAu<;UXhSo{TQ+NzDq$_YtN8TWYbb{d|sz61T)hVwBp-tgc?v8zQV12^zJaD`RD z?E%gn#4jOR_}R3F%wWX){~&&VxEVe_QVwnqx6{3-{aAT6~QL?2Sku}l=oYT{s7$3-vif{x2b2$YsKPu z$sk~sV7yTfr*L$Gu}2Z@FUo4Z5f+8t+Nk<<7wWi2aEdQL{f<;9i5nP~_RBH*Nm%}L z(bK?1A55WN+(`VQE#du-n95YtZwBM03ne)UF81*sH?{-AA#eMA)%~_xRNQFw`@Ga| z5x6Xdzngztr1~X92_8HA5pdyeQ0Nyo62GX|A?ODv6bFI3((@Qg{J$LwyMiZn%v*po z9^9{i`z&Xb{#E-&mOf)BA=>P;pAFF6)1bp>N5x&JtHrGrPd3GKBA?WY*HdH;>jUblA#7cX5+a9+G*;N7nIi)(9W-M@&EjcJXAyg@~~6^o`x( zl}K|l;^l~|5EmiN)dlz;e~i4T&6J~mHzE7>(E5!VO7-afP}Y^(`b6JOG2cForpJ)? zxQOzu0xl8OA^TUMxai+@(e^LhoWg@U6S${H#(r^ad29PvT&>$J+7X59j!3wxmv^q{ z;QJn2_z313xBcK&MdTMYg4(V5o+F>Uo{UpRnv>aVKz3;NnEJ7m#z6nOmil zPW{40aQj8OD*h|hKYtp5-<>PF7k6|l<9s&m8sKVM(+|JnBk~JdLG75@Rq<=UeKSHk z-np`Cac9>_VYuf47j1#BM{mdDBl1f&qX%+EzkqMYsPq%n0YXWR(*MyuiTZz=-`@gv zl)g^S1GN7rOT*=Pl6eyB$QOMb*$rw(6oBWo8}uCbOPWp_g@?(F?G2-7qtj7d`*90! zVM8deos5VplUNQe*(l9f@mAo@h)}P)yH8lo(haebusi0P)^qz zk{(eXP=Y$e_e9d9#B!ANmo}f~y8GO>_(|X*FNJ<^nbE!;U_FNTF5>5i7-N+r;`cX* zH=>SV76w6XgTTw6#}WTcRDZbO@QpUZdJNv_+tg`#p4uRZe-T`c4N8}g#qijRUiTaJ zcKfm9jIOY*F`^!$&E)lfSLhdo)L+Q{O!6P$K(DrZhrBlff1~8+7e8cvl>Ap}JEULq z4mbsjJG}Kx*Zln}n>)L4u=@`f(6oGopy{hd;W?vXnleoq9x(KG4?wH}A#TlL!`epSh% z!2CDj*@&>2B{v}6i}*I;XNcP&i(JHEhzFWd{qMgtGzD?2><0Fvp81tXeuT^ug zkIf}Yt^B*DZ}tBr=>L|#Y6llQQeZw&hLYPx?^O#A=h@e*qF>s0WdFaSDg3Ub!H(t2moE47qXG}^=Xf1=OaHir zRl@aOh}f=i8|2p<6YkXS;S--x_Ae^g1-uO!kL;4$&r$m{j|2=!{_X35EISYj5Jw_T zLPY;VW6x~(d1g+-lj#Tihwb+V*i2}}ishXrtX$C%4EpI^($(`$?#2Tc3`08j1yb>= zsJ+tIbLmR6ftoFEKX^!bTMEbRHjIBGZP6?8XB>G$A4|S+8B6%7_&3~swgq)jfNxqxa_m zjo6us_r=4vVEEYqQ7U;;6VyM6-x@pNz3$Q59?&lVeZS`8OQNrL*A*DCyU-IFb5MYD zru@H@b2{R?TJYwP{|%%$$W2$W4e3_V9SMmS>UA5IJ@#KLZEeP}n!oLYKbtoLV|sp< zp8sc{cb1`s-(tNUqgT? zrcip8?=I2<-&EM<{VzfUulq7kyCa$VuMia4pHzqJ z0V+Ml!`I*B4%(=%9=u9;VoEGdn6I70*Ggv0p$mHcPl0hWY=~$hO~rWSrQ4y|BVOp9 zSv}Z8cN}{#4&A?Ny08J{WBa$z)JK7r?tg@m*#qCujW=|6aCw=qLwBvF+lpVv#Q&a3 z9~D}^?+B&zdzAVXHbKIf)zsy?au{Dl*B!dASaflYfKS7Q=*=g5UEv#zzS(hduGE>; zbjoz4yiC}k`<|pLJ=dhC_uMkP_4c*gGvA_>|IVzQf)lsA6Aqy34qeolzYp~W`C_a@ z0Ym?MuYC9Lbw%GS-r-{RrE4ptv70 zB%Jbff>Zh^_^U%4CVA+XP-%U~aOcm)j`EL%Hxq($C~$sBS2aJI4Nh&KRev#&ab6P~ zN>_ddaK_V_gt$}9`Y>}vem(r4|A)=@C1e7J_-1=fUO4_IKCVNA&8JX`Yo1`*4Ek@P*I64pODKVFuuW z7Yv@TvUBl@&aU9{1@l*cyo-04##^g=qi-XUD&=Qth!+q2A_ep-zM1Rg+&z|{5-xQn zNx6M~Zt816XE%L63VVzaCWXGuLk3Y(Huk~c`${PX+10)9{l<5xlv`BB0O$Vi<^FK= zX*)+Tsg$=>ynFw8&Bv{vjdO&QJ!)W$lmBk#zHjtEr1`x{-Khqad*pg2=c9vf$~xy< zpY$)+)yqTV`jp0d3s^WuNUIF3$A^S8{2SlQFXWt$;4!;|d3te zmni=*>>)bgbGd(+N}lhLE9_6=nJOj+@8xFM{*_O~-9n1mHxWU>x z$N}}=2wxO7XY2y(dDf11E#E*o(5o&)ezbwZVY|292YD}0zQmt9*_;!$&-U~3Ua99Y z;Bq}*hI*3JX?LkXo_Z=vkQ<5E7e40$hon9k#FgaOF`9q)$mk=!0~pjg*@?F2T(a!Y{2;v!y1ZjiXE%zbQ}p%b-{L#dN2bXCp?fS1#!#;STs@yh z^KPY7U>)r1WvGj?;c|oZ)|!X2(yKDq6-3|QIp!+aLGm9uQ8rXGg5=lobd%|H^XIMX z#w3nO$MaIuAD%d`Yr$gXN&G+S|6Zwg%Jaz`kXa3#;d$j`tn5Redg1*~(5B1qy@AR~ z>@T+nH_ZN?xIe5~>&{GEhfN#+elaSHdr_stQhpl8P#=sCQ#lFxc^A&G>BDC#Db`bO z@Ec>7Aph~`ub{_HKqr+`L-jV-xx{k#lH~x|fJIR&$S0-FTV0Yx*N5!KI}ht6b^j#t zGz#_wM;P1rg<{j4+biy(q7Q>V&?uO zlD`b;hL^$*en#hC3zr6(OXK~r>oEq!JayG`AYcdRQ`tz8+fB~ZFu7@$t8YU_o!jh< z3DE8EE8|DcsBWySuWJFdFnMeM%vg@T!Ho`Fc)DKAH)Js~!A%FbVKgM|(8#Ug*LZI5 zE7-U*Ae(@~))Pr=A6e%2L$ByQe2MsrnGQbH<@H0$aE}6>|M=o~v>^Y>k>v7>*Pn3g zi1S%flwbTa@~0`7dM$fU@GE+-Zw2aR#5XYB*sqK3)0`*iya^R#FR?!QXILLi_su=h zi=nXUW$W<1&re;wp!;Pnfey-Cu?^?%KyUOqS(Cr)RneP@owyI0=_Rh-aQ-(WKc%BJ zb6C#~>m=pp`=CjBSB7-7#x3>Eko=%Y<)d-8D39Fl zK^-5B^TSkni`Ea~uRIX|2;_6=kY24)J@X9ZIef=QyL?GMpx0RkYJ$!55u3&~)<>oX zS(Z-|c{BECmA0DXVa-F9!+ubpwP!ZHhKBZVda!3q5B0;m9;DKaCH`%Cusuw#Duf<( zJ_{>fg;hSHU8T}y+wvN1-KX;jDs6^+9~cgQI7djEXw^5;yX6HI-7m81>mYr<2fvVK z`BZ8T(q379hgtXOyiws(+1CB3Oq2a&IiqPO)K{m)24w^n~0;9-5bM z0@@3mQ~3>j`oH`xI&w(L#y*F1pY~LlbfsK8_yqaIG5%M?Kluy07sHj5>y(~+pz>w% z|FBc#7sq6J4({AXdLAboi}CA?7$5voC7bra`H_$AlikG}hHut|Dw&=yoBXBJrf{Ez zd0R18G=70fevRfc4gMN8Kc`)xlFy_3J@D($r%t#NYaO76|E~kh`<06feKD(1{(Afm zpE~Th&Ux`0fB(v9=Y2KchC6S4SV~!b9MWDR=j_j~51seJ{2#x?HTuK|{j;<0Njc=r zxS%KgfXw^h>yEr@Cr$qGydUg4wG9g8u#puha?ZyRv#*VH4X3t0SN><_H74g3j47vj z(_y8YwnlQD%fa(bh6jDUSISThop#y}+6qF_6}tVH=V;tttpg_7NV56PBJ$IH>chBw z;FsD;+%;DFp!?LWUSqm^h&x)(1ERkCNAe|K4EoX1DMKT-)jh9pbGLF=EuRYbub88bNqryb={f8AXz~fPG44FA%OB!56VZZB-lXe; ze@+W2U#aegiJaa3D&V+4cYRaPXXkuuf$?cb`{VLQ z)JwJ~eZ9Ku4+x!#a~*nEDwyE(bKFM9Agy)(M3pea|&{ufRTfbiXpuxuzhC!sYGF2=KiWIS833VCo!?}&4Gc>F2lfzR7Am(l}gDAEmGB(y6|mtW_I!62Q7 z*#ql2OT{^o8hYiMm}YVoRd{Tej>`}c4VRWl+-_$?J?BTX~5rs>tA3{nyJU-Yu)*r3iR6)XiV|#pX8j6 zF~~EB%JHsu-eR+>Z=GG;9m`h$Z$oNbsje}*;!MBBbNwH=w8cKy&u`H_Bdzyif8Sb1 z+N))bI;Q!AN|3Dh8hrWO`2^1a*^o2PP%qk7}}kOk$hKsuV!NZk^WA2fMB z1nFo_BlZ8#b|&yy6<5QbCxDQZBwBvi@^inUpozV6J{g8S=yQTHU!$dDcCuB?}e~{A)_!IbO zWG`^J%a};kh7NGjh&_rzA7_7paveRuLK*i+Ovay%LV`ReDc8}1yDit&mZLUpZxKC9 zXM!lMiM~9GlHfpoK4^LF;q!%K?HiG^&!@a$e#(cuc9Wz1Jk2L;NGNQ>_8dx@FPpsg zou94nQ2+@=)NdD`%MW(m<56T}lK;fn zO;23buV*)f`CsPJpBtwyl=X=o|A7`>*v6f*Vyq*ZbFJh2ec7gyxi}ZHGlKSKByyED zNZOw=WK?*L;n-mNU0BJNv@6=a;Ma`a+=c)3gIf>SJmA^uN(y)E+jC^hW=H-#0;< zGh3@{-Oq0{nWG~3#TG7lS8*55kDB}}DR<{LC_mR(4n}jX^tk)%vn)`w+HQYayCaj* z{x`Vp<+_Lqosa1K)WT)`f(!XYCPVdDe_*B>&^0dl6 zQ)7sHxBp?~^Wkb%1{}6(^^qd4jI?kgvC8XVl8DT91^nI0Z%2Q$JU?&pW}2K)DfItj z$zUh^S`l8@{mGsIl}9iSs`R96L?+a=NFKkn^t9e1o6#?uydqccRUVI8j73S0+2jq7 z@wBjf9BgzAzC5&5ZbwyuZdJaCjr+&XfEn zt^Ohpg)6`CRy54yric8#tZ7Wq{q`>DN16VXU)oCXqep+hCBAnr?#S2%t55UXFW2u9 z2S@q}&8@5v7f{3pJW7x+$uWj#idf8dt=KzVm!}`|jXN2{i_+nLNhqS+UFdtEv_m3K z&)=u;H3t4zhgFU}v;qAp%5dMI68ZI>71n_EHe093cVgwctfOS^a%GZl3Z2SYh101i zf3UTs;6v_FsW{YMz|H5+v#aGpSriqRoLxcrP+l4YF3+^JIkMj)%zsfS?^!op^;NF1 zo;k^FVH+R~wtS>`tR3_t!4V$?HlCEvXe;xa6|Ox}J6Z1FC)hjxb7t|~s3?6U{7oe- z@^c}(#*HUvdS{pYmjsEEJ;#kF2wZ$g@!JZ24URP2K0klD%i@)>gwmscoyc#LuG2W| znTM}$eCwr^Ro`Je;cUyn=>H+^BKzF+ctX^iY5j71>n-f(7D-zUUBPGjxwbtEn=)y~ z#;oDOhm}x7`(1dreb)beeK_?r4BC!m*?LxVn1vw6L{){4CFMB!RJ8}%&sCnaA2$*o zMAmt5M!J3%ws(U`^v0K%6V*|V8XBBsz zIAuF#A-{jh;J^5BfxlgJ3h&V$<_Qw@$T|u=S!ab}o1$+;udMuIJ!UhCbfd5r`<=$R z81=Y|zG`cF+<>HwNGVVJrhUK3+`<;I3lVC4Q2cM$@@-hL?BM2Q3!0jiG#}7qymy3; z!?tZXt~ovrp%cD%yrk-nmX>@+9eXsI4@BxWBIT`@XVI}%US+Wyaz1f>qssH*Se_EM z=vtHWnDdJjPMaj4{tP8qSY3R8Gmn4UIA%M<)X;38zdEAT)LWBTZO@i`OaHjaIv z|B=I(j93nmj}N3gty|G;`QbY#-JKDt?0cP_$#{gUnUWx5bQSmVz<9)^!TJz^kIB_2 z`VCfkE19QtST8jO*6`~SRee}5`>Kr_|L-*-ewK2A?+?8m@;e#xAnl{9O&T*A;f{>Q zHIxUi2wc`4jhW22$1xq?q$!EpZdw1-Pw0mD<#%Bl@!sV+)a39iPS^-#~RAM8Nu_e;ro)3e}RvgOP-}2gU7Om(7|I(-wA>KO4}vyGN%tD z>02s#vWv7$7H8>t(qU)De60)r>W%Elj4Pu#=ov-&45`Tn+_ z?>J^v>&EpP52wT3$xa6S?Jz6L#>*-NPTl|w^bVt5zJp5$nUdA2~ z*H;k^5JryNKa&m(Ej~?R3NltYx^IKsfAc*#-U}U?Yo&7u^KSP*>j=SYL*7Z2&fW*w z+xI^H^@9IX_)3N(bCYo>@Lfr71@^gYG5wdJUS((#^-r7E?WB(kKpxM4IRqq7+X#<7d2)R7r%YTJIv|BF6`00@ji?VYmQmJ zsi2sT!7k=YHwzl|Jd37f%bI5$yr_QR+(tG@%P|)vV>^ClH^~~;YGWFyBeQ0ED%|_X zY>nt0bhDen5HOdF>;98{uGhQrc}M5*q#iXbUAlOwU{wCUV#`N<7mHmjkS7;>e2#-uvB={Z#mv7l8lSCkDR5jmvlRSHt%91LS6HTVf(Ql^SfjC32GB z?T+a9`i-2?;n#;JZ5c?LBzn9Z9h13*zEd3i?)*ZZ?jzD2O}lp%>0TvWaXeojWm^nw zZFj&gZAj(?QNFe8rM(e7h=N~FMVS{)Bn|C)G3mxUz+&kIpp!|x*Nesp>96gl!jYqdlH2T^|JgS=|4z$^d`Kw9kJQl5opOO^BqrELY#6H_`Zm$QM?DkHk-@F2JDyP6+7dJb)LHjKh zVOdi=P%ipVvKV?v+V6+BUux<4|M_RTb=hJ^KiROQrR7KkrRbfMV*$1fJZi5#a#VwCfcJK{O&(+Q~9mLwCMUpvTQ1ex5 z^Hsc&`%PTVClYx6ydYm6TmCd%N4pi${SI_pTc-6(>55yxg4~d9nWIbHD8^4I#yU?;8vKB~6QFSsF?|)6vJK7N5g~PwzwCy`Mv0_ zp5qQLXlh=5fP8+w$%(`A@uuZN=oRA=7ynY8Ta`ZGVVBO`-TTQ?PWfg3ix~IZY%vvMD~eyY z&zk>#b+Ajay~r7+&$LVLIJ)4o^~jEk&0fHn;cf;`^l99=jOqUi`*aB3xq<93^w5Wa zhuZV$KGROLLkF3cN_nY%NqH%iIZKyVOiE{srBikV-?KpG#qWD6_%oYi$cpq*KR)IB zW(V@WC-~oio|e$#@j4gliL+0s+YYrVhm`VW> zLVH}YKlEMQi|IQ%)fN5ffPOmk^DR#K&$(}d(wFiE@h0-6{FLg-QOW zB2ycvj7ZVypYN#$^Yy{H!jkiC3h#3|1g7Lh)*Hw;@~dA@K`?IJw26}@Pf`t+auHpT zv80lJ@ccuc+GKyltxr)nc0u8%#qjyTcxlNc7S7ycqW;(DMV-lRknt)v&n)^-a=lF} zI#eCWN%C3PW+758@cT)SPs*v}SMEY*B7SM3m7k-1O2hnKk7!<7>D_5zqH|N7-l0*2 z^-&T>Z;$wo_NC}W$&K#1EueR^qlZ;5#77}yPmp=f2PnthU@Zgw5W0_{m5-OacZS8M z|I>JP&u}9ADSD~pqT~CKYSK@&Yw#pe`^$Ok)79ytlJ9n0x7Ewh`(NU0B~Nx+_hj%J;)#)~(;rQY;?@iR4L{l{`)PFN#>q9&P$)z18wZHzde-qzl>W z%s$$mQ8;NO$h@0`qc@qXCrr<1JhS9E@Y7b6M*3~Cer?iqeYd86$)=O_LoQ@J=+;lE zjYPH*#=c?i{7-+;43F@S$pSw*T-b*FctC9vL%MdnSnapxMuXu(XLQmLq z^1sg`X0Y5v&qWU2Uy^qkhM8gg%&H*Bw7PKeKj? zEGBDjW9%JYg7qnhv3y^4?0f8S`;hZ5s1vdWKiG%o(R`tIk+Y1Cs5~0kv%lD(&ob#Z znJgV&k@*pYw=gFB7YENX>1Uffh0FR2h4cN`1zR1Q^Ae7cc`VnSh@C1u#==T&rkwuj z;>kL$(&PLOt0$iZ{;pe(?(K}!$5Ptg(w}hAmI(i+{@&5&tc9^BnM4|LWmGu*Fw-Yp z;9t#h@Dtf1gJXXv=_7c^-x<6o&^9J|Uu>UsomuIb{)gpnkN7F}74w2>?fn`bDpY1xq!Z!a)`R(8zl->hQbfI)-%x~#c^aF>oR};FWzk%Mqq8PwKdcU?< zWqx-zw$O&p_YX?RXX($lei6{S&C)C7UY5&v!82XZ`=g`B89Dvo*#^j}AHwt5 z`=vz-NlNFy+&5WP0$tJDSGmAbdVjzNc`e=(p)s~7O;1P`Z0DL`&G^~=4UnFdyU`6et!D)q$?j}NyU-*WrCk`%k zNAy~BT0+*vo%O5?nMo*m%p@kjhYbk3Y?%My^ep`TLEp5NsC?4UG8WwfDa<&<#@Ia5s##Vj_S^>H69sU= z*7xvxHn}06QXMp=@;R5JlDs6~V>Eo6( zU!wQ%KCZ}ntN-Ehv3`?1Ee`%(PL;P`O)dElTU{nH-w@}+BNAIQh4!`VEIF6K?&nZG zoeNQZjgKiuofIU({$APXv{lGw_Z3kb`+!RB-YEQjHv*_0>-wLyTV%qW_2i?y*rm&( z{{CB0{{w%ZrB%8hnlAKTl2#wePPC|n|1x+jyVvBN6Y_tSh09sIF66d$?(kpoRpI}#c>R)&7kPi147liB=A)}|CGpq8{z%g010SR84s@!`Aw;G0+-wiO z*1?fQIX0P)*}#`leZ45V!NCtvn8K&ZI6%_jzs17E-BK;~r+nT!=-IoxaEa*mw~855-(l8!&xI|-Ve zxUB2R=ePem_t9zSo$hLrmg&*7AOpdifsn_JVf$Z(}WQ^V&694|h=~-UR1-WK_*1)#Ky1|39~aYIEHEH|{;}mDy*P zP8?ghdB@J14zyIu(A}}=_F3#v7{Y$}Sn8nSCBBYJJZJm=omLdhp5>=I)Ngw4eRQLa zHuNaUaS3aj3QVHcrzX*JFg{eQ*CE+2?3wBrCgq5JVMTk=#+68#R^Z25ILzIqWvMkJND;XqvXkktku>p+1tkY zt~2fVmIcMz_+s^zu>~WyZ5dfoP*?Do!LF4m?wItb&r9l8c6CbmISGBb0+ueVZEZQa zpsb*n)xYaEttluks4OWNZ-10@WZI{jMx>6g&-!iCO)Ds_tf;8?cZq>e(veD&eMU-G z4%Bxu9-md(;F*26Hu3v5{A}vcC*1$rLFm7C6Fxfna>bu{#?DB;>4OUV{n$4D*Vxef zW5cDLyY>~+bS7KQ!Whc?ZJyzjX4xqVnP z{l)KKzIE&`u~qZ1JHHS+g561ew%wUa8zuIpoPJ?s?`U_%PW0IEcWd3b=6%4|ue&xy z*MAn+|I<5HPN`b|(Na_y_Z>B=SwFAW8GScztRd)L1heZ`FigCB?!u66vx?cLoMIWuQ|M=prG2CAO-%U>^QW7Gcs)2T^$!@3u_(0* zT8?rKPM2-D^ml2{iQE2iPFLMss~_l*`293XQLujas@BNwb@QYZvx(Q6%e6UH-?l_t zs$c)6KXYC7PqLANwTPYKCBZ?RE`Q1PpGq&mR?>$`e>(0zDI9wt`sV}vr+wu)lvnvs z(*BOI!Ij8sijT>ibKC3ZA+Pd+=%v;B?&PKYZWS2)t3X~+w>y%T%AqJi>-sI^+r3gb zyjNUtEKNm4HJ21Rp;Ng_KSScpCP>^U9`D2NIVG*U6bb*z*O52Mfd0UMS6%-=<%Nxt zApE&7;E!flA{>`5ud@*P0g0+de6;;}D4(VBd7(S`1mnuVd~Eq#hwl!L%ihZmW4vDM zjGXt}rGA3X?Fh%-S$(MD{xtkoN4WC)xp_Cu-y#QBEzmf5PlWKL4vyZqz>+HF?cBJ5 zp0RzXg_PZ5()!~+*&zA%qvTRf@E!I;sjuayyXz3(NuEW2S8@rz4hy%u%Vd5(nC~t> z$^y%uV|?f11e?0kf zA@7Wi^7@vAlwFIy{L@EM{@3|mDz8rhennn~xvMRZ*9nduzJUvQTSZ>MymI*u(QC#f z>jzPvu}e`%aK`!d!$ELi^y;(gvyR8w`W%cu%prA3{j8{%Jv*#-;Xd>v-ff} zb0N3bt#4KM4hN5YJNXo8YQAnFUnep*fh~>I7i`$6hM!Bm;>4ewFC7PRb}F#z46uxF z%!TcKIrDmrt4yM)K=j&0h~lB=@7ZrO>bI(zEueEe6V2O}-v_?0gRfBVk^aNR1L~JH zH>kgmBpV_x#)wp}u8QdOeP7Di!|%6n*_}9QGvgE=nZ7T%`D;!8dzT(vaG~#wZhl&$Q+CsF#; z841{7AM!Vt{i6KISa!v!9>h574!165m&IFp7V~UJ(v;)3mA}_Tzxsa;Z~l2s$>NHy z?bx}i*y1VACGN-eS^JIu_&56VZeG7rc_!oX90y9}1N=VdJo$^=^vB)$_{tZIsXU1O zDEw76vhI+&?L*)1+wY}YyP&R*g2M6{NB==t~$! zyCL7_@F9zjUCQ%k(#M3+cTUVd(CNoB-O+DYF}ry|6HSk$i*9+prxOCe36`An;Eb7h~?{CdSzbq z=z>_j-rHz1(^Vv2(k19Z`WSBudfa!=aaEWubcfM0a}Rx5jbz=YUH2V#B0- zE5~wShQ?tNeaQH|+ovP@!0rk6fvwy2f7uAu-U$z1`1wCuA4jmm|cl|pjm=yV+&)Dhv^lQ;i)t{$6PyU=U+J;U39T#>! zBgyp^*Iow0GtvFmy!8Kjchx%#Z^b8+H~s8!_)1~O{IZ=V`lN#8fm8c5*DqQ&mqE}( zBF8>1TRsb;*nB`D(YLu_*{tRz%a_iYJ9~EXa-wAEo24rjvD%)W{llLm;%kw!qF7S7 zf>`cn94lKBAE*tyARCbKJC2vzJcm!oF04O@sylbiqUPnosl199OC&P&g(V?e3fN&z zB(j_5POPqq^O*~>#slCrewC4mEW!+1{bCSH{~9^ts%cgt(OYeYux+1&t3LWpvz+T6 z;}m`4S1PAOB8cQAm);ypKO=gEO17FPCqpe7`2=Y5=B=%3)~{XLyj3!-Z?>#IzD0g! z+n>j*Ubm`MerLd!%a({M`k^_%08&_z1N+2Fc~l7|66yX?Uq@n1_Y&rHUi2JiI5&9b zavVnUSXL&jQUWQd z_?L*ixd-1A0-0AhSh0@^%-Xhb%lgANwyY^27Fb=WK@>ccZ)5YyrlredTWfRg2^E!< zl@k__HDB<;T<_-Ql?ye`>FoEmHAJ-DKSw;krUOedA~ZLn>Y4|6fe=^W|q+|RM;Q=_)5;s|2t!>^t<;CV}9-~$8Umnw~kI5!6`D{1^g_(Wc&vB zy%2tX<@kNRdw!)aB|-Vkvi!>L@h5V9S)N=NYsd}pWE}D_zN5V5D87`veba_jTUqj4 zP&{%yQ$K4~6%>!IC>dEWZCb&|){zCp>q|;%Z2B(x1^OMM@uwKGcoTb5ehKY&X&29o z*G50!G_X>Vzp6AwG`EeHdP2Yf5ciLz9E~_e(`>FIka^DK)o#cP~ zja=X7qOa-cNp#7d1j%FH>S$eAqVWLB)1+@GI8D~yptZeOKb{_qGfDohSGXzXjI(^dJd;r;>qkR*-ziY~h*yw* zCyOrg|3UnLET#7cXo(EOe@Ze61`eS&{$|0(dNlN&^*I?uvOXuI_n?AJ`3~rj-i8kH zmU{f|x=mYTTl%WiTUqC!(Uk71(2%^+r)Y0uUg~UUu?E)g9irNejFl{7zUp)%-$_c; zVl@7nLGmr-Ff;GP% zl2?&6V_!n=738h-p0XHJpIF!WaYsG+z{1Pt_2^FNx-0S+Q0se}73ui1fG5<3A%qbNq8B}?G41Zz%MuL+(%ABI7S3zaZT*8>b zbc?4;dIgRi=X%ehJZv~+a9eJ@{}Idcux)GQrOIpa=qe4lgMRUH}^MO ze`Rix@qj@;Lx-vJwMDG&d`wb*@gd6ZK;(;^lu*&ujeKV)*pyR`=54jl#&nfm8S(xPsqYoYPwJo43kjXz$MUX``ttj3;Ed^sepOKJ5~B1X zr(UkSo&L#OUOe8iVp&tOj;l7$;@n|;X-yyT-3HzZ^p*aL@FsaLe4l|F=TOJ69~Hl& zZ+?iI7Yym1XVc4?)@U6~Fpfq)bn#NorHii%0+W3HHAa>)DbCTFKx|EBO8Y%0+` zpZ?on`djQ131r|VVwQP5PnAh=Xvx`P4*cMF72a?S4faE*Tze` zMf=#(okQkfx^F^k$DaWIJ)X}MxRhn(9QL_ft!Tt=%#bIMkHR0a{=Iup2jDQ_|N#xiKdM~d=G!q z`Lp=464-2`+(qe0r}TD%;~C_*E!F?7;Jx4)ld-4O@FMWK+&MS_0Y3Ku0YH7Lp_C)vd5A+DvuW3G-o1K7= z_A?A&Kd!Q`<)Z@p+8(@+GVj2!@7!6Ff5hwhiLePXv{p8Dz3dL0msI*{5Q!(Iqo36=O6mHq9r{vYG_0fFyDJC40zzOGD3 z8N;^iRM+z&m6h5jO{Ae4%9otC6|4_T;mdUtg|A7^#Qo}wH`wogQOp;>}vpQJUI zkJxkM`VAW#pP}53BMmw!ZN42x=`3fZ!#+tr4wLZ+X|N|g3^~By$qK*hxt_`x&7BHK zRN?1n$Tbi4;P1*w=Cs-2l ze@JgLTJFNfm_Gu0M}D#X_ZRlg|Nd9XcNw(*67G)(`1mn=;E#z}lSY2(j>587iN2CsAW zRr+!Js2`X%v{<2O`@W0cpD<}darNzf#`0;U3d&8@587lumudZZX?$riA~&pU+A9Ps05~fu6k#9nQ?AKWOcChw(jI(W9nBQ48Iwo-tkd-pvG0 zl>5FPNiPL_Nvr=8aHA{FW}oL2;_+O2tLgu!$y%-Y|KZw=eD{27D>X4C|DPC{n@`K# z=J3nB#@%ByY*A$eN6c-nZj%}+hEzx5#W&L;Y(kA8`7M2v078GL?mUCVgCyzHDICs|a z1IAaE5XiR9oBk&5Z+3eQ=ORuyTiFCw#ZTTGc00{!bl&vN7*DtJrrqL65tr5Hw>!*C z2)}{dnNUOdZ^Y+*Ro+ux?)!YceV0;IJq+wwCPumPb%EHh?}Kh1p{95Bl)AkU?->fxfBW7X`^VA_OrVxW z^+2BAF#`tqdJvui8uIZK`1lg_;4h93JwMmC15#eR7d{xj@%AQjAhZ?*e4LY79|hw1 zkZ0=TfUgQ4Azj-4VeHjeKwECx{|HjsLpAJqdQURNNb6vYEd_EAzoAh(y zHER?bZ?cOQ@gtO!16L$$niZ``!8MO{2=uU;5Ao)wwn#n)WMQGN9*meX-w+(>1R zVIU2fZtwcB?q_N_pAP=P$QOGXwX=cW>g}Jd6Klg(p35a=H;!wlNi05<>s+qoT#Pj? zX1(9y%eijl`YqRE#QV@w{?G0z=YPiXn{X2H3;mx*WB+GFo?C~16Z0YP*lRJgvrXn( zCR@Lk*MoLa*6B~!fgj2@WqQp4&N%MArjM@UcWeC3pi^R=`wepKXVRsmo$vD_o+ons zo&Mat?%XyH$M%k*4P$=FOuQZVHEvyqgA3*f53(2PIMQ<;zQ{mgxa=FWyxnHuj0+@s zBEt#4GWnm{e!Yd`Pf2Q#^Nz@>rBH0gZTDJnlnKLNS#7TnlNDZg>H{A6#QP5)2Y z+wH*XfcG}(LrrfjUr&3VcHR>vyuv>9<-Q;2(!L;vf&t?$A+xjtoHV_uRTG7ew;A{N zOI*In8@5>B6Sz-!ANVaD;G|JFcL^DM7hdinU(esfgvu|`V>38DHCgqpd=&pj(6(?h zf#*+uA^tt*J-PJ6*C6{%TwvTszw4gpaVlxC@e*n-)tmfFzfZnnlw_YCdno0MWET=u z$e4|`8SSQjB!7WVOsDJ_PoMB4@IEHvRVRNbKZP@nn@JmiNtW`JZ*s#zh>lFZ|(~TKq#y!oY*fy-~k2PL}$;%OuJh zxz6I94T*BfAdP1^pW2O=+} zYlAC?H9T)NIVpOKEG3Ap_QXDR(qoY|`i-t8dWp=v9?ST}v$V@yuCr(VvLA;@G7OKs z(lVnSOhBh6NZEAMccDW)nD7iUF&_dC_1*C(`X)hiHp73PQ}jjBHadOgE;^h3QlO76 z{ls2&|B}UG(%VgLT;Cr7hp+GQ%=Z=Z1A9XK*D$ixrRM04_Q0NqE$MM6GGv`{rj63~ z6_XR;pUAi3fU7+LSE9!n)A!GU|8aO)!tYx&5ew>V;^=>A)Go;WEi>^5;vS3Lh1XHX zLJ!S4SnQVgLwxsi{ifFS(leIw*ZBBh5?}1>M9$jjvDM_9>hh&<_V_BkZ-bMzu*Y(f zbG7NGd@FprgI`Yivw3baInSEH7=FBi!~e)!o=-4+GfjRBr=24tdR`snDE~M!K<%*N zKN-A?_bGq!e1yqw4*C050GH>JO#Tl-IDP&oy*!_6^4)iNZT`O(z~%W{CjYrG{n-Kh zVxGTi^7n@D^DKOX=`mbr+VqLul)=QGn*L9QaBPFXm4A6Y&-C9N@F!e3 zcw*-BJYQ=DRGP9FKj#hh931g3^|rtKiuCIaBYuLJaI-P{VaGB~itC-0?_K0=4s&yb z#x%fR1|L;UNq;Z!qoMl{?bQ>;WbKH?=WY4@4)}?{|76dS&$_7te$oxP3izXz$Lyn` z^LTA~(t$rs&V`={FFAud;3pmU)8vfziSUv$CIx?5F=)Y`CVS;4!VAjZPx+Vn_Gz&H ziv7>+r|+|9R#U@@If=yJ=K7}khPlm47B5}SeZk!22eY7iWfKdf`lf&uu)vOGQ1#1} zHL(&~K5gI^q&2bz%5=LnEOjZCEf3lMIqLy@c5J8*`IF=QpSnNvW#HI1AM$&4fWJn5 z(E}gy+$WQ)A6{PR-`n5vg=HJs@1}|Bt>ZFg60aBwP0W9Xahc!v7~Cm?imwc(Bf&$5 zVEuRL=eYv{bfB|&%WCcON&W_%mKc#XVt>*VJRAPEh=Tr4VkPHbt-!Zkc^c_DjyLQ2 z5TO%|$0!2L&z=qIHy-KnQJx*fZDe(r_9x$yzS~&0Za9elQBUdMBog+O!`t~gQqrHC zG{Ts|Rrq)B*vNbgJV&0WS32%EX|ypbW)Y8j75#+1SBvxlc!`5^7hHWG>Hx2HaPESm z@9Pd8kDr-I6Df~dkkeku>HC6Rg5AUNA!#hEz>WP_AK@;yg(fMn>*ckcDFBd zJTzfndF^v~GzAGq`{W8;)Qx;qm8 zXZF7op22rN6@Mu|6^KWpKxHc#INIR0!^+@G;<($-vL%mLGZ z;}0*5`!fpXt*I@ueH!tnk8u8U(yl&+bJA&c{v`1;;;_DL zs^nknY*;Uo>QCDE-@z{96IQ=y<1dQ+cMCrg-QEWLRp6PX_hF9Ts&89*yfw#E$bZ5B z!%;}=gWqL;hW{tgex@1t(BL7bv|N1ABR}83_aUyR^Y6$5ZJ-1@?j?3c)~bf%Ru=C6 ztKE}x`g4^>37itaF)kO)6{>y4GpDTjaGnI$cHEY1U074m^|em(Wfx zO2wh{<(z9v-wsLzQQGk%qx3hD-s*4^L({)Dvhxs*t0xM7sWX_o%F1+mUZBt4eT?A` zjZnLXZzke5ltMHf7)+WIA%)L7;WN-RN&gvqEL&2)$c`&zQ~xOIi66uiRsTL-yMDu( z7OfpAbp8Mx>Qop$gAU(IBEO+L|4w@9Qy2nx;-HTcp<*B+8q&JhWBjFsI zU<%h6k9g9Ue@(Rb%bfqDztVU=ZaDwOSfHfSdDt%Ls?Ma)eGj_Wv@mG;H#(>Haf0-T z6-YXq+mbk%UeBv{L*^G}ZOEc(GBU)!d=}>~SnccvKYms? zHx=Q3L&jNIvM=eEENxoWv}n1ygC$5wUqL-Z24PVC-?HPmfmov`Vfu^%Ngvc|iKOX2 zuY7#|=Ca>Mj7Jbc{F7&~-}#LI=<&bl%7^qFiYHaR3rJ7h4ukTi>wYL7(swAH)bz_q zzbj1b@~7Vuu<1J#Pip$Fkp5R)q!04np?Ff$uOa>AU8Mgv%P0K_(x2T$`p+vLTR)f2 z;;UvI3n-<0js^co9r4?7yE)chmodAvvOMB2Za2w|+p!NiA@Ip7Jj)& z8~h{Sl@>nU!SS;!{Azr(eSuH5_=j5j3geA=J`3JyJ0ukO?{dSR@_v&sFZTofN;mLZ zjk%HYG3Q;4&zDR3Ya>6|rl(%qG!r;u+-d#0fd7)ct@!E^rc(z-+>ZnaA|IuHx2{7= zD_ddrij3GV@*^$$x4I53t?Xdn#O(y9e>`g`{J)PL(HAb*Zq%!e@Kf)bSW8g)5xziI z@P|!e_SL{Y1^!wW=^rEgcw~!xt4ekOf1G^$kPHzfKi>uXN$|fy`d*~B@Ir|j@h5U1 z{bbs12?ev=cW}IXNJIY2Wb#q`AoGR$$KA! zKkp|_ehCli@det3R_yXO6Pjh+BK_=bMz$M zC(SL#x`pXF&H=vd=y&ZJ=G}e}##_63<5n_BP<(IG_mFcJWv|@&O}a4B_NUfvYCY1W zOOm&}cD)t*eA0%b+xKGE9(Hz3_5;a!tg0#W&yejL@sBxA{$D0f?V|J_1z!10?DF5D z^cj6z`r7l{J8m`fAzVnm%gxs)Zu+efL{2VbZIkauBpvNJ(5Igz=Xg$~+=gs0SwC>+ zaBBMb7B1_wj>WzavhOmPqoVZK6it6L_hkytX)`@yIPVmmFGB~`s&Rl(Yueu|AL(#u(FlDKkzBXaOauOcbGe$MDi!W z!>dVO)ol769>A+8Pg$$=!E?yyGMbg?(R`o9Pd{ZU<>-S5SDqvJkVeO4MlhfLF2cJ# z9v_kZvV@}V+PnVu-_=aQi-XI1=|8#9>lTxv>&%%i)wSjFKAg|H(&Q@K=Whx|qq+mp9ZSWEqXG>>@rglQ8K_?AAB=O`Y)Ti<=J6`hDZxU!+}h=|7IS z^3!QMc3#qC<5z7VPiNa_yY98qca09)w&l3yEgM=|Hv4l;9;b}ktZz)Zynh3_$ft_C1W^vTt37&I`1bZF zV0krg8V%z^=my*bL}C2;N6YhmD(Fujx|S->Qdg`zriRe-QXQqb*Iu z_hXLldw~DB3pnk+@(q8}Cw2iRFZd5;GI^f<_b%Yb2mioKP6DSsLqCN-@o7YEli^d= z&c^M(^`G!*l>TJ+lzH(8uI)^)p4FGbYbwXYf=R?DzfpV-f5*y8%1&ehJcS>TqxvK8 zRRztcoOV%fW@E>x>s91;SQh%-yNh~z*R4n2@Y2-xr=3lE48IbFJWbq8`>cBTim$i6 zy;Jpa?VXM1{|EB~W=gK5EBI`CY1b{&ec{u*cJ05+&raFH^>d41%8xuIdmnI)n=cai zu`ff8-jk3*hki!Y5AmDt6+I&!=N$1B^%V!n1|j(fFkeXJxQ)e&*xax5-U{gL;JIH+ zbHEnoPZK8$DfF;)8iqe;F}CS)($K^#Npd5 z)m(YkeTl``->Kg+=9%ZgCAO`;(5O*C)qgr4rQ+tGE5CBMmu>7K^cG++3G_!r1l=ar;?Fopa~fnF#7>(}3V{hIfV*>wBIJ9hr; zR?EiVzY-T-C8XN%=(~~(ytjP59j9Fqx`I#j%B?q^J(utQx-DEHC)sx?K5PwqPN?Sh zcJvu*S8@W^BgV}92t3)ecSkVC#eE8P<%1V?qKqU|?GL}j_F4XCp5*O1`twoC z#_j7{HxWJ64!;Obr)0sltDfkpVWPa7zceOLY_k)6UyHnmJ z%?RkAJ60cK1y=mn$p}B=tW#d`*vZEX=aCZCel`oq_|E~wM7%VvU@!=qeTLaOfhxjjB?KkAZ_V>L_ba!rGea!D&dF-{{ zu#?n2-|{T^D!EFYG-U2?dad^GYJ4o|Kh)xnNgqOlBC$NY7Wnh$0_QH{9T~UEE*s#1 zeXpJdj?<9KCggIaKwU_Xm+y;QaI{^B?YqiF>ajxF-^v^v%LV*_-o3Y$d}7Dmqh56C z7qn4V%Q@fRcw_3R2Mud@p3k+6=UOg&%le18LuKYQyr-_$S8yNc82S%yA}6t@)!?0q zKUe(+WbhrHN0`1R%li9JPR+>ao9GwkOZ2}mAdH+oOcyz=ieB3K<=ervYr6j4i-XIt zT`pxO`FQ>|SpI6`x|N%2*W+3KDSHWWcEB8L-;g|$zg60ukbmmV6xJ(_eG&ejvhnqQ z!Xzr^jUEwtEY{u%f2}PWS~yn69{=t2JTYmVNle5p`_L`l=$?dX>Z}X-U+-|9Z8f%A z{Hz1!GKPY#L;<-Tdxgj~R!ZgTeXW;knm24(eWZ&V%9kd?^J&D7cn16LLw9`2-U|uR zZXde?Sr0J%FLC2g(*9Oo&p0Ez$$CC{F8h|!Jti^AuzQAO;_1Ef0RNscZ!CurnXX9r4GPbjt zPulH$29WLn3uph#KzR!N-RfVH&t}?L){V}L+91i_y|F(netA?*>$hyR=&1kcrwlHj zkyJ^8H;HW$`9iPu0PaqwIFB?(l0rs;^e}*{UvvpX~(=+8N zY%A4g(F^G>i2lf#;Ts~xJRON9d`PH97Fl18`H*kxD6iC?i9g_ZD3O?_(e?X5f1n!K z4k-lwOKb(a+Rz0bRz6z-HZ;GitG0ArHM(}D7SYPMnBPYr=O-v1*!)S@s@hS&{rc^Y zkN5CJJ|!RQ;qSTHv0>L+{q4I6l#&qJsiRgMOC1+E$he;1CnT!J>RsoY_1RAalU8cp`A&JIXBA#H>N=^wm89jLw9^kqp|k0|{J3zvPBF7#b$ zvUI##+nMDS2knfh=9$oUqqH+g-n;rMeo++q#Pw7B9RHp3lHWuz()YOWc7<0Grv!h& zmB)JpCL`ZuD}M@~Y~h7%(b8ADTMbKF8yY^6jWiZW@EFx~n#9kPboTat`zXzd-4N zPxZ-tr<;`{jhwr!T7Vy$n9JDJ!LFRLkyG}GmbUyKaZ}(Yhv&10dUp`*(fgD=jf?7u zj*B!dSj@&g#eYMDKe6au+Kcru{*l-D?=~?eyoY?B%DP*8nG`)-1O8WN?@n|1P=CK^ z(aO0?7cX+Cblm!x`ArAw_oX?!l3|$@OY|$#%a<-*uz;QZb7v9PfA<#qtRaQY(a^y@ zZ^x3_dM^Hc(?Ly*E1NVQw*>jP4qgv&#JRD*s<-^I^<-7+>gIKP14Q@@$^*F$##U@c z4{SV(U-#unIouK8{|5NC1o%(!<-mLDk;sbku2S(+?*|V+zS!Eh9A-BzTE4)R180x8 za!xGT3?69sF!CsmAA1mf|03l7hu|l{2W=t$vllN#&s08q3&iWuAoO?Y86G?GIVkAY zeJtNAuxqAM=-p*`XnoOEz|i`dIrK*H>(e6nSAU22rz!J7 z9gmdt1JX9vY=j0jeCowq*K*xX^M^f_{RUHi>nVS+cg-hz9wT`^2(4enG)6qFs$|RS z6TZW;sziY)`FoE1v3@=C=V5uxT~@z*`N81Vu?oH)sA2kt*e!HA3cf$A{d@3>ktd|! ze-r%MOd<=vB(9J1=gKDug#UT8)4smU>&cuidhWxBey*H6{^~C9e**qh@bC5cL(w-z zyrsT~-cY_ZZOm)Yc1-)L#jJGMZ|dcieKK-;+f${?{@8hc@ia7l&?1xQH^=2e^Y)hlyunzbqcY%K__*s)Q z(U*hP`=w2?xI+2AFu*?-{38SY>pJMEEaoSKdNi$?vBy2||A&zOWesW<+t`CglJqLB zMy^A-sE_Gi=W;%W;QMa{$=}MK1o@-zr;UrL6%6m7#}m8AAAZ+BeE3Oal5cOVu@mK98%T4LgDKYZ=_V zg|-f!dn{nB8F8kC${Qfke6nuM*VmP_CDZ1`5r*$}dgANdVT^qq7{gP_vz7cl!rHWl zQp!`BA=USl*GF_r_HgJN9^)B)zdJX_>-&T*hb3X|9$F@$T9{VujRHGibZAbYI z*>(#_k;nG{ z;6DTTi(f4KD}HIDmkE9y7neSrglYUv2?eYOB4|4K?o#Vk1-B<@YiUbsk8u5N>bUg1 zGp}>~ZpuT}t+1~p3e$+6#xL2=?AB-8eDR$F|8(Zk-<@*jc{_Gq)5}J!LcgXxV4t-= z@Orq;LC3D`VIV|N_)7z&q3L`uAAQ(xd~4cYjQQbg#@ zzAZ|hb-URw!e5gXq$e(CSZ4k{NDn>@HHSs%QBAwso>G~f@ zmv$Js0=$O%;2%TtuN~l|k@>4Au*goHrQcme+gdZ2zArMLb`#H)UG%%}U*7M|gTJ-> zJ9*TznHEb;A#t6(O`V7H>nT>RTD|V`=izFmIlADp<2dddxcbHe{6w7E8L<)6g_;I@ zOJ`>-C**gVJ10}+8=U*{04Ae1`JpYYnQ!wd=STQ+AT&L?F!oj&rkX__oolysJV`Ur zymrI3E$iU#t&FB*Y(I!=zjHzZ+%6NRudg7s{jMpZr(Tga6uVkv^cSH2b z`YAeY`S0e})M5{7rc)-g8lCftp1&E|UB*MZTIZUC|GU9+f@MYKV_cB@=(v``FCY$i z7I+QM1c9f_pVWLC7rYMdu%ieun2hC2js|zZK_i`aqsfaQOqSOjeFZEOW3$3rOb<}SbB|DX9PeKnHCiP81ExIaa)`%W<9H*_h9lF?#D$W$j z{F_D({&h!pO`vC~bY&b<#z+}wH>KqHIL1tC`HsT#hIz>_zxP3xvAF6!0Xcc3et$Yq z${PpS1?vY$ez$E@!fTq3BD0%TdpO@+Oq-?K#A(u`UQ;CrEmZMf}j-=WC zR#p@I`;GS2E@qQ2_H<05{CwzaC$wLmnDiF$tZS%IwVnKkk$mU!#`ek5wPi>-C0#yy z+I&i4nR{Z;IZvKFT%KiqXBz&y%mqd3oI^Q$3j8Vhz1aDbkjA?_yA>0H@96e!;W!2Em= zaGl2yI5t7{GRq$7PV+bdr|!yLX4!)s*$vWb{RZxX*q5k&CmnvVJERjHCLIGjm?!dW z)bs3HN_+r~@yPW7H~-&-oh!k%NSc~MD2q!O<2(X<{bj#9=PT{9^NNyB3H#m&&4w=g z{kv~oe97a_tUNfA{nIboxT4p!v+c9i^A}UvoxskF+v)i>QY zH+#W~Wd}6N7vLm~^aoe6A1WFjLd&KFdOQ3^H||NinXOU0#IjP8c_txP z&WRHIDmOlciYt0=RKkLt8 zUx91CY$N5wC2hNe%s={b$Q_*bQlBJ9oyz)syl=p_8IoRt^zpLZiqorJqgSG@GRHaL z86pLGt@QC}B-FI&P5xyTxbK!K{AAM7&WrzF%QJK4nG2l%?df}XBQ%(+&f4YR4R`bV zyB4pk{cs_3yXhDC8`Cq0Lz^t?M&x-WhsPi8_FYK(B;SCMAoFZ4WO4LkG!I96rSg?| zI*~OY>t30ML(gd^?cAUh4}aCFE}EpLTiXYtCsqs&oG*4)nA35G@et&5q{t6#XsHf^y%MwYRAqi zDLVlPPW|8yF+)G1_IaCDd?Z;{B=XVFgMD4{-Ras_Os;zsx7V^BLHtnb;Hv%LdW@Wvz{YqL?0FY zF=;38d;@8*1Cls|%k%S_jhQiwI=BY-P}i=Ie&(wdhxCgY4waY$_RHSs_RC8DNodG< zB+}M22=m~7%=MpSovy$oOxnaV`XTT)gZ|ZYxQ*(+Jb%|@?r`;Aap%Wy!Gn#+{B;N) zXyMfqM8m}r!({&1WX1A%!@_-khdxc_^QNEL2aO&4tNEVjtF#jmJYd#AChf6758p9yLGXfx}lPIBUpc zACBz7+4j3G;N(&E;m97GXZv&k{}Sn6p$&K*c$UH~e}Nx5{ZP$x^iS4gYoLBl-$?zQ zzWVd)_se}-@F!J>b6EW1hbFy0G5uib#(5Em$bXgh(>HLfwXp8|5sgP%G<|&#FLiyX z)xQ3!pKkWP~U*2D5{)6^? z`fs={1HRO)kMMBTCe5C0(`x#j9q4mz((Ks%GJ~Fo&hfYWe;fG9IQ^ibJMedg(tr%Q+=8X{N66$=kVQ?XW$*5Q$nZo=UaW3y=dG1(FOd+@P}-DApHn=j^ulZ zlg~G(O}EjfsP7=3%SeBLPt9>g<)%0#{Z*7-qlHiZ8SZ+AedE&^{}uZoc0xkG-5uo1 zIjNGKcozPrR5S~5@4v5uOS==ElkdwD+b#Mq`EGDh_WO-@`XKSc^X`3o z^alM%%)5yA$Y9v@e*Fyb_oVD+w&ndBTb{D#Y&te#Sfi;E&a@tU66}-q_#d?8D|-#6 zQ}0vb2m7ym{6E|B7M#;5+bF(=qX%L?s*=FJ)CHXLRA&#D)HaYlwY)hidd~eGiameS zkPG{LXJ%JkmZ-f7d>D;djb9M{y)Lt!hh) zZe|yHZ`t_%zqdOxPlXTb4=bppCld{J43A~zQTO_ zp8tcU+jCYeoEI~&$91+rkw^pIGW~l~Pb|EryaGPb-uXYMm!?Ng{w03gtcCT>OAq3h z9wyq^sOpT09Y^Z|zR2QHc$9wm=cEtdQF>z=}Ws7jE_Qz%fmEQoZu@(4Fkn7XG>ACiD)A z>E%5Qz4{n;;g^DS5FZ}1j(rTpGFX>FkG3{@RzUBy&(I_6i`a!()vP$a1V0J+gdyir zx9`5Idgt?ZIP{!MQuEh^UJE_SSLxBOnt)!-_-afsr}5vQw+(verUcs$@%dvdgv@m} zHCMN{fp;4Hy&s`_t8AYj?Fz;sNvHdsj@RV>wSO|NB<-{2^HuUmo@X2xlgs{dP>!Fj zISLjvq?F^?&2zS!*`UR<@kChdU|xc!iC-=j@}sPp$jttzmGwQY>lVw?S__(hi_e{ze9RAU|;sg z+ivMqO|oEJ4>0Q&mfpDU(e`5RXI$=p+_Dx1^!BXb*i#Ejkth0=^`?6-@{H<5q&Jna z4^M*1^QV?x!zk$C6U?~9!sLJE3xPblE5C=J_pD80$Cgb%|=-^e4YlY6; zHjVtxJkjSXekV^U`T84lyuFlhd_DKgwJVDkEjYM&@gmL7R!0Y0J?3WU{4vIvIndEb z8a+Ss-6gE^ZDRe;3$zV6#AAF`&kqgD??vd|W^ttI3-#X8ja+1<-|Xnq1`WO+`cK64 zGwz7a71#0Srb8@jMLE3lc6YvY=#S03?g=nL<9?KT7jnY(Wdg5#P@sgT6(5}tsX`khP z-QBE<(R!)#rzz>1x7c`M*JW?i`|HrlbGT=6^|1RFchg6HpE~#cP_8q%I<#39!+-YQ zmy^h;jx5U>3@IzhiBEY_PD%2F+!Wpz(8@EJ$I17~Lpf5%B+TH-z;CfZ<+vJ^WBRo+iFy-FCF#e&;`i`8*1Q1o0&sF0{{JBEe?ce!r7i-V(#_5Ac3-#1C~@ z_^CUT>s07*-k!!!I)33%{8<^>tGkYV&bBW2`G%x`ANZ2-I2(kYlRNNZ2dt` z?os}bnU)uFth<%Y(+P3^L-mg`)cg~#4%rQ0|DtderAH>3o_KW+GmcQ=(#tp?evtIb z>h7}n$jT4%BlWDX&A+kzaP4pEE9pPhi9O8YzAK;ykID~p>ynI1{NC{s())wOnzfI| zE_VjYf}eJrJXm)r^q%6no9lN0J@TyigRX@9m%GT{6Bd^FHvI0e&$fO&>3_I!hAo>{ zt+tL4>rc{HS*XSaQ>y*yy7!<>p6eb9=p$3jFMQNJZ%m%gZxUK!1Gy`ldpddOyR!>< zya541=A)!>WnuXvfeR{+5AlPWx3p}e?zSiQOS^)FkCwr-!V&UN@T?9z)}4-ClT^#* zDR_7};1?dnp4DNu(w~LquRGW?Y@D=*QRw6SE9&7VDd!DEZQ;y8q={`gN8H(S*d+fm zK_qaQ&y%^onZwQS4KiLI>cd`3E%OTCKlGHbH!~ySgB{38V_?;0mjPBxg9g>ZyTMP{ z%EAy1~(4M)_3}5W#)s(M(HofdicVYN}Zoi$vB~RsCn>^U;e?yor+E9T@ zzLZw8*?&fuuVuV1v1v1FBOE+P77E+=r@`uZFdro4HkC{8;#d26jNCNH-gy`HXB;6d zlB3X@Vj-CoK8oBwzh2G6X3s3+sto8!-dA&dDZ({uzUe(G;-|*K>&~_CjK7Hs9 z#+R$`GuOg0u@N&PG?ITQ6&tKwS<|xFc7L><&7{ApGC-#ydf08@M^etD>}LMX3_VNA zGo*K*#VOwhn+bn5h#np6f7p8d(dO2c&8u3arne`D#r5cTzl_FKqjS+Rtw&N8A|qrG z@w1TM@T27~Bn~%2d%Jlk)t_cdvLT{u_I=X~)Ok~di)@c{>4`hD%?$oUnEr5^P|i+p zVen-g%X^!JWMEHcqK`HRGspNJHosxL4eHVH9qN(lfXE)5kMaqR66znecm5B$!mTIP ze4Jq4s~q4t<5yesn8pU+bT`{&i= zQGY+1q}Onr6XR8V3irJwfr}k#GxJgIo)JaW*qHNxI1#1`2&&D z&$^M5^IbZ~36;fe8r{DoXKT#3FUBi+9fqj9vB47Rzh&?I@ApKQPx3fZ+Q641c>ejO zPizN137ofL3NJBd9efvT|30;U)VyhP%SI1peW|oKb?a<9 zsQG$-^`?zmwzh6ty>(M-6wf{Q-))egt~XX%U6A<`(K)FX2kdJSG>>M=;?y)>lIa(fgDjcH=gYS50g;x*9)-x64p&>7m;j+Yib6%ow|t z@5c;Ytg#0Ld4B81+BnF_hy50s0$r~p`Kfme;+zJP=zTlCPcnlZknh)p`JtVaAa)=n z^pgIys>SNb0yV?TzR}{VFck=Gb___lu-H z`FLxI_ce>NJA5LJdfM3fJA-(8xN6esOFeZ{!U6Us{|D_b zMX~&y!izphS>YS=eQ-Q9XyoFPK#?k8kBm4b9)mO?>!yM+< zd*7vx{f{vHQ_J^E8%Ns1lz_h0A1vj2R%-d`dU@&lIDa7Srgg8cQcmZ^*sc88C+J>9 z`g*c`G3VAOZvRFzGM3i`;JK8s#$^#af1Meq^Oq`*i(LBH2g(12v?rlH@3e8mzeou^ zz`a`Xd*f&4H|}`6`m6dz`PEZLqj(+m zPiX!xweUGx?4AGl6{bM_T!r85;D^QVUT%Mh!hh@Fv3&Dhb$+tKAApBv(d}cS^ttz$ z0lib?`#1FT1p1fM;grDomoc*cr2Ze#>(utb`AuE57svbd!q(?)x3F$!V1x#_#n18- z>607Vkow^QpSxy#Yp4&eTZs7oDM9rAX5shkZuG(N+qJ#cn(6gH%kP62yOwiT_8Qqr zm=pU$xu=-1aru4d;05;1|J+4pSW12xdRv(Ehf)Iey8bBg(~y~(pI5!TcKM0BW5{u6 zWUssEjz#ub`oRtPF||(h+v~f;8^qPu#4T`8#(McTRNM5kuZ!^X%{9d;ADM4D_8xq| zezd2oZ%q7#IDAL1(0yM<`Wv!FMAx|j62G0c->!!Z<>%_TjDw{F>U+anL9pqw95rHjIpcy_H0>ye2aZ2`IYs#$}g5e*6_}G16YUkxqi(HYj_ih z`z_qB&(*XiTYQCW^lhl`oHdm(S@u6B9d2iX#cy^&;3`i||6Q^R4uLoDOc40$C_O%Z z1pg_|-|7HA+hPs+XPJEBH^xt!FZ~AX$MZ~IJ9D+`pDFzd1Gqe&Z8Con!Y_{DLaBjC zt2;xu@C5$qT>5id)AMEjvRz-S_^-5ZpMUb0nUwX#Nh=@4NqSkoy`3_veZyoig&E85 z2KtVxz)iiCZ;c|H97*HT%erh?zrCHdNY;8wVh@-4EG6KkMF8IxCIq1 zGKrpV*|`04jn-u&vbn>e4TWhh?q&h2FC^fzWGsQJ=G~F`2gf1a9A% z#&aBEEW&N>oNMLR*=bF`oA^(e>_19+*Ir4#sloXNfG}!VVtp%_%(5IO-65%tMFr9uyEcc7PPtae3y(~u)^icl1X2;-%pV- zm`U?t@274YCTV$ZJj14weo*7Z)U}gM#!J#q+6CQ{xDIuAxiymCPI~|R6KQ{&I%@s> zKS%FRwQ(D+#YQLXbJu$B`ZwZ_dc7yU)XTZQWk0b!*kS zYhC+VtJd1qT5GMweOFXeR4l*mIWu$b+$WDf`@;V}pWNL0+;iq^GjnF2d!&Jh|D1M} z!`o3lxBOD_x$?7h{q#AGPSC4sa6s5@$UfSfaJ+wzh# znRv9r#BYnwmASd@`=%4LLYUk7f)1UIf1;7#+b+uyFFd4ZThXhIG*U z{Iq*z?%Cv@cKP}3v1>kF@!80MH*x;sI}v^s{z`)S&v#;eyfrD~Yb))3IB&i`Yp{tk z4ZhRxQ{s={s2kSBzliV1{-MFi!|pb8|1j83^-=g`7H;|T3qMQ0(=QJ{h5joBZyNs0 z1QIy=XZD%>Ewa9Van9`W;!=)0D=SYX6~=moj{EZD{9`B=|Rr?bjq5Y$9sCqxwDU=_k)u1-;$~_N#aH z5o#ibOE+xLyH~KEmP5(sN`vzeXT50ob_%-P6Ld0u89%3R&YA6uAJD))<;TTuZ-g%S zM9L~S9S+{Yz6^ZK>NjZ%kq6eywD^1ICgmhMJ5D?s-W_-qYwx^w`oss1{r=yV@?EBD zsfS$1e%CyuEhi0LIoa%DSbVSCWYcbvAaZ1jk5e1kawF;W86Q2i!`hM_>c@}MD8Y2< zC>wfS9_$?q?8UOCU9O&9wA&|grVa)#PSQF&5*(v_za zxpHi~BR5Jz_GyoZ^^0?8M|XW3-g78VSA_D}hi@uRn%G*NBz zd6)SuYxA-n4T7oL!OJ2)CsO8{-YYots7Kd!>Nbq;jGbxX91O1jA*mo zT}zZx-F35sR(}a4R)v9k@(YP|%+_ape)?oV$iQsMedNvOWASUNp zX|8Ww-NNTCm(?vynz4$Q z+~*?qA3b~{_xK}HB2SO{hvQ)GIFsJ;wEZsh{@;ey%ng~zWZlZ<%r&jc z>Q=EkBy;45`sU@!R;_NzTrqBpUL5keuzuo*QAcN&ZA^5r&XM#SXC zKF2<&=qCSdQF~AJ#Mb<<_7NlZvD3jP8FGGP;>iD={arcz59OY)1Gzt4nOt7KvJQ0{ zUDC$nz7IKW_2kChRi*9o$=chE+=6*5WgzF5CXW2?@lw!V?%T;HUHbrQ}aSaLxE%)5;a{gP?Z*z)7EMX>4p@-&@nFnEcNm&($8jk-uGj zS@RjP`~;s_$=&)i6N^Uqxq*6q;aZE$Yt!Z^DNHDm%5(uqW5k6!FeD?Ki*F~4*zikaLxmHKIodE_WyF+x+5oz z$BSC~f85g4x^hC8hs^uPujh6`{qDVvLihPL9sbjDJN}#UKXUdYui*!eDsx=o_Rrl1`IjR9B;HH=W-_??|kH~j^wQ>weiKi*BJZCn>CA(-DO635$$VGf1dLl#`;DH zz=Zx+MeB$=?i1$qMCTdgqpjd%1l>CY8Gb&glbD*HvGY3g$a79c-nSXsU_IH*Gi#}`V-rF`13*Y;pw1fOZ%=0@dqubYD55xmI4*SY8c%j2T>IU_Cn_aVH; zVrg&P1y5`zZLDm{XzspT^=aBe@BjPkm9Gq4`O}gsZ@6=Wp^?=E+$H8&>%Z4rd&up_ zY+P5*3dbf^o!DhKJ8U22HXHszSIg0R_B)r=*|~hDRlYmvmgAUm^c!1_mJtuz=VpYu zF+yR>k@_RPQ)WRrzti2c$8t$~38H`2ljd1}|A;c6!M+=0<#|`;??RoD^>wTHj!>f_ zNjkp0KeT>K`scIveFl9C{5#*?=`l3myG7EU=?I+k$vwx=mGilyAPnL29JiN>@{7wR z$XEG{-pTANIV`rQxI9@Rt&1Yg+usbGD;NhnhC6v831`d?~lNKjI>J@C0tdCxqD zdOu?HmhUocB`*_ttPQ&FAG7S2&uPQvJ`(ic(;#uayqDm7f%(OM@@0Cy<)v9A$^0TzR(+|baz*E7J-#0qzN7K6 zxjggD@*WQad~e*(@9!8+uFn_prQX#1{$i@W6-D`lB?Y;KDWxm8~i^P*vmnW$5Z)#Y5DgEIh%*h(2;b1EtNl?7|5NGRbW()MN&EX!bfcJsV4_z zP353(Go7@bu}{!*aF9{&+hMwGFVVM8bXnz*6@MpkypSqKAsU#;KaBhZWs&+s0!~P+ACqIOfn{$o_wYY{T=T^%srJapugou_B9M>z z7MBXX@-Kt8*kUBVH=4Vw>zI+rGd^`jZ|*j|B+i};q5c)@&pCj3oC6Fu_WP1bqE}Q8 zOfNLB?BmQm{ND{c$q#=|)xWHwB)1e3FlMX!Sfyy1OrdsYKu<}FJmR;R`e^zh=1pJa z9sSl0HT19cxBYuxjs;eZqeBVI1NCJ3e3?!T6Gi1%4L?bfIguO!9f_aZdXT{*Q?}0! ztp=7omh!ROJnMhT&92!aDkLh$CS(ws9B<^1eXLSPPd|h6SteQfwEOQ3>^@ffpw!RP zHyRjoPl?Vyw|H_xV)c`6rKU@H!L%f06`hr@_yDoN2E$j*{}R3gU0X2yE1p zNSJKf06gw>b{ZGBBa{MEm9P&Mo6d{PDa-jcovB{;L9Qa7S zE2!R74g=(i;j_=%w%xKBypzZ-t4pIB=M;U^Mwr=BbWtsUC6t0WyXKREVU9;6R516$0<^!OiZ_{)6bm*~0foS@HBvYy)WmopBx z{I`0%<#7;n9wQ$-lgrx}R7;0ZF z9bLf_xn#^xf{a_a(5ot5f6t)qn?aeCkj1xiy4W^_c>^73&-^PjJxX)SD@tXgoVv3j z*KclXzC0P4axFA+$l8|~)R8id=|ZngY2;XEpji((EPEGy#Xq!tgB)eE@@;p=i0wM#vh%|bCI?m5{G{3 zXz#we=sBGFMAx5oWz3ko{t9iFaD6FoXNNre{aSErAS(=x?YEe5FM07|Y;d(hv3Jfj z==hKQQ0&1FVEUmlzQd_V3X{lNkEDAUF%Xr#wj; z?0wR?6S2M_41W<{@iWe{e=rCR!Vl$M6(ok876i`_|H2D{;MpX!Y@L>lgzz7JYY<#? zGdU_?#I8m*S7#qc3w7Y4Z4wg2cYO+gXtG zmNg8ruJhm%*dKU)(4p@yaC?`8^KQC+SJpbP7FpLE&-fUsy@T#Y*mZTXzE^0>z%Q`2 zH<9?8!fDsjzJ~t66&C&_;}a9%aUJl!LAPgvz6zIhb#5I+-fC=4JxJTG+b6QFF5DMp zb~gCy!}HKHi@DS;OThO7`K!yhlD`nl2K9f>ryD<9+}P5%qHY!QIt%L>l64IYD#z#)0;X294|K73BRIlE{-kKdoLy z?(yJ|HySVHet3}JT&BH_lJ=0(i##V`HF?m6y=u(4=o(+%O#vb{@&)nb)_Gr^vGs_IlCw^V@>pjzZo0 znn?VHG@_mPnbg;`Xa6MqP2f6Sj6anie$|E^J!Bp4U8KX_T#vff$X-eP&d=Doo6SR} z_J8l}%jV^>P9dTZ(d(Brx#1r(&Z~Da}qLtVD9q2`|)<4tG5m%54%c` zwWHY&n`cwsWWFLMm7sFG9MAueB(ZhAiK*n_wWPU(ORXL}c6m%CQYP zZ{_btX=A>NaxLqmTwu+tmg{@%dRc+x(Y}zd8sA|<_a6tHbzVi`r<%8(5AM!UNFiLH zLc@=LkKO<7c*{A1E=Xi~Htnuhy0mbvX>0vbyKb-zpM?GMeq>^<8D;KojSaf53c4mF z|0w)I^WN>r9X37aevVzg>C#VVxcFdqzrwEPH8DBY?K${R4)d-ee^J&G<#SJIqs>h| z`}G$GeEU0#hTMKu$1AVA;kNTk3@NX9hnVN>7t@})?3mo@@?+(rzEcicy>i82s8P4< zFcKTbcG&nM4jp%xPM|h6tUPRCQ_EqCTUH;IH+J3RBa%l<8oRuH?6Rho)$7K}TSXh& zxNMQ^;$F78q4BVm#1KcQ<+;T!;QqDogd`g?eU?G&ZB;IA#pA>5<&Cf7b7MdI^oc9 zBjmR?Z<+UpD30>^AX$}FR4!|P3W_;|U;Zf{%6zELpLza=<3jqZwe7tf>GNz9$FxV1 zh0~G+xkbsstZGY2`TW)6LpvhRD=VGOvH5gId|r*>XnuY-nN^-!&37g3>YpTEF)z+m zj>@miEwOq_M6h_BaM?7GhOUTa(*+Lm{!2|%s2AAhckm< z7LKxna##FC5LBKJ1e<89-@Y^mO7B4iSlAVrG>K^XKY-sU@lU(WVIX z%OY;R$8VEe=d*)ykx%|~ajYF#eV3aoF3p#zTMf#Gq7m}J$>dYNyO8nRF4(awiet+| zMbWg1>EW5tCHh_VAiu=p6V<26s@ueG$d7GN9PzI%B=)m%-R0g;#$G}W>QB}$n)Zob ze;hPs$lE{uz+s~sMU$p1yk7m9I+0@c_SW{zTmH z@|L15$*jT&N6ktkIwi9wuG8BjZe3U;htI|uy zACX-mKf2i;7DoW#V}6-DLL-~=P7RHm@#8Ap<3#faxxC2}$Bko2Ln5)4{ZXb797yTF zN$~_tpAR25PM-U+$S5~Am+$!U`Ie%b{H&rxVt{>DkY8TT8cO#XCGtxq%(4O$PMn~s z^+-}5P{ZYCE2I}c^Rr8frxj$)%@tInU_E15?lk{Lfg@6w??f#uE15J=MM69?vXV>$ z#4au!KTB5ds}<#kJYtrTl5G33wBX3e^4KLQN1YpJ8DqvgL90AJd$Q8)$#Y@Z#0lfF zk8oI3M;vak=1v|rZoKzAL7uT^UEPZMB}Yt>U-0Bd_|z;P+c%oUCDjMUAI%jHQ3wv3l=y?MXL zXf8(Ux+aZ*(VJJv)FB6)8Zs2?lo~ttI@f2#dsB+Xe*c4XdFmk?206- zmaJ}GDDOd7gF5!%a2~LYY3&JEe$-q-Kxv=$zDGIS{Z~e=2_?i#7XbVWl|H|CuKQw~OQLg@o-piBE&-P&wgQ9jvYSGhi zQsFVPD?GjqfiTP@bjGp_gm+UJKR13UYg_8~Gq~ z#Re3~br$s2K@VIBJr7Ki558+)#q?`jK8T#Tfra+E3VNqO4_pa7Yt!U|9~xM3Mmu`c z1_mbnppY?u!u4EJnfjt1Ur2ua&hNPXihmdp1RLr9B)<%TkMK*oJB0e&h(70_53)+= zxjv0P*BMxGk9PV{of%k2?{?^213hph^yK?}a*z3!-^d%v<}UwShscma(LglNo!%U(P;}=c5>p7!U*t z`xqL#`+ulMrWqS?^!udKV_yTk3Hw_;v|l7|LpsPiYwsWs90`4{Nn?+L4eabCmJWW} zG@^|?B>$d-Elzrt>owXlSKzB=W9L~6lanU14&b6bFIbBoTTi{W8~sG zY)fWOT4&ycdaZ#D`NxI6H`?y9%R;;209B|m5chx_GzPZJ0HAFjtWbdPHgWl zXPsy1fU9)ym)bL@3l++9DRh3|(Yd+3pPThlO9$K-9eVg79rEC;i#$4Sq@i<_r30?g zp*$4ocmTiKY<|26I+sUu`VUK!{x?`U;5s@vIy&OfxdS@C^yn0%p>vyo7vVFM4((GN zAK-N;&jZl8g?iv-gWLa__U+SIzqNGkvvf!=JGv0jc@8@FKnENN{l7?)UibnDMGwa5 zNTY50M&{RN2EiHl&ojnAi#&AANnB?z*M;3{dj-MVYqk%WP&>p}#H@V01?@RIqJaAq5m{ALUx7l?53iu0(rvErZGD99>blx)XS>U>Mh>TF^ zn1lhTU8FrMZAfYN6_jzIf5GR__wu7f-|aUYkFA4W7x=v%ksq+yw1eCmU#|kjF(niq z7}rZjUJNL-Q$cTN^RDPg0~l~q`}S3VdJyoyReRG8k&zUM;p|;71bBBtL;erg-oD-~ z7-ZnZV|_Y@$LUbt74%1Ta3l0oqeEVP=kSK`k@6Q4e%!N zv@4%F1}JpfOg@smhXvTNc)Y^g$0K~4_pa@UTg24 z3ywCh0&tyNI-bSrkj`}IOg4D(fAGF(UNCyKIWEnj9Klq1f=oA`w z0l3cIW>C_jQw<&bhYN!rX@JV%A~$|KX{qa_AjOnvC=qa&<7ot4mOB)x2%Iixy`eQf<*0It#zz07E4 zBoDr{pv9Bt>@<4Wdb*@7f=+N$Tf%Vtz{93{323kBS(o3fecpcj3Tn)cK7I90UfVC z8TvvRI+s~G;3^&38*VH=)ayFvTx#&-|Im-xw-XAkHShv(ojhh9!jtD#=+KUEVc0=w zcH`X4?a|d*8a#Z-<(m7V1HtSy=pkA@QZstVb54ImqD6sW^@q$QRGj{LE z`xtzv{{=_F-Y2Ay_fZ2Y0N3fAT`IFe9-Wt=L;dT*-Vdgs^CwFOTt~-DM)7+i?FanL zcgO{&4d%j!okl*a?L=Q{U=UPMF85>z?fwpW*g8%4?fq#QJ>D{s6oBi}&&>yfbUuO3 z>ygC!j82oEKC*PcRXViubk2jxLm^E2=2E^+Ur-?Qy}1h>(blI zka_Y90p8u<$^UQN+TPxUgABY7T&08GmgzNl8_F{rI{giv{NJ~^HBy-!8QE zf6;rE4t91kvLT&gpmQj6z)?CUq_Oi91N*o~B#)i9jO3XCog<-R`xg8CEsZ?chECC4 z(9!P;q?%zaR7L)w>Z1RUe@3lnEkrcE!#Pi7QVWXquiY@JtP%7^g-;ZsPRT}Yi> zc)!QzA=^&B3qSh1ks~dIWacr)WR5>#ROTTZW27{#$bsUtV>XD(_w3iM+q(^6G4%-}zTIe@Xd&H>NLAjmd0o zGJ+UC%$w#Wq<~+WrAdC0{Odx;K8~J+|Cut5FDk-cOXxV&_8Z$Q{CV!!bM})84mz!G z-_I!|Z6&N7;q2k8>dArsF8mvG?l!ocE=c3YUpBB!BdxutH~q8_XsFlw(0dVj&sciD zOjB>ZtFXi&u706jSh1?Hd8Mrv)aIW-hw^83do!PVSQBWvsu+PL>r{ zlxF7wc6KlN7W8_u-VvW6Vc#N~pS*F&qG1MhS%anbNwT`Qgyo?jHIE+ou88*Krc+Iv zeL3t{o*X}Umi#$e%078HbAZjCcL@Z&UIO+4G;+A8m)q4x2ma)gSBGZbbNlgE-f+jU zCQi}S;2vY1wLZFJ&(=qL6=_k^Vsk=GyLIrs-LkA6$mjg1R7lyyMblY?l_&W`{6!IZ z6dh=F$>*6mKMcd29}VB=gT#|{Az{1{o~wCB{+H0rMH*{3KQjgDkp2-I;40QYQ#m{eB*4nbz#`Ep4kT^}G?f2nh+q>WzS+t_dCjdZDK14< zm18+@mkuZLZ1b?lGQ`b~?8u(qF>&6aZa4J^>*xwS`m`HD`e*R`Lse7%4Vi5H!H(!- zKZ$eMgV2}y<85|AvWea-g|&Ml%jcA6`4)Sf-_fbxIYd2W9#=_{M#6(y#>6-xYLeEWLSUh;CJDVp#RdH z-0>xeKc~dMkM~odODM#DCAQh})n?^?5W4pWl=}mezspkMBbSVyE1b0Ha9au-xkP>m zGDqLx@f0}qwaUNE=-QzzMSgTHAg+up%b0YBPgCsk4DUArAI1HI;QW1b%S}|1I!;(ZFZY-|76Xl=xeLziHv{ z>bxZ-K6aON0TO0@O1q**9A3=+3Gqy1>T4E^eYs8Bk*Jjd;suP3tt6%dkTNr0kU36L@qv{^eI4jdU|ZlQpDw3nMV zaPT7De{SP{O}p>$_U+K(V+>q2`Zzz&QM1wv)p53B^pd$u(L?5jWPDl1a?T|E{jqOD zW%vHy-e&cr&K5ab=(#b)&XvgY7C1lQ{yyuaE{c~28Jm*%5D7BZ(sOInj?;8rV003= zjCu8P{mM4WpLT$ZdrBz4ANIb>^7r#oqk$KL>)HjnDwv7SP>-d^xX|Fq|Go#M(6jze>D`fHNAgF}azjtyU#FCZyMU9f*M8gJ3^_Ojejo6&NJs7x zh8|?wPi?%%pA!|(PLvZ#IjOo#cIkz5B}VZxCZ7D?XJIf@?!s5rx2tV9Cuqzfc}wWSw}pZ} zx}RD5vtqk9sV{zGz~XKBRItM3jl}mu@w>sG+47xa8HfL!hg6vUk zS;P7&tX9JBcKyixyZ_;rORv8;do$KQNq2`XQsHg!$c}pDhoYon+?j=ckgv zCeCcio%P4MU$U$`CtK*34Djgh%kP6CS{)Cteq%@U_t!Xyk)xq6>9-|0jq|Q#3m>`5 z$|HO-JwAuPaZtVjPyaEm}<*fWiTo3W>q=UJ?$wiyDd`rYawvJNz6&`)^dkN*th0fjWIyvd*eYvK!sUefphK}cFN*ba#YQJri zpBzI$>{s#`*WbDRm+Orn_;q(K+9JOuJ%2rd>qM?f&Py;+cI$uD55Efe&5xn79)bh*y2UJ24rbq4xaJ z$RpfT4$7*^@hW&PbG_@y@v@iSK8xf~y>`a;?TD7mCk)qRl@>a__y*@>RsQ zYd6;q5c`cBohhtG85;=JF7c;66`C!RtlnEi?;`busyBX0_|NXh16g@T=Id+Px{a9V);|o&n7R<-rM89G}Z1S`Kb5GQL6U`n%?}D58929_hbC@ zo;@U@W$ctxzELhW={{Tf1fu``T$x5U9sFLC`Q^#4TojkHd1lp>gNsdAX#Uiq3m zGNPq;pK+i$2iHm=6T8o*O(=XN%s!0kNb@ZJyFTLTZ}@&WQXHr&LboBIWZ_8TZa?XkEQ@%W`O`S|RrR6(DZd)IDcKtu(q#sweYSoISg{xPw12}V}{8;Iw-?$XmPc~*KGBZQj9rG*FchGUMHcRIh*z9MlJ$p}4gO2^9 zezxYx>&*OMHF;R(usfDS;}r_0?v{Rt3!Of9`PI^=Ov`x2*;n(Mwom8VQ{cD5pK|R& z*MC_(+H8F4ZYhr~^9)ADFkAi{{I`sU{0$trQ!jS+#tBqD(pTY6g9EKz6J0&v+39zN z_H1yq|M;Gr7guAMjO&kYDBUNa_mGJv|9j;}^A8G#pUmseUB>;-jJI7Ejn^rhHiF1C zce#-rxLVPruh5kF_qnUMzozj+xSTnnG+q!a8#00pqk|0Xr)wYI`eO&is!T!l=qCAp zWSjX8(#XOf@fz)2IcMv@#hk5oW{{YN!yL7Yv+Dk$=jkRo-pW~c`*H?jMo8)Z^dRE_ z71stqHEq-u%0VglOWbP*uGl)K(N)CuQGyT;#n)gR# z=)eSn5{X1F^XLRkBzh;Om2m)fd1+4m^y$fRPGZEW7iBuU@0ABWSk5P=?(JQr6 z49Qupn|MRbCUUBUdxl_7U8iPkm?udild4I+nAXs=Xi;*ND6Mbg+i&u-r}??Ieo5U5 z`Q4oavucUC!XK&uLxE@`8ki?u%A;CP&IGZK`Z_dgH)mm9_YI#Th5LB#tj0c?Q@MMH zrfOB|8E#R^WN=zeZZU(odcKO}$D-VFM*sCZkDL{Ci(6Z!FIz9a_ev(GuV`!(6n^!K zel2ZW(bBj~UP6r3MKk2Fw;AAf*$>{FB2v`2%0`D+m6YfSdF>Gr@wYO*NQ8hcwMj+C$)y$*vQ|jUPXLLO~A&Xu^wdoV-$5s5)~BN@#G7 z)3MK?42bD8AQ}kCBw1Z`utuOgv?|Ib$t9|}zBD;S-XNB~v6)3)LAZYTvehf)(qHq3fC#-Jd7lLC-7ZZC~!Hm6F-I>H^ zty;gFuQeLMoR}bVanW$W+K%~xx@)uX$Fu*95B`mLmj7LnwjJlSyGv;cfRmVl@3-yX zu+Ry;QrcjSUMclNDRrm|UEDfg%g?>{>w!|*0K`d5ISBfupRR7mF_Ab@|H*#TO|+k+ z-_k-Id=>Re%YCe6piY*t8tKPLU#f(*&FuM()9$&ep7%i4>QM^+Qm-EGa)%u!*pZ&J zbJkypp0|c}42zG_r+pjJe;N938`+5y3|Qv+V{v_Ac6`e@nQdc8+yU3@T#vFI@cAw2aazbHOpm0;NBSHgA6j!| z`xqc`g8}#K0-vGqp{=@Mu;ufSR^l;UVz;tE9-ra-rhO+t;tqIf=j*Ac8U&}@PWd8#%i0>&9qh57dhYM(c`SNPH|#|A z0dKf-Hsd1r`Miw&;CkA*8}_q$ejIPfzLnSgS^_m zct6U9VJpXwRR1LF2x}O-$w8laq`Ayj%UTfGA1-J8$a#ML-YpwdZ?i_xXTbj7{Sml* zh|i$L-^mlR-h~b}I&%c?&u5PjbkYUYmws`WPn*#91cNC$3>@OlPmITo*|jA|9Q85f zf%0Sf;iiHL^N+Rb(uj{xE8Adb&d0uk9(DcTsGnAb9oD}N-G_-U<2I~!an+Z~y(Xep zwh?+~8hXSDhPw4p92m179B}km1zuLJ!DkDwS zU<$K?Mx^zoA$Wo#M2XgWz@YAME0R?*naYk(uw?iVh8l3 zEUF#1x|X!H2nAA-2qPRO!lnoOJAA1CSx>}o!Gy!mHjKk-BUsJ`;({N z+vsQ0LS!EHtzEF+2k1u~wV^81&lV$VKYUSGj%YJDS0r(U9l8tj$tNdbmkl-0lYGv+ zHT{r~huDU4kv6D)pL_hjhW}m$RcH=7uKhS#c?SGwD{i1NxBkrXa{XqbS9#}%ZaKCp zA7beecUZagXV#WZIKTXs4}{Mc_|#iI?3dCNy)xV{y?r8y{N?>TKHuUu^|b_vJFI!< z^?UgUa3{iNG4#y7H_v{NPC`@pQ9ru$DnA5%#FZd4hJAPE>)Ol5fjb3$ORZj;BfU%) z-|`vj@tF*tsmey<4*T^k*zeo$$%0R-<@1qkx7bQz3FK5CWC``jg%9V z5>xP}wm$MZu1ZYPV_C#UUY4J1_{^v6vF|hO*IAXHs5pr!_(-M8`oXZ9YN8tZV7Jgd z)ZOLZvp{Ymj@ zywh$7^|+MZS9$c$*oAbs!o;b9meT(`9Ah%{f9}!07F^l~E{t&JN$$vR-Du)ey%5T8 z$FQvY*Ln1R1MWSJI_YrTF35kEiBr`U${!j??L!+Pw9fj0`lekb{x;+x)Km}J5+NT+_cp^v z;*NN6=k22Mf0;Pd)K|)f^@qBgkpunhaIxX@yvOHt;=FJ8$o~;r+m3uP zx`*YnIhBvq=S`2#$He&}qPc&sUGV4B(-Nn;5A;lX-|3U5_S)jn|2H=7;?X~N=k4)| zjwVj^fKZQ=p*f>R1!P^iRM1wa=J^EAvS&Y?x~=5hOg*Tv5||mO2tnNxA_+Z!31|Mu&ft&DVbcjx@p;}rk14B zAakTl)Qrh2%q^UjTRO^?3w>u^jWM)h`TGpzkvMvgwew~zN8Y5E+9jx<95rH_#+8gG zQwQnyJ3ffdhwo9lV0)L&6_>?1O?dSi{F3**%Zgu^I43UVyK$eo`1ZSY;%`5X25h#s z*)+J-;8k2f+mU#LfoID1ihQ~^>-*rurL4&d{m7|VR7)m*u})Ct%>*l?`&$#I;s)r_ zPay2`i=a!K?!6X=vDR<3nP+;#33<|d`-N=<%I`KS@1F%qdfs8~{2$F$usB`YUZ}9R z29wTUQ1J&-&YJ7iCF_Y7^S>$l<6D)tDLCjf+_VGCuZT}& zcku4P2ittn>8SSpzp|^wN=%`?v-N2-ODvr*f|IMVml>a#4^0W3=0)pRBpoaJq32QD zM_TwvmS3Bd8(&jSid0&E)afU-o^x<~O&R5)a-5BSr!C(OegO1QzH*Xj_#e` zOo@-2s?W{9(Y;Hz6n)?;`c$t1j_zF!w)K|NcN*`JubR5F65YGZXx}cVq}@PBOo5K3 zALpjTXE?p|D}c^1dWc0XVe71<>0By(Jna=$>cW>%M$Hvh>IkO7D}{S{Vg~^e%(mxzNKW zNa)^}CVyY5pv06@EIraYoaa}NC*M`jyEq6I^f7>5y;I85jg&{~lgcd?J~B-@U1#~7 zVflTOvMvU2D8H2JYv4D;(CfX~+M~_pi`#7Z=ePK@KCVCL;P+cU{wRI`pWkPU%~!rX z?^W7~Dd$^xw)m@Z6c))Ne&{ab!BrS!o4&eAC~B2>_IU<6k0TGdOX%}K3P1RWf3129JbZEA?kWD2`cLL@=F=Xl zM0S54uGTkg*qM5=3g0Q~=K3FL>q&XPnK-W*`&SL(`DWlnDgO5@=>Hx0azr}dOH#`9 zd%$01?9kMaLH}!0@)JBtxnyo%$E zn;+#_(o^!SruXlFlQ#xm8Bg!35jKB62%NGo_^x<mB7aWCygZx9hO3WE^urha~lfJ zPRZ{JfMZ*klTdg~yu4H`1Www@T!O+|Q|z}0crEY^7XF&^jH!A-!v$ z_YtlkcSt&776uPoFgPb9FW4fjpJDFs1%@8v1MTrwzg# z_=zdykvQd>3{2raO@TiL+~yaBKb#^zKE53LxRCkR6#3eKoA#QbWWJsf|25#ZyV%J0 zSxS8Bf7vhX!tjg~_$R>shjygrq=f{G}5Fx>46YP0h11H5O1Frsg~ zK9F>g@ktj(jEc8+WiCq7k9!qqeNZA^A6HYK3S5HFN;mFaeTaes!PWMUS%+cM!N9~n zmk|F9>PBg=RgW}wm%5hvFfryBd^2qd;U($5=>`*Tcl{6Z74??zcHy8yQtVM+>bLnH zBL{Wis6_kvtvcVtuEsa1zVz4a98TzXLw+UjqfH^W5=Olq_Yd-3&RwkDNP033J9^)E z`4_(;@g-Cv+vo`?@#m4gN8!KjN8ZQ0`HME2KWfnjzc&9V?vsJHq@-^H?@z!#LBEoA z-&k+ol;-axygv~*<)m^W@MBW)?=s$R0-nL0x_s=Ml>E1x_tawxdT_r0`05n?tB~^x zbUO?Af5&`DbKJjFuR}lDMAh(+F|Bc%QtWdQ@Equ0h603ezNO9TzY)6BR|{wxR8a?x z`(xby30<}SCGa4v#&=4gk6glk{^P)rHJmqb^v^f)&;J#0bRGY{R(`LZs{SE@(I3pk z4z7O?-;p5x%gTEZbk5=8E@47*ioHcn;0tc$4(|!oDRAtd`k=4msR?esZ=0ph`wH4+ z3+@-{z#ostmv<6>E_Sbm*QAY+Jp`tC;wE`Aq5AqDqao;J&VBjVeWlqRegcZfGJ6&r3h4JGODzqHt%I(>TfguDaFB*k&PnCJtTV&m&d; z$;dBqX+6dHJ$5gYOvqffsXfOh#hx*K|H5_+ z*zF4VN&E6EJx^1kEB)sU{pvTkzsB`}p)YX~4c<8joa4Ly{_FPNU-tD1oqw+zV=$rX z=kIqT8k)a9H0!M47suzrmz86D=5+A*PzTQ$81d>e7YU93w-Erx;UEu-ZtrzT-I2(a+RM-r0Yg-(QA(IB)#TL z;mYH}2hQ1tx_f`>Xx>SiM8=_acaZcGJ}&>ynauC3h)a)qz4KAOA)n0ob`(eTeaqL^ z&n@UH{Lm-lM_oIoIO5m)4exx^Z{jyAiqoE-pKB~X>>2VaC+_@+U%v}>fghRL#c9va z&pnnOX&3TaNL+4Pw@>3fgtqb3L1O4xL6A*7o&96xs19N8Lmw{Uul|gGyD@BU zGrG9YldG*_AzfR4&)F2wU6L|CKIZ~U z*W#LAr)E+7Lb{NZ46F61q~RReG7{$e(A@doacwGH`oj_&uK9IpR(Bp$UiM3fyh1pX z_eO&?=Mr=0f5%&b?r}TNUzae);+kKl>O8Nr17sz`NAlA5mN195tqq+YPL=m|1DRuS z&976ly7A!4D}+ONAGUFBHh2Da-j*uwgBHi)nqOu<+>=)dOeC-E>&&^=VDmrY@>F@B zuyif1qf4=l$t#3IdEd5i9yNFVXZ#~o-e)b2#WlZd`H^)&^fRz;=(Yu}{d+5zUBJuqo5ya>lF8}TSP(SKi3Gj8H#~_)n z_x;1i1~kXwnqRgYiGHkylYHqb=;+VwXyd-`$Ok==LGKv-xfbMb%}=j9k?$eTViwZH z@(bB%DZ`#^#xKmJ{*xd!wW0UXsr-5vh{H9%Y&jG9%ri&&7zQEzy=~mCmO4i}oEh|q z>odgSSX@Ux!9zRwwbXg?A8g~2A8hFRcB=dbSR9LMe%W%@PJY86lpnt>VJ>Y88~Pod zD*reGnQL*)FI)an?XRWKlm9pym%MDlfbOaCA7gPWuK9IpmX^bI@*4)B{M9z@k(N4B zJC!N&&#`@oxfa*b7xSBPhi{)dkBc-EJIsaF9P(%5d6d1ALb`k8A=IP6keBjr!@vtt z{L0)qi(_%kFS`y)?8JPri;X8wt$S$w~|L@KdtZqLd)@=yAJq^>??f+I2ZBb@Y76xwdQK%xC;2| zw!iB2Pv(BdNH_Nk=!(9qcVXS_WHU>gRA9&toVlc(;7aJwGezF>3|wGy;oV_>3-|f` zK%l@cu>A1b6)6lue&EQrVFg!0hiTFHj@suk0~gp_cz2j%;Xc1h1qvMfRX_N_4u_-D z&Z=O@51hFd8(fLgadry78x36P!Mo#BigTh~k+t*h(Iel?v8TwrtIopD|o{XP;XX+i_q z)nk%>e1_7lIT(L+>KgVdKS-bRcKA={PCCkb%Bi)CVXb2S^Xm}W1Hsu>WR&kW%Uwdo zEphwSP`?UXg6N*{hxYcZv2BT(!RV{!KALs^1-YD_7xO{_H+B}>njT!-CG7QvU3buC z;Uf%OU?M}04(-<^)a)xz;0Ia0oM$C=PNrcT@&&hs_J+if&|_@e-_|fRBX9{d$k1b^ zg?r_-W~_xD9`Oy?`c4A0kT1Bh*S&_jgx=j!_)ax&fr$*g4@$%L7=Z%Mv3%e4^^G$Q z`GQ+>l!+s8dzaaHrZ#J5#sUN`p=K)ZH0Pn$%u;YrQE=Avy3|wF}$k6}OH2SU+DDaKx^o=tP`GQ-s+QgB#14g9i z`#l2}SPe1^n3_i4GX)C#T=?2{EBTr8HX;WZ@&iZe8o`w?a9N7KxY)o2Rs-*Wo6`7; z3k3@NXO~&5)&|^U z+_y&bXfyBTG3Gz7X9N%Sv+b50@SE2?ilgaEKT3J!7v+~*Qo?5*{X^k1ZxB~MuFQx} zmvBCle#v|GJXQK)nWA*&w=>5bZEy|!`ek)1SB??T7)!#>Z}awvXsiCzf1x~lA}qV2 zv@E|eS7WMNL#sG62^YzOQfj9u;2+5q^=X4H zTV1~nc|IN)9Xyc@M^{ZE`Y+BP8$#IO8gL-`)b@aS? zDgdGMe25Enjh-sN1s=1kg5&gxkj$+4%N zG+$88H67qLZwZ%6B$#$Bcl#Iq3;mZzv@{*w@%4`O&zkiMfj?|t_abSVq^>V81A!Pm$^eIAAkn+|P8K6x+SwbDEJJ~-OdZ|0rQ zm~Z>|@@9T##*M;tEsIwzSuu8TV@uz);ooEB`;7Q~d9M=kX3NG1aB7~a4?hBx?+kKOH><2$w5ooCCDsBS5_ITFwQ$at2^4eZlZtK7609pZ4)uc(($)8@%<@;ORO=A8#G+Xq&a(?5$h$ z`cL!Mz!RJWv>UX2_l32tm2dt^;FjL}lX?D5BY^xxlI4|*9ym9Ykq!~HG~fWcl!<;{l_T-w2S9I4}1`C zZ~wK%f6Auki_j+zbaMNzU3~az{(A*DY23-%Pp$FcEAlOv1P^ra_fsnzz9Qd(kw%x! z-hOI@!&l^6un%x_@%K|J9KMp@7F+@xUHtvj3V*}Mw*VfpW;onW?c{$4IBB;WTUJg3 z?)FDJ_y@e74!jTd0;)r|-`T-G=6wn90o;)z+`sJLpYeV!yuZXg(9dxDksX}(vd?%q z`c>kOGQ54pBL9NG#9uxH8t@AD8K?RIOHbD|U*_%Kb#Sr6#ppwNS6;^OnYSNT^+6BWkGp&_@GZdI zeq0w{>~IZm(xmc6#;3jgwHiOu=7*`+<9YU%di!e?et<1+V}RcZ-0iP*^vP$kUv_yO zck-jZUsmH2PxB*bI-k7j@0V5hI7=V-rA&wWWgUIdn|!#uocq(jz5TBmpLkjxYN7v^ z`2JUgqqq2r*5{y)EdKsig-^Bqrhxe1`TJiLo@4EUeo|)q{jUn=z3hKoz6AMR1McmA zRXB8|ytTeZe0YWRqSFt%sK1*B99ai@`!O{>daM1afsX|4?Z;F&?`1#c@^$!|5x~9u zmm3+y!P|4MxSfySq7Nj>huA>VDk&uszxB`i3FddAM*d(A9` zZEu544}-H{mAMPxkZYp(dxay1z$Gkz_aW|_*)|&=KOpk9ZUK&NVSB*A@dL77V)+5U zq2ceBQ2La0@fXWS0`C;xFQIV!fcT5$V}NJG_e&_8bP|8Dd>nA}@%Kw8{1Tg<tSk5qT)`OUyjitm@u_|Vn)fP3is zTuuAL+b^MT^KQ?BaP-Gr7kpsk7I|#BmEm}uG?Tdx#ovg3dxVQICE2Gadl~c5~5|LHQH|bC;fp1?{bkJB_z9W^)%1&Ke5UB5Aw4gd_9wU5oZ8t zRg0doe_^Zp774$hpQN0J^!piHp(SxTFR=TOB%c4>%#?VFKa@CDw$2yYc;Kmjb@J~k zn54h$3w3q->r>_T+WE-?O&rN@Ps}&Z($3d@pvoo3sL85r^l3A?BqtcWWTv_EKk;tL zIbF$d76;t3zVGn7^_c8?ZfIPighRUDws8(|_(6x^DdR}Vqb&}&XW?T^@YXj&cXd-k zoUX}kLGlQPA9Scor90igBx{~UUNj+2cX29R=0GJRv&^0U9j-{FJKMmL7T5gp)*){d z`!auxg+n_muyG2^o&O!)O<9+o#Q#f3f_o4C$^DOYT5`ROx6#G1zt6Gfs(rM)U-Y2Q^B_zR(f*B8WzwP)$vc7e7ixd>uZ=8J8Uexy? zKbv2ZytAR}lg|E5-=7#rGR)id_w^l&ANBN|FmBwDF?}zyxaV8ybiw|P8AJXzn)mag z{(0BegDxH2d2UJKC4XsYSX}c<(kY6i`m(Q#K_dmLzIPEA9wE*=ia1SAOTfORoDpey>_uyt9ERk@&fS$v;~haGNq5n(&+VzVg|c zTx8~5pt~^${xF)Wl#7h?hfBF0;d(a+9v{NBBuE@QD+nIj#xoi9iSFG0~9eYfTQd1 zlIUwg#$P?YwY-%2+J%JM&+LsGCcie&T5j6zV124qVBv1WDE(@&U-C;wjY`aY7ssPl z+ttzo*U{5@D;>Q7v;)LZ+R&k6`}IAweGRY{Tt`pqt910pCbhjBHS9Vi4ZXcBJ#Za8 zt*6q_8wow~kqaHxr=e%t1GV5PJ@#|j3M!=N(yMj?^hP*p$alA0f8yKkFavKr($Zu7 zb>q5KjeM}JwZ(o=Go*J6^v3WVF76UKJYm~kKE0z1tQH)VkM&Weq6@_f{cSCEdTmta z2OU?~^-n&%Y(wv*jgkHM;^2&2s^sbU1-Vjfhw_y`Zz}Rx8+P25#(sr{UM;xJezw94 z1q|txLvN zm(UKr)W8K+3$3tS>D$M)2Wr99dCB)VKh#y!p+-W%v(swmHJEquzw1fu*QeI5Fz{M% zot>;B45@~8qCH#N8qv#`ohF~1Wa)wH=vfETj-FuF+IEFJXZ$3xyR5}h+nyN&-&-e8 zLZ2Y<^PrD@SGA_i!oSb=SzNo1^P%q!x+`4vx@&yWM8f5Z_?HoMZL;5G)jlL?D`E7h z<{rZDV*d!~-Li%~kL2?@+WWOXqa1)1n>QTs>HRhR_WH}?-@fw3-ZuX!X+zax{ z=dk{`GM7&pdb5kW_KDMP`dH~M%sUQX{ZlTo%_u0ImQ|3R;!>`Wil&5^66FJNBi)Qdq@$$UV+${YtC~|ryQ^h7mcXe(x`aCC7ss3>* z!gD9HtFZSg9DaJ|-KKsQGtKO1^u5Dendm->$iO`m(0$~Wj(AliSnOo_}48V9(7gSazj)8 z_wVP=;bPpT4%Ep?ZIuEX^v)nKU_BmdmHAH4`e|<2}7;1JwN>;&Iq} zcKwc|_tuf))=iu^u5na~o+n0e((oCta&8QQhFmV%s11*Ey~ss7;pM_0IDakIrikaB zcv3y#6Xv(`k@=E{)3^aCde$whZ%EbiCsCXG8RdIJbFxI1EUBnf@K=)_BAb?ew`Nij#(qNjLGEzw-Fp&F_ai zKIf$HX=rS$Pu1uCC{7wak=^d~_%LQu_ms!ywiG_&#*I5XmCqAV9Od(=%kNn^xEBqY zK96~P{z{w|JU%bj_02B7!)IYCAF&B>S}1c`uHUugI=3*F-bzvSoXDXnzrT6>UMB84 z5x?=Wql`TRqEf9|L<&ys1| z|At@KBa89dV&b$+g`UjwIe%G}Kck37o3tR5&qp4gZ9%ZGzsKh|>n{zTNe*K2W3nh& zkY83_2K|ljqFmNdW{NK2I*WEUzOSJV@6e-u5Z6Imp`?z|?zzYG{2S zE*t_ZGvZnM4;d8&PxYIqWm128_3grMMR8ny)W&aA4QhHV9A@||JR5x43=4l0@u@${ zq2;YXJMvQRLqgUDO-`P6Y zu#f!+qs89Fexyg)V3oaG!=9tBZCBD}P)c1yrY3|u}5Je(QJ80p#QJKs?$j+2yQ{N_T& z5v05?#NRH&7cInhy0GXzGrsioM*7#^-;mkd*vuE1_&yYcP;;P6#I!CNIa=S08g;bQ zK!1)sHpvHPnp)ULWQr{>Ou0>i*1CFCD&F|{Y493XtVqS1&<>9+H7gh#knIF@%NoHS zkv$@nR!igBLs`-;V+hF=buA6_$<~Po<9CQSY4V}0Yi(@6`GD*4t|>OV7IA#Lh`Y#f zLc53)cFM7tGXdkGnlid;5o4m7!n|t{W1^Z;ztf0y4Go?}@dhS_?bk?sv+W!5`MXh` zwc~@P4{UlG#*^6$t@-^eo8Lbp9(Ddg>OU8nDqK6ky)pM4^*0uN714;5GsC~I1QN=3 ztKnPUnR>k=7kzgZn!antaW}g+=Ds7o_1z;HY53~rWQA|N?f=&g;JF_c^|K32xBeG= z89#6|)ZTAdzhd-tt+Qzr(~aKJmJ$C_e*hQ#p!%_nJM&R5x%1zmck;ADy=j*^8p{8j z82_*}s&qtu+EL1%)>u8Q?)rj=*YN}FKCb`7?)2Xs4Ym7+G5&@5W!c)kTSz{uui(P| z4e+j`J={opuKBzmIDz-l{;8wyy(faEJG@kH+MD&Wx#mTjj-O%2oBtENt0Nj}ch|2s z>26!en(Uh2MR(<2PdvsH>X&-_PyWyQ^)l7#XsF%k*K4~{IM2wUM{V<4qvg8>{N-Gy zczoTyxu@|b{~9@x5rk3HN`76ixURXmjt>woZsCcnreTNqaYMvI<=SlJ63@d|ne?1y z$%pH#TxaooIv4rCh2uZ5^Fp!@?d7y`g>{t3v_pA*5Ybk7TtCKZ(`o+ro|T93fcgu$ zu5!`@!O{t~AF~5_TvfPJc^C_DwA0BW^@GauGb_)H;4^kmf14-I%3YI3>bsrFb4x^9 z<#FZL+DCfLDv#~|*VB)$r~hC7s3*^Dw)}{FUP+%`Vjn6s%|bg&uSX)ZV3&wBE>{3HF=&7nLi8dn;F?2!LoBU-Wa3Hc{ysXd;y{9gqBpIo0s z{Fm)-(?|QwDg2X5N9~aRs}U{b|A{R>@Gm(W=S7hIay|U7k-S8@)RR~0$-h#j8VBG< z>66z}?we0j^xghX^>4HK(_W~j&Tr@vu~}B-=83WYC!zk!4_~Rtzr*s{&@rNwYX9kY zI2ke!{td7bf7;NCYcH-r9{*F*+J6!4+ht8FSMAXL4gDipG5%gnuKfF0{+ZzK&2_NH z|8}o@z7*>3`_;OY^{bki8~HTqBEEmB?YZ>hw+)O1INFN$xy=u>XjBf`5NZeN@&@`n z4O2Whw%YU0#lOEW@}P7MUONSmC>nW(@*ElQQ2RLl?zJ>jF6wxdD;s>~4jR0=z4-|1 z-(?*A{m?#*&5bMA*IB>bpPLu1 zYF%4z1>2#Wz7x@o$yJrj6zjD1a-C%5q8@LctHlNzJ;1c6uE6hk=^aE=M34nFf}t#k6phLPOHp8vU783l z3v7dJY@yc$G}ahnqKQU9qsCyO#u|-@Q4@_dMWe7o@66ocxY@I7#h2Puvalk`$R^`;LnK@6de8L-V<_jT8L9cmxJB(^ zys((QVetc=UH994oEjhRVV9SY&flimC&mI!huY`nA5+F;tAW{3ma2VsTl*e^?;(!A zM)s8@?EFLQd#RCfR;dP7x;h}-O~&u3NJmQiW{t(X)B>mWJ#Ov$7kvNVc+<1*%rA}K ziba?g7C6h=q~d zr$&6`M|tD*+6L>H%=mJPZA6-k2lIa?JEeY2$jli>pO?&>K0QglE1-4_w|3Eg=Z)dW zGC28LKF_OPGG1wDz*3M`wOF1?XX&%Q9Vj$u-;_v)(j7>i$@jKZsoFz4B~Ai)IUGsz zTmCM)%Ra9msx9_;5t*m#Nfwoq zS6AdE8HZYu6u*{7yjt!*c8_oAvV(G{zDiHudU($B^gZXz3qD8|6qhZej74fw^lgcF zRo_QWpLtwNq^iD6p1zABUGl5?2ljrk=0iUxKn-S{gHp7zNG8b0X(msa$oma>KQuDr zxxf5Rojh;)w`Us9tq&9?=u!}k&-J@0l!$(df7Gil zl3|Tjf8Ry!?>V0H^5cE0k2DL-?+%)U?@6e>od%N!Z3GSI`z%TGwqh~W(8TvMWE11O z*FAj)tUl5#G`~;s-@ccj`t}-39<&iOpznaL4l0TGmruFO6Cdfrq<%`%;R;vi195GFeq(xI?)k%yoWWCpKu&Mf|q;E9vTk*7 z+*QWkb;y+%<3p%#gVjfxg%&g8`qmgsKC}@upwE<_k}{W{7b06?TuHi8E9 z@tqdxM^WYCO5O`auGB^Gk#ZlFmxrxB(k!&N(|z}XHc!Ss`41XQKC}g6EfuHyXY6~U zA18VLNCsrd+=>F}y*&AU)yp9Neskt;%TYnc$RE=4pRzQT)?97wo@QQg(@Slqc@MYL zYEXavjXm^4`Hy<~YPlAlNVCv#y4OF79r-UAOn$8+k#kI+8CNf^EK3&hOhs{?B~`r= z%V#*A^YqdOsb117w7kfE-$VP+j|^VMnKCxb?-aCr)$6a+t`7`nKC}@;eUYST9C**M zRQFAmYa0V%kxx4p=BwzCIFM$c?IF!VtE*$MXThPChq7v+ z^>f~MxZoK0kyik|nED2^e#`Sy(hGVSPN9vUHwX?38utVGXpN@0@v!gZgY5LX*ifiG#uNp8IF9%9oo@A!W}(d?-uOZF zonkNr&_*ETza`QPsJ{m(XQnzE|HL=(k#;1E|5&S!Gz)Fc^~Pxh(+%FM|7{)(I)?KR zwP%Xq6xs-+oJCxA-dew%k@7DwkXTIc?3!ovN}7c>Okq>TX9aT&rU2T)ha4GvMYg>0 z{zfHtc?1*syTn8y0Br;flwX-|tTrvFmfs?H<=EiG_j0a9A88iatr30RxVqpngDHTP z=bS<)*cP@E$x34?yndm8^nzEIO;dvyioPsVlV~JM$kaqzDQO$yz2Wca<_5Z=;`~N)km6zqu!0{yU$<> zppBqGeJdPZ^*xSkd@Q)r)Ayv+N1BD6N5u6#W-tZNMqu_Wao1W8_VeC;t{FI}z88=! zK2j&c{QIZXN1BD6x>UG=>KulV>EPv5_-KGH1otdVk9 z8|b{a;600{{1+A~Mb8Jk{U0=csiOiy8^O_%0+#{x|MTQRZDdGNd-g#gF?h|hhkjGb z6KNKDJ`yw!$5E>9Q-djhHi8E7cVDu2VZNz~qOY(OvLy!mcK#K%vHD1}(DR^-AEe#- zjO{tfmKI4(cJmn3|AnCx-Uz%w9EqxrzOVovC2XO-BaJ>uv(RgOtbP`DHJCzZBj}Bw zZsDbES1a;zO-EVxcGqTG6Pprd@#?B(eVS!xK-7)_#`nSwo*lic9i&<4^`~HZnD2#y z44!qppm3;C2tMl1D2Ii|8&08(K_%SBD zwDIOi;-#8aSK9+Wq>LwLg)ujmQQYSvLSlci=MOfjKcrbW`ubpa&L;F90cXA;3$o_K;URnkjixm7j=shLYo)p?%pb**!B)Ik3lWIbs zp!QydOo@3h_HJZ+KwrKD8B2adzjXuY#9Y?=mi`IcOGw)Qt`)d9IrflFh`ufe%irb3 zU$MzT@0?hBR(Pqw6ha$81O4&m$?9@Tp#E;drz(yMy!^S&>LblU@AYwg*BDG8v=KDW zexlE2g=x>|8w(}IS9^E6m9*gw!=`PkG`>R8^?{F zzTa7Wq*+KC?T^!>{NHCVh0sR8a%X*gr78NJhF5&N)6@5m)km6zv~^zpSojx%cO{cP zGHrjnJU?kTg*Jkt`RTaOC;iRm^jDTZ^Y>raA~B%6hUMws)*jL<^hw0y{ZE4_gf@Z( z@^@cyVewM0fBXPl5`$+weXm)4q*>@w;?1uMcNu&#EeI;G7wB_C-2eA9JrLRm#DC#3 zp#Fa*+s5#^3Q6LBQ8R3j82rbx=M!rWX%_n2;?0ALS{S^vpG(%myEy2xD{jvhhEr%G zpz^*&nquQhue7BG67BB>GFLm zul+9SYB+^90*zP5W$lw~pp-=I`Id>9%%5C&De7VLNt%VebA5aIS$j%o|7Z_^z83}U zQtdg`a27!uf!YI?0kvnJ+vdf_XBhSnBbm2__Kdgo2)lv4S9t5F(ti~VHHac;BWR%f zvQ8%BX(1Q?3%0>4F&M!0M)DuKi~dgfUpO8z>9SrX^ZIWZLVwRf-{nTP)!FxHDX-rB z+@dUlDT4OCY-gDSsNiCaEAw+Z&5Pn_=YvIOIQn4VDKbx}HRJZ81?Uvr#M8pSAwg@i z-xg(5);o*l8;sBv?{s9OSJ?UG0q%e6Zf0KoEw(&!Li&M^`sJw#e&iK_w=xI55VQ=- zlcdXi6M^t!PI@KvuUvo0A6vfb-Io=9gNJ-0hs3tfv#*Bh1tv`i*%J2^vCq~o)xX|g zilB|4f%3IKSz1)KP*;Yueu=IMj+LIibFDtoEDU_l&j%TMEZ{hU<5i^?_^H<(sXdn( z&LU_dka%1cUfOs#bBK?*Pwnzw?GxKJa$Mlqx1H++Cd~>P_=Uv7TW2V`)?kXDU0PzP zI2HXeTxXDX8HSA{Ei7NRTArVT^yvq^c}x-MMLR5wr8QUd`(}$wy|jMG8+!H{zWX`; z%)yu~)b{|_;tOdOh8*GNtLXcU!4yFoL4*3_wF4#5xQVV`aU)A~(MOtvAw%Q( zXiEf&ppC$DjzsnEpuARKC{&;55+DEI>3fE2(MOtvAyd5d#iEx@s_TEan)9-^E+FYe zFB%$0YpxnB*F2e2S|)h4=R@un9tr>7J$qi|T5KZC!kmm{TYy~M#d-Q~}cW?YMXq**vy?X&e< z^&c=8XcL3!2Mvh+&)tR5|C#9LeO6NI-cGGoj!@#XqzkOXO6Cfo9bLH=J4mx|_8zYu zh#gC8-@63b2(V*Gr|?qCiP}NdklJ7z=n^CrGG__(ry2c{X5s9*xc;67vn0)t$hm=X zA?xmo42}9rKPo;iVH^_b8)WrGW0Sf5eS7uy1S4Y!v=MCXeq=^;rT%`J44z!aQOQ4OQ*|DCRW?zckp9oNUMj6kLSUJ8r&%($a&Bje^986*6dK8G?Ub6A;I%Gzsj zAC6(9-^`s6cxCNJo=FkDuM5I>uebh3viYp(x59ChJX ztr>SNdBlsyW6;$E=j6xZ@hihAv=K-=ZVN9p9tV@Wk7be?jK@D=ahN<_>vc*_z0dda}Mjj zDgEY>zZp)UjX>h_Ya!Me^`TFaL;#+DDp&bH3|6ccJC= zHG^3KZ3GRJ7uLs@SMiPrM5^y2bV;5tXAk4?iPcA%g>(KCFQ2;%W(l+rG>ck55^eoJ zpINaHeZ{w;OMHAM(zg^^^^Y_Q=j;-F-gtM3?f!+eRnuYoO zy>@%)B_=hA-AgY+LyutoC~uui%ftDG#?hK9u}8QJ*mCNtojMqF3vbOyfl#vQ%RBBhM~$ z2}qiS`33UaNv*9vcbZhSi#D2=&EFWei}qV!siien4cg_uBdKI+*Msm%%x?DVddNtT zGz;@D^q#}hc>K=NSXy(nxqF+a&Y5z&H|gHFGUbMG&r*rSy`H|mT3Mu7n18d^pQ^qm zEsdo$SDU+c_w`AA-J{Q`n|GS5F!l4_=zNglPoCadLm+7u_;hUh)OO+}OH=Dem~FyH-m zP?b#W`U1HUleay)&?O*g7Lwh)@>tx;q>5d|?Vx)jNDdLZOgowKPN`6ep5h~*BL>BC z?f1V2j4UV9T*d6KHT6Jr35W~}Nw(Gu>q+sECROd~g}%K(a%L=E#oY{zqcvACed5P1 zS%3H6J+*$EfLvlG&rrGgS&S|LNwbj54Z1{j4KS%{S2}d>-_2YHANyV;2&#BP$l23)CmapQI4NbA7HCGMT zwF|pa-Ziy$F*YhDW-$>u+={E_Xzjkme`YrHzn&^4=CHt_AK2)GM zWgsnamR8Sz_P_hhwr!H4`Mw<N==?RLpFD7LhYuy||zarLkf z!^7{~$atdoJVRYf%q=YVy*I9w^x{pH#?qRr-XNmR*ZL#(CGrK&ymWK-qoaHE>YwP7 zgE34P&mUUZq*+){=dItW{_k5FOKYwW?+xu$|Gs2tNp3M~Nj9Ea(Iv-)p1$j?EYd9G z_KWA=wU)-xnk&h_C`zjDGdqs}qy7~^A;*tBeYaa#q*=%vADibD|I*S}T5~1(q%;{& zeFtTKCwGmWhoSh0^G%+RjhBsa-{-eRmbGP!0HP27yUg?b=Wu9A10T2+{ z2qeG%5MEmUqvx^heHW1{pz)A;dMn3oJp0gD^o&U}ltJ!xiASyN7oLyo6Fkps=l&yZ z-`@>qF|-j#yqtE?ukDGkkG50oc^!GgOx`^V^}T8BAilL358S8ja z8>ag9$~YyNU(I5%>f3`ZiNQ;rzMWPdX%-fq>a7!%v^IEmKd)$Uu&9T3pHS`j*l-GM z1meHrvi|eFYo)zYDfY;{jADtw``|ayW)9?_Zpr&6@{Y|7*n1;w>W#Eg@@*#h)|B`C zr%2V;@XN(t?~zD(z$Oce(!BCea+Hn78Ri}^_X-zf#_p$-9A-F$HUi3P$r0hDtv~GA zX_E9kzvdb-UM0-}TjoXYA(jj>sgf@xL#dDJgNl2deT=Q- zezI)7X6X_`Cp$4>pBo_9^_7O+<96@eB3~f13ta*w$CxvJD;^BmCqjINNmskhhOT!| z!S}<>Jki;`f(r{bh94kC5LH)=Z9^kX`dNy7J;jOaz49LgnC~ zQy4#&DDhkNOX!9Mm8S(qh3nfT3)!m+f8?7L3SWqJPd?>LzJFR;!8dG}PbCgD`#^{J zvjqM$_=ytltW$yUSr%1!tsHi0Kp$fZfl~ZU-Os}RSdF0x^-8_H6duZ?K--h; zJ{Gk$&No=Q?&OTmohCM*_c~<_N_$#Acf~*7p4`^S?j_T7x!Zps@R)ywWbQEUCU*ZT1uXMFC(BBoG=ariC!mdpV6h~e+GD$ucN zh=0)R?OyUEB`+W! zTk7GFJC*oflMW5|qkG2mBUknR3;cuNhsE?GSN*F6kFD}Bbc%lXME@(3!DDMZJbWtu z4e;2)!^f%qk*@OJ29GWBaB_-#(uKct7!9} zJWTk{2Fjrw7u?O9N$VTmn~QxxD9n%2F38!1JlY|FzNguJW&CpZxxu}1k(KvB{m&`Y zZ481bgE)@k=oti)ALgJ>DcMgvTkxB-ZxUSjl5#IP#a4l1`rG~3YEAhp?G9e*$FZDm z13xLXen@>>Fq(ZwhHxIwUOgS`zC(^b4L;k}m(V5*xWd+3hZnh$Km9n9mqTLhrKY3* z#)Z&e>#(23^baun(pE|y5BnswewL=w{yi6jF!yx(-3h0kay9MzAb8v6=iCcZ+jIH$ zgp@yl63Qw!Yg5Xfd?zsXb%U3`eE0ZJIfwBbO zV)36C1oAzGQqBUotz!Bo8@%9D7MlXd?@<3V?7kEnZF`CI1$n9MbZHLvm}i6MEKpGx zi!V6E3k|X=o{ZtoF?it>8I_%5c>370P7i{r7dhVr{xliQNWEa((b9}-+%qDj(7O5; z`_fqC5BXHOKqMHb{FSYLwZxZxO#R%&ckxcbU-GT;sTf}Rec~Y?eOJ{Nsqv6>8Gn@D z2_0pw`tfM|k@bPqu{ubM?kAK$Yd(t?v<|4|^tYb;Kk@tNNPc33-Op6Ufgii?x~GL7I!p2ANyB^o8o-A48ZWPCpGUit&Z3^P{; z!EDOy+$Z=H;n*N?#Lghtev7ds>scf3>--z~dm^!5UB?065$q(tLp)_}3gx)w{2(~H zoJ=?(>Q`NvlYU)5#sJOIqIhYVZ7-C7KV_!}iNk^}raj)}S}FJ0c#TWq!jpnv&8tBo zua+?&l)xH-OEgW31XwhIEo39)? zFt0d&@JWM)4oV-)W2ME_i}MEanC;@ceCZ6oNMqZvf!-HgJ0&xwW=~=x(Q)H4$0sKy zf-cDkW5?3K&rW7c=6eCjoJ8;yzT4U^`oL%+Xdhi96G2-~c)Rd7h_B(RtsRodG1>C% zfSjT7>DBhphg7+0lT1#SI%9$$+9i`y^QwfDi?8}6(=Yj0Da!Qgr1Gst6{=Tkk+`@> z+7tcJE4Di zf6u=eGh=!lMbGzxVD&=yZ@2xX=)pd*r|hpBw4DO;Xm7TytE3oly@S~!3R(*JbKA;8oHQ=FZaZWUUQ#^f+ z=F>jplg};I#`xc}`F#-lZ17h^?XdFGKTElx2@mE^1bdZj#;PX>=KE#FGz7rkoVXz=*bs%@%$ zqF3$vIe2_&b$qIQe4$*XWVyg z$v5%8ygT@5;29gt`#|^R?SxC(Pb$7A__Lu)1HT`9TFgGuXD){S9`K)npPE`e%8xbt ztM4$n+Waxb-w*sUaF-MRbAzDW;ZZ-V`Uikt4gN~-7l8kE%)fN-Tfkop{u1yLqxA{p z9|oTE@@?QR1D_X*-$?MtUi}PsV%e#4%syh9-4;CK>G{O6Q@3#l*6axuL}Ny;4h8& zmt*ChgMMu3vLhycHu4`wK4Xyi>EJ(z@x!O(e+%;W1;HWhVL2v|{#sxrKt<}HM#&0cnVtvHDG5<-=UXK1#&`&IncqXQQqv2n@8Gdwy z{g0DR+*JS1z+-FLnKAv}weh_OJb96}Fcx3Zb7)()(+17QzqHk{@^`7>U%df-bcOwz z(|!489-u@5J(F8+i1trY|cW z0Dexa{QnF*^>6hP;M2iZ$MpZ)#^<--iDi08EIzl`_VHfuEx>Py`F|Vual~gH@wvw9 zubuyQ2EnZDN4>7 zI^>Tr`yXNcBYDet{@wK7C9(LSSMZysK!dEIvt#%tt$lw1k1s=i60?u=8I-;4>yZCD z@QEv+Kcabun{n6&`Ge29v%Nx}!}!*l+$oSL?%0gUlao_3retO(5=ZHN z+k8$c$6>OQ)23(5oHQ$8?Ox%krqBYy+5N7R%Pg%c|w` zsKlXx30NyTu}et3^w#N@%{KK?>YH7UGi8CbQkkF0Hx0{QGxcy83xYD=a&X8V+wSdh zDdsGEIzDlH`S2Ce?(CpVrOob|ZTo`;_;lRt_)3gCS+`hK3ty3K=i+?e#KvXxSpp|~ zCkXn#u0Olp6SPR#XMWjArAv(55j5*Z+vwon^Y%UV&#Oup)+p4~TDf*jW|>1^3j2+v z%B4MC2Hk;qLE@wqRsg31CGP!rd9!1AZZT=0+)EAJvh^W-*S`do0R8HmR*HhOBYhfk7lI*tT%sts_R6OWY-^c zA1K*te#~Ofu#IK?YbiWLGEC?o~#;=xiOQ*!{kHqg2iQj9f@nb*UMWt26T29_R#Eai1 zcAm5A`RTIg}7 zls{QtVPBcDilxzJln~Ty41zyW-v0QnAox=Uj*6Xq3(X-UATg1<6Q~mrbxwNQQn|bW*hsNH$iq-tDVfbO#3sDB2> zrM5c{l5Xjo5Isv=o{_jb6pu@BQK{({>fY`W#f2?D+^!#CTz&()HwLZehl`8QJ;lKo zLZC^yzd`qSBXqSkF8C@?fm{ncJNR*-{}Pb6RJMffuApbPU_@B&E2+Bz(uP&CvR3$7 z&@)G#r}pdpTi`6661zW)8!8S;+;*nMjeU4!<5X?0YmcaaS^&b9E>3Znxxwg9b<(U0hUQ`o+#E<rK(M>j%OYvV>N1VpZdp4a$sn`TlqZaL7k40_%a z3`!|~l_N;EbWVt#DSwq`N?b;z#)W+)%lRa21w`zV?2TKgwRYed#w8v0(<7;k`YUwf zIOrb*nxvZqUB*|SV~kKaz7e`w8$V(qAma)PJzw+ccjau8s`1+d-GHFyJHd%jxm#!O z$%U*To~6HgeM_Dn^UK{L_$-~(VNMd?l@i~)czk6aY7^hEelHB`ce6fHC}yxiAn}J) z%HBB~lzRt_#!u*$aTFQKCh3+#SNav`)<9?H`}O42+Bg$C0kP9Uufcwtx0+Oq^UtBn z33`nP(xW*4%;3fADl^6~ct6gU2Eo(QoOtg{{eD`@vF-dp+4Y->ywY4g$u0BO#`>R5 znc;Z2CK2vMW#{>oi*ifzP5WT-;c3cCCF27J_2OakOX=vlD}Q1r>(SNPxDgA1%JW0t zdR2MjmCA<6X$DXk-}Fhw*qC z_LrT&CfYHf6MO9(rd~W;+=NcX2d@~J_2_DC+=zuhCG-}0v2R^CezS89?LVtJL-(iP z=+?o=uzppwH(WA5xn(&0=RAw|<93j`XX%_0JyVXVS`oJ@bH75;Z2gc0w@R%ayHYra zSJzCwuxSgHo^;7Dj3aqh`9;Lzr&qN_6?rAlSUki>fl5;b<=Hc zD|DOAzp5i(JI)Dez&~3ql#aP*RbNBfXdLiOKm0D3Kl{u3 zex5?QrE@~`EPjp?KTl2d^RwiNTt4chiw0idYbQ7RG-O$#f zt2O1n3fl!lu7#uj>Xm=nH=FW*0d(oX(Juylqw;^Q;gWv*yHe+72fbUw`td6It}09C zl<1j$ylR=mVSZ{H_9gW@4O;$dd)RTh*N-0?b>v}OW*{anl3veW7Z;&h!hyX4P0}&{ zuPXQobkyIf;zsB;2Eh}Xi3{yAeOKbRF+rlw&LGkCtRT_lO45-3GuPO2lXn7D_-~>n1+}v-kJ_&pN!t*ds+57EMu9*YM z`eoH04R;l^b@CkFn@!wKwu-{?@f`dQIqlqh+8Z1tR~k{w{i4s;_k1{)(oS%5rM1w8{I6O(XL%Q>$ATFCO^fGTg$x#><$Y9Yo75~1)bFM-Li;JoF-4@Te3K=ZkrQ-KkJm)H8u;`VF|IFe!S0RJNpH%$k z7SFi~87zXN;Hwh`FXt*`FgZ`flQ-gDHRmd1FiB0tx3zfAB7;d-D!!w|a~2s)4pQ-l zSUl%yWXMN?{QRjt%;Gs$BZEO>D*i}|?*$$i44zW)q?i7d_X936CFJOdspY=9kLBkq zx)@BP@*ijMoU4%~AJs{*ufN4}7Fl#&srZ2w&$${IGe$$MXl z&oH!Tga5w$|No53|J$E&k@D@2_p5&+DM2vtaB~j-CW0X2WyU|%_lHS3 z9${Z>S6kR0rNR9*md^=-%;h1QnIC?>sARECqMuAm*oElVp+nE9v?)^M4_zFbAS5CF zp&&7yHIY-NfM?tt;=S=(^<-WNPjTwGMi;28+*WE~@uKI2rzQ39cz&d-y@gYB*L3>AkB`|R-wtr%N zn{iL9y(_9HD>cns{rQCK%ht+_&#Dg^8EVHS^IN`yoM@I|GK(ZPo~2wUfnI;cmFN(B zZ4fL!gQK0I11TRC+IM&Rr8|9J1i|GLxryr>iJY6Ejpvtal9MNwqH)BEAXqUo2*%tP zwEtVsR>!ZZuWJyD5(6xVGWqHFC%h8`$KMzPpKdV(?SB$IFDm>KQ95F1kZ8tSU<`es z2v>f|my3g7!Uu*={w_bJfqbbu>$to}uKrD@+U+~8|IE-%oCodk=C|g{`ziS%8{64j zk+>Q<_t&qt%gKAOL15w!%{ltpvYFhoka8km@`G}3KU^XHulxgc4Cma^oR^QMn|>gA zA7Ak&Tl{Iz3=M*g17mp7S6rzo^iRjqXq+JY6PSyN{0Wq6(be&x7(Y5kt&aFR92q^2 ztoqTZ_Hh>Iu+s7qf64a=A8E4wS)N@#{?|6E8P{&a8_yg$Wc!XAG7atWPVmk$zcv2v zrNmz!adH{ZWc(Kd!H-0i5f%NVtPDSnc4zs*FiqpX-#k7giFLc$%erC)rCJNNoByp~ zls2YM$W}l9?Rvmf8yTYzPY3nvv~&ml!M8(d9aRJ63Ec84IB0)cJwhAAA<+JwXrBPZ zS27-?KA!jx^@VcW{>*5f0mW1Q(v~5E_07{4fFJGEGm*cBgL)Q%_r9(0I}raZv|p(E zqSD^(l<`?5+d38Uqo002eo@)tJchNV9>YIjKJsZp9dsHQy%(bPT}U|{=CIhe*Q@7Z zALVm}Yg`~}|DyFz%GqY*X9dAnY|BK(@@Xx*>nG^MV>la^~^OKo(T-W`kj@x(q ze2~$zd;_#UGryzumnW+S%k$vYC-WG2!}(0Tk@@lRo2_2tnfJSsywk~})|002+I~_M z$aAGDZsH&g0;iRem%lf^nkd&aq*qR>$zFel3BdCo0Y?(*jdvw&a{gX=jAVRe8TaJ;g!D~M%eO8 zocCWAB$}UYaBcof+}~{=&NIIM>FbxaI)6~s_8qs#e2iinJdDg|4PTRSroNARo;a7q z%LVObj^&p)ml%5`Wd%Mj|Bu1x-?VUknHX*Tm{?3Z`IC^Q^-1!cMZA1^~*zB^{%_f`j;I|tF;o8}&<_3vxeJ_$x&;MHHw%EA>*<$DUryAO;%7RvJ z$bPvF-`Bi1zoIYkr2T0X?PnGGdGdLYo>nh}?V^!?wBZ+u6+PhpP1OHgyVlx}&hyRh z28rqKLDVK_xmD)DUcFs0(8!lIT>bt~%GH?ZnHgs$v!*69XHUw}c5?W6RvzOTfnhRs zI4o%Sli;v6De^`rTH8dGw@c*N_c@XiresY;&qg*xz~18@41$vxZ)zQ*JZT&BH_mr) zT*)D2co;OjIH)(Tx#|Xb`E2Z3q&?YRWLFO^goXz;-Cw6?vZ^o~O^t9tk!3#M2rxno8 z3=Wwp_$dZnSY(F&)~ahF|*)U8j(K zqs(+0g?v@J(!PHbuP=VND7Si%r}?=dcBUn3LCt%rzGi)&MfXOd zTmE*q(C(im^PVp%k!T>Lyf7}Ht|$0_qS2$}g*RNxZY-0=o@w=r4fP~u{2e`B{p;ZN z@e@6trmsv-Pal>(a%g(J_Q3b=BJ@5JB)Sz@y?dmbqIc3%O1!mG{5vbu+pOp2xzm@T_ZR5A#mF$@*3jRaWaiWyc8bZ!o?`Lh_j*r1bz{YEBmEtZ zwBu;ee=vRJkP|JRA79F_8$+nR_kDe|Dv>%FQw zjK=*uNJzU9wL6-h)<4q4uPZ%0^y%aBofhU7J3KvKoH*2BG_G|NbPFkZpF_s?(fci{ zcX!NBc+i`eL_a%+b__^Nx`g(R`t0DCQ*FDg@f?~SeJ>*{&qLGGDbLa_NjtPdITIOe zodh;zmHlam8_?{S1+*K7a>lk5AB643?X9|K`*HiVul($j>Wi;)ql>_zVzGOzK+o5f`X$?^(b!_qxOgtE!{wNLe{TvOgm`!P9d0=>bcsW}W+?C?|N zjA9NwibkcoUZ5Jmz=8SF!V4k z6i_`MrRW*2=@QS^oc&`bXJ!z93CYZH6EY*?g$kr#LB&WabrLIdop$jIE; zG0djAYunfe-p}vx*zxNiF&PK6yuIb@kP-OU$!8`f684-inHh{{q&{8~>7QChzSAB% zIHt4h$2AUNGhpM=aJ#;8Ci+diH09tEr=KF4Z6vGS>pZ<5qSub6gre`qzTfH29HVz{ zzaggClYL0Ma?ay`$M6o!v~#WB?>N1)rccVrjO9Om|H9M%SM<*b)dYQ?xAWeH%HhF$ zrcs8ru9mqIZBLdJYtw;vHChLbk3ugdvG{y2Zs2mDEU4Sxn;!vjH=9|zrZ zJy84|djxG1adyyYOmyFFFa4E_;RJpZmF4!|k#IxUgs#HC!oH^+v=yaj%i(hN=`vI$${9b8%?b0S_bBvbjqNEsV@P0)o~lsYb+*ppo;hZ;HhH$iO1`n&WaU-J6^?>pL$Y=`;MB?xw*(HE8Q3Qi=8xy z&qpR6!Opqt4|^@NV>xC8oq7i?G@l$^_GuXXA5$hfW!e3b;6H1Zk+E_obF^8k<-CIQ zHbL9_?Y^3KGsY7cE8h|0Q|36{u8)Ve&RZ8 zDKc&)p<~c?Z_rWZO~HtJEdH|~7=Hl#_24@P?Yab=6`wZ4;7Ls+9_IKFzuE`w1_bRD z|M4{ZBHwqG(XQ-ZJ>u{nSaoF3=@OlH5!aOUZha-vEw^g<65CcWE|U1Ydo^c~&rn_W z^RG3!S5ZdArrd($0lIahxC7W_N3%{wnp{*E_D{c`-mBm9)pos?6c;~if9 zU~edYXQkTHsjVk}C2?1N{9E~X5S(&f(CJ9=?_S>HE?|F+zX!pVN8zJ=ScQ#RzH`oA zU)xX1`K_OwTYq0U*)wC+Noq^*e`{a4gWH%6-01DW5Z_mh9S~$=fp8-L-Niss%p3n) znr#~FWwaebC*6-O_GCwm4=I&tLiXzzzEx;H6XJVZ(U-gIz>qR@G$cQr0^ zEQ1xdtX#RKz;#Lmgt#Eg9$!Ywn{=An_zCD;|=f7ml3Wg`d{ zKEvSajtb#bxJ3hYm^d`K8w65qv~Y$^D8g8(!`==M$s~nCxBZhn9N6H3FKt&P!#(NkOAGe z&noA7{Vo1w({_jY9^&^Sq;(c*`0i_hPx=Yx-=F#Y1bmE1mG5aco;TO-uf!$$7?1BS z{QethqYOp2|G0Gy$6?OOH`?QSp5HIRH{Re6W1C0$)u4a$zoDJ~=65Z8b_8%(cek!% z8sx4*~voo7e+?7PnYf_k^#jpiEPIvP(n_y4kUk-DoFfI(%Ru3ReYa7pj=D78( z2KX3PhkQfeI}yI_hOS3RyR`{B zkssPgeOo;dzR{NNg(mEr;PFuhS7*V;S)j+R#@m$~f*$JQ_v)GO%`tpOwP?J& zZHK^&ZF&l3+!P#kp8Xz}FJs0Ii~n`dlku+Zcdh)$lzsPBAK=+b>X^T;sp41AC;SRL zbB*$Izs`&GWEpc0Y1^Bh#y?sp;e<5{_7_7buJaqoPri%X_@`>l_Yr)?O-rLty@#xik z|E>prDR^&RQ^liK?fV!R_|nqb*HrQ7Rr}rok1s8~eN7dQUbXKf@c82IYpQtkihXMy z1&=TOzNU)5$=J8%R`B@Z?`x`f@>TXV-9{fQd!YLJnkpVX+1GU2AHdTdw)XZlRs0>` zr-Asz8y$UDNB#dc`*yY= zesZRtKWv!oU!8p7DtG~rb=dM4o_vw`ZT~Yi)c+*!;T*Jb8VDw=b;fKgE{6 z>%qST-rE;e@uwqyIr-P0{96g$+ZR^xW5L&`dBH5k7Dv1v%byA0slNiV81r;L%=XK* z*1j{r|3uNjtU=(M_#emcN$`6uehm0uM9-h8|BHwpbx&Xx?R59w$MUBDJo&2lGr+rXP_6Z! zypwU7;-`Y&6+NG)^2@*vwD{>kaAc2|{7UfnrTjC&kBybz72wGO#h(q{Jr`AL^{)X> zyP^2`;LBq1+W>xn#V-WEAr_y_)LZ0k`!nU|e%>eQ5vyP45tk*Fza0FOSpHrJzRKb+ z0KYU=zrF|Fm7gDgelar4 zt>Dj%zMG}-zt+eXiZ$5MGd6x%b3OQbEdOu8vss#4h2`@m;z#?wwH^E;ym4Z!jsFf? zKPkh~#`^mvtA1>f_Iqn9;`=dpZ{K9a-$DGDw`@HeJhu4z9xEQ(q<`M_9Qfa-?t83w z@=W^YZ7+hy27ljU#ls{0^R}14KbpGlvEt#8{(0N0;Gam{_gL}pX#epH{t=6GZ{K6Z z!=vLT&N9aH_dQlTe1hLf9g;DYzb~=i1w{UqPr+l$5N}^%!KsXY$}a!5Y9t&g@3cjs{A3I{8ON%Uvto=jcs3>%CFIeXZQIw?t6KDBzubN8ExdB zYV(V6&)Nwbi=A}l4=33BvVS4JUQ8xuXXnTR7is;H$r;%^sLSYwZwk+;|uTq|B&LZtN@-&^JGxFmU?=YbG=a{8Q^=lcqwwxvq!ko47bIn=p#;!*F7J^_I?#b3#+U0k|nvtyj~SP zA0&ELd3v{UeX$kFsm<+;tTTD-%-Rbg9&`UUnT+(6S6AdElj`4jo*wda?bV*1ryE%h z_Vrv5@tEhRd_8P!TEgC$l~on_CAz<~3Rk_C8@+35FV0(;TfzQC63-hvKX2vw?nqzTLv8&L`Jc~CpJ!1i`FCf;`$-wEyzt|G1HLalbq;<`JHnD{Z}?UKQq6Mw^Ty zPWAl5(?dVE_MJ#iyKY{+`q=R2=jB#WXq6}>Zf_ah8p^H4ts#1e8~u;8|7&-1e8|E0 z#zDK;u0JLJ>u9_zXnej{X-k{P=hk#f+rNHlWYkb@eZBUZN-9D9WPB-l*O9XJ3(h){ zH4552_TTGWhkhr+=^euZfm7Mcf9&M!Q17~CQGC~RgopmmLHn^zuS+p!-@kQ?4IPgw zkC{`)d0I?9uA>EX{pz|N@bryjwx9Fm<#Ao_h)4B&q#rOFJ9*NX+|4$#e2L>aT7*!~ z@mvpy^mO>1yZAL=&-r>kNJro~w__=+~r-}NujGRjkb=r8y<8Zr=hqQ~0>0rzw!Gwc`WC>u#M3w2^=nP&qX}?4=J`v{zp*)4)168_FFAFbyqsk9F7))4 zA%p(aLC5kSO@3>AZ_LlCh)4Cl?&*yeo#ZqXt?^yv>0OTu`b!5LuMc|3?(IH5`NKNe;dR$=+`=I^Vj$&t-Mbv*`#SPs9p&xo0`(U? zVR@oWUUx$zz0=hJEyS1jyFKDjf8T1rUmktHUsFHvFVsg{yzUN9-$TKXU#joch^LA8 z@>$4id45`B8S1;2>xVpjZ+!*-=)WD08!t(HNzTkjiVl6LE+c2s7+$Q)nPX(D-upa1 zA4SG9k>1YVcH^Zc>dli8kG8XX$9_>+NjVR>RwPUEN*3mtania!8$Ij(2|fM)I{Hlq zoo}`8dCENFUySoAOVX0baWRr1PV-?YAIC+oky2rR2u)t9m+P;VUP^`q-viF9Z@ z-fSShr)JLP{Rwj1fX0Ke9p=MMWYEt#=+f2hYt&S`^+Cj=dSCPOrt}BXGbd+F(~ed3 zQg=eV`kYAEn7JzkQ|&2zfLgy!OU%HCpcZ3gg&mb4SJ8tm!DY~)2C`@D{*=O+Os@; z^SI9U^ey=Eam9N2D#xSx=m&g#(&detG&VVV_H3h%xVrkVp7OH3+S9k`%lm=#xm+pV{_={ls+g&79u_{Yf}dX; zv(jNyP9yWd_4gVXyNR#T5m&noXW~lxCFNv2?A#Ow?@te#1W-e{X+@t817`tsaj$;_* zigzG0S#vs{zV}7y<~IQvzxN{lHnc)_$4Jv*ue$XZ{M+ZOPW5j?`-sPtkHu`DJ`^z` ze*^0gE`K&K=iksXl7IBAHh<)OJLWsl`eCvkYs;l&6?v@5@Y%Tjrlk7$wt@cN$#QyS z)iKoT^|u@PKzo9x_up2p?)y+ruND+hnxd~?B*T@H>eA{;S573~LO%yXJ1WxGyPYj3 z;wR(Jn4d;W=w&RvBO+O=jgAF(9q#^eapP5@-%vEVw z*biwxtYlRKgs8M^fJ8Z^eEvAnrSlusW9{9I;-aN_nkkxJ^fO_-*bdK*D8Ih_qFtYC zxIVg@CAQUx>AyLWrE#G?O1qFIiPlE$-=k;I)r4 zE@D0@^=bp{{Z!^*8*8}tOZnbJSra=p5QA?5B!4${Hhykotzjc$h>hbTO~ZS-=LU%{ z>!$YJ4ELhSX|elBgV%pR+K~QQZVqy#tywG%Cq^<|KD#*N=klp3)Jgw0rCku*P5D}1 z8wB^XqTibr1UFLFn)jz~q%WL1isx;p2WK+ZID;}fdrxHN#>3!W9|XTSnV7u~KQYXH z7J1KeeKYybQw_%&Cep`H}t+t?al%`kUud+8JqPmKRkPEi5W7;#+sg zetnJ5zS0x>?aju;kxW<5$+5DEs=URjKi4bo^#2=|d-^kMc^Ccv_!9lL-xC8;^jAeP zo&K`?{K~wlvV7IQ%*KBMbZa=y^Yq_t^-KKUbzJ?bR+Z-sNXswED_%^70$RTIXtJi- z;+PtTt&wcCgK=0zZfODA>zjO_?n%G8@dq3iad`dyh_`IJ`xtgSL*!$o!`zpSXz{D5z_+NVhaU=SNq;`HN4r}{(x&w#xum#(k^8`V!KFF&$&-obDB|Bd%6 zO8<Ukq%cM?Bg(DWYw;H#;#2rX!DzMe9KwIKF7D( z`XF(As4=^=T!c^BG*vMEn{u(~(8w0GpK*XFkuQ2U2kJJ`@1I5=M}H^p-LzruzjjCv zWYJ%pactzrH}y;6x2cPb-!OiEn*)0soR((C0TRDId};i|wI*xUe-*z|B3oSko64K+ z!l(60o|Th!ds8OISPuGe2dBIF5arc}|H_WZkxaGY1IV%lAE<)zml1{{_}=`sq#d|C^Y5J77;kN(Xc<|0_#m zq%lb3aL@7>)lO$Y7lYLnnYXMOoJFSf9Uz2ax5(Lr|DT3-4@j|hRis<(lvWQCp+%(ygREIG>vJm?6|GJ? zr*Gf1lFEX#zWj*$#~^ljQCW2*H)++Mec}(qWI>8Qj3bJR-IlzQ(tmsksE{4cfs zTnGJC96Jn7{${*n+f^BN{LS-cRmt+a3JGjcNqKP;>_BafjGxhjVI-+IfSulX?55+cjz+g)ILW|D;@gVIR&W zB_%#j8d+PY*QUQpCZ~_hHv6AR{b4+!djG+1#w45m?dj!XVRB{S`d{jO$;jH0Yx(Iv zRBtjnYsU1kw05M6eh$_DAAY~iJqSTqm~e<~zyDACe%Hv_l4t!U-q~Y~t9f6kdXyn_jRtWSPxJ~ooyHOxna zXG@Wd`yRP}Hf_%INfRd0tSd;|vcMWR=s`q&4`fv>P^giRQPrlGkCrbSp^j0&R)@RWBha>o+#SGm0G3=!~ zG4a@|^Al1Aq?G>}8Vu2~g?XCBopx+o=FF_gJZociWg}hUfgH7qe!FHE2Ys=Fi9@}1 z?70T*3MVJ#sq@e@6`}dnn0=#-t}WHpKJqJ^wpatyzEiDzj1Ouia?EnpvA5MvY<@}m z^-P2I#b!RKRyJuLg+_Gk{;jo-bL z+2V6#`Y6Hq?Nklzgz)Ts(CVQbpPfC)(?YuB(|i*L;jf{L*O0Fc=Crl#xU`3#k4R6q zh!j1nTL{l?+C@_j*$jxCnIdnj9-3Au4+1r`3pMM_Z}~gNy%#5XyytjQ^sI?^R1a~R zl%192fv?oKt@QM4j&!J=Q@ywy9GX64h{4y@+Olvy=lu~+>zUSnhritHn_cs3_8T~n z@p*UGk3`Qi*U*0p)Ih7_N}dlIlQm=NICjsRmZf`VW}Bx;1aI|T3H`;&rhnQFj^4A> zI&?Mj0QwULZ4bJ2D9e8%_%|Gu_t3{!yS@9rHP;(_4YV$w1uH*Z$cwpBQ#tEAbVO_F{eE_oD zdaJb$xoSW5O3XUt$LyDM@&9cOZ0xitrvDAg&$$L&on5(g{=+BoH`CA6kk#VEk6J~JU&hsWqWBi|3|2a#U=(aKD|2~7i`gh=wb$B8bzd6CW zE&ZH>!)M#)to-s_XRxo_LB2~na*&%w#8RNH*2Hl$V}P22`0=JD2R*+Zv`E3XB@Xw3 z?{DzEI;Pe$iO&?qGMfjIPsMz{ucLkb%E>4HG>%82hgjFc6F<%8Uf`c?055zJ=i@k& zCw#oTONxE)seIZkv8C6#)HrYMW94&}vefI6)N&+pRsTugk=5&l7#_b>KfQ_MH6O)J z)sNpAANo#-B_H|ioMIn#X?!xk6HD+&@#2qOwLcR)zShH|SM8ew9v|xA(W~~Y1CK9h z&13eVSM8ez9$)%Y#Oy<_+LsF+U%(%pVjp_dzC7^wQV&1H+E)Y~U+Uq{;(o+M;5e5* z!oIvm#O#}4@tij!t8cRyevZX+78xg;8^hz5%6}O=GEP_)!{e9wUjqJA-nYIl=0AR^ z|I5G=EAXlQTfWAR z=Yz-AdiZr#|Ha_3wH_Y5T0Z^?eiZn4{LriReIGo&3_LPsA9~fkAA-jh@TvBpSMB>T zczmgcN3O=_r{M9W9v-=>e>-?=9e8d`KXO(74dAgAe5!urs{Wh7V{1J;a#jB?!DDMZ zJaSe4?clL>;J%oCC+W}EQ=zQcsZjSEDd+SLs_KGegbPwnas9v|xAk*jv~1dk7+j!%ut7UZh_H0(kz z_*DJKRsF|;$JTmy(KWhDjDMo#=PbHL9~a|4 z%izVnEyx;uy2bnDj&%gZL#yLYo*&MfJYJ`Ip`SD0pKR#l?`ZG)&oa-GwM-dD3)H*^ z{-v0m^H{@TUDLt%b7S~i@U$Ba#{a=R|7qip2mS?zWuNW+sqKfXamjj_g9#Zi`6bxJ zI+ue9Yg5PRvL+DqGv1R zs@&K-?Sj;K@RlD~dpL{D({6~_^HYNt?k&ig_J+m#o=?oa%bq!rJvSle z3PUe{XS`wK>&yF@l?ScL+mjqSYLvH#3_2{YwLu*lwfh zPWF0*JRp*+(%rdrlk63;p*Ghxyi)GAJi);loa~#ljk>kw8TQR=7qugb|AM_xD0kb= zXJ4kh;JtlA1uy%7%D$o7E(X5>yti+t;#*OcTY&#Qc-9sDeM1$`n!oI8we5%Kr@Z?6 zhAO_3**9z3kHNF9=I6`Tq}Z z?*X1wk^PU)3j`86sDOelDkz9ZFA6Fl1c*QqlhACh5duUhkp#uED;6N3h8{u)ge2%L z>RQ*b7Hqq=U3*t%Ds8x?(_TVf1c;Q_r7!bnKNhR&TYddpZDCJ zA^jp%J6>6)xtHVs_gsDa|Hpfy=xT*|Z`9AXVY&L=rX%rvfYcoQ{`xkwC`~U3$i~$G zdCPt)S$H3gXVKD9v$7+R>(F&u&S{qUD?@Yc&B_*^OrvIiPyQOOdbU|y=^{GKShf}bu=%0 z26QJ3bjoqreCJjn{cVEFahc-<;J?zo4Zpl7IeWcv4I|_JImp|371@+W0H8jtsk1BvU-j2`R9KD>IClbMZ0l3j{7Hf>- z!h+nnQ$%NdeASusw>54_qnk&9N>Non(Y6|`5O<+E?Lj*)%8AAr1U=^QYx->sBk9)^ zc~;Mh7S6zpkd9ZA8FQ|ll{@4FdKe4-(Cm zCuLmTqd!rrBU{t49E_z_&b>HB9yTSRkIYAQn;N|j$ieu(co1^VsgOhKxIf`BK3e(5 zXZ4sr#(T>j_Z%#IUh^QDCa-zdJKx8B2ll;CxLF3*J!j$j&2--kx=I?|_id)40r}55 zo_Zc%FyorS+^IALVENB@@-a3oeAC&Im?HCS)?4WC2CM*o%o7ZaUZ=itUM}XNRG9xO z9{+#gSmp`@PrOvl$BF*|qT|1ksN;z;P%1% z&H8NwXfASoYxco^e;?bTG)+@d;rtdF>-c$vCf_Qxt25?gWAO}dE8M4vc?v`o`1X)pYLbkj`cU*hp!1Ke`QzkQkaywKr+{SCy= ztBVlVM6;x$@ZX<4as)nKnhj*;zs}>o1-Q2vE_lE7%Vxa?JCiSh&x0)5u6Zmu%E*&BkCBJ-8)}b5cVoK)+k;MChZ8+{U*q9{`Q3YT>vm1| zo_)G^?}dGLLDlUL|Gfr_{^EEt-T|nKr$LC6?Y-Bt_fgnBBc|*Ukdba}Di>*{8>QK5EfhPNvl_Wo#B{!G5551GNKk z%Ob4BF2eY0%CXma`aSzg`kiui=Ref1;4kX;rIX2c`{2K{Y@A?;ei&a^KN_#U#~jX^ z(;oZ0tRs^Dp8rMte#w2(pX>485hs)N+YkLNNX^PH{yU`gTYMbw8e_v)Wy*2KNgQ7a z{bpc#NVjCqrgdtXEz-SR-Md}a`J$$sspv%w+%B3w#8m0$UN8>LDxl|O^zj&Q0F`i(bRoN=N>&!-Q6(kOwZncTaO-^gr1y1XJWe!o9MxRFE1@d zz9XBKoJ{qw1NY^*^FwXvkp;k+sMj87eS+ToP3s)A)^Ptx*kc}=vB`Ml*WXhdFYct< z!EmQ}yD1%$<@^A63-1r%rQrX=8nfegndkfVp9|i=S<(ac)A`ufan4vp5bH72@n1X| zw3$W=yvM!9ydTij(NVzP<222Ze&TP;e?upz+;113=gAolnu{IJjz9nR@oe!0nq~>c zMQ&Hje*-7gq!;-W?P|tNCqW+#ZX@&Dw^al3Fum7$@pKKN^&MB97?y}X9A^jgSp&h2 z#5C{4oRvX)8P#%0+)Lm4@%hfx0E4Ul-I&}I2fAO%Spxl!3Evwu*5X-ukKd$p)g;Il z<2IGWf~)`Cm~8bu$Y%vyZTT+ISc~WDJ${pFRYH(&vET@<{&ypPBHj3bX8%-g`C<&F zviN4b$8XYsupicF*kZxe|2#h&n8%9^RB!oi)mV$&oTTZ6VZN1uBe?pX=Z8adcdxFs ze0K=VM!m;x)Ag!R&<}qU9KqH9JU<+qXWZcQ#n??{agE;Nx9Q7a|K7*2$lzO5gKoDO zJdf{wURToZiH=D;JfZOx{~6~kxAJkbbHefPxZnWyAm+Wg+?Y(mj&3)7_CfX55ASKL z#ga$xuh|;oUj!2Oi{}LgxOZUAW6I*MBAV|$bG8A#3zXV)A@I;Q58~U$CqJnB2E`l2 z2I4t#&Tyr69x+^g-MzIdrui;*bED=%==;&Ar<}0(8*H$L?5@`Rr}=35F73<62T7<0 z-Qq8V2XI}%z`#_xM*Mme=4Vr=hTccG_<(Gmcz!;FI+BMvDa|v<&%V5U8nPI;uITnN z|5@JtqN}2_wLq5l75LJIIfk*XxV^|jos{NtO}t3E7AI&3;rgYxo3!hX`JBG#Jd)b= z35=zG{aC3dpHiwT?A$(5slQ<^`uB&FiXzuOdz4Zyp5k+I$BSQ7Dh9irI8LdjE>Mb6 z;@{?jcC(6fOauLENe8h>B8g6-uxn3Bh8~%Sets-U3`{ozw`VJStPd@byAw|RLz`TLp7Y@ z>W;NI?x>o75!P$4rYr`oDpyivTF^h*;iWVbGTs7DEqBv7tVhU5XCmnsx~~1#>Ae*&=azwot1iFkWz(7s!gS8+$7-tc_J6M zcbEXva(igGQ}eDeau=vb7p$cWf)75Y?|si!YTX~PJ&EmK*!C!O)nujC~V zn!mQ4mWs{=mV28=$e%H>dp+!iJ<%QxexGU*v>WkHr5Lz7lJv3od!V*^9$iymfaP1R z@rqX(eAVVPUv91D7ai!}`1DXvZnf|L?heT#A~#vfonBWid`zXdQt$EG<_ll$eSm>q zl%tz*+I$q2dyl3q25yCAb7O=KwcLWbavv8OtS?AuJ0u*pj|z_9>VIDUJ$OalHDNzK zFEkGdSEbrkh50@!IKX{#lfmQua2e~nzfhm?0c6|fpF)GNK}y@5)PG|V7w^DN;1`v7 za?$y!?E@+?*gkJ*%3|Q&`-5TQ&EpR6)d~&_%U8zJ;#Up6I_fhse=+&!BjF3&KmBCz zyu7iW-ll|WdjMI!-wMt9245Y0UN|4gdP6aAOHMX;p8xmDGLy%*q@nQLW$;z|N5g#g zX_&#)|H%Kv2YFojA^QaH}`nH44K zCrLMVXuv*T&(XZ6)lG8Qk65zVx&EJ2r*M*&4X9~@!mZIY-`fjYx7vlt#62aB~JihzoY#IQo?+A@m z(pT^C+wrSVJe6R+O{E05SGUv0xU0>b&;5wE3gYQKEZ-GbzA}cFoNe$`r=B#=^7X~} zK9v&S9xT+y#FrAAo<9{j#sW6{4R*0oN9pF2${}FHuQpC;ZS26?h=ZRQ5 zk#R1egRB?CL_WsrFq95vJG7U`dR|Q6priXM-)@BKAj5DS_Q?<&$>x@YkpBht z7*p|`r541?%Ab!l!?skTOX5|sE)o;|*JJN;lS=%jyPt;bTL3tCo08NuM1Kq5HW%V# zeL={+V#rT0)PTPh;=c@V^tGQyYn*1sh4_~$HTw~qtA2&&-Ox{)^$+=fE$B~o{F`~t zZLt1jpnuMTFA4b%1L-2KpEP?~u3yE(KU)FE`H3kl!uHnyKE*(By(aA6+i;BvZCCOL z?z_4J@aN5YmW2Q9h>uQyKd5=M_%IZocS-y`4V;TWe;{Q4eYh@$d1uMn*k6I$?AnFk z;7jlM+6@0@5d8E|d_pgl|2lX;*Or??@^^~<&jJox+e`@Q54|Yd%$rj{>}R`QLT#qb)K11}=|pKe~IJj2=tCoZfS4$}#)JZ@ygz zG?Z|~F7Fm94fEG!m!KV}EJ22|a&S!VR5hht8?l$>2Uxeo$KCwU?M(z50OveeWrBfVGDM8HGb@!OSdx3r^gZZap zzWaVK$FC1hlYWeS31a*B??dgGE%1rp177{+)s5FBnZyxr;Icm7 zi9Y5oL%^&)#7E{8MAPrv;JCCTSIetF?pOky2HY4&-X%l{IB;3sw{>nybn<2b??R1F zzXLXkzrFspWQK+>0WM!h`BeDDxhK-vfgG?T&+#3YCEss}={O+>%`d2wOjXKWkBA}P zqyKX(%*XqV6EO$M0#Xyzptowm_hDankHD`dYNal{89%L6gBw(9hF^lXW%>med(Q?v z`J=f?7_FK!obQ#TSiD6=8WaLXB~c~pr2DvIh%cO%Gkq~b)Xx`T-%2ICp;|F~9NLlL z%aHS)0)Oax-2PGCnTw64Y@t`(pOCjr_9KkdWN``+k-HfPR{k| z|B?;r#O|uOrrX0`LoL9hvd9pUzEp_LFH0&_zon|Bru%-%HPdllbuaIR*dq@rwf3*t zw_9o;=Vfev!aOh%`u^+9o1)RO%`5NkT(#q?N412Chk*-vDtsSr`EUFE*Lkz^3yZ{6 zbl&5aK%R$wWC!WTe%9ZA9iNVG|BQ%^X0js-fCKoQZ!2}lmpYdm#QdxZd}+QlVLLY1 zM&GD6-*NZl{a=r9{=o8=RB292kPDZ*<#9bh#?7#uprdQEmhL?q{~PzJUyOUgDLxD8 zr=#n-mJYdd$pL(4I0icEf984Euspy2@6t4+C6C*OMn*Hn&_DcNfOo569`evyZ1b>H zD|L4#rSAF)@A-KXd-(FM>#+fTH)8azVkZ05e%PP?@$5UI)Y8U|{izrG_wV$!06%V) zVt!y~w0&95KKOkp`h@Y{(q{PYotKVa9g&1M}`?6D0$Iy-R_)q@n z>hZ_;zjUBwqfXr<=Pk5u?|=W%i?5|{8_~SAC%`{tJn}yChgw$uA;6XMd6IJKpMC!I z=s!%;ytOaDAD>(l{um=H|53n|xiRy{!#%WTyN}f4|FKLHY5Xkk_&y8d8{D%$60aml z&md~lF91$g6-haOZI_C)jA6q!Lt15G>x`|8(SEZZ_9NyC)=rGMOOa1ZITarZr(S?d5m?qNrWlv6OR#qK3 z7`v^UKY(VDC+GLRoO;^rW=EsPWxT$OzJ(SYmpn;Wu{{esIZHv~jqBZUYEP)Y=(kcw zW5%VFXv*lcu_LS`mb2WGgLqiFl{o9t{dC`+di=K8(Kvfj#*T^Rq)58f{i)oOQwti5 zo2GQ1}|-tc>xQ*akG3o_Gs5-A1JkAiIMi( z?b)BVdUieuou7B|x|`>rIWP6IfI!~+yQ5({@q9x{G$S)L-8P%{=hL2?mq6o<=iTq} z`&B*T!|RU5`SZMt;q>*WoU9C_7cJ)%PtJRw`NXQNy1ysq32e^*3kcZrp`&3rm>=OY zb;%R(9;&nv*-XQJ!@R=wtIt96on@y^OZ4N=CHjCI%-;8_ACRw@!PW2_=ce$)5i!5<+#z435aJ4Y+e z5dl9vGbaE1TkCq}WGtkMiW={D0YCC%4CV^BfQ5Teo27`*B$pM-yLOM*3K3 zIO^A{Fg&cnymM7Cw)?R?gY8vp=-a<_VLzYf*^9AznY1;@?s21M@8LesRF{Cgm_HaA zZqM&2J~Ky8&en}W6fEalPtJLu$r5JR^|(XU38=pvHgAoi@yd%EjQxg&0P}1ak!67 zhygjsK}gtQIuh_}`OOQcyT=9`0i^Nk9(9e?#NiCns$jo{u#%C(09)50{}2Ek8r4)$K9< zW1L%wF=+V^?7ziE`5SGx6yweEG-vCtam4NBjsMFq{x9>!|DOJQ>Tn;M7z1`<{5Ldg zC+CTr3(}Lv=|&?zQM`NO|1ymK%TQ-Z&q;os=o>#D!7qk}^Y7Q3C$cA}r;N_ZNY5CL zPsk#baAA4gJYd=Tp!MbfJ#R7hDN{W5?PK%^*!7X4;daLJlqu1XBv0FeJZ|&R=fL&G z|DJcrdMn3a-}w0mTG8+Fe7Ms%ZJwFcn_A~VXJ1BmH zhUM@)10V9GC$EKo{k6~8v%Dc7!EF zZ_5$y#&65fiefB zXxNY6kbN|GWToX`B86FK2HS;vVf~1nxg6uQDQ7$=*Ex0k4L0?DTr5Aw(Xd@#ksK^m zkEI-iIoqi8-3Y+t9FGoF_1ZBxjD!$f9T$ zImmHVP7Y`;CWtP*TKjpTU)(&ge6piqyFMekvPUOp!F3WQ8N<&*lw@nWCV28L1ML+? z8s0ND)cbDl;eK)R#PaEm#>Iz8OVVJ%lKqG|g|)8$v{!rbX87@e2e%EKH(y#l&(UyR z`jPD8aV4Ai&-M6YO>y}xh70UjE7w6dF8amI7t3#SG%o&WJ@Mk$V*SB$SH)y;;r z#HikT{P{rtJ_Z!%cRxEC*YCt2Az(QNoSYRHvsbh<-0=Or&VIk^A2&~}XzFO#f1HQK zf5|yHS$I7K8ZCLmh%C;-E1G!njsh*#>rLr1*3ZNJu)du7r`e5hSvqm_qq{uOyn zUf+=cd2#dVid;t%UtUUTnlvcOgKw?8%RxKOlQ&o7(Q}n`+R4+~8{b!4>3GzMV_Dsj z>5R4)?P=v-3)-7K`S%9mc(8%^`C!Ejj;4;h?BtQD(UIfRQ!wzS>-iGJjFpGAtre>b zSEc%X5Rex)udcv2WN26(=kZagIis?o$O)J*nXD@9xx|yV9<+aU^7=LN^Y{StCS3yd zVf;5V0eP7d>&jbz9NZb_+<(IuiT*)rG)r&A9{Fe)a>UZ7MZj;g<9I6b?7a`VKjMV- z8x*iNZhl|!kfU+)kFgn}aIMXZBrFH>2iuNMfaV2H&J=(CF(7_^hmQ;m=f9Yjheo7k z=VXmf$;rryrsbq&;S(nmK002X^5nh@9_X{C^joPq)3MG61LEiTHyjPy$LE>JBk(l~ zIs;5gADN-$yz0rp_`l*mMhfm1`m2AQIUs(1$M|n(SPq}BBfec>>QCc&bHeE*aJIhZGf(GEW`iOA^3kUHrQQyKk6}u zTLrswFp$za73upX3vbi9EWHCVwt>eM(BgRc!&timXvLYJEd^aO)OSPO>9}VO`6qiT z?tO0nyLM`NvXgvi?L<#r30hP7UlE9#!KU8NYb(*phKB9r`6BGhPDvgs4a#!RURDmy z`B#oK+?49S!JjVqsgw~yDu4U!D3fgx)c^QFze5Qc}+U+ez!*=nwmX$owytUKV z^|~hqVL5!=MAn;Rm}NQRJvoKi zmqE3CKRJ1;Fqc@>(MVJ3to8wUar4wFjN^vJwbz6}(X_Fdc!he#$dUc}Qok-mT$G~C zO5x8^*ti^Xqf*GG`$JZpgl!zQYq6ovtxCXlF1A_NHaLC$UysPI#MAV%Rh{v_o8xv? ziXSg$#?6zfx;h%q&Vd0tNe*nWa(d#wjdJ*Nj>w_=9C@4y`N^9p474_jW!0P&-+<81q-<7^$%L zGk-pEX58^%Ri>lyXG4#)~!|Mlz3*f%|0>{JUA?Q6eb6|h!K6vdd%SB(XcFzaR0#EL8 zKM$Q5cU)S9an#Uwat79sgFa~GECkI;PtHRkhvJCGnUJ2oT}vIU^9TFYvrO}5`-(le zYe8G-$=xIE`oG96ceG9}>xl*+hkETm{T2De+FOnPw>!B*dj;ZmfPn0x{$s@nU zmsjh_yBoAPKR0FQg>m9H9v|P&4>}$!-^FhoO7`>pp8O|3`+||K)X=gx@mq&WD1M)H zJUFiSJbV&A2#}IBIg`=>%YDX^`!Zg`)pAnPM@Q58 z3{Gb`m_OL|d>=IbF;bK|I}*tAHZup>^CL&Ya(I1J%Nd(GDmeu?hvi`YVC7)_f7SP% zoSy#r>Y0NLBp~NoM-#Tk+>OO@Fn_Ree!|*vYr_rpOb*x+cmA>JkfY)F(C4u^kYU%w z4M!TMSMA5RJR0LO^6GNTCFuG*T{ABokNr~y^S9Zvy^wG0T@AUbaqe!)*$ch%>7V<= z{l5C@;~Wj!%j-3AA6@9eJjtVbZ>>C>^RLF(Y0BAmd+RljXC^>?JFf2LXjmSf7wUL1 z?HHBYEXcpByJAbhhH-B-#*#HNu)iGF3)bU%W>rS=Z?k86A>Z1IwS(1ZPS)A)d*`LF z*Gz;0_F`UPXgF^9JV4uecC#M25HBQdCZM^FMkYf^MKo0tzp zGqQ4wD3*hHiH)~Ef(B!_Dd(OYhy(k8O+XIT6AVoqIa%Y;Q^apOJUM>>&0|K2Qs?Fe z<;2ZPe|0o0N8$j>@VHKhZ+aOy4|;N*0?qTDoOOXX=o2>|JnLxO`cdC#=5Xns-nhT| zCEz0GnsV+F-ultcee8oZ0e`*jXgI&}c@XoDCa0uij8D(8H)3=9yyDq|@qhJ?MjHI~ zwRgP^^5W(LjQ@rvEDtwzrHskUz{iy|PtNCvht+7S)$rqL*t#0>S7UCl`e)?!rr0pP ztm%RcjkM-$jG>sHEdPVk>Hl)X_ImS>)dwM?q2oC0*r2`f^3och8yb!ip6_V?Qmo{p zN<6|I6ZdNpLF3IshNXJ*9r!g~URu+_(XbrO=UR^3RnPf?d}iff{9kjtXU~;^eBLKs zURs0k-_ZDRk9dsIYSkF9Bfn9*SUD%*|7o6_&B3@IEFeEF*PQBTSdQes?1?5@h#a)N zmD39}#yQ|Q?72Yxvk%$?12yij(nlfx}pnvu4Er0<3VUC9F z;PJd~;E7cB7h>1S84a2&BSop?69eP9eXu4V2Lq3xsdL|CR>tu0xPZ)eW}8JzuxGjF zd2%O$N3JJ#oOj>k&wcCzHvzd9IhtRT>u$@_awmIor-8?8Pwq8Ax$)*NYp!rK>{owY zF+K&e7O@X=3G3HF&@A=j)CTgreE=t5-+V_SahREcd-l_DU$l8ppYqgNtkbN8O=~De zyoO^W^EH@XtXYk13pSkhuK5t#Lr(s$b;RumS=P={$SHH&hqw0nK|SxmShLR2NSwk> zm>5k-PM0UASq|*6ayEme){`^Ti_?1EudrsDqY27MOUF$c%#7v0XI9RiKy!~LXNE5a zj+PQ=w?8`?*KTHoTNAJxw2PJV0B9aJ+>{!=*7uuRp(n2t-BbaPTlPU;9v%JLBF)~*jg`}0!< zx2%5;LzferCMgwSw-@(oJ31WZyElqB(IZTTkP=_A*YI6?GXD4Q_&)2MH`MdI{Mv4g z#>ok&&+SJ3vvPWa#x;*h*%Oe15nUHQ4%ebP7#fzdo9y8SMse@7{@@wggLGr%V9Z`C zu|ph3boSLdy>-^A1@t8gGx5>VL9h|axg}(%_4{{BgT5;Rz1(l zuSFvm8kU3i{^529Ek#lj%R%f}docd5jT$MiXTCR2J8Y-(L3=R%8yc4L4arF!i@B}b zb)LrShBP)>Ia5J{^L0~3)cEUc@t>Dpi)#UfhV#-FBqu8sQJkKV6`eE^m-@^LG1xBj zF)ME_Xspudaa%A^ZOHtX6@q| z`>IBkDuS0sMvlZZ&$Vys`uQ(8cq?^Q3uJ2pT{P67K;uVp#HVr;m1uJ zczijKJ7r26QLOM|s`gVf9pzi24C! zCkA{e#?CocBN3n++0{0W8;0#%$-k9cuj6+ek)Oe+{KNE!^XYTv%qs}v^#iWVMGPL~ zrmMV}kQ{4=8V}8!2!Yvz`n> zZx6sHY4};L>o+){P6)=&`QRgX8e4u5&+D?gHb~Z=0OCq*?-YDnX;wZ(khK@{jCB(n zHRJt^{v}m54ldUf>wqt%;Z5rJpgvQ;OYjU5?@6D$xccDLvU7v_U~aLFGmqv_uRhmn z_&VTAY52#mKJ&m!@C*{~NuR6H-z8^Kot86|V}}1req4tzTz4%~@ekw0>nF7uhw!B| z`km?&^bgke*9o3M;ywD-x>5>cH-TU|hoDbijfwn-{_a$^?Y97AD;zhb`^ofUe6QZv zL3nGhEj9d*w|qMQPg#WT&pd$bX>8fpsz_Y@ z47QsR_r1T!mHZ8;N3fSU5%Z6iAKucO2wzIVY&9ro$8+E#cm|30WXG#y#|uF_4zL|G zd?Q}#vExaQz3jNvYX{-If$bj}w_ZDTYdC%PN=m|?)!?8VAAyhH86@5}K>e3w$0zaZ zC?K4A?f4hSK6l*ewS(}!!S<=fHFjVe(|(HS`N8_88cyHtl9KRU*p7q9#e!#$cn>?) zCy*UK+iDkAS8?5uU8X%w;(cp#W7wcPU1o{q{ zl*a4S5Ig>?AEayP8)XLmuF4Ly+xlZ6NAL_1@5$biVDI{lwp!~!@po0I-L$<%|MhJ_ z*4dKjyo&x2wv+L?0{3{0YwWb`wgCBNFY?XpDl!0V_BC>O@dOoViaxj#<4jq)lkr;| znS8YV0?nQDmC|I88XENDaM&Su28s7LCs;q8{5Zl^vz`HQc^W($S29klCp^q4)@OQn zkc)ZnG@duaq(6}oD1^b306?I#$px2L?&mi#i^R%(5wO_?DR+#|c2@pwn% zG`AFcBls9!gX5l5>cAbEl<5vmD=dO9sTjW;d{e2t(Cw<(29M^;m`M`D(}vplt;|^! z&6`Enq-N?2*N>zlk`90R5A^P+2p*W0k8s^{dFvTWqbhQzp1acD2bP zz1U7Sy|s3%zYgR}EV+$?@cfqXXs(Gl1{Icrb_>sM8E-A{mU(#oJRm0RTdv`RFC}RT z?)I|%qEgrL{1$W6b>}F8+xhMKX9W(t^)CZHT;R`Ql$P_}^%xrso)N5(S=%_g7Ah>If z*9DID*nsl}oO1->9}67)YJ(n2n?9!!f^q%<=zupL@l&@X7}qn1?LCCA&R?N1>x|zq ziL*l*zW!~!$8XbD)G@(4@(tt)p2ebr2B`mu;$*k2X1PC2qqK%EtW86EV|^rC^?$;b(sZ{vEnvq6#J#~Y zNW71rKB=pl+@q4^Ag^6B8-pGFmw4HL;|tyw53tZ}eQtIfl0KU5fx%E!$DVHIBAFjbU#ZM}7QaZMevCRb! z@cAu@Z66s+Jvq%rh0Yf@V7%Dijk#7I#My=sh_ek?{FlOZ9+;k2H05fJ1RAgCgqvJ3 z9%;+^r$5Ms8!Bm6%!lZMo>Uva8wK2RHLh;|X!Npq)40OgF;2rbfUlHhe^otg9-zKW zg>=|hq*T!gMR1!3HeAYJ{=VvltI-}WtLB%5`uT>7poidTZ2y=1fCiPqdhUlG^6)KJ z)ZsiefqYP0sS&K+(qA@=7eag?=W^A-=`HgK%3oz@hxIS2=C_3Pngh{-XSvt|((6k5 z&*_D4+oIO$1^!g5Uhn~x4Q|b$`7&RxMH)`_Y^cR{zG}Wbtk+Gb7d(ya|B_y}*a{mr z+Feesu)nG_$p$z6HosG~5BA?xU@dr703A@gZX&sBY&FaM3GKuKS|Q|m^C1{#;}CO= z4P}-L^;jp^E9+@9@m(AN1@Or?>okDz@!dC=hpz^iDEIsgA5z)i<}}UU^v+v0+@s;- z@3NbLceNsTU>w?T7wQF1WBb1e-l`Y1JFRoT?wNC@=VLBt+x=-xvjKAuDJ?Dz=f_7O zNAL_1?eOI+zXV=_XOP@JH2!kCu|CuDTr=?Xmd7S3_4o}+J-rlLjZ$yCgYRLZ%^!Un$CHtd z@lETKFfKf@6WcB);nz5__Ubu?+92~p@Wv+@JGy*;F_%g)<|9x|t$$s$Tomqi-@zY( z$H?Y~+Gj7t{|~0dYaf%RT68@D(BeDvuWhd{K(^14fzBP%k$)C-=-^meeftd;$5^PM?Qis|`Q z8F*7EOVoS(w!F*BcV%64J?q&Gc#Txc=Rh%}KA}VF4 z>pgy3{^0xTJYCP@)(vRasjAh@VZBCzUhpgzdq8@Pw*Oc!@|PaA;4c|ZL*rH%#%L;K z=juIvTP+QZ4`t&4zeq)z4YN44h4njRR%yKWmT}5k zmuY>i`duJ@l}(2}Zaj1R8>0Ry@w6dT?+C!mR$OK-RnS%hrC-h zQ{(D5sC%Bwc<@Bol^$N4b>1?xITgZ}()uZt;^G!#7!`_-@^!!)q6qH#eTBd=-j%mN z{L~8EJ5MfK0lEsb;|Cho{x(pNq)FtrQd_0lhd!^as}Mh_SoN@%f;i75V2aQ~MUL8y zIB0C}EDJv1aeQ~~%%bSbIk{8ma%)lk92!3`L%_S+!^1iWpO*tMfcF^iWZd@o^$GKP3V45w!w-ly&%LeTq^FcN zTh-K{A72I^!81s_$GBJaYMg$3XmAIBGL*Bh2VkxijY({Ft=wdm6V4 zbW+;3QMkSy6Zk=0Tbv8NNBFpHPB;&K2G~IrIVPM78zL4@q4xh=Si>I_X9s5%=H=-? zrgbtNsdHtm40}S>dgtI-{L}G9^5LmjlBes8Gc+Iiepc?38TqsGdgab8%-3JEjEl$L zy^MNauOxk}YJ6br*0!p$n1#2!h}MVkpRV(6?4aw(FB|8>#P<}z1M}I9O&y-)LI;?~ zZ#)Wq+1T7xvtRbvki581`yM}8SDSNz{^t`PX-^sGe~ZqPthZ#A|@lzJvh zsZBWEgnqmU`DWAK0~-8lf2=>oXqKd}l%r2non3qt=-T3^V9)h@eDo^SBp6>4024gJ zg!h<-ZoGoxYm%+j{jOkcUM^49ke50v)Qi(k!LKJ$7aYGscSNSK@sr_aaw#!@t`*Tk#k%SUZv@&9m-z>&KPy{N8xr@ zjC#S-*z!y5P(kfbVyoHS0|i&l%`f7}JrX2K5y zJ1Cas3;bEcR}-GssErukH~w8k`pQ_KIpP=NOwUf_XLpj#b|13g4u7@CUBlcfeaf4#43$zSC?fLE&s9_;^bqF(R}6W&Kq|2E~z&^pG!xwFFe zy={C6xc_q0tZ%$=;yaB)_)?DfIdtFKMtqO7|L?G!tklo{F=*IBpP_W$+r}?!rEZ_X z%iMK@Bz@jK#*F_uuiTFQz42R1rsMvwU9Ph8tBrd#&c+W}2l~_QkIKzs%9AvF{8qg$ ze4gO}f1*1mgQv0N7y6gut{+v7fm@396H=gE@1uo&PN0>9V&ZG#evl!4O<_9pGaVea!k5ziI=U|`rso^wr|Mc-Kikm}dFp0G@Sy%3AWQHJ6W&AZa=Pwc4niqBzuF7^ zXUr)qGLr|u0{0&x_>3Lpm~)hOHq?aYj_D|X*A=+OYj_>JnAn4urb2wBbVyS@oW0|9 zEye$)E%3_=ir_(e2ZCPk3=`gyy~D`f!M2+Hz904$$U4!kR;kinvQnf`tsT8UevT#A zaYy~aw6}~?<%E}lZHUnG{1$k6S%lW*BEP#pMcO`y{ZbVr0ah?5Z`*ERJ$C7m!$;;M%t29aZTuXZFEunRp@?x+SJc~sKls}e{ z+$FZ!%C+l3Hh-)nBpZh}f{bf4Dbpc;2${omL^_)?C2#?K!z{?dBI4&*|tZxB4# zpSD7l;29>oC;e+k|82Hf>rpr}FAsr=g7t{F_j#9Z1lg^YOt(AkW5mM4oY_-xy&@+0 z;8~4B_)?A=q`C#p56UtAmkXXj;(bHZ(|rZy;qkxl>fFmT=8;{k@=m-d(ghpO0BAl( z#rhfTU5@hrQ|ja`)87g232YB(%wNR&8}J^h2QMaeV@^q>T;dIf$K|VJmk0mNU`6^? zAXj3(O7LL2y#;!~)7bJ$?e-3u1((T9J-6Hb!fOioD!OO?%fNllU{QPGyxKpnjETPJ zb5zQKFXg!V{5bnj*HZu7bTaT}tK(h|_s_3UFL;It?@8b9s2#tx)vU))MN?>{nO5F$ zUM%bT!FfXYry$#7$+Z6dd0$NHPnXZA5WbY--cu(9;%pQ2Fn9)u_YF|r6bv>Y;G`J+ zcw}Myy!)Hr0~=qsp0O#>P>Fxh56Gw}QcnP{1#lBIF7x;8SfJFEB}y%RSgCEBam^k1 z_F?3^D{=jFQ@pX?iTqWLOn;@erBV*Nq;yR5o*&qhs%t3@DsWi-ks^2?Za19)odwS@ z;XV1kH?G6Eu+{CkAB)&BS26w~n>XS5fGP3(wR0Zw-C}Gp73qX?vaeU*zJJ)x^Z8AB zErBXUA3GpWKh^WAlCv33>vZ3YP9EEOFot zHKgmNF@ayN>xui-?f97B!T7os^n$0c{oi==k*j9p&YrHHFNukqO`2vC`j?bW{b@ep zw;N(>(kHxd)nw(kS<5A@Y~ zkKf~uQH>)3{`Uhecos`}NyF!#KbwQq@_$NG&|06AhKLP%NXOL8V{PX7W%+T_G zNmI~zqLkyuhxz{l`~}Y-)yp3)A{@*AYfa&;QyzaY@%Q8MUGNt?#~()xQNN4g@;zIv z+X17jk+Zv*j?0tu-4Hh4z6P=nEm__8k+|JNco<_h$-JaaocVZP0`FtZvkqQN`r&>J zC%#gSzdY>EpTI}(3{qTw?t_tY^DtyPe^v;AHwGMEr4n)9HuBcNtK1Ebf@j*HqJ^%Y zagGYc#pCyc<~y5yMjsJ8jphHM9V(jH3VU5x_*pra`%$UD_$B4|L$rP#)BdUGt!sIn zI2ir$Mb+iU&^l#BC(sL?VZwXFLq!kL;{;pH@vx89&-4g$WY^L9I?Yp2g>VIlsUcR5(xNqJ6d~g4^@jii(SQ9;*fE8HZ8 z_59KJEw>2wGrRTOpzD~4^~+GO8SA)f|Httk;hm37#_f9jM|cykrE8vb{3rbnYY?ge zV{ApXhlh6K>!E$T{sG@nA$%!apHqvRpE1@_AwSm)0bYMa@W6Onfq6uQ;Aw36#k{&= z9{F*$t!903o-#`&IQ2bGRDpR|MWH3raf18fX`0v<)z-g+Hy>Mp#?|~a9?Z0PwW3VJ ziLaDXE~Wd}yu4bm5PSsBAn~61(HgP?69OqL=O?j)p9`V9$`6I`>a5l84gB< z`}Dk&@8{p$ROUB&HgD~y0NI}`S^f4B9>(a3TQzRI_7dKG*lw=}FQ)A^5-Wf&<j@NUw#pa;$gs6<-PY{-i* z)So|2skbkpT85uRdn^B+LXUj~WZ_}_AU)OznLqX<0}sOo;cp5Y`wH-gJQ#w<1pW!& z;DLv_H9h5n1U+x7c%L*<>dxya?lAs+8^xPBveQPSMpGt_nuvE;M4i6G=Uu$NAyd;d z=qB%-i-|vXi9Ez#1$4s0&_R8_!h0bokHVKrCIVhA-{mp%pc@Asn@1>B@-pCe%X``k z9CYlDec%CI@Gy0dKkAA9W~f&IJ`GO^@joQ=*jGRn9)1qc6CLs2Oge%W9!3trQP2FF z0}fppz8>ViIZ^1buYe3(MhMb_j`_FNGVoAr5RQ80-$~oh=!OvgqlF%Ol7)v+gY+E) zj{Rov!b6@xIQX*s&VYjl9-a)sQP1*E2OPRK9u$(_Md-2L3>kP>F-YG{;Mi{lug33& z;NZ*hhXM{BcnB{@-&^Dl1{}IJxiKWaKl&lY!_AY>P$*4)l=s}kbo^}w-Az{jei4IJ z;!(l=ycu%YzG1+DE{Ugy_@kcn8w)sWz{7As{YD7=rGP_LVr7Vaw8ZbrX#cMOzdsni zo5A-y%q=RLBfjqg`~|w&>GA>fE0&)F{?HWIP(JFJ z{(8V6tLd~5eSy$pzZtUd5K)l6NZ{CS1}{9E6NJwfIQE;tqgj^_9CBH|1%QJG9v%tO zqn`D<1#sBXY;H(D)HD4uz##(kKZok(7&&0zM8KL$o75><7 zhAw!xBFKNOz_H&9UU24;uvG(2Moo3OIP+ru-lr^{oGcfWy`nzYFPq zyU^bSIAq{vdrj|+C!1yL-V9uRujD7u%#7@`N%F4mXy_Blipr6g^k3pz!&Us#;uh73 z4lMjWk&pf6t&snDNdE@~{s`dUg`3fX_Mx8bdl7K>qUE_E`*sRF_M0ICH+Ki=pB6aw zo52e=T?gUM3LN{*;DMW;gK)@Y|Gy15c;F`FApB*~?>)d_ORHl;`n|66OJyeDBLMFm z$}ix{@%0Jdh{aX~q4)w{mLCHgI^t&IpnTM`fA#?mU0dA~k`KO2zYB24!p*@!deoEr z%0_@g*Vea%&|eAPBN zXg}qBrau}yAOklM2I-*}j}PC29z5FK5gZ>XLC5-c0vxuseIlfP2jP!B>4Ka4g8V_p z@;d_#UbrbQ2uD5h?*ce)0f(%k&kNC?F7((}LKbem z3exu$cz?jb3pW)7;iyk925hHNvtCr{n6u3HY087;E;uzUV`+fXZo>#Lsq+9A^Oomp945#;ii-zJ?J<-<^$dc@OMJ-ktzHq z0S;Z-?F;b-Uz#6R-i7vmS*iA|g8jb|bgcgj;6PX0G!m45k?=199J;i>GsOQ=-G8d+|Ie=4T}ehJPMfJdc6?+_ev)7xvj4Lk4v&Q3@GG}ZG2rk;hmS(`fsXyZ0PxX(9|-v$_00bkz@Y;!`UmBs zp6KuF0ytzH`=<~+ym9JU-AZr@U&$G#G>a8W!+zgFNCfP)t< z4hP|7N-e;7T_yHZ=;AT$kHn;ZfR5l>S^@{W>cF=Of9xxv11|Ok`PT>>`%3US?!6HF zHi2Vb2_Coz7^H{Y?4SDp2M=5n3&QUb|2zvgeA%%u)PA7j`2Ps-Ou(0f;{QS6k9{R{ zz(uYg|3?IleIxpC2hP!wmJzhsVi%lmC3dJ-0%<=jo@L=1Ce+}vTskFxd!0!V5 z)lhrDhVup~RrLh;+y?lr;QC4BH-KX~yy_Y3J1bn74~=&i2hWo={__q4j*CKMoQ-Gb zMdRg`29Vzz@NOY`=*9Yjwi33U^nOTx)Kffd0oO{{deWYt{=}E)x10btWOWIxR}dY^ zulfhz(6!6mLHX29#J}oMz@bZ*XF~kZ54k;00vv6R#p-~4TiQy0#h&^!EoS@n(>#d# z^C{2~yz0P@llf9#lW#VL=4>;qr6ZzSOG#VKzE<73Nkk&pcr=!(VCpnaet{kE?J z9K5i&7=)iE{IMrpQg?*-r)&7Fuwe^$jeIExCtuO}V^to;oyU~QKHK%5jBlh3Ct#1L zxU~|s41NBFgO=*l zcT~%yBM)-5+ESw;Szm$AMr;eQz8FCw&AH$YG59KS&ENmL|E8G_U;eM5UA`PrwS%4? zpt5BvHu3L~GOV9g4o#hua}GURc~-A^g>!q&oHHeNCfx*)H#fIepMhue?ln7qPGR4? zsfE2R%b(qA`s{hVM)#aQcwls3|DFX?dg2nuy!kz;meG3V&79UNfA*A_^QPwYnl~HI z?oT~qM%;CA^dou??UoJLNPpSOxd^1N!$o31y~{dW7K_5n8XFBQgj*Sysh++T5nYTc0a*lnJBbI-H| z=-$;ln9o}(VYF(l>Eb;H7t^>bPmY=H-zs6J?LP>$sd>{(|6w@U^O>gQ|DUJ?e4$lfXLH76Rge28W)9od? z!YMQI&>;jRAn%Yzw_lyuJ>ZWi1$bty$PoH;j!LPmO7&Z6^_+6e^sCWfoq5W{bro{O zt$zXjL~MxpI&ob#F{5izVnN^_o6qikCkI zoY5C)$7B)Lu7^7xIdZsXVl)}odypO?k(T-p*#aNJqF`?w9hIWLCc-ZkkLE;Fi)dOu zWGhS48ZV_!8J(7%>Z+PXGjp;=q>UU&_|4p(XhbDN9rLF47=TvX!=kuXV`~1iY0;uc zq`9u4_g>K77W(hiQ)cAOrT?v>lQ?NQvCX5=;n^cF$<67Do8ltPqS29a^YW+)|McB* zqv)_{G@71QB=xPMqy@f+o0^r4mzhVSP5Sok)2C10vEXJ@C8Cy56d2BA!hTA@%z1^h zv9Q^Bc~hh5dnveFMT95yFEWa~?(=_Pxs#X4S}}A-t^xfaCi9)@k5} z@u5!#!K3q-z1_N9H79>+=hM35NiAKN9`m1oee;#N_A=;=@vzU`GG8J&u!r!r-h}P< zntl$RFKf3GV^nLJxsX1P^8ww5kw3F2e|A(q_c&)-=WhILa%cT;GCd~-yghNASB{Ac zT(3WWKjvC(a6b1Vt^+o8^M2N0xl&n}?`%bGyX64jC%SQz;i~|D7`nX*_+h}$6u%n& z>y(<%2=F(RTG#;ai`=-w^cZ8goiImP*ckBZL-d=az3%`V`KZwbH!o)TDv2Ak!NQh+ zKOk{p@+b-EEsc{x`av$~S2Y=M*wXmakbaM9{i>1yhb@gqh4?=KIG)#O58qyk z>luyT2=RXg@R3S=I0f(>n09|2^8a&yX97MQaNN(3*f3-t&KD&>#0awrmyB?`yPQ z66`wz^hW{SEd>7#^xFaN3wq?BR=Y#;_v-eqItKRNjq3<4L;CO6{i`YhcnRR%bzasV zaWw(YXth5F{67YKUatTvXJ{IX56T!1@lYQvErZO z0f#S-N)P&{3iVlULBC7jf9QMEj9`3KfiL=3q}@l54_S7ckoc*BUs!%0z@cmVs*wEd z;=i7d4_~)`Fl0aKv#)~u*^oa3aPPdA?E_!e^9ER81QR@@ke<9?GtJDF6bf4j%!AKD%$r1z`uZf4*@fWM(s&r^f_kNOq*U!?sBfWHIy&`|rF5BM#Bp9J{(fKLv_e--RT|BJLg z1@I36pBIupS^P5#`oovKXNCN8vE;{m(BBXEEg}1J0UrVW+u$FJ>vp_0_U9=zu?q0* zXumap|084{`0~2NHJG!fV;pW2;t#!$pCj!)g?`Z0j?;$!m8^fH-SdFM);`|48OO&w zr6w%{4Evj5f8SXl|3NOn-+}&B;MI3h2>yG~??%93OW*R4eyAURGwgd3_P+@D^Fexr zLiTOn3wp@vzrfKGjCF*3Q>sc-;#7McVa}86=^w9W5-!pCrK(gVejhk*ap!)7XU{)g z!THC-(B&R%6*&KhgwCrszR`F^({>;4Ut6`K`~xka>LK9%Ngwlhb=j|V{!w?`!dP6C zKP!*VCwFVP=9ljiOn}}!pcBSRwgdX{;K&im zyN=87e*oS^!_VuEz4_j6OzTGwT35ca1LQYATef!V$^;`GeyMsxMNSHw7kekEK%_X? zR)ZL+1W&BrR8ic17$;6P3|=~|?~BzP3#)cK0NGTwUjp23^f9k*ZT;=ygH(mdRNNWG0~iqF(hXJ@+bUu))u@w;%BAqNyT<1w(GIYaq@nvBet`vXXm-l z75Uth2G2-;BRk{y$+r`_6YZ^Ou#2CgExOjcVu9rh_vDNPF4hT6X=(1C(d&VhFwk!2 zI~s1cAGqD3sp<6n%Mr|q8b0{%npH?-P+Bqb}FJUrWo)AC9^d7GRpI_hw)@4x;Q z2t6B6hNN+L+csTI<3=sc;Z50gS47A8;i6fl?nq3_+J^ZD6?#@#O2ekAK?8n{FG<&L zyHi69uKsr;W-P8qKbl1^4xn1P-m&d5rK+j_+=l-#k+&27p&!*rX^2b2L3xj8$hMsZ zh4%Hxo0ZSe%<^6Y-@CB=#gq3>k%u}d4Nnfs!<>Q2HsHDvN8bLTSV9@=zzGVMf@Wdo*Mla9xQbkNkN}Uas+H zbtCX58^3Y#su9O*AL^tuyf|cEbps6{TvzImceV3p^-17OHXee!1=z>1Auj2EHI2QH zx%hi*pD1-O58Kr^ZyJov3HjBIjzBi$wCXO9TcdRl<_%|t?d_}~gzHK@_Fm)J3&qLD zWSf=OSIeV1DGjd+%R56us)6fD9C`cDp817S>FrMxuhr*ZgO97bdGdydJk&{PxI`re z`bYIp4XGYxP-q`V9^TK8H@|3ZZnTejMUt02eQWihVuLiKgul2)Hke6F>#2co8``BOn5@73x zts18q_);2`%6k~tPUudB1XRDlhXi+ic!=w`y{aDt&SKT*p|G8ZrE0;`*z!wu-bZ%c zZL3+&L&nNFcABtoae;hW?X3lP?LC*6T05Z|m1^KiY4jAm7bYfo^A%mo?fo_IY81hP z?e#3`1U2I;5N=`8f!S&SJM*k zH&jBtY7n#!h zmu-&jw>q+Zgzu=3Y$*xbd_ND-wd`lKJKBZd&d<3T&d;-B45-Le39p6nR?SGz37!$c z`$nk0i2OX-R-pkEKb(L~M5#l%z1xnP%R*=fHYj@l6~ zfJZ(bC-jup4@IZV63hVJ1;ESl@b*P#<<8e16$#))fj7~^J8VBAZ1YczjFY6Nl!W)y zLKoMFRVw7qzg`A@LlnWCzhyk-xW;&iexTvc;{3CTKL4zN4K;$Nxydi)4>f-ve_v&* zbzDn+jm||rYL(gm@2wE;!si;*+Bpm4*IRP<*B#SQ0PjZNUZdf4@M6-BVFQ&K@Ribd zmb{mk#?6|ox|Z$5m{WuM_5ly%tD4mg&oJRV*-I}ds|k(&`#B%OTFPH7V6RC{4U(DLIqyr8Is_b$9J9<0<#Ezk?s1UjW>-`%{{V z{qO?di&f*@;e2*4>IKgT;XV1`G4jLxwp#Zyowvymc)xP0eM)|N-&XUw(Ox?aa10UffA-&NVE2h-SHJ%V4|9x~ z4>fMR{)11bVB9dJNtznt{0EzWBk!L9#l+iE!Zht{fUUJ|&UPcS|kJdG{C z4N;E=T~)2CwfVb1&j^m}Ix3Iz3FQ=QGzB18HlBV0xy(t3OC38+KO?+m*dX)2;2=sB6rMY8CT>=|Mm|*Fucy|I75XW9Z+>g%U_F(tGkj<1F>#nTg@*7W3vk~( zeQTp5Hry|B^rzUK!L|+CDy635{CoOxeD7-+wmS{SUvF>QS9GpD*YZxfShcY05Vcpr z3-C+rC<{;gmul76tou@Zt&EL?>q@da#1Xgq;VCmrCH14)-(!0V+jKA9Zoq%&M0HXU z_jv2fEblrEsRgbpan@muxIvrcEd}2>*yefiD6fz_)JaJ^tRi;Zhvk)MNUiiW{V$%p z*@g$lYwaJwmu$RQxA(zkRb(i}*&lKGIP7FR6N6#D`>pug@eZZVeGv7?3)J0voP%p+ z-{5-|7h87!=L6f`kj3^wCn-ry!uCQZDz(6MC7!)gEtviL0BFd^Ri1w_zOp>jNl9uQ zmM6o0t&GJQFP^+Y!$bS`Iq)VQ|JjrGsK`T|l%!)xUQF`E3mQ)2&5j$9H@{U$C(!u- zz3(syuu<6lt|@DQ>k8!qqSA%q`2cQMo;{ss%xpi!GWp;MPoH=2pW+L3Qj)rQ>)&jItb4)CY`L$ORgc*&FZHU5)4)JaLgqmB&%`R*ePsRi!#Qw$pC zyZ^X+mp^;joO<%z7eX)R@`gk0&wjpRdYA8F;(wv3ecw>2qjGXpmt)JKV#p>wG)jqB#-K(B-PUTc1-(e`$?jYmzVEWEnJ+EKAkir z;kxoW0H`f>k?~f>FN&L_n>+A$t1~{w5$Q(0!Tid~M_jOesFRZPxUb(pUF)~wzezuD zUbejtcnY3j;`XFxfBRR@Tk$=GyrQCODRcya??WeOb#=g4{f29V?Wb85Nng|Vg1z^a zZXX5_f@iUm->>Aor9bhy$F*~2=Q;b+h5uQWvc;P!Daaq={&vB$Sb^&gmOn18%*>xY zqsZ~UK=_Zelr8p#`A-6W!LwKj&DS7V{tEy76z2NF*v3Bwe|wfWqF1IgvGbzsmm$s& zo3>1L_xV-54Y@P%9tPyrx9-3^r3MH=Vu9e-n2cRsUzJWL*_Zjsma6B@K%_t z9J+;p@M99s1>iXi8%=&tB7NL_k1P-MbRUchkRjmyaxX_~?6i*anbw~o+olWIns1NN6_S=)ij z{S5gA{4U5yPjz;$1fNA3kA54*-2Iwt_ZoT56nlCm6&G6?6Q0#$gTS$;XHju+A_&L4 zmY-9_emi(z(LM;T6*$^*J9uERTf=?7Y$J&(()|+am#oy(^qgo$`q;?~^7J7-TX6s7 zBn@cvt@tG-?Syz?eX!pSov=6^)aP!2W4|4|u=pE#jsXQlLCk9;DoRj-;2K7R8DS;K zkX&^ga}H}xYg!dy7}AjAkOwe_RabXy*Mz#R2_?DA|9y4pgxftm`rgyO;P&k~^;Mm! zI(5RmeFNs<66C}4*guJ}gD0oxiI4YcJCF+Nq=*MvPJalF8-&OtCKMz}Q5+6Vuyq9L_8+#rv768JYvFwbk^kS z-$VJ>GbUO5=9WJV^D}j2@lo&D3&7jY+Fy5~sh!Y|a6T# z(lx{+U}fCibVkQ>yB3_@wO^Nx{kmGeeLPQwo}+9TKkFSHUH|(IcpY(HKE`$Kd(Xym z#wwHZ-X!P~KK7HKYuAk#{O*>&vB>IZSSN#zynF`ZxTA)bW%zrJ=IDEAjZ=J%^2#i}e+zj|c7SZ}I%xt-D?y7VEpj z@+SdXmB#ssFI1nstgdg@9$h>4!wr-~dA+cI5<8O89+NZj27QIs(NB658)DL=G`pWp zy4(s+x>x=9&eeN%e|N!~ukRY@8Hw#KE7RgOcU@hW-zQzE`W{q2zI1Up1DEV|Dd-&3 z20w0t&WYF{L)!Cp)0F#oo}Y9ROMn435ucvDd-d+uyI1$l_PSnTyuGk<()BE{wAcL^ z@qy3c5BG@G-qX~dw4Fz35BVhe-0}|@e8v@a3m>s*d868$w(=}z{L45tpsn_C>lg6( ze2J&uK{@9AC$V;$b`QXHjQNwPQ%LN`xvtH&-(lbJ|9Blr$8RL^T8Y7 z*EOjJqvt~ie;WA6sSnWqV?Lh`A^ch3!?q9JOY(2np!~VuBL*LQkmSof7_=YvQMSDf zJW^D@T!&BoQp=Lhh9~2@07AP+)Emw*iPI1Ac!s|HI`%7&MGEnV&m`!W z#GC?6+HTAXdG@<}w$yQ^hI-JvUPjjK+Y7pP>)B7lMgM<;{uj_MUT7Hf*FHw)KmH!O zwf&LD@%55m$9}wk{B|<-JQ4JF>5iUe?SEmHNdF$d?iK03Smz6?zx~nG>2D!2sroCS zkGO5n-}#K7A0wEH&kOqjdvK)xmZbg;)#=Cao|EzVJM}or+rNLL|1e-XMEc!xs@48u ztJ9BwIT^3NPgjgq8lS@>{l@`&N~Hheg#GsYo|KF7=!KJljMv|zSFj)J2p^x$z(&W| zJJ(Ca?}rheEcrM z-);;BU}yK-OKpE?Al4VR&*&f{`ZxD2KzjA<{(BrZm*5_Nme>%lrdVq=9e@q?G#z71 z7s+s1@!OHaZ$#wZ&9L=}P~Xladi+lRa6e9mqb)Dt-yK25=11Rx?tS}r?*b_On}@L} zJs$TSB9HhC`LnPN;xW(kX4rm*`;pKSM(t@#;hCXc_pR9k$ewRfUGB#FoHpTJ$RlvS zZw2Jh#?w#5Tn)YbZ$(o28|nWnLq9uMrvBMMCg?x6-$3H1e`chA5&qVBoVC=+&(N>8OeO5E2r^zj zSBOqO=2ah`wZOhi&6etn&(O~RftAqzVvrI2ThRUk`gG^9irBv{(vP*%3x9XoVgFP; zp3wf&zC8kzsh=@W8E=2rfn70_S^aNC`ab~n(@6ivnf4<xNkWK|vQ3;$z*hz$;$GGieL_hntE&xP-H2+S=YA8VG$n1>zJZ=9(=d)%LlwSbfH`jHn{j@bA-73s&CVKU}v zNA=InYM0adoUQHRzYWB9USkmhVc4d!`~a7cG&-Imi{BN^#3i$ zc>QRX-qc@${`VJb$ki!j=(D$C!}@44eB!e1g?0FjyrT_M>S2!@`87%W!Oc+)oO1*|^}5huymO=-RV)7ffqHVqdfln1aRs6b__HyUx?Nc>AiGXZoO%Tl+Yi zsHJFNEyFh(wG8_(Fu1yWUi1y?E6%Nq+m!RM4ZwDZvkIpf_tL!JdHT;=w{AV6&B2)E zd-iLSfAY!s_y#qsQ}pf_(bh>O^77)KGt?i}kDS+~{>y;76x$f51@(9RnfjsTXX_sw zbcXuvLRZ>lgw}s0a1*dy7u7%dXX=NVpRKV@8GvYty^C>rDyEm%; zG0odt@yB}WXY0Qw=nVdc^)JVAssAzH9>VraR6nkd=3nwVM0M>CH9uSbQ$c5_Kdg^A zpGf_sz)iw7E2{sypQ#^eezyJ@L1&1+J%J|iFVp%ths_Ih^V@FwMDgEPP5x623=q9> z{-;&va;xu9j1fwy|Cm~G-Ie(K3hG>f_lP4$OAi-BY74GnaMuS?ZpsELIR)52`{FC5 zEJ^*_ipLfVDICudUS~B0$Kq3W#vW^os-8M}L(9J<97E*ol4;LB ztmG761BpFe%G)z+rDu3Tz;;N2MZrE{*KL{8@?^mF^eWgFeIhSf-RW zFt+C`wFf<=Y&gCzH+h$2+H;(hECn`@*puvE%%3r~gGqeON4<;@7D`nm*gpsTXea(% ziUm>Web~yey%DtjR^NPn_SSg72Bo}VasFPd@fc*xvI)lE+4Fg0Gvjfdl`I7|ki-L0 zmVAG*xBc0Cxf8y!jo>@uQM3`~l;6TO$C#lFup#~hH=ul+(~^5X>{w%l_ryI3m*G)c zIPM#YQSA?ZfGl(m-<(^{aajLp=KzoT|2K5#PsX&p1-@MPzmbme^IB~W*sYW&&#_4B zc!D0t{FUp&pT;$?OUzCiGsd^l+pT1&@2&is?U8YPILDJgdY=);x5u!ZkL||Dp1-I) zC{x<$YmO)J`1Oe8lmZ(lzK#|8I9_Zc`f!Vf%POZ2M!*lo-4b)%T^=hccyFJ)`T~Wxo8( za!P>>R84(9BHu0Btk7Db*TF|9IVn?;ku9U@6hh{%gyqBM`2)j!dDSTr-uz*Qo%x zJf7Oj*2^-bS~p3(xISrDJVp|~kxbpqu^=b&dx2a2*z*PshjRf_F+P(VZuB7B#K6DP zcAVnci$uM$Z*F#b!yF~ocXJ&wmFWn&h3m8fVB06cm-{M(k22DO7wNv(G-{;x)eFCa zEf@K|(6N{B6W8}Yi1}kGaGSBtJ9dP{%O`C5a61+N4_@vIs z$k@UWa$QSW{lk1inhK0kqf<<~;9qZB%6y%Q-_eV|HRLDko7xdP#W^LihkaAegnd&x z`(m+U`-sbi3>zb5Ro^t|D(`n^91#SuAMG>sci7JGV!nNJ8JooQ1h%WiTKxsC8ACfF zwj}CRYE*1G1V0gDlC%R>KWIzxlYXKNrz*~(^^<;HNX`GL3f{ifgYoR`W6M1Z?S*mSKWB98(XM#RV7|%+ zw}K45?1;ha=P?OxQ|tB<e%})rv3%xinD0_OriW~w)>O5SnS+BZp5JC%cKm? z6_Do&-SWCka2KDc4?^r&FBaNe1-*Z;iA228?kAc3f`9s(x!C_YaQ7PWllEgBI#qE_ ziR^2kd>!qd=ZnSuEk%QeqNA}*t2XX_W9nM`%R8u}^}ybT<^7ljam)jbvfI;+%TtM4 zfo-8h)`(jR+_GPQdl9%*IdEyscf^Fm`jr|liTnMJwv_QJ+XuKQhWupw{(*AEIVG}Z z{Jv%UKJdj7zpX{=5Yi>8Ha4;G3%9)=dJ;nD=>~V}; z)&%h@Lq}7x@ss&Mt%=sN%HD6;9fW6C*#sO-~{YG7?@wT7W8Y`b*qEY-ihwYQ$X#T>oEy z!`xl=`y9Bm#!ptCz@dJnCO1XvO`gjkF@ELW19zGs-_K`d_b7j~-Q(P<uBp#mQ;p3}M%UR7C!N(W%mR;k;bH|ss8?aqvu{q;Q z+^yKI{ROzYfV(LNF0Jvs-}1|#SE=a{rc21rGFy7{YUrAYI3HoiPv+;7;3>{2k$oP@ zc_DDw)4o{Zx1~q}$b8!s>s?N#%*&fs`?x&}v1pBvGakg1V*9JDA`6#RKVdtm40xrc z6ZLtF9G@J~Pg2?ahWwC63!vv4%ahN#`a4}(^1=L?=G<)?^=Etuy(w`%frTvEPxxq z57b{4=18;C6YlpL_2VOJ=d_l8Yj11E zS^2ns>v+9B&hZf+%FpIlj=FZOpCOO2g70aa23g+ov|I0tIBwSa$FQGt82EU28*B6J zv|ahw^ByxC#9ID4);=z;c>fvqWzd0xMB!5h$?2H}8w7`a8Fb+wD^bt1y2{6%x^Qrk z1CL{iHpF&t?zxL$0JYWP4zPE*>l-_$^fb(cr@dET)tC(SB!M zXi~dlyyrWMcfTyz&WtbLd-2l{_=lX>{hDMu^S$TN4sF3lo9+HUvK{yyUU@%yd*ne0 z_+@7Ignf{^4*g&n))!A=E^d5jxKEP#J`HlB|1^AuEsgKY&=0>ve;4p!OXKG=^dGPO zp8-B%g~f2vf7C1Q1HJ(D9}E6}lX*4`^@@FCArD{nXqaIi>J|HjfDd2xI6A{V)GPK4 z1s}fb(KExo9%^4d@Zk#>7psZ*4pjZvPlGL3 zv?cY!Z^_@`;6oP{FG>Ez8lTbNBbH4L%7_pAzV0H_-vsRoY?EU$`0!ieGZFHLWs`F= z;)8l6K39Q{ST-4z5g*hm_LYDSA29hR?Hg7v`|$pN4s>_kVP(x*z)|fcZ1PIP^GQ-}n6VJIa5U zT9jbjNW2}dDvkFveW?7$EpPS%*w;rsMel=_7(E5tQrypmagzLpqWj&1|E!gtgn2RM zvy}XproCWNPh{TD#-mG~!~^lYG_xwKFSB1m%rNFmn~ix8yu|%!_pe*D`#%r5c2>uy zOJ|pW37Xvo*p>FXyg&8c-}e4A{6=3iXdF)c6b&9ZR_?BY{R44c=;GZAI`{5*cK06L zd!3GVj}GY3y;CYxr{L_4eLD8+y9<7388l)zUfaB@-e%gjcmF<}yYlU(iMI+jlRpb^ z4N}McJ^B@N>)fkf4|ydkUJJ@sj-K1SZ+AQ_BXuNRUfTJ*(+70Nn@af(RMo2NopC+r z@$FB*t3#t#lfuquJuvM(8)wE(?^C5ASv51Vyv|}8w^AGDX&>YJm-f4S_SXAVzlqB5 zHBsN^K}Oae+X}k%KD(=(x2XHmNI&#U!~E;%VeLOW5B^i@!;gf`q=fz-f{fFzw`o}W zzl-$$g!^B1vO47R%}D4*2zdq?^|$-{?;V%`(5N;KiF`7s5NiD%=SONJ-KoJn0{W6asG83sQ$72&yDn7 zjK439^k0~v-(C)$)L$56T>ErJ2ZX7%{V$31W6qpj9O-w*87{x<T=O{D+zjP|#e<0tiB9b`m5#;2|WJNKX#iT@uX{Wk!6d(gjA?Tr3$yxb<0 z)PHM`ar%38>MmI-`jKC5e4Ty|un$H0PfhCA+tiZ!F^@YLr(e5=wg28oKj!}Fe~t9V z@1Mf>r?+7x_2YB^WKw6{jCin}ek|zLt9P$X%pkF!eWKLroc;o^(JQ`949rYEzcA*^xG>WHL^6N9Tx>tq4^GDBmrrx)e=`O~`Z0IU7#8&JGC0bw zT>azHAS3#>`!HJlLn8f{yJw7x^j~eHH`=_(5r3>7oQ%bFbIU=ie{`fDbN7sEBmMV9 z`HTMN1mp2z2G$QwM(uagwbg%Bq#twlj5{O!rAhmpU_w9g+sTOjtumd;f$8czh3QR`}%n}&L6BFoQ%fD9d23uPeuAM zch8s^>AxcxA19cI&-5T8`nUPREvtWOq#twljF%$)?m2&4Z=iksaawFY)(=ib?RSSm zvfjT5{?-2zHq=vpA+`Y<)M>R&QZ4e$Mbj{N4^S8b5b9 zX5;r}r2n75eiG?_HQ7I$U_$>#K}Pg%^@mGVe>&2Sn9cY$(!Vj8U;a2NZlAA%jOurX zD^~x%BmF-D``@6yzFhU<=6$Z?^*ATizdguk`@7Q-R{xfue`cOBGk1yf_esXz3C8i6 ziFwV*X#CwYFZyTJiu5-GwrQk)XfpmzFrj~sAfx)-G;j4ciuCUV?EaDdlB9kon9#pp zkkRdk1tw(P@`hxvy=FyRU%>Of^`5*V8)T1{dm*YLTGdl+vwZEWC|FgSw zp&a#}7U@3|e-ENYOAQ7^_UBlC&&2%iWSo9H#L((5!Fp*u^7koY=5uaKW5awp6Ky`9 za|On%ncrYL4O5p*@1}*(`mgF3 z&q(NhEXX+hus`U3IMV+NuvnKn+Vz20|FNz(aXdeBQjl@&i}=ff!6g3AM*61#JIAXr zyM7qQ2OU`{VSjm$ar$9@&_5&6kNJD%YfejaUo6)LRmXcqLjSTL6UC>;SpyLN67XRE zOtismm^Wu(ZkWlO{TLgLV}5Ir_$`k7`y1;0N2s--yI)%T3j=4uzxRTS^RJIKkm!d$ zzJLD<*v}*Vv!nLkVb2AVo6!GRkn#GpfkZ!I<@Nsu*dHSO+Y3JQt!;T~UKoa{gt3i+v{TQeEbm?KA4+lS(TVDSj_#1P% zqeew>Kk;Eow8N|xLB{DH&?nO0G}4bbe-`F)M~&QdB$7uyOiBF*1sSKmf3HYC)*aq{ z#xdq$8E{Xar~SNrS*jw8=tJb&go z+;f3?zD?s<>7N?&-f&|+df%9j5!+8OHhu&(=v^J>+@$&Wj5i*FeqsABN@9mP;Ir8F~AY-rRM?HP;RETrC>QlBf zKdy@ExfwEdxmw`QHTpbhspojlNaP3R5GP~%506y}`XX&?e%~7DzYo|)y&BW_pHct$ z3BN1q5RlOSaFB`gcOB^TKM?7E64>X1{yiFG=+_KS=zliIMEZMma{8Z+^p^rVE7E^* zhJI~|gnrE9PA1adAKk{rr!3MxFX-mCJ&H5*YZD+p^4N1_oL_2XW>wg|`<(S6u5V|% zZ7uLz^b8Ol^Ok8p~&4eNJ*%``5J{qiIObdk^<7&f#^Cv;K~2 zVjE+>Dyk1-0qtX%QWM-~n5^#|%b5jipla&dGQ42I(87^}3!$I&ZN&B>w%4QjzQErV zwoG+3xiq@ZQ2fIf3_9D{L8Hq$ZC? z?~9lEHd)3jU;|ZC-}d1J!wW|Wg#MM+f?oRgpHN@<&RQSJl$vbFXuoo8C}IOu6HnAP z+TP|a@szfsk3U8AHPQM|rqp!j%=#KxPC2lFs;_V2#EAlt_Cwpz$MSkneVoTDY?bWIiFMxY@llD%gFn3OiJZgkCbD~tEW`ASd>>I=}#^qPwKJRhZt`D{SU%&bIo(KK(H!S6v)sFg|#J9h#tsK}u z)%1g{$$nRk{w^_wU%tLewLX+7HTzxE@1(xLmQxOFpla&N?03b`%@|)8)rYu=e<)LG zHZ1A~Qs3p4Qx0sPYT7fi-(3sc^bzgo{X-i`eJE3E_H1T-*H}(@qCKmxFYb5ccSARQ zL_YZX{;c((%+>cwW_@>ReZU5)CZ6aYV{~AVag2R~J|ahbeNSqAC{t>-J+ps2W;x}+ z2Fg(%ZmlIo>SN!aj}Juk&DZ)+rqo>Kp-RlBb?kgvJ_VThCWUtkrH&ouVA$_uQoA%| za!>VzGC%w@YP1g5sJ9;Olfq4Uytx1GhiX6K~8A3~4f6k~Uo2g|>pzaPOk zOLko}cub*n7xh+u|8qIlGEp05*~jzo^q07=uzlk6YelZUwEFSAEg)VAKNr`Q`(C)# zpWVQg^1dQc*-+DBy2(%6_fo#Y;wC<7G5!03q7Tz>YF-p-;2F_ zl)0`lP8$pUx#2zp zu^)0$|9Q|4TX(-Qqkfe0K8M+b*kDWJ=Q8xeE|DJrKI+n641I^5rOpGi$G!2K@|X6X7q{K@#v`Mp)}!Dsl6{yPWrI;P%ae4nZHk0BFpICA5P zr%NZFXz_Cp=Q(@Y?{dG&J-=3bhY!1?-KB#Ew;MTZRB?yH3x^lAJLZTEN3?G@Y~oa?;XuK z%6^-R}s>x<-<=Cz<=8d#5Z#Kre{|?2o_d3Fo-x+h>-PoRU z`u}hHD(Gj-=3Hd;KtKH_)ox%K%5&a)Op)(%I(gq}Z8N*qNwiZT+W#AqIuh}e@qe>V zCRr~@J(z>%V6L2Vt*x(CZT)>F8dv9xgq|Nz53mxqZ!^*qqTX)I*>f(#ebFscAD(N) zZ=R1_lzhXURI8olR~qH?8#zV(hb^&(E}wsn4?CGxA09+$@L$wCN~0X|B>M9q#$ER7 z+IOfw^Oc7=>;pZfnsZk*SC zD0Yip*0Us%TWIpGGxe?953)|VVsxPwOUmIKprbj<&4IJc?pE$=$_*Y@Ja*KGf}&x= zvCK)xIT`NfRGKq3c)tb}7Z;9^kYgS*czO71o z`TdPKaE-}pu^--Pb$qP#|Nh;r6XteAo^FSoTd{qFJVm1??z?<$?50m|Y&vP$pPw#$ ze1ffaF2~z%?f3s5d5UZpHcA^dYrPPUA3s_@XrGQB_GU~DN8NkNy8&e$!ZFR9zgzp~ z?7+LQYDe+R*Ks6szKH6XyOWifdnD>%e*ah?2iQyn>Svl#|6Gg>bFnsaThn zFavUn@LcMm(QOVt1@eO~EzUnUA1@%r8;INFpPJu3|9AL{zdscW8hrVP;l_(CT|ZW~M+!Hh|n+l4?jifLwwgO^zGqoFY;@tgJ zk?!!YS&Z(G{~y>rrf_)SpyL0b-D$M}v7Mvme8hyh(fH2T9)nF7_9Imsj;|#4@O1>* zaPG-i|NQ|ueRDXz59JK{VI{k{M7 z#`HZ6px=BK^}M{qymCnbVH*g8$Ca z-+|mj9$|gpWJLdV=JuGe`m)4%Nd%jbR@WNe(#K3#i<{KEM#AHOa5UKKp5^HOH}XWw5w z_rF0V=S(YxlI80r5jr5-e z?CC-OE{!wvS95>&yskmU>+jvo=jXgmk^UaQ_Koys#J{@xyXRpXb}~V~9iXIrdqw&O z0*krS(Jpr-?XT|s?s*pm8Lz*`v349Qfo_bi^TuOCoaoCuw8uQudF%UlmQ)e$JHVVe zALG_1b7X!P}t1%7d@K=4{IDhAOzRbMkLB`tO zsed=Tg<9k|zAlaQSK{wig8oJeBKvc^2YTL1K}Pg%mdE21^z1m$+P^;1|0b~LcaC=7 z-K~>h{|-C1k(Y?iyFo^di#PElXT3W1>?&1=Dv8tIqIy1r%tluWeqS6HUC)}!k57Y) z__3u&!PpU_M-CdH?eI~g|0`gBi1fdr*HiO6RCV^n{SE5}CoA=A?bq{cbw&L6CaMQ> z_x!x5o*(pgKDPIc4j9oaRi(r4JM&Q#%MfAxIh+2-qu-^TpF%zJ>p-TV)y2=o2SnG0 zZ#$qJ&a!ZBm0i}9L|b%*!oaQuCYs|6Q*zL2vXXvnDNs32?G#kyU9nxvlgwx0PX1NS>@ zb}28FHTfpWv+rBCp2)K=E@-q<@?v6JXIY}XF!woKg4;|4b6XH)o_`3wpY}jnfO*s0w@e4eIv>rC*DAp2bjOQPoi#^7V0e9i}IdfA`Iz(PuXbJ-Gpe?p7vb-l%Ud6QING3yeS1v) zNjR5!DjK4A40INZ0b}&oG2%-boMWYfZCW4d;G+*aOwOoE9DlS>Xlk2?H`WiXZozH# z_F6kD)XtZI!`wX|^QxobCfXnQUdYe99Q_Q2jmCJ#amd#{I$8f4L8p(`=yBQcdR6V% z4*Yw@ERdCswPf6(8ZU!->ezV6ndk``FE%nQVhktbpK@}(`(yYeMSPJDLMnM6p%c{T zd;tw^)23}cu~XnhhnGEQoDB z^bb@T0jsgf_QE!C&1h-YznBuxg3X>nkK21eD#XsWw~e=LZyf({99pm|wz}Awy4E&k z!V%iu?;y_qg88AHLVFLkwo~dC*@JP`)gkt5h2EmVQAL!;SiPW;+LMp(dtp0-nk`MZ zPS-!L!k+hA+wiq+Eo~M32!RE3n|!ofd;NJq=SBbXLSp<`&??m7<5PqgW|W;57hvTh z@#z2@X4VB~I%^Q0g&Loqv8NAy6#2#W92e@4c>aL+490H}V_=h3&H3xxhhZ1ihm03( zTrdFrqypO%lj=GI$F^I8oxk=sX{Vz#F8xt=Z)_KYS|)7L^RIm(GpMXY=vUvmlrJdmWfq=ej^35_Ae~OGrYgALk9k zZ_LjNu(nw6XjK2)e3vq|dJ zh+9mJ_0J8uY`(a72MeVBa;<+k@K{SMsEq1AUVrD)b=UtW?rVZBv2%0LAiRl=BfgC{ z)(z6$e+TX*Y#)YtuR2=$7q7c}j`Ok3yms}1$q%t`v!fjB>3oJGn6~+tAn{gj4BA}K z9BM^nIwn*9JnXQxVK}$>^V7t(Zlp-ecOL}XtRFoJaJ56>7~Jb7@x%EC`axRoDSofC zU*+?vDe4Eup{f%+C!zmKE3*~H4x)c67kfhoact(eJd@iDY>TlyjC-*5$96cjXkPV- zf8{TdH$Tvx*3nU+_7@z_(C>w?d%=IPZxAZKy2PDV2xa^h`@OK9$fSp+kAWY$pOLki|UVC7w#E!iGSZ{yhFFJaUTl5 z;NzsD@Enx-Ce;o1^7J_!*Pe_usk8US{&SN$2V+$Oj1{}K$5w{(e+OC%XRG$Y`zY98T95nu373`-SSU*TRbi;QX^T+h3dXXI)Der|7 z4U*L!y#EsU!s`teB8N!)o&W73``x}5cG#IB_Y=?0+48Ei+JbnI7M@}E_^kbtvF{@n zTQ9Qua2=u0i2A1X4`$~&@F&!(>x+fJ{tb0o+84Wm0aEWadnn3sU+*OrwGe9qrJcL- zKC`si1^cP5K>0(@Hap|i?mC`7&Pvhlg_ZKX>9?j<;=Y`PmxHG`ts~m)2WGMVTkPD5 zvuS$iO4T=YYv<7g4UDh%W-GGr4_>tC7SlX&AI-uNh$+sa_$7t%>ssY?%+usVk&TZ# zn~A@9{$N+&uE2(Q+)-Bkr8Q1ZSp@M)&03fiNqf+@7Czm*jUT z_=O3ljI&S{Mw=1vmRbzvG?ks&|X zAKpN@;soqI7{9j}KMbl$8o#39ddQa)SYzw4J9p=OI$lRNK;`!BX1EH*1Hk-kOjhud=C(zbo+Gif38DmDAg^!4q( z2=f|=?Z4<4>_0Z-C)$6}9^fg?qV*H?FKUVSENbeDZTlA&j>U-+7V`aE`@4u-m+I)^ zu?Ta|qP@IW&UgrpRW!HQtay|d^XMRKV{nfz#*0U<1@;7!YV@p0HO9X2qjGF5r8OQUI&FL?34`aK>VzY2*^%p*n76Gq>TP^<>{M9*^{w`*}DB-P`e@yy| z^9GBex!Eb=I%-iF{VmP#*EcWPs}AOS4&_$L_nT)RHp6SmZr8Nhzs6z~0k72JRWmHH z9$B;mx)kR?vZsB^Y2UJ>ecOF= zU;a+}-bmWFt=Jn_^-X>0ADjjmLm$8O5PK(R%d$`BwME4J1KV2`n}ti;I4@RJ#4GJN z)s!Ut_yRfNxbYtI`l7A$+3$q%lj&D`U#$62>>8kI zVFb@cN%cV=fI^kF7TB^ywcvS;(YkM zEoEGm)Bghv`N_DPgL1_=C9=o(w3zFV#hCDwBre;FcmhO9a;^6lp9!&nPO6&rPpkdN zQ4;Y=dzbMzIj#JwZRtYh^R?J&8S<0%4@0@)oD$iyT_@81%Y1RLzgWuHu6bzGj-m5w zxjnvKd8e= zks&`Bmpf3dIHyGRc_`;PVDVkPSmVMIN>XO~bvP3Cd5$&K;`_Wae zVLNFt@JcNkn3loM`L*p!kiHN1&$>Cehht281j?;u0?rT zU}nqbb}ygouN&y^I$tRM{^YwW+N=8W8;dI-_OchtZr2jLhp`eHe0&J=?89}j?TM`| zf5YFW;ylQtpy${6=EnoXghYFlT8=QyLj1n9rHtPa4Cjj%8}gI!!`!@B zaZX9t*LIKCks^s-{QE%Kw&N0vEu_U8?H->kZ_PNrw;1!g!+8|Hu$^{PbAFHQyG#GK5kM6KY;rVP7d|_+TVO0 zBDYAaTWOzGW}lFUI$ug2&I7K!A>Xg_m*|uy=S8ODIN%bqPZzUuGEeV;T$mbNJ-F!( z<N^)euy{PfF%4y;7`xsql_f&^%eNnX7E3>e3AbI{BpAoUOk&^uQe!FoJH#= z+v|O{7ba*WX|HWX6UGc1D*;Kg*FlK}3qN*1*2irb)M4y(l-1u|dlB~*wwEkY>fKRI zdlC0{Y_CVS>e`FA53s%MaLxk#JFV@77?G9$ue8sTrh90w&uyv16W^CCGUSK$LK~3C zUj{#ye3IlZ)$yP0wX{C?^OPTtV_U!r^MqB%PmE(r@nTf7WScLOxNPNd6Rx&JY1PI( zFrT`ZLg@M}LUCJw91NTy0eKU(3(JrER?E1BrO0eP^2Ee7u(KW=qB2 z!-4zCkniKQw2ks%Q&mWhdCg`ZKy4kJQ@ytha2(}W(eH~( zOIV8?rY3%vZwu}w12WM7W?Dt zJ#!rYE`17O)4W*j_`@a=@k;x>5gmUnt+b_L|BJvqZpcsCzYOJyb4p}S`&ZKb<-XYF zPjuaAF8k+04C@0&IpYtTNK1iN+VAT)fBtDp#r}T*H`|b(wEu0CE6ypAJ?(!N>Fs_@ zL7}yOw7nRcf4h8t71;NKbWVF=18FJnO8L8+eSN+xYhX)h?=noROJ6kkT{C{~Uy8Zj z;hYlLb6(oacz^AS#op~j?)DYf+o-E{SND6rV7(uoLi`6WZvEZvU#k%OB@WAhrQcbs zt)~F@Cd%?mu7Bg&^Q{9+YOn7x{%pjWo#PM2*=3x6Fi%ZA7#q&9{1#M|w&TmPR#y8` z*sGM^gX`eRa2{bMUACvmSBChx)t^R3eCo-%M}IEz@mbagV*3Vd zS^1!OBe(;B-P>ZbaB219ILjwqDgQ709X0l&J@hEffn<+7Ue=*i_Hi-B@e!lOOM|)g zUxxM0vM?9s95xE@qe6j6UWANB-n?St&N(|1p z{%YBY5bN&6vh8zmB@XldvThceGp?g7pL&({?`+y8{Wu?b6z4#)$GT_PALz%0zS#O9 z>mHG)+SoX(dzcTm43edfk2m_lGCg*uk}Nx&Kg12ib}>;ugBt;y?S|R<(wa{gTS)AS zN(Zbo2ZeEHf-RMC=zjFqe;M-qdU4s6mVe72=)m{V-WmLR0{?I2z)Ua)d~Rwd#@%H% zpZfuA4Nj4O`i8MvD)ieO#}B_+4H+cO2jwX&LZJ2YijE6Z`R_ z%$70^70l;iLw?eaCsD3Ar$qM5^B3sH)5-B;^Pu8k`a_)Nxm;>U8E^l?5PLpo%b6F% z@tE(g7Mq1jYkTWFxeR!vgPNGjl6gKKdKBkCvS*&Jr5_8Ee*8G7c%(YQ^*t}}l-B59 z>ZeTa&rFC{1g%+ix;!UtHMS)dTO)2ga4T})((3oCmcI;ol@7Yaj0^4iwJnw7X3SGd zJ~!ki+xI=xqd2ET_KXXk=fCV9$+)0>N4oY!|Cit6>>+XgQoS_8$K_3ke-yOl^p`ly zq07=1TO*F^gHLkcAkXW$mcdujGU!!mwX?a`$E8BoA&g7KJHWka$WO*)2Y8BeN@S0` zuc(8#RG4I3whZzUr@PLAv5BvqD3?CA&R|B8(Hf6EZpeNk^+%u``%b?sWw2x7lr{ukVV!0v5p&gsV~mQTFW z!AF^*q#x~}M{y1$d-`z#{pgU<9z~HKyK=sz#S70s@AZCQey=z#Xv?xs`>k9fd=jun zTWl6Ct?kjt@`+bE_<3Gmlve)vwsaxK+XCd>{f7KR-c=xfD-`FH$ewp83S6YGSEenDH7{mxI~@LN;GITo9RORFESoy6;=l@4jj>y*;UzucD6&*fM{ zV{Rco>E|C%t~jSe_9>JPZzV~WuME2`_08$$k&3~<%8Y4&IqkjP@`+bE}k)Rs74dFZZQ?WD;=`YT$Hp2^LvHj97y)egNK+0_ayUR zi=TG2-}-4c*mpC;9thg9>~ncQ+@sj^Cxt9rTHAS!)x~Rem0I`6xSp;8$Nv?Ib0FE% zj~Vo1a?+2j#XhjP*2xu5L9C|Zf9D5rSf5p3oG0b&I(kPN z7Qd_t`T9P@zYHp~{BUt0?i*}aLy&63{Qw-+5Y^(+8W;FVs(@alHVe!cAD88a+ET`4 z`9R>_G2|!WvV3Ro6z7!4ekYVSMO>EG^~Ey2{W#t)ob`ONbYRAY*MpJ#yj2D9hPF(~ z;d@L8+#bNzx7?g@p}rQ_bpFl4l^C<)0Q|06j6IG|R}|s5bgYe5V7y%MR9y3K^WDuW zye5uR0eh7WJ=6LR3v8fV0gwESY(@#C;| z(RK675jzs&ys{o}1BD;r{DS36oTq|+f%4-x-;Z*|S+ss~y!k8R98UO4oHrw{{c)W9 zewA~)S$-$P9}ik{#*MhAu{~n3HR7HJ?ui_@w8jm-l32geVbjg%ke?fDsl??K;BGbK zC;R(6lq=3Dkv-$GhH(j#pT@->0NMFTmZH`7?->wZ7PRK{m$+5f7F%qMxOKoS&w)#8 zT;MBdIrJ*EZDodsxctYKN?d*fZmJ=~EO8JG8cvBt$8P~rD*&fAQM z98bC6xOr#!8xa4O7th@viTe!O2Ns(%F2wyC+s8R@X^qQwme2Z?+CF4Pg}5LVBp#Qn z*bC!Xfg#`bvlY!OpK)6Ord|uar`w!g0v?^V_|5h6FblfkuL6_=veAy$;D|Tm` zfAYmHek)M20^@+ATyYWHZot;D$Qp4?fWw?k%EF~>{1_7w^(r0Sfa~D2^4ZWJiC;&U z{+%H|#80oalK5ecTK=Q*qj;=n1O1A#+Vqq0I3Dp>ahNZbyxTh7-|+Nv_kD1Uvf@ZD zp6%yGdmV=0js;fN6It=WE7oCFT^wnfws9 zi>#Ey?Go_!Rel_|vr(=%SBdQNP<|fc*2fo1+_sGO2h6$d`;fKV056t1UfrSo0&Kc& z${7dPPNH6=BWm+JPFmw|r7aaduK}*BAwMxLt-##8LUB%s?CIxt`WX)RH9uUpg#FR` zMNx_GPgt+67#p-@+3(t$xGS*fd5J7sTK$0SB(8&%j=0D?m=vKS!_-}VLOTIe5E7aGlPSl zi)^X*xeT~#4EaevC!t(%PKoT<-{;cL$-Y?p+&tc&$Z*GpI5OnO!)kU`3-Skd|XyS{B0Tvj#&ZkZuJ8JDlXQ=C&GdmJyUOffEB`(la9kK_H} z8RiGxXC0kTu+i~x`4r+f{&$q+hx3;>&fB`4s1a8M+-9q>CfrKczv8DHxU`KQ*Z!mx zs9&jl2Qx9m4>2Rjal&z!UvD(z`*~!g&QlWK6QSo{%C8K^V=MPW92IA^=_liR1me4L zZ(r>CqyN3f-N&wHZ20R&b@{ilG1MI3)#S`S!5soDswL%$pWxa8chE1uVg6rb<*5+w<)o|eQ}bAGeStm8Vzc5A;|2nUH3lgQ zS7LLL@#4LU(3|SG3*s}^r1mK`sg@X9FZ?Uc4*_>D^61wlw|-cOSd-|N(vcm_O`+Y! z*iyF3YS^^$L_>aPx7#g$A=hEBcST3jVP(ekM=P%ePjRji*<)T_c@x|18ec5!wykK0 zy8wy5zvxks95nj&8V|7>yjXU8T{{qWE4FJbHme=dn!it4{z~ALI;=MbCeBx{#QeTe zaSkN=6v`i^A7SyKe%OaQ0+j2%Gd?qC%#6TRG2`N;_a}fHg7s ziFsh`fiWKR3B7Fikn7Ln6_*hGr%jXP@3=(@p`I$w(Y zZvgjKLw?f!H7Hk{)ux}ce?9H5^u;#*qks0fM!eT*n2#2H+203 zOS|mz{=9c=y#&_^*nKQE%g?LXHS%kLTA^X@$V975sc^rHvF&-dcl?V46UU>j)_ z@JdJh*X$SCVU#TuKW+x@3`2g>kKrg+oKq6^wcRZhQk3(#lxel+dMmlA&}%sQ0F$5S z@2mcZ`V{9;{KB~XiE+Ei7u$Z|U)zZ|RDWLppBcC6S}&G6UWfsSc%`Ge$Nl(mTPpE- z3b;Xr{A9fDMY-af64}#!ULUaP0beZf+Eg@l%oQ2$@yv03`YNn{Rz2#)bNlg>#SpJ_ z^dF+@y;rTarQ*jr=)BpGpY)>?<%)AkWKTbMeZVR#1eEOWaape;&D|c)LcH8bSHG@( zh{UAY?&$u}RUg?>i6iE^ zRZki6lW}|#<%)AkWY78QpNykU`Y5#H0PkbY90#hO$5vs@vFiPxHETR`<2G?0VtdzO zvv6tcpE_StuhP-8qU&>4>wHarS04g>>kRoxf4>7yaZZWsnKwVQO5V54=iq*$_iaZm zS2?@Cgv^$pCCly-T;Kl;o=>+P$2?ErI?6iOm^YMr$)xs2KjuBun3q$hgkd8n{6Btk zd9wOItBCa~9sNGX*~)Nyzq%>nqBsYVJ@R1nzQ}{s%}u^CWL(=i#632L{irJgrO^#!+zl1B*2VDGQgj?awwP5wCR257GIv)rciY+P@cK{Fym+RL1&q zbqB~R&Z6~mCzN-jpJ7rJKerT(zzqR-;R^G=X?QN={ye_67v;EoSbZ48aSZ7w%Ma%- zai?NC&LV5XVU4l+q#U@k#^p@QXZ=dYjyGNWJh~dOAjx@&5y-dW4EcWDzIvGDGj3~M zLw$#si_{7n@Jh$+&h@+F|Jjx@E^F!nccvjfFj?aY1p36flI63vn_u$@Jh$cjjoSh{iZGD z`Jz{0IL8{UiY^;y6(@uhrnOQrLQAG9C-booEa*7EKe@85EVW? z^P#3PXwT_4ahNk#>w2k1-0Q$$z9MDe(i)$4gl$$suhQ|e%{9JXtc+Y;dmGSvB%r}hB_XXj4D)+u7o>$g^1Oe(Ok*elCi`DN#-B+QKEWcd7 z5%(RoFD$l3+-Bf#4uMo7?kC_j<-mPo%iU*H+QyeLBhhZ96HYR>hWKKvB1wGP1NV+0 z-;d{O+FHJhYe$1WLitg@U4u2M!&$U`qV6?3o?p`}8UIa;zn$`Zex~<|;*aO6<+z_? zO#|4lBh=#Zm*s2nvF&Afx#B3eR>1wt@xR~>1@3?x`qCO-#Ee9|(g`n{=R>Kd*rImZKV&>U@Wu9glE!1u@Zqi_N9Pe~O2`xRku@KC@$7h{)eoJo zqds*~Pjg7{6SkA6eC_Vg`H~^u`?+>k%cq}fj{^TSbJF;XnHld+Pd^} zyDxU_Er+mAJJ7?h8YHqCc!{iFy?0l*k_aVeP?)%Ub5SB^MWYk;E_P4{Mu3 z{2(V?jUO5p!LYvBWlc%_pTM*V?pPZEF60d99ge#n!amM?kIAMyB) z@}vH+_7un|&Z6~`@i>F=IL#N^nG!J8RIe77t8qY zwZ_A}N$!{A3C>Nd9p=^Kjtg;^yVn+3Y|gmASJGPGl}_F#dhf$p_)3zv%mnTnLw<-$ zndM7d=78T{`EgvXN4erGT0gP=Ui&cPa-%Pf@}yYuB;6vp?&cgDrV~GYuDuFsbS*$- zS^m0wA@0xEZnag`h{N>(Yw!96IF7aV<-nC-E%dG(cckw!U)eUFVS_EdHTm%XZAXgg zJo$Xn!_OOQ(RL(h=MR7zYse4n{GR1YJAVZJMCHfrT!C`MS+st#o&UymUg?XY{4e&W zo0@j@r zPv-heCTw|19mi*TUP|(GvsaTle$3~eux+x~tT+c#1dz~=IezU{l}p?BF(xF|uXM`t zXuf7lND{x!z3H9 z&v1-}`EJaEI7T}R-!c4-L7ralm5BVNA8tIVM4ORluhJW_ahU%r2j#$}wf`VyB;u7$J;Pib z;)|G(B)*vE&>rN6_}**z65mI`KVA88{QiV;#aXm|GJbb5ekCD(_PAN^58`q2&%|v4 z#BT~(bHCoEs$ zhVPZd8T?fd|5flGHK(1Car{*|8Rd$zX#K=ITDgpIo$8BSUg{5bAurSWVBNGYaJc=9 zyTZr(bB%dwt;*%yGf-FV)#c7l;^t$UVX-;mOxzM|x}K;Jw*okvV<2VW(%K$~F{u)I zl}_tz28KM=`C8fob5G@ihWyYTh%t%hFV{E0I_&5S{x_B{?X?B+PngptXSN5{G?j|8 zX#HfKf64avhc9;PSNTPd$2sZd8OOu!nq@2E+W~8j%8$L8?0C5LBJOi+AF6zYT?u_$ zAAFhvm)7_qW~55!RXS}(^nSfc#Dv87t~&s@N<+Sn?>godi1Ax@F!-;UjkNv=(#YAAxYwWCUBb!`61rjEMMZ?3;Zq0kH+P7 zN5T%pS+st#9r(Ndx}&njWp_Fr_mhYD%thE;>;N?`zt$ZJHNOkmbHz_^rviJN#ny=H z0^CVCaA}PnVnU)`rH)sad;EB?4lyA~{DuQ}fFVD`Z>Z%<{6>R+u=3;horiM8S+suU zp?omocfK!{e8qXs(c{KkTFB#Wjt`Ed@?xvEQ@gS}U+eNmjEL>XS0Ut@!tJGIudr8RDd1&MllMEell*nK7ZYFzStcPC$2b?eEOOAaa_jS z<|xZw7eC@=V0*zLYs6vhURRa_m)7_pCZu)HtJG;i^xmI!hzUvJ_YrXS8S+E?KCpa= zACB?XJ*50Ne(O=LIE&U##*gpuTc?vhile;K^G1nrEc10pVH{ftHE#s%IpadyJJ?>e z*cx$I4y=1C2QIDgLrh5PpjWBWT+=h;A7Vmc{MI)BZjmA1$8UXI%V+%7Hv)f|^5gh@ z2cF_AT0eI}`A&@A5573czl`%Szc7wpLS2~Cvd6V=d{y$L%H<{Hc3^YI|55q+onfbn zWyL#fM)U4XZ$tXG^x>nD~q>rW(ee_!nKlrJ9PV!i6sgP)W0nYfmNV`H>T=byaj&JCHO z!^LfV3#biqV0OGUj)H3k>><_!slS@{SqScE;MzvGYTjodxD$ZG8iSP8E^)o50C#K- zTnWxO!2S)G3pbpIWAr_+$8paFtZiSs4BPcq?zj4^N^AZgCrI>5sq;`%5b_6YPLlk= zaE*K;KjhB^mM{5%?>NRt@-L3~L%<)H!ABWM@(<@|k*`Vlr!8OfBR=adFrC+B=HDok zE6$?zlljN%_SPfOlw3cT{EL5g_}}K?P^iDsX|Ew4h%3Q1(PDGvA#pci(?&- zZo_t+(-&;uH{VZ)!`fy2%{g!-IPdi@V+uxK>tW1Gi;OA0)tFcIGO2uo?UwDh?hWg~ z*S@v#nV*&Ia6R*(CbeHH@Gn+= zWw`!v{bH0W&Z6~`^T~_Mv!y0qi=^GKE|v!}a2@jtk1N(=?XiA!(4KRAAr6zn`V|&i zBkmR8*5<&absRuUNvvO~%PHor5P!sk#Q1N>1MWFPeu)1L%V+#HaNe4!{5XCeqg-(o zt)I0}&g%fzf9i`Rep?Vfyg)_f3433;&JlWIr<(VutjF48{a0R1?(vy8t`EMj*qrer zZZo#8e*q5j|N2ciaA_N7#*RcgmAbrcj`eY7>_`&lcEG)5$oFyHaG2#woR0$kUFAn{ z-mp8`KyenWpNR7Y{!YIElZ}$kQ^a|cH~8}fSQwDJ)-ueN~9?e2RUIl-eipXhOo zGxHzqlElySc)kPJ`OK45hR55XADGE`X?vv)%rBRq3bq%j zim0#ipX2F1Yg(vYJYHilGmC@uP*Tx&5d%rWYpL{_c)W;#B#qbI$c=P@NAdcV$2DH} zfuCb|y#M@|a+CAY_A2qXMdEQ&SZwj|4<^!hv?=YF428;u@nBt^|BFyPThAbFB6mB- z&%A8Wcu+@4^O3hy`ef`n%zWx7N#n5+xhtIDQ9Nw@*LZ9MzdD86x~uE=8s@z}y3&p5 z{_{9`Cg-i$Yg5W)eV+g4usG;H`anI2*OH?$=OM|*>LpJ^!Z@-ong3i!TRe^;_X5W} zFRS(&I1kZt0M`Hce~ZaAu>VuJ|04HdAm{VoHR0c43YbFWA@PqQ8K3XZ|`j za9FzicY>Lmm$p~he?cp0|M$XTJr8&*?;ofb`vS)Q=YFside;9SWyVR7=U&SB-uy2( zKJl7iyHUP?y}$w^)c(>?KM=XSIM{YsgHozAii$4dY@RL2R{eb0h{zk)N^Wp;0GdVA9 zugr@JPNba|*y2yI#_f~5{-Axj{Qo7_rxzTF`tcEKv3RN+*Z&vv^s*Vbg2l71$3@=K zzyaq#W$1drmG_gWf{3&A@X9*+m>`vQ{-jA$`5Ys?Y|*$-S4kpoY2Yr`D~bzsm85aG8o9ns@F*^}{z_aH zHUS@CcswpN_yUvjZ1$R?T<#ONU}jjX{p3T9%cQZ>`P?n~-MhFD?z{Bb%;lk4HVy@A zcYKy4@|Ff2?~aJ-cdIYe`uz&IaZd2Wb+rYo{|ihmAkkd>zghhMX;@re;CuORhW^K! zR%MFThxK~FFGHH*^}$C;vFlQU#<=66`n>3ItlkBskNnJE zIClxx?CblFA5J-I?$?om^O6O`PLkNSH0bvDe6hioYMft3?pIFmWSrRpEik!& zM01I=d~UE{x$9tn^?SPysPy~r`5>HjMBkH;dM~Js*fQ}8;w*COI97Vuj9kIS2fj;+ z#dFXrZf4Y<#^Z27OSWDE{X4)1!-_e>^T84cS+KE9*x}VPVjJ^TX=-Wb)I7nzTiSP z`03R53l{E8j7-i;+iPRW<@r4e_YI5f`e*V7+Y%4HuV-hO!C6E2BTG@Pw|XyZ4&8wv zUD5cc9P9r=+fQWT6X#=GEj-wBX5Bu=JcofHh z9+!Q|qB`PusNt_h*Y_6oLC@s8w7t@w7RvVq7P8=5(sA=q-aiOa`%}y4e5-Tx{kMfZ zP&+NqlKn{ZUfzXq6}bT%wy(*^6)X}g?t0{|Dk4{~xKLL~V&78P-(0t-A23%)(zrZ{+$1M>G#>usah+HG z0^Y>%_;|RJa+CAY_9}7vgTyUbq-4_y@LDQ+H+Fq+Au*Ap@mq!5jZW|=e#<;A@mu@@@ShnTkKc=wo1B-nR~csu zC4RQpQ*8S;iJ$C08`!_;eXHf{O1?)D%^S}_Ge2T48W)jU!ttt?&BzrjF4R?$d=6yk zbZ#jY^{cmismA3!+@Jvp$Y<>_^$;M-Gtmn6KFPXATxdp?U!@}*kOQ;>Vl z37)9uqJyzxasi3vQqRs(&qKmuTNnL ze2@?B8&A*lDREx`cD!ueGzD>7bRjg>1_ET_XzRPmU5V^W(@&4bxZE|!(LW_+_~!6g z5_8jo!?~9V{eCeU*_j7$UxA0{*XQ#8`+OcB8#hYwKYR)MGclJW^|EwEZEWAQ=ow$C z?ei>h4lIJqQ^%O>P*cY-J5@^8uuDwcd{ zLV1nE<<%%I{(eE>{p*8{tIxd(H{!9|*&AaRr@uqDI5JbT{vx-6V}Y05t=t;qxW*u9 zw{q)|v;9Oyu3+&ZMv@j`-%|M-vHNw)xtb)2=i+^ld)5ga?!y+h_PCtaE#9B`|5(Fg z`>;ifr$Ek2+bi*2+(P30VKUy#6RF$Zus`E>xM94x|IMLrH1M3pMLVGTJhGChchE19 zTg?2p=uVR7C271_^A~M$f=BT_ z-s2MQC1--y86J!G;*R)Xa$eeA8TX636Ys@`NAb3CADm!veLnvE``|V>;l79OCAq~% zN35B8T6|URIAo9Xvb&Y*h1@rb$Q3MZ#6ptrS{k}f?0ff%Z5`9NjYe)?CwOAqFCIX7 zwB7|g`aF-tBP1>ZlX3Yd@9+07`>5pof&4E(U4ayZPF4R?$*tazFA$LpEpRVww8keh)JIM*2j0z&^7}TeR>c#6Ta;)8ptGsN{xQHBU_u}sskteH{D~aOr17E6fxeK|`PVi`)-Qn?P2ZOPf;C!^=hpFp+i+>7ca)A-ebCk>TffnB! z76);=WZFdT;V*I9`-tTAJ}(;fryrtzTd1CmTfypy?~)cHZ>i#^vClacv(`z{dj1Ew ztDWG<_J4?Slk?K{%KW%k?(e(!_hGTtjcYkvG{_;Z29_oDV#sFw9Vm?uQ;Ne<>S zNtt$^<8nu^S(N^rzksdmBIn+sJHEAx<4Kq6AbYcYRP}3lsV`WZiJc^=m!*p5W8be> z9A77QAa|D&JQ-)!_dqTn(Okya>k{WxVX?(|))f2X^vkY;$v$;)ZK$4&V-RnV+sMHl zLQ*E)ak)2;V-L}wT*2Z(T_uTqOBHXq9?>|neYo_$C9-z>#|a*evn96P$v9go^Yaf3 ze>J);y!bP8O)fB^Icx0_c|PBgs8p|my_b(3)N32IOg)p|XVfzf*;2@TCMAXA@O^yx z!k4AXmvH{Sq}X~N#1Z`^dvi4N92r02a{D3IvWQ&4$6I13NzOwo4Lc(CJZQN`rX-E` z5af0^!J~MWd0g+O$#rO+!Q$~)yq6q}A13Fe?X@Z8abuHr~Q@N?HuSX3h9c@Bl6w#C9MBTF7&cR+e74La#Vc< zxyz9&w*Ck0CVb!LxU>jg!P@MsRO^s@OZr0>nt%jFKw?9Z#n;8@@iNd#5;XM%;fn$C#K2AtcB~x zlD|Xu70&-vZUwRnyy>FjMEKTlEd2^{>ycYkM6O`*CWey4zNO(8#-5A1gcwSacrWGL zb;&bM@G#y>TYFsk_tO2rpEW!lZ_fV%IWKLm#Cxfn|1bG4EY^5`kPmKL9b9hV{6F5m zi{Hn3$qwi~59x~cZ{(J;zg_aFmn|A^kz?&%QvVg?S|UrGBxU#tKHd^TN#dua;cv$7 zH!Lxfr19>H+$JY@6z`K2*8aV8FnFEev3M`-h#w~BrR`PXE#J>u+Bqx^`gi)qGWCUn z7@rjXXoxhnyGi4|Nghibw{>~H@#aq*6yXpeg!${b3Kd56)fI;Judbw zjkq#)A5z(KO44{=3*Wv@@F?EISd#8fZ(v>CnZm#Caqa&+1xM`U7n&N&CY* z@Bt~DHBOTDhv&fu8y@cuw^D9$UfN!zKgfOjm);Q;hy5YB+%q3?f1TL%P(MKB3nB9E z>2C%5YmM(cAzktQAnkBJ$6a2wXnzp7-*ea=Vz+XSA;%s$YeN!#O1@E;o#ZBZ;xyISlgGrX?QGt%R1nP z$$4pemH2fde#<(A#X&y~zL1%?z7&s}^|xgQqHb%qkQ9rj${maB5#H2pBYOe=O^ZdPS&SJZ>Ua$zkhK(YT2m=c>!j^qd*Fg2jzkND}*&&UzuX z?^#AHBx&4O^Op5-f=6+)byDNTnn#>H9*^4;%1zF**{j6uDv8^)u-L9=UK;)$JAVJY zhT_JaW7(yldhxi4+!Y)%ylf^OLH`xGIUKW#$Q3MZw*D_e-qP7+vHig^TmLm~tX<3U zPVgvhk9#eR+dS}bhR5T^`oGNN0wbEU-Yk>#f7u;jaj-v6e{mvt{+T)5kNO|$>au%6 zy5eyZIoAJWU$Xu$6S?1We98K+a*rYRCF}n(Vkk-EEuFn2-cO04B#rmG$lc%skK+B7 z$2Hy`g8$g?c)b5bxygBHdzE;vk$Arx76$eoRx?IAM#p}oooM(fLl!<1(=M&o-h)5Xc$(@P!tBZ_wLU%n2UF+15*m^G2DUe`$C;&hsfZxxk3# zIm#DHoEL`08s|^_?Kq_{_Pft#nLeWa`x0ub|3S*ct6=r3_7cmHw{+f2*Dk7GtuGbw z6?<}CxYY?B)^9~4kI!Wfw4$|hclB}S{nRx}d@o`77BG_wjA$- zg2kEGND`ZtMz?o|hx5jY>wKxkQG7eW37(i2R$N56$ps{uOB|<494`rr{XTl)gsBt5 z-%cr3Z2ns@4)y7wdP8w^bL)uPy~rW^chhX*()p|UO+*~JkvL268 zZgK&M=28#&J;92oQ(HawuH%%c@g7mM9uJ`YRK%K@X9`vie3P^Sc}t^z9XG=JtAPG@i_r+F z57s5-;1%_udbS=hxs^?j-Q{I7{cjF)5b;=D;@oZ39PF9oQAN*9WDS3b`R96cWBZ%P z;{WDdFyBZFCB*Tt8QqdR*66)-l%O^Sh?*RPH2X zdw5yh|1@wPFO@qLxf5b?4cxy;<@zJ{?LaQ_LEeRNw>4knEuG)XRYv`sy`vaWb-q;N%KMcgo#0Ws(H4>fUv(MyoD}|Bk88XA0sKtE zWBbvStp6)b&P&^CBg*fVcDXey4)*gic$~vb{oTp#pJTi7Ce(Mg{s-|FxqCV8@TQ8! z>p_nTucdJo4QM~@+agE1P?9DYi9*?IfH#skDuZ<~}=lZVv zOIRGn2Zx4?}>8)Uqx&r3BGD?@TG3z&8gp`tSo?; zoC*goO(~b>@vVFVASnF4roR_xOJt#kw z_FUCIEY>*ld_~`&d@p5Q-+zbgDa|H#llp((tYJII{qa|I3C;QbLp!9~RppLF_6RSl zel^gpD%TUaV`6d*w5!UUh+MarT)bVa->KZm$k`quu$yjgm17UL>ZE|r=fP{R-pd%3 zB=VLnT$&pct{bapQ%N$OSO1*$Tj&Ij+P}i%+Wx$!k0kM_5ialG^LRDaty*#mt#48` z%FC=mcGWun-pM##HJW`1{dt?Oopy3*`f)QSPo0vVDU$L#PHA>7%Q1}QovdJ#&!LQU z_;-wf7us;G;#HSxw}Ib*w060}w{djmdIjU}R<2`o;`xl7o}~NJ27zkglo=iz_TM$faZL+@T9p1)om*eIUg-hsN% zLeD;h&y3^0GiTkt41Lzb=a&@M3y`q>#6;4nD}2uDMc29mqWBS$RX8T`lf<>gi@q0+ z-=91#mD)Ct_m{bgUQEaDVbeu+bzA@5_rFJz{ZDTap9mlQueDz|G>BvM-sQp&`v0VH z|1RKl7gxsH{{?))?%c61*B1Z&afQ413fDB*zRw#6va2~~@yRbqIzEE-)k!KjKtexk z-CgyUK;K>bFNtHkAK6}I6|$>u4dkR^s}`!A?beSbPYsRC@WYVvAjWfZ8_%?}q*c+H z;{V?+_Y-0~zjMWmnd2uzCkP3$M3oi|1YyTfcpKZ}kALIKhJaW0 zQLE~S(~0C(eARX4dNq5rG*@uji;Ty={(AnD&{UM7(B|H*j%+$hbz&vZa* zS?HgQ6Y+2L;izoq_yGAi&P}T1K>8xqql;J@E@Dq|Q7vU3hqPbYn;<^H_!6HiRsGR5 zjpAeL>8fbFR6Ubwztx07(rT0S|53QQqkqe*Z$BBZz9@{3@oIde?W7G4jM^_4Us7hN zYH_^%PV%L(aa6TB)qbmcd70HF>;Dt~jyKAn{dB-;S?HgQPwii{owVU<_8DRPPBCuE zELH7{$L~A7RQAuSCsMz?-6d^O`>oZ2nOs1kd1K1Y5kJoei*>wyFj02T!4^X?`5tAk zjqYgQ5t1<*?2qO8(dsip8b9to$Vc;rK?(V@(HZIiZNE?R`AepbXT?eJjz*5YtmWzb zZo%(sS6|?9@x#(3`@8eQeT~FMlK5O9Vi-4k-LH8`!Tr& z&L38b+>IPR2;_VoyoUYr=e|VbEnRYj`)(BXTYahQC*Qi3_z!Y|hj9;-g883zOyH~U zrhTfUsN>@s8c1I`VV_W8YYPhHQ#v8UTJfm1%F zuX7u^I5(>Yc%|Drf&bC#Z;-tLwpy%D=|92!zu;I+{vds@etWJ^F#w2S#7ru^Z9-w2aYH^H=hsVEdBOrFDI{Ut~Wp8Jpc6gYGk$ly_e4q ztQb~0G(V(dR6eg@$hQc-zk7LkZL`u5LD7r(HD5}QLst2A!dF&aI<#a+X+RnB)gkw) zmzURlj&*G$Pw=gj66BCozW4L_^5B*W-aTEnRt8Snd&$1J9py|fj0Ow*)37;g3VVSgVW!F zwC{5%oc?m7&HWt6+5|LS(&tV!?C>mC~eJ}8C5d+nL%Gk-ia8GKYSzSSMfKDqd~|K%zB#6|rhuZC;? zCsTMA!^wqf|D`FstKogX;n@G(6yDvrIgH!zcRr;+VJtIu)T$a^+bv1iM^E|Zfa3!= zy*$=_=qdko;P{fk(Nq4p;P`UjX(@j6l>a7hd;z~O!H=Hu-vW*=85}+3zXKd!4tzAl zf3xwESK|vfuQC7ORr_~=!Mm` zKMxL92FI?>kB@_+m%*{C{yhawT{1X&>fbzY>T=MtDgV$@{+Gb<1$;unKlGIURd9UC z;P|cl3&8Q^;3HD}FIfG^tM64jS%2(m{8xa(g? z>#)@RV9fx-$=ASf*sPTPV8hARz;W0uDZJcpa^X5GwSQVO%y9BGa2&QgrC(_{`5HJ5 zdpm`XGJG^R93A#a;gmmk57=Ym4}hQK_Hv~9g7vR4rauW8Y;;K1f4s$)d<|^?p6s9K zY5c3e;mY8YYx~Xy$CnOwr~I2{`s8b{0e&U!MgGk+oW02!xH34rI=?={_;|Ge95%I2 zz6M`A9+t8{$M8GB;p*5wg*wUUuDd7 zIydF-Z>+xLYlumwf2HdCTf_eh4p*mif1{qGZsNP}U7fpcmUD;CwDZ$~^(Xw2^SPRK z=o7oc^U3oK>4)NfO?zekuPc*Z%N# zaQY7T#e^}6FXgKLA~?1>&rRu5uKLTsu?0>oWBT+%)n5gUtt0kG>HpXIEBPAwG&r@4 z=`S*zd<|R~9J@N6)`G*4!Lh6Uy#`KQGB|qb-`n8S<%r*;{9AAQX$g)^@WlDSTFO)rtrR-C#`n|!i1%64w zKK)4b`+#HX=+ypkZFlQ$8{!!6{e!eZled=)b1? zr(E?1gJTPPdcyty(=P|d)-gR&`snHYe;Dh}ONxItp^u*OSE7$E=qGXX)W1>S_;So6 zDSq^ne>6D0fM1c|M^E|3fa6OBM^E|3gX7CLyQTQiQ~pWd_yYdD1V4JpUj>dY85}+3 zp9YRE-~3aGA3f!t363w|NXGg%|0(}$aD2(&=qdk|;P}!twZC4Ap7PHD#}{xc#`w`w z{_DU&GB|q5KNlQdy564hA3f#22^?R*xsn>=M^E{02FI5Sj-K-00*)`orp~X{qNn`# zg5wK#a{QpD{P%<7O9sEg_#Xzxmt(I^`G1#$C+6|B=qdm6;P{fk(Nq2x!13j{@22?C zQ~sC0@dbQ5)sN;^^pyWqaD2(&=qdjKaC|wgCdH4Q@-G3$7w~Zje)N=o1vtKBaP*YF z8XRA`4M_2$r~I|x_yV5nf9NTH0UTd4IC{#z2^?R#rRP8Nl)nxfU%+Q1{6|mucYxzd z21if%cY@Jwdg7TE^vGSpPk@GPxxynT#GLm{C&?~(*zt}x<8(Z&&Sq($=BYc z_>BpE?8^F4(;OTw^b@$)75{45fK!(Yj$QR{KXB@j!Qqwq*K`J_E+6Hg^*k%NAFt_P`|Q=Uu)s!3@5LFqgQJD*9x*q>Qsve9F z9cSz=WlVx6=FuATbezk%>3$91=qdkC!13jTOH=$mGJbOL1)SE7`G=m`zYiR)4E}TD z{}njCoS3?fBcI>N=YZ>Xu+MA*-YOtqi6c_&bhq)7*Wk;Esdcgj zzqEdT1c&RyXHxZJ{!#z`2~Hisi9)P?#7*X@buWO6e`y?EjqelSS2ciBuJ)e>$HzWT zrR-C#`hNw-7WmZ(`;@Ex-@vhT(#a`(%2j_MIJUs4Ma+NdrTR<3v2{}FI(yB(t^VW^ zD{yAhnErnZC$E7kgJW0Yw-OwV431s(Zyh*w$>8Xze;dK6%eTIls^1#pC$GT=@Wge8 z8hF+Io8WL|aCp`JW^jDX;FPQVt>F0j?R``BDOde>z_A6MIFG2ouIhgPj;(M1BBhU> z_*cu=tDz3y`i+@ze4{7)wKC7(O9ubM_{nSV<>Z5t{I#@|*q6Kpu9Jrt9-D_Y+#)T? zcUXQGwQFq?(<85e`{b)qyv+?K7w(f&pI_B7*Qi}`;W~LoN}sW!IC(7`ryP>PiHG9t z!QnXNycEt@*SL{OjKRyL4nf>%(Nnww`fz1%%9Xz>I6j>6M2f$&>66!D1AJtHzl-66 z!Qsl_@XGbbUDMb<+~(Y=dnd0))^<1hd zYrpABeCT7~S0((XTdywp7Wfqjed?|H!@#lCw<4uaYiRt*Yl$KF zsDwUxidTZemBA@j{?Xw0(l@pLt%XhX$!oCzJ|e-7p5kM`;mY8YD}NO@zLccSPix1U zKDpQePh8)son$z9EnFEKUbR0B9F7c5x!S)H9A8UP-#@9HY5L@13;gCp{bn0}6F6KM z9A0g|1>k)fz~`8K@>+cDw|A=jt}~px7OsA&{eA6R!^vym=yzF4AHTGIw}8XZFSV}L zQX7pAxzrV$SuQqy(Np{maJVu!<;s6AI6m}mk+Of6>642s@Us&9=qdlh;BaN|`;Gr` zaD3@MDaDVTet!5AiZwH=&AiW zaC`-yl(7H2@sro$Lk5Re?Y{&LR|cnC?Johx*8!)d>i??glh+Zyuq3?~<^L1R+-?F=Vh2gjh)^-Jkj%HIJTjzO=a z^eNZ=*BSp8C_a-=g!_kel&gLh^szBGHUF)nT=n~aV+%ZS{d`?l(~}Yu zT)4^_rSRT{p9~HM50Bp?;U9LjeMW-=$iw9mIC|>e7;x&6!TTCN`8s^yA?!*10fv*W zgNuiZC-K3Cldpq=hixbEa>L2j!NEhSllU;h$=AWb!=00OrQzi3;NYReNqm&yy4iw7mh!O8gg(3)znj6~%HZ&-{X4+%HG`w4{@(?TuRN48Y5x}E zCtrsT^3ckJeR$RWz2G1j9A35mFgU(uaP-vvqu}_;!yl9O?>Bz(b@%|zYpj33tM+$+ z!)_y_dP)3w!^zjdQSnv^ zf5Grq!G$aJ`O-T4*6SJzIDdFR@#_=yM^Edw1bt#54@*nn=xKacfQv7w^>ZD1%3lpG zzVOhmgg$!8Uki>e85}+3FMx|Lr>E+Np7L)3#}|1xRe~Qq<*x(Bmkf@c^6vl_U)H7g z(Nq4N;P@gBTT1Ywr~L1O<4XodPx(Iv$Cu$3rufkl{`HWq!x!*534Zj1e|-~he97Ru zJpcOU;P}GBauWSRc%}cY?+kvw;y;S>3$NO5368Jwu$=@Bui9?|j;|RUJ*nUN{lM{+ zhsPxCqo@4sd>!TCG6{b4l)t^NV+Kc0`8$B)3lAen@}sBxUBU519#)dzM^E{?gX2pE zCoan08ysIMZ%XlZvG|d%Bi7)YKVee(7AC=aDb_=jEfZ#+0$863OnUpY8+$>7*k|Av86mkf@c`d0}~ zU3h3i(m(W+e-t>r$U_|x{-LM*qrvedgQKVXW5Ds{tbQqe^pt-RIKF_-PVl3r{8ixi zlEI0K@=pWDm$P0-@x!a}n+Z;g!IS+LUbT;Z^%rg5zrjhga>-0moNvrk{)- zylVepaD0`U?I-F7uiC#39A7gyylQ_gIKF0Z^wj=M;P}eT<&*Z&Q~sO5@kMSvpRkXf z^4|iEFBu#?<-Y?QU$~ihk{> z;P@gp?N0Ecr~FTW<4XpA*!bsxfcM? z)Fp#sSN(exoVsLic(wiuz^Ti*sq3rjUoiXR>+umhIe%eS{aXSKR|dzf`d1B3T{1X! z)xTPB>XO0XRsRa$)PthWRFAyek9IwF#lH>v@gA-Wj$QFj(t7HW z!3*BM`s2WxWfo~u#5`W3p!!i2jDZGi{ zF;qAfU;HGd%oOadg7acf1$3MAg9A?7y z-_Xw5pM3p_25@+_e{_Z)u8cmsTK}%#_;~)!Df{TD{Q=)L z^aVSa??*r2+;{FxelEG8yV)n-fUn#vELs2Fh6@K=<5Jh(HuSO2r=O$$i~)Zn#gAS2 zzVU{e!N-HYn*4lv1H9_r9Av1=_`Op4)LY{NxA;0S)xS3kw)l{5=pcBmmm}2|{P^5Z zZul^8xH359Lz!_l(5jbIH-J;F@gIc@HZuB@t9}(Ywler=(6353hTNox>PPj*FZF*KI9wT=a`k^EICah7l&k)1aBO67%0*w& z25e>UD@~t#1GX~w9FOnZ2OO>pPQ9gnNRmE$(dtzHn2Wy5ALJXx(1!b`;&YS7ceVwG ziy!4D`}fV1eX8_sN*m{<^l|&jfAt0HKh#b7Zylpf`udbn)_>*x4czBpME~;AggmQf z&F9GS8K$JE!S(w1=bU$YYy+}(e}gF#E}f9LZYTO1bfxjKGe)NTe#qMqbOSzKn)=*J z=JQLg^}18KI5&G#@^{~I9{`#6ck-E>e5Yads^q-C;Yr%H3Ecl+-k|>9`$qD90UQ2; z{w~k|gU#Sw9MZA4F&_*$(Ybe9!t)e(Me=?J!YjX1*zhlo-p*aICDpE!i~YJw!SVIV zEh+o2c>Rst!LfDKi%EU)W!SOUKNvac`2Eu&eXc?1+Pz^hzlmbad-pJeo4F=ux@OI# z-LK&Daar3pEC;_8{F~r=xhBuKy;=x<`5}hW?;d&(oO-(EuE{djT=6q^8eRj=ce>t{ z87YRJPfV151NdX$-%jG!%{TmY@IQl}mc;LEZ1@(+N$>XOCC2}B&hYID`i`0tC~7) zY*n|hRWm0{AKR_R3CDHsHf8eE89gVApV94t$y2&rIAvD1!N<<-b3*=v-p5WGcPuyV zpEdhfDXp4(LAMLXjq~EiPNCv@ZXP-CZGc-$oCzIeF4{)@+( zo?SL{Xk{+9cfMrkh_d{M$^j+A2jvInbGerJej^6t&nn56myJN6852oGe!z%au0<%7 z%QcS#S_E&=Z4~fEWAjy$XUtR&XH59+ ztO-+Qn(T~Ar}vh(xNbu7AM=a!p9^ST-@L9cnD?jaKe=vo5;Xh^S9q$e5$c%J#S%XMSjX!6VK{Xq)nxLo(9E_W<){`WUjVaCj{ z(^*UF=Q#J&wmZY|Mzfp z6BhH6`*sE%sD0L~0$AZGj>kEk6G^YL$Gl*jCjQ(0KidB*T;JljFSH-bPQL!nc>7|s z@B+sx@=kSJ>+cpBzw!3{H?k!htkW8YkAr!K|D}Cda|%jHzJOze{v$fB^{=ger^k7m zpE22eFvn*2`^s9;fWJ{>h$; z=5u#7;pJ;g^#xy7d8U*kd=S4SwYtzX)BE}r^k2UZ;rUa!?vuHn_6x4nDA&4KsNc@7 zM;p74U&DIPG#Ed|-=6Y|(fuwtN0S4heX8(p>_9o|i{?xaO}a(D=PfwOnG?Ws-DmM0 zu5i5pR9x&H3w{+jN0SAvHEMePqB!#d>t(L{CFt+R{C-o)zwTU9yb1Xu`To#vnSV}5 z+5fiRXYX9ee)wPDoy`B(c-biXU+MR>rOsP#6yH#UaVy22-d;zP_ZaIAk~}_-Z|o-} z$goGZ`)tW}!sZgiqI#CWcbblr8{J8OH!w015Nt|hq+yQ$aL)*3bFx4K!kM_tNuqQZe*Sbw= zoDx6coa>JN8)>`NT~q!MKjNG_;23b)q;)K-cKFf4bbr zhdm~*Er-~3pe68B^BA8QU4*Q>tbgfHZkaK>bV%9I0j0z9Bl}m3D3deV;blVy9gSTX z&#m}f2-gEPei8BMys~S`*h?n(vOw-p)|-!h$8oQf%l_btiQ@xJ#&vGcPUl`@|5lpg zo|g0(Nv$i~sgj2{^Xx$UpJn|dgR_q)WiNcnnWEKzKIdEmw}OuhaaPt+V%?_`R)$6ryiIh)+v{mdM>h;62ylnL&S`E!D4o;nyX+zrY=NxodTJ;P-3&Jl>sH zpFYVo>N3|Id68=x;R6k)T~Duaxf6ftjvVdwh;XhY2>-F@e)B3~-^3kp6?dHq`G=~H zxqi%nmX64~Lu9|`<38Nw+Fr!{EMD<)CFi+L2fO_ueb#)zyBvl6=du3_*YOp1YJ@X~ z3r^hKYu#L~WSr}GvBW*#|Bm7R0++f@bREx%*Pp$f>I;4W2M>ws?OKfs^(V&giQrRQ z$5wIui()wMODbH4Ev{An`2Oa#S-x(^vW_&+u6m{&+M8m0PgCC=v`3BWaH+d6s?Ti0 ze+d4uYjpIdA?}VW;kx$Uy{*Q*7&|L@zqe~~i`!eT({|j)@%u|QI;0_dN;^Tc|O?Rh6`kgjL*WuN7=F|GWMtFy9 zZh!eN)W4Va*TnEgQ~3Sx%b2-?Ym#HQf7N{{`}j0+fXg-eG59d^deZ;b;MI8$KVD)zoN@5^U&<6)Rn zcqoF}+cl5Ng8y!FcFEAn{D>hX<>hkqxU3?VYnLx6E$LU5A67BElDxdE@|=8m=~<=a zdUZSom6yuJV!d7-T__(ua%d@+wsX1t^uo6NYgc0^ZmY#-_a9s`9I>dpRlbai&=Ff3 zwnF^}bIm*|+K){Q*SzzC$}9SD;k%@=bW|BvB{L>}Z-V^W%Kv-WxJhHD%l~`l zN9o56kz4KZxWewL-^j|+eA$p;BZrsfhgA-@%j5k@D@MrM)P8o~e7^t45tS7~^#0cQ zvI>#elfrWbaY`ls?32$AIENcwm-V+AQPzsG>0>XPI%QzhAa9&>%|V zrd7?FA&16r!t9w7u*wsD@(yqGBaX|^l=e+-i3VYkMrYS#`eOAgRSwp;>*k%0> zH>WK!X3dNXOczY-lBmE=l?4#rR)D_CFzwYw}{8pCDUG@V}xuxzp*# z%Kv$3Sw$cx?av+{^q*@)uggBtQj>RFV|lB3^~VRr$^NxG(lP&sjxr1C|B@L0T4Xnd zG_Glzxc?`FK*E3aSb>i5_v}|TNM)73Hpc%3vTueou4ze}-(QFoG{L_;(oz0Tr2md8 z?Jsf?ANp(tNicB_`h&&Q`3;fHN7S7 z|4AVb=ifvNp_A+T7i*_aOIgFq2Z*rnZz2!;-?S(Hb6yanrq9{udE);UJ-P?w3I4rR zCwIorLjICLC1rZ1r~EBr{OrCr>6x-;;yE3A$UCj~7d^rWB*A}hq@!{&e+=Q5prR-K zw~O(2;(wX&EbS5ep4j^z4&Vg;QL2+WlXf)zlK%ZmM+k-TcaHHNhiuf%-5xy?{)YoN z!B1(RWBdb4MwVBG{6sa3KYQa%?AL>|2PfAm=lu@{aDxA|NJsfUEA2OO#5qc#{+}G< zr(110KVau`gX&oS`JzWSz!LoI+XEf*pFQ3P@BbX<{_;|5%KtS;KH>l%Lp#@woxnpTzjDh|N!5^lW&1-x%pw`cae_y zKUAJy6Y}31<7fTf6kGqBy`S*E;qlG-FFGF|6#73T!5>@yH$8)FZ2fP3OoG4R@y+^= zPNQ#*4f$!`N*&-DpLsF=S^qc1*8k=gB>2ObD-oYpA|2~Lm7^;A=?tR$FU9y5A-g$< zGS>!vp6EXf&mYSp9p(S5bYQqX&tXnz!yNHV@>iKB+H$Pm|1-(OFWTmfabP#`o^kT} z%^b|rn`cHo{9oQ_{g%Y)w+%b*M2yY<8Xw<18=gPjj&y?lRWV|iY!7_@-4Wye5ZTXT z{E79iXT$TyXOWKaj~G@qEb6}>NBo-`5t|kfe~aLLAbxx_IDc$r&JJ{}eTG*I9HAr< zADOdXD|pV$t@)oe3Q~*S@%Z%W9+b!X*XD0TI_CcnPH-J55lAImvt!QKN8tf0v7n^Cj4)3{@5&i({z-7SLuKXe|n_nFI)Dd zPri@LCfey_`tDogn0Vt^j{728U&lLbpDwZb^}~;{$kLvCFIWCkzrX0!;QY0DP^4q+ zGrVNzps;_*x*f)ED6%7C{K4<7wSV<$aQ@m%R|<5DpZ(hitXlgIi}9a}Ec0BD_S}_d z{|4u;&G|@2`9CWy8xT8x-8?$RKM~nW0(L&v>6U2!2IsHM7e_kE|4Hcpu9}of2iEvc zit$fJ_VS3o(AzYL^{g$o?ekuwW9>6gF0%@Y^6!lCON>~zi*N zj85*PYXdEKrM_~W%!PI-RXemtFb>~nhED59e!tV=cKY-QkoY?LM$1UY`T_gU(jmjx ziVDB9amfD-{^z_sNc%kM8ZmO%u=+Xd6&_^_a2~Vy4&(~FpT&51iF0RSwrM=itN$6tU0fF(<=kKYMLx~j z{$IRm{f>*(Z!kXisw;ila{HZ+kKgfu%|t(7?-S@)I}IC1PosK#IOR3e&gn5bBheWh zu<;zpA1B(W!FB5m_5y*9#j$_I(4oUiN0e47|JgDAvBL+6^n3eSt| z5sMRcf{|jXAjPXBj-;1dojfM07+G0eJ?w{uI(&OPWn6tnZ8eP7P( z1QTV#4(n2&W9{Ml(?FhPG{AFdeeR3dVXVIKWMt=npC{TQykMEI^F*X$?NKsd0AKXN zjPkRG2;(&m*%xB`|4Ot+k6?mH@c%8+iPfW|AEPf(k7r|c{*BJUn4OQ4_2^|#!p?sq zouFMxD@QT_`3yLauSVvTn4M+(zb3NNu1}&}dNeo=S4TQQ|0=Bt8Ek{Ogh3 z9OIvo=wCe=9EYz*I?Df%?jHwKjI8wisu1JfhU_~r{>NhL*5^IK3#5rSasD6Z82{i3 zJ{Xk>XnXI7@qdWy7cu@qlE1<6$N7JtW9=>ciH1-+A4hh!G-h4dE3$Lop2_xZXdG^7 z9_d(nmknhc4r0cYIZ=|>+0ry-rw#uf;4yhU@RVeGH#82nw2gGEy-UjFbLex7f8Q8? zdt^Ju_^(K`cX+`v-X2>zMmiR+0i`1+>Grg}y|4S4BG3-o(fo(D+P_@y|f^iWvVn$@Xq={LPMZ ztlzTt9a1v%oZ+Q*InLK(R?N;EbZ(E?`AM?hHaPySk94#ipOy|db7TdrL!98-%sy%h zV`Fm{a?UB5<81R%j^P}!Hi@kM@7`$~u^ILQ_Q6|z7x5qbQS3bB^B%n#95<}rfsWOW z(H~v!m%Vq$|1h$Diul{_ndEP9y!=sha^GMKSU>Z-duY`EKg9T-LUw+P|B%GKF}z@w z=x2Y8bj<&<@)3UKlJ?p13`bMWBj$0wa0~fM{7>KBG?#UvQ)KJwc&GKlei*+c*xnLZ zIwZLNsGo0oHQ3KrMmk!*k4h`}dGx^YinIOo1om8;AE#b$Z~B<;hBV>3AiFpZ;{BJU zEzh9?|7P|&n}!e%8u0a7o%=EC`V%(y?~X(3w=7ma*6uCuMH+{;Ow2>Q8XRBj9|9e# zU;mP!{Y%T)oGJfXF@EY)7ty;zhbH)Y2TM|-e|#S4*t$Uf8(!L9qFB;TJG$EWG_q4i zf2nI389MZ;#JbSChe2^Wb$doSS`W@+&mLMjTrPeJzkJpk^n<#6`2WBd|I>+mZ10A~ zY2E&+lRM)^#*KV$>4Vae&f^9=z9mT?|;=D z9_jdbHJ>jnFBwtE_vx>JL9u5n-2A{W|cF@1eZi183r zTlWhV2jbab5cXf@XBiTQXKX(h;Ai>!!ED`|-$UNacX3a$`@sbGx%^&oBKqVHv4_oF z9sVvu`5#?|(_&w}Bsof;ojtwg2S=rrWF2Dvrk@!uX~M3+5d&%eTJoQ;->L=5FCzu(>UdNUz}&aFXejS)Kq-`VEW{B*f`*r6#rv} zlh?s@&>1QG&xVuN!Ex{dDIB}%-;3aIv@cEJ&wBsfc@vzvw0|(=-`}l$$m?jsLxTHm z1nm#6wBJ_d%sRLZc|X;DFMIpack1x*(9KEvQZD27-8SGu`MtyHX#9-%rSD@Rvtc_j z%&VX|z3irkL{JO+?Vc%8HdpG@h@dk2>d_f&+e%&Vq zO4i=uwlh}7+r;|%q1V}ZfZ2IlzwbMhZ?xyfPnbBFuU~sR>_0*~UnKc*1MGP;GM~r4 ze;d26z*g3NNzy)1^6P=F1D_BTu?i^jP+&`B2}O`{y`2ADzu+=|*HQm4HIMp<;`Bec z?^nI-JBA}QE1KsOr_S0>4+f_%yXT^Qs<@O>?=XE5J$FZNNus}V4ZdJ27rQTj>`4L{ z6_gkH=|ysXCHm>$GT%o7Y-?BgDgSUkq~DY=r;|T%&%r&HUv%7F-3hCw@;mKF@uTF1BCPHrT&f@%v83)hv7tlHX6ne&1Q}zmeJcZEQ{DdALWC z%ljFw<#^Yuv9yo;hGy$&G5iePpXgf7isSt~-e(;-=P4)O?^<5%_LAR?d40yX@OQq) zxxS3U+3Q@(8(otK7f$dFjNy;I!|%iPaxHl@Lu4P$(7#{s{@bqAd2#!<(7o=Y3fAmM*-{4wziRsVg+$E^@N6Zgf&v323Z*kSQux1Os zo%4Q4mp65-c%)0z?`|-C@sIbH@8eqiRQQQoklv+lOWOL7#VvNfvriA7SUt7&m2Z9V zzLo8HuYDfz@AdaycYb~A55HUCE@#sxPtm)a(N6fitsiUYVf1s>{H;qkm=DwI z=BFKQS~Pyf$iEF~&+pV$dtY}lZu&fIe#^S~o3GJt^EtkLZIyKZf5i{&PaoRv+4(t; zoKJ0?&%xN2w3T!6t>(8DTo9Ze>VM&j=v7w5^lEv(p3{h*xZM7>wT~DuJFlvE&P)alK&-M>>mEYt$ETM}xMbX<=~7r<*Lp&uj*U8Zye4-j|Bkfj#qa;v2W&fv+SVB2{`d3)wx$!G^=m8wZa}44bFIc+}Ye~Vn%G=yf*DY$7I~3NAw2ifqb`(6>F4s}+ z<#MOXq!J`)mtRV|uwYt>+C^`xN4vD4zh0Z;FVQezyIh6(O@a1q;w^GN=lGGAE!r+3 zcN+)$2}v2bg2l!50o#zbl)J&57RBW`U#k7}AMkPSMDS!>9-`dj0us$7F3(6@ejgTV zTs~nOUo!R536$D6PIuR6eBO)tpF;I)J%WBHa!+wQ>SZ(ajm!NNxhIOq6)Y~)RnoS5 zHItXzLU&9Qmvz2e zTi8$jh1$|kt#~{{j&)}ndk9HI;~{c29IRCh$`vdg)KStlpZZdX$95L< zZU1nBC*#39u+8KG63sa|-1dRQ z)Vahi7l-xu0=1T*TJd^_+&&yFylkc(bDX<#iOY2$ChaP@FUViWxi49cG1`v%@a5){ zKN;EiU%w0H+wHwP^EUjo)Tm1O%gz?1vOY-&q&%p9Z}#Tfy9T1g`>V?JK=x=ao2h@n z+Ogc@B5$eDt?rx2c03gxlM942mv$T^?btUg_VbqX$Ej6SloqSU_7hPn4b_Uaqsp;% zZnynU(RxhqTq19&(JQWNvL39h+f6PI(p>5x`-Sb%f*V!*NkaXC}ln^J(PUpBfjqi{cigus9h1N6>l$*o5L~N%Vy$HuzK9$apASp z__TC;{RAG93xqV!QU0?d8U=HMlxaO=|E-r0`9Fv|TaE3%h_s9PLo6k2kG3X_XS)5P zxIgM~jr(HcCcDNw!Ydi~-%)OITiH{DN&J0C;{HHb6!x#G2@-bv-}8tt?(%=&Kl_gD ze+bpGaW7arnXe=T*DHA2_!f6avi~#3Z8td;4qh5l{<8STf^RA87gNTKm4!w3Wx=}Q z9aYKD&&N^!N1!eBiQdb*gdEp9wmjOKu3yw{wp41n zv0vVPhZ8*6Zfhxzmehbp&m*?W2MOD2!?IXh{Q0t-N60)K3rker<*2_Fsuz!o$Zg@+ z;AM-(MdWsHY$_sGu((iHN!yXP)TFWN9mZuxCtoUY*>NOti=E)fxO@&~asi3v^p_p2 zhzouMNk5;E>j+cE`3cj2FYPxULbFG}vYUP*a(i)LTT;>Zh+G>E{WP-yxjD|g&6@c3 zFzU?MdV3ki4Bpd!Bv#wee~UTb?O(%jjC1#YL_UK585(bc{a;fsk4wxYi9JhA`o{Y0 zjtXC@?Nf!^yH4;#`|MzS599(8&6($Sd`sG=S6CeO+i4d}znD^4mvw)Y+FwYWck~I> zipE*xPC@o~FPmwPInMn`4#wx5-TZ}zV@@WXr=c^=rUss`+esgILPw^ zuP?Fxy_5aHn~clnI=WmN`ss<|(A}5z;hz0nsN?o;IMDNOP&jucRKo z2#ce7%$zQTMf?4aP`f=;E8bor$Gow__9aE@!CEV62lAGhc1+jf_uwWM2x%_$__Nf5 zPH!o!$IPiReDSa0{pgP0qQ+bnq>O(-dx#v@*>?QVOJ?K>)*kdFNy2NX>EzgcbjMm> zs{L*~a#gPBBk6wkGUX;0kZ3OJ$V#c_t6_0CzGhFHE`25Y|_tjY>>1*k|o{4fS_I_2O|6 zIaxDp|5G$BBKH}`d!92RSMYIpv%SZ~zNJ0N-O$87^i9_0KrRr{oO-``0QG)z&#+kQ zz3cyB?>)e@EUy0lxwrR5TM^2c*j>3Ty)zay>#UDBnyOi<{E z-?@yZ%n?ERyzO}FtL0%Ldi;kGJXRjI9SXmr^8?YR=w+Pf<*>k8_0n{fyNyKT%CGJx z)7fq|+b;*(Sa;YqGGOZ{zn@N_JBkl|wYU!HjMCAMZ#%LJx}?(wX%r{%JD0Ib`rV|% zPq)6Rj|6mOM)0^krs41C{6O@Xg8#{)j~Rit)5o`}YgekDw2y%@@Co!W3AQ-_Ti59n zI;I1*on&b{=p*UUf$ZY8LGN7V!RBjWI?u7bn$Cxzn`i`&r}LZmJ32oQeM(tgHs~TR zvSm`!*|K=?YDXBPYaz5Y>56nI?{_TYHt3zpoN9{W_49nfa&$hCK1J`BiQX>^ysh4A zRxGMsr+!`8L)%!-*>*|5*0tV+?n*uzENz$R_@%`qT<0=5LOGs}9}|wF^NIAChW|a1 zjG(+g=8C-FPSvBHN0dfDzg zMK9ZrhVEfGcKDFEUXoxwcl0UsW2@-pqrg}7vY&kxu7Y$~Ki-Fp@xSl7OsCNOi_a&P zvIE@)#$1~jGjZq0py538jCrZVc>U1fPxdjUD(0TQ5%{_G+mG*m>S@!vx^9)52Jp+< z_FguIZOHFjc7K`Yel?u8+}4U-9i304PxQLI1ifz04ZORuf45V&xBp-C|4P>b+OGQl zq%AMo$Ji)^-nr~K?bbQA_a_`j=M(9Zdb0fpk$Yg^ZR-j8aq}eq)%?iz17RN;uyl#aaLKCBD6q)RttU!2f8mwkQ2zTNJ|p;}KKpgg`~1dr8|?Tp`j zIzJG7N`6iky-W_gRWH%~DqXC9Y{x#@J~d$LS|37p5}ztd+d&^mm(FU7OSsPEq{(`B zq+D)4lW-iJPoz)!=k4>Ehjw!);^&wD+C^(t>2F`}r$8I_xgF(BI(aS>E@+{5F6Xc9 z=5e%h5!Tz>O?!c{yy?1#DfXQ|Nxe=Ne1fA)Onr;d#HJjP>p^>WYrL zKjJ%gK_0w~w)ac(A9X>yO5GDDbC%BKt~Z0j{_Ky|SL^9tpgYIGehlk&HtA9NQR!F7M9>_6JxU~$#kUVcB|@Myb0KkL(3 zYWH9CwnOyxao}zBhT7~F_gWdRiD94ORtj_=K{{(Bpc>e)H)pwfisn zKSA_7GVl)C#by3FUWuDe({9VJu0eg(wUMy_dza-;>5e0+(U!I={VLr==#K7!F6s0} zTEz*yb6h<(Jk*=(^Hnc=M~{MsdOO>4DZUbXiYc7dUT^fNI~<)Ki9SVdi$!mz2Hp|9 z>3SCB^55%iChUoTy=%P*-6B5Uu(Vz3P3Y)5cP!|FF6s0}TE)qFmUD%3qIz>(r0V5I z&`mXh$IH{V@ON~6Ao>)&d`I+hLEvrctu+5`W3fa0dAY9EL1m3?$0b29UF%2a82|6s zU}?M5kI-Qs?6|B8x}?(&X%;8(J6HHCGd3(&H&|cQ58rpJG=hia>Y)fuzp#UQqNlen zSGVEs==@0ZiJh|JKGEOpfp<`@R@jAq?Egwz3F8aMetReDkUQ=T*t^!7&^^HCE=$`% zZ%L;Q(jZR4b*|{-h`q2Qv=_YI*F$%M5j$<*5|L?mF@<-|D|98A(DLc?5T{?M+lW?6Yx>?d0>A!a{7TuBb;mFg& z|8HW~PY67NbSyi!Ry>3J!Oqz6kASRW{v@3|^ugj}z1g|qOH8l0JYRr2I-f|NBG0xi zBaX8Oyd#?Q}OWO)A;WJC#80X!Z* zd>a1?;Dpryzu$$=vmP1P%o30PA&2vVV^~ z;co^<78cdx;lJhhdDat$EV{?Sf5+jUf)iE;{C(25kNRE1y@4Y**CIW9A6)yF55O1G z-e;3u#)BFC+TqA`@k#KX%DEpu1|QuHCtj6*H}R8J7RTfAZ*}tVtS1e!cpj5~o5Ok5 z6IKU&r^R>n1t*LSIPqT07-(lU?fWM1yTWr*G<~F9<>OgTT3Dox%h%#?p7n&qqHPS{ z=~-N!^@PDuZzns?efKx%bg31 zd9OS89qn+!)%N=U_)i6Y#Kii~o%n0~hYM_}(GR_v|FB4*01q{kMaot8D+i zy`*j5b`r1F?_ZI>AAs+O*Ka9zDql7Hq=m(VxP16)`8)?aAN<$t^jqWjmxB`*lmBsk z{Iz{rPyFw2oJ3Z;_z73zUjsjJF{vKs$6w=r9voS7&uAAv;VS#9Li%HcuKmOB@d*^QICpC|IwzFdSbCu)26&m7Vk}=MIwK3-(Cmhxl z=|sAoHV%8Qgc#VMmj9*(GB{<=`YMR_TYpZ>bA75hQ?TpCP^BeK` z1c`Ab?+bS8hCRjnRoTK&-!rzmv<(1zow%=rIFs`=Os3vjF6UTDo_={SGQGw*2;;$X zjUh|2r93=JJE1sj)?-!R6>K%^WKIDD!VQaz32acLke0^Vs9|Qg;aG7a};AfC0DjzclAN~!TdE_X5DQQ-` z9Q;G@3*-2$j3E>!Uq1X8{Ngyi^=6CX8@fDGHpk@Y`AJ((N0*VZ_~jhhcPL}$CmsCU z8>1gPVR`8%8#mo`j}2xWdi!#o{jTl*=dtr?*sH^_S8MG7N=+l$+M32i?y)YgS3CRn z4a>dBa>a3QxiR+-=SAC9oi5r0*8_RZ&^aMbecOauKsc zCf9x2Zz5He69CU5|7*xm7=xx69D%5Zl}X3|BdUrbGUTe zB}-Bm{LmC|5jv@n6xeTg%2(B(2<5)2cc9j6U&DA82j9a47e)MBilbzHl5+wwO;-C$ z#<|9OVr8c{iT^*}3C<0_(YU#UJiOGK+pvQ|(8U$sNah!~e{-`pp}Jf{P5IGe%Hd0aI$KX@Jesr1z;;dHtgUH0>Hl|4 zHF-Cg{tKlaQ=I-^oW$!~-XBego@hdN7N8G>-$oK(ebD@@>Fn^{htmVsoQ#{Zg`4yBu`Hr*Y?+L7Qad4O+x3Pyw*A{pzuQ0?+>mC9u9bfEJ>$vB_1a%6 zo^p6AeKPH(?{YHD0rK7NA9gibWH<7i?+9&@D zCzygT{!;6&{LnVMZVE?4`LP)q?lb0)?{Eg}Va)3eHU&*SKF{)w?N9&8m|0x@m3|?U#Z}O-6{1rJp?;noC0dq1tbEJMRx?Ak8 zCv3XJC*xVlIJQs20_+FR+7Fe)iyiSmTklI!o?E=+@~bbxewgLL8bY2qd#vp}?CFnX zo}p&N!oz*LdhxOatCuF~)~~8wt=6^VCv;yV5)-D*NlfByh$#uVJ&#F3e?Iy>;wQaX zE}ta5*utWpk|Rm4jhS#HzReQKv$c6@c0^^hD&t6V1rF(ZS|6xl`AYQ@utXJk<7<8 zTxtEJ{6$^EO&c>rzqpuZY3qIVekfA?FEZgk!7zH7H>V{rwv+nKR+ zzhh&OHZXMU(k=TG_h@QW-KuBNvFMsMHHhzZ8-nDoa|Im99kxTr(m?um=lZZII8@|G z;xFaHwSQ7?ohx7|Bt_a8;gdFIm$c(`e71Me&Kxjl{n;OsJT>t6jhlXXhYca)b7*(j z?^^Fa?Yy0lNlmJNx(@X@GJoH&*Tyg5YJ0RT+8$L@o*cAB;P3G{jnCI@xMLTShFTX1 zkL;1|ynNAb>*7T|qV+$|*8knK1L7q8GPbu)+K=72TK{*+9E5E{NQ1)B_G5RE<;Xgh zFr0qHXHThXKRV-2hBCLIyOs^YLHn>V92ZNPc6YPsvnc5)b?J$;f4j>pD&n=sm|IkDMQweBJLTw$<+A6!9V#AoSuYnw021*4?(h(sm~jj)pq6 zFJ{E0vhu4Z}l zTG{R?=}~znK*xGU+rvEhzNfe8^_VHt_E_=C5N{pJ_v1{j_oH}~#ryFdRXXkGfOV$V z{wU7;jE4Uv>l9^tzuA=bas9l6-#W$Oy?Wa3=%e&eQ=;=E8vlIeB50#&AB0z2?(4!I z%}>g+xQ0^tjN|QhZXki-4BH=l8R~E4DtCU{mToDPR&A;NLr!iC^g_v(cuQ~f!!x;m zGilmxs9e+;#cM+RbGhdtD%Wz0HBjEO`uTJs*D5R5&QPv}%T}(ghNP`NHzmkW+MGZh zs*%QrjLzk;Kpx6-ne~-?bS{60l)I=r-?m5t^*0k6!a9-X;tukhTU%47@}Q^73d_$=EnXV-A^CGfk5Rd~ixb;F^LG2)=JTIA=%>1tW89lYINML;F!%y#TEf-2U4pzic=Mq}V6_3tlnZ0=f4%?=s?Ud6K+LGleB`pG8z(u8zvsA+x6+j>xsQ zgIr?v2}RqM;)tH4(n<{YGybpYX}nYW$}f}B?PUD+>397%=q9o5@k?6y_oS(}DgCQ` z^1raRDG23PYUYQ}3h`%5dWc)Q!1LVrLHOT_;;ucAcHg<85?NnxcDLALdmNT^x=$ic zNz=d${8Oj>TGO)N!aK2(_6(-ZAm1L^T|Yhh`Z;>aiS*IC-!VnEN;!$fH_*~#ooJu- zf8=FxJT$)M$h|uf-&j7Sd=O3IlXd!iC-Hk2augUZ<2@L&O!m`mo&GWUM8%KhP9gf& zeZ}CJCi@M;{C!lOG5F)MMqsB{TbW;y{@ruMU!0Z4@73ft@nQ#w4rJ{^l%w5%yR<6ZyTtJ_o&uUgpuiPN41j3Fiq?#>bZ<%Q?mzcA7CKY=Q2l##~kChx|YD zl=L2q_B6foiQ^vQWgSV_#U{JW6zlrOm{x~VcK191jviV6$YF{pu8(ixkIO{X(5XJY z<^Q(&@Ox9Ij|&M`@`#p1o*xpgS|`KekW%U*&nt_fh+Qcl+Llctozp z_=v73mwvp$_i3~7^68hSOd#G5!u?%R&Q!K1ka-V$)GsM#PfIzA%H?d5Ojn+)EGieF zT4&{IZ#6i%ULtJK+5J{7DZd&odx*Su@Sjf@g(myG_T~3CHtftZ?9={FaV@3&rTNo% zmUPAo7A=zT2I0NUM|AOwjc?pI8y_5=$NBhDjwuba)?->Wd3v*LeJ?r;@%c?bG)UOOy#s=-S06^ENxe`4c;Xv)ZOp z@=VhDo{d-AjL(=4!Ef(wW5z6D(egIaQ^FRx6yHm|xjBrjD7%w#xYOd9gF-sBgZ798 zY@N+-H=ksyh622gc}Z!Olnr}>nCM6QI?>S=5jmPUMx%l;)lAyee^TtGm^2B%my>#8 z?(Si{+!r-iQ*x6lw~=z$m|?LD(iA$0dpEIKXWJ9s`XGD|?F-ReBP4!&LQVbjvXE!b z_3TspeYoFG`b*JWV~Jh&-7^H@NPl_PyH2;qODM=Jc4Ut9mpR&h`QkOw1`OS#G=}@a z3QjZW3nhN`ta-!08WH`Y9~v|IK4=L4BiA4Q>K%vor%&3#dN%b)`r|#efAmVHID9bn zb*T*>{+w*be+%~z5WYu>yl$t!e~*Tr(^v2f#`G&DT=p@1#GO*7?ZQ^`GbyQGhEuCW{gkngee^rN3UdhM)T@2)(UeRro=!d)ZK8ST3`9f!s5 zh10okf8(9xd(?^E*M31;uol}Eoi$bSo%U_tai+M!WRz?BNBNTZbkqBypN;P-9?Ck|Z6H72uM^t@Mpaz8rfLHBr{ zy4r_zuYF;ECw8XLhz%*_ZO_sCpdLLPwKMU;#__xdcuIZ7&U{1c%%mGPkp^*;58vfJ zEc30eQe-n-d7=Lmx2sGa;Xm?W_gKk?n*%>Bx7)&Uqn4>;grlH69n{Zdh+C0k60gHACx0?l7G(SU+K!7>VmdJ+aOH5G4?uF?i7E>;pCS%?oQ1A z*zH47{85V!{FBAU=9z-grbzQ!ams*%FLMIow$3mG6kToir0!_h#-2piUdF%hK;L_u zzQyiT`~~n8;8KUNI}4rNSvJ?U|ea?e_dA?xS>V&7KXU=^e{v>rrgK@5)*(OYN+^ z(enE@cqzX_S-;4l$D4GwDHKiUyf9<`vqk50Ic3zuR^) zT0R%Au3JzS6pyA-_SKj5lm%*Dy-HM6&8jS)lc<E!t~iytTV}eUH$5B z^ZshXJsZux+Hn8XhI`#&9&Eo4HoJed;hv)|YZfm~)cyZr!@b%YU+OyPw^pvGPORm9 z0BIqu{ddHOkt6)}l5x#U+Rv@_x2(lDmpk6=!%}=3G@lyt%=11hU5mXhT=AV2Up~Ni zhue7=!L{ELT%7RN@Nq7N@7-tTBlcnYN_(FD`*1!&!*Ah^(!&U&h43$6*nBn?9!~m2 z!G#apJ8TIwgq3@GJ3L*(_cAXA#~#joARhj{Y~D}X;w0mNaGCNe2%rA&321yL!pnCb zm+#e!jd#Qh`KJ5kcDgvs6}>vBo^Tk*aQ?o*U?-u-sm?0Jl7oGW^`J^vv-gxxaCOFw3-UImG{~mz0`^^7Kzd*Zh_S>eg=t4J-C%E_t-tuGPjXs#~Q%up7CO_yG z*pCqKOCPguuPM4d(r@e=ZE@LMu$y_M{Uc1#ovz=JzQT7mhJAC+2X0=>$H(34Q(>0? z%CEL0>nGBu*)Vi1sdXv<>q$?`dJ?$GkL==*-*>rJ%RFJy;ml2mYx;|Q@<0Dm*KaF- znU$Zr?7SoY!FT4}S|k1De&zr~Kj&PfDhR_LAK{n07-9-``21p*jJ4^C+<9Dlh_gG@ zZaLQB=i4X$i@)dF4Z{CZ4MX>D?0;NoitlvuSem{HaO4~O3Oe46d`s9Y;r3}O&Ro6V z%DPXRw`0%m`ODdGr}0c}rUcYF#@vGVA@ z{ik-)@6Wv9rREjqH9hni^M*EjwNLk-VfnP*{~|h{!F=1or3-4^j7Hn5%tO6XDDxS! zE2mXWnK64#)%3}p*X0a{6DK+kJ$4~BHR%(1JG%7PLZj+M^uChMgV2{bY zvh_~z)i$Kk^dQ7;w@2+!>a)0B_4djCZm;;|TKLbi{)f*G@E*rR`LW~o6Nd2b|Bll1 zxIp5Ub{ApZw2V?DlzU zeYn~Bb9cD!ieGW_ri$N296u#rR`7gQNs2f7^+D2ik7XNthVjPiGiJalQ!-e_ajLiF z3s?vQo z|Lj#qKE^)z+hjWDXS6>t z|HNme&RqV%w>NJ31-cg3JkOXL``hnrKSWFC4Ptgby=O3^ZTk)M4i zhaWL!_=v+-)T~@RvU<_#!_TT&arlxIYYv}s*t)S}5@U`$Y}LZUmes6Sv+gkQRkFjX zmo1jJmX@tqRIP6=$)<1rMFP!N>aeW4?r*bli#%G68xpfmot@xdol0hMoqQXN`FOrD zzj~hYdX^cpAd|f=^ar!)Kkh>3%})^y?eFEQ%zwnOZ&AbFZ`%~p)C-vpibVB{n`dvI z|5AQkI-6)ugr|AFOJ}{N@#G0p6Yk&^{E4UO0OIoF8OZNr!+5;ou|RMg%2_m?LqZG?3|n4VPjvfags;iAe?sexKHSpTeUUzw)93!WwTac$3xz_;QPa2G zr<&hMClCF<#3y!@b971ey-k(pB8y*z{pI89Om1AB3oO!f5%L`4lYNaZA(!UwKIBT~Hqgi__Yl`!N(Sb<#h*G(c@B;Mc2`>D}*ZNqgF~$s$eAHqY_N@c%XM zHAy^;H4;Uwynqv=;~~d$XF#9%eP@@bexHY*@U)!Kf7CrDTj$xg;?mg!U(?er3}{0q z`5l&OTYqX~nk9X5>grlsu9L35JKHKUPR%;j%+h`IlK;)x8rz)2 zCN@nk8Np-sJ2cV%`gAcVNq2LGbbO(&m1B*hnwCcNjl4~XMBUm|O2j?IlKz3*3lhsH zO>aK&)Au*EM#6J;qWCvw^GUUsjVF;1{^O&5q6wM4yu00g~Q6fw#)hNIu)DM|vfHT@F)u{B$*UgRNh{X7#c!;TOv| z-C$@%J2(v=x^tJ-ER=)>>2&2q!gVhDVslKGPG=BE{cWD96oSXoc@&tV^8?W*I&PjU z=^Ph$+jR1>v!Bjn&uU5M#h&J4)14P{^8xDgo0%ZpBM4@E5R6UF-b7-hUmsom${m!= zm3)q~w0^oBS*RbO`#PVAak>urv1!)3EnV!{FPe3seq8&h`r&)?FeA8*C#7Ea&QB0J zjNx)_q}Zi_yDQv}q4jD$YbNwX&6l#zs|c!QXD1|Wy=-2Dvaknz=M8f9pO2H-)7UFo zJldFFQrx%~fzltz|7Gr6Po8oHsP~U>eoNzDrMOp&=_6-F<>F z%%ppdHRIo;bx|Mms@Qm{%>^C6$Ecz`GU^)F_zUn^oaz-OA@(J;KRj~MT$9yUB@Ps3%MZSU%q zuTE%RM!N+c^`NCM&o@X%lBdK+91?2-Ig zs2L>rqj@8FM7n)>G!Ep!Pr?)D)wp#6$)A>kBJvF2dzf_r{X@$nU)@@KG3x?S8 zW*Iaj)*56YqGd$jr{$(8FvqBu1%s^Qx+z(br_S%MkNGwGHLeWUZGYWj?6_^*OrNm* zSDb$1@`K>t!l+Z^y(;X#6rXAPm$sqsZ&2Za{zY-ZrT_EBHh`}J-xcQ`_84t8z)~1jp4muJ*QZHMqNvd^dq#F z>U`vyJsPFf=E zc?)|wvbi^W6!B|0+HbdKiUhRd-l@0nK}R@moU_OD7yoiM$*9%mt6o>VaBa2zPTG0v z)&o3D{^CpjhK-IniAp5LUeW^efD6NOuxl-_f$B3sqjen$4+OBt6f zRn9+Y<7wGoJVRM%C9lL4y%?4=nU@#W@~kobI#;LiEd z@X>wCzBpcE3PS!D9DZ2HKi%|P7=(}gApC=QM{2@srRjGZONjygJHTK0pY(^KU&=lkM)QBC#aXBIM$>ogA8!uI^4Doaf4h~3lcnsF|6lu_oMRhlN1DE% z{{4tDi53I7kV)1?`aR6KE(49}Uu62OHzh&7wGxovy=HGCax}aD7(pv+$`i?VcA%C93Cxm#JNe}TNhtoDY*Ox{<#JgLZc0yfind!4Ra-K(P zsl^YU@9-l{pBE$Lvb9ghue4RB&m&R(G8aE{5zZaBGb%qi^YtTX#U1!oR6j!;PPuUI zzz3u94|DN9Y|+-S=D_bqQAmH`Qye-w|s8H5nHD9Li@e#M(l%||8wI|I}A zAc*I22{m*Xr@DB=9{DmcyL|xBaY^e7ghScv;8O8_gK=EUyj zZT&E$^NI8+{ZWIY_oFbqYR|FOdx+M&~%XA6dHa?UYasQU? zOXysi@NelFq1(|#cuBBmog#kc(tl}|=r~Yt>GNeA)%G>&p|q8y;W$e1bXz}tX5-h# zqz%6<+nD8?p;AJ7zLhbQxtkDhZ9ZPYJxz=G-IM#z-Urz3-YS?Gk^S(!XM{^J5FmMj*jfFqljdw?)&#ByFIlGnqnMJ6%|i{Qiyzc=J8{D@03Ifj!i~J3MQDr?dwm)#CcRu@naxDGsUeM07-}U{~k7OJs=kczptyya~yCjw{ z97`-(P`AMDaOt$ZP=;l^+IEJGU&EF9zGHgTjM*a-lc&v?o~W2GXF_6%j+>`^6gel0 z_9gvgD}($Wyx)|`Q_E@dit2TB@{8Zm^88KoLpikm#Iq}mVRjXj4X5czPOF+pUwcZ$ z^aKLTNX)66J%@{7rk77ioKiK5$zX|x&pmvurfOmf$KpAccou1J_!H00FrHIp%&I`m zHa(w5((_CF=35^5Klpw3K97!1bsL+4v3M>ep1I`T?eHdv=kqWg*@__@si;pFW!_=R zmy!5x!|z)fr2ZTHba*~-o77d(R$9X|s=sTAZ<6KF__lV8Z|aOwW|sT$?TN&9Ibl)G zd^h+CcVDKYZ{OnDmCF;W77AZ9zFUcpdM!@l+tM+;W=)t=DPhSx^;120(pI*;%V!gxi}?JU&ky)K$!7^4 zY~Qvz6WGwg`#<4g>Ak~-DCb_v7|c&^+dXbSMOXFjucTLV0U6ts*J^T!= z+4fcPlQW}E?W=KlRT=O^@^ertGn+WA?@gR(yJWQz#`L>|He!c*cNO1ME~|6#Q4T+m zdAeycD&|sgxO;2%Y<9h@s!6OmchQQ(3Kx!)*XX=%wEXNLzRl=l5pt>B7nWDKmPta; za#|8eR{?(iuwl#pK@UXc|C+En?Y0Daeiu5Rz4WAqk+ysPgY;Q{@OdvO&Awi z+#ET#2R<)%pz(&6S^gT~r(bF3JehjzI8S@zm1}=7E_Ge`#!b(XGh|^6Q!LtRMfA_mmL&EvvU|u`3NRJVWy&I8V=90p8u&iFUu3XU@i-_B`TT{4Ca(O9Jl>_Kodd zgkK%~n`eH+XCt5UL~m9;kxAocZNmJRmrnfqkfZTm6GS6lT>PD!I~VP*B|eGkGCtpp z#77!6KIXB^FPKL9b0_J&rL*{G#3J6deUI2b5}(8+Y5YkfKGLM|@f26|f{QPjzl_%- zF3jH-T>f^pK9F?!BMsvo3%TW`CHWQT_W>P;m~(q0*NZf#><3fa*Y92UKO6G%Et0>_ z1wfbidzU5A{AJDDe9Y(ZNc@l3agce$=vXNK?M~tk`U(x}-{kLVwyHaOO!O^ry};*{ zAU+LXe<@?P8=2pp0rsgWsdwkXXnB8&{t2A-KD^N<@cu@jDf!ss+4UjzR~yj>^8gVS z(FdKVbE=QM)V9P@J>l2cQ}OaHvWZR@#|HWFj*VaBGsuD~dE4ZaN9J8TL))Y0M<47W z^B-v@j)M8N$uhlJL;RRi%u0wc9 zSH3@W`nrnzDo5UKrsN@0Fu>QRmmB7%LASV)+a*68Kl!Wq&AwmmbF}!6OhHWFy3?6=Ae{*RQ>J_xB7P4j=sOc-Y(uB@J_j!wbQl6oBp(A(Dd&gv2M(Q5l4uR z*vp@jub+RN&joyLHD(+2SJsp6V@&>^c7a~HIla*Cjy?+ARGE?~CUar59D24Z_eBcz zqCV*tc|5hT;i>)LHhrIj3c7m1@>R>K>7;9X6Do)oJ3#AaHSuCIa>ifDaFekxz_CUZ zM=oy+pEFHKw&DDNS25Kxt)1!FuC=3FT z*aKdgAGFYg-{o5%XH`VbDtOkKV$Ne;7+Gibyrrx~xUk$ilZ22O(JI!b^!wP;{=`5K z{+XekJaodj3&jtKp2Br(&qKL;T&LvTLF*#?>W<+v=Md&)`c zfpy~wHt?vEGr8TnWBitUzRm*tS2^l~c90czzg^C`q;!1P_K93_PTj7VmUZ1ebo&C| zIj3%zoKqLo@2!@`{deh4xoAR;F;riiOaJcGL7B&#QYZ1qd3nSmXW^N3yZJsIi1YG_ z{Bvjh^xdy4{y!JbKs7jqsxR?KIoZ29v25kJ+5t-Vf@%2J0PA*=4(uGpOWE9;s^cNK zds}gAfFr++z5YXR{~Xh3Iy>$EJBhz>bz*7FlBFVm#4q_*Ie;*6<+QVshmwEu+`63T z8QkNHUo#P#Ty!mZp5V>{6#Xbp+c%LppGlX4qo2X;_45|~p>z6?+RNLO>d=pl+gB&z zHJvvs7t}5n5|LNVIo9~F7bbs+yiWiSU#>g95E6^O!xvnyzwr-!?Q!R}%K0+N|33OW zo%C!4=X{xu-991Cg|+wx;0x&orLP0mbJ~-}+;YCdH-jh059WU*eE*zx!d55eQgi05 zmy(J-Jl*XRj^@`cOXvRk<#K}jj+IN_Zd|==!C7+sF}UbK(}3)sT*q4OQN|p5IPnaL z*ukz`rbvLHbMd(Pul}z5*Sr2uFxd|ryQak!$Nw382+k=iy6i|w83KXpF;<*A|m{=DW8lbc!^3~(Lv$9L8&Jxr+|M<+cdWeoOa--X7(gg z=fy?&kCADLc?U;4Vk(cRDs*t@s^nkPTjod~=Yr1^E&+|ZT$4M+?)&$eHYo0;NWX`*p7IO+hj>cfa}NK3 z;`U#T$sK9W0Z&PP*x^5l<9#gdHUGfjH<*<4pF0}DPj~S@*w^7V@#M^KZO z=F33;x80Y2j^h`(eaCsYf3^AJeR74v@A5&O^smpFqCC-`(~bAZb0J>5BUon>+)H`D zc%S|&g5MXscVcnI<)0=a_+>%;vH0WQe~#b>1@E0$oIUQ<3l#TKUZj1O5{7f~c`45+ zuFo^R1kVp}nH%)ZsI|PO(|(R@ z^}Zo>tt1d5|69Dt;g|Wa>3(cfzBY@WiT&sE_cuKP`BGCIf1MAT?%g8%shN)7 zZ{OH@wJ*w_>-hcl5!{{Ur}Cv1I{xw?{BD0TrD6Qt9DhZKzaGh-)LxGN(hz6=>M z@oTH4G~MpD_pI6YXiKmyiyN0ra%4YM;pMo_oCU^yK?@z;-j!PkH!`h9bvC- zarUh2c~=}85vT7-5q|bt?!7B{=Sp#G0Kw&43u*`Uti5LeOxzaiN7A~#kI>|Mwm$L6V$@$M&guQ_e^00r=j9Q_=h+-)}PoRW5$jkF9@R+Id0v+*_*mUt9gHgGcoDFkx9coU4O%f3L;Q zclhn#wCSwhG52M&C((l9wj?a+CcVm!IHX>a~^veR-+0fvG<=*^fGX zDDK)j#rd9fsmXTdl}Y$QFD_ahvad1SWuKJQ#~d*9rTna~q@|hs0vo>G?-7wPWyn}U zV%*OCfzoeDy|!`CUu9gwdqL8+Q194>uKk+Ndkpm@a9M7@DdV5ioB7a}4to&2OZuNi z-_$j!SHXSO75xipm)~?D<@_ zoKeQaX*r)qc-NY|BV0MxdQI6EC*_c^ywvMW?t9UCze`~+ZLmJ&U+&AMNbWl{Qy8CT zzHRZ;tpRSoj5gY1QC_Vaw-L6q57bxM8dG+I$@|##b0P=H2&2Hd4kJ zXAZlM@4tl>BeFwzt(>0o*$H)z=1$wV>48cs!YJxtT2K33+lS!(b=~hJg<)Csk_8Lb zCu(ZXaV52bo+In%Y4qj9ZwS|x=YQGqJhgK2gz{4pRkKbCFGiN~oZdp2kg|m9Prnj# z1O0tVGQS&nk0(;T(+<iJ8eV&*!fK@-?iri)lbS(G4Ji$0-e%N zo|FWf((668q&=}ICY@-68>D2pkMIVc5Rxdb9uV(3@ zeo8;+rJWIkXy-?w>sXRb-gQk|$lSxHjIV#p_j9Q)XPJDqdaB)__!5hA4~07Jswl{? z>yefp+e7%}9*4Bm%p;s;3I^Kug)F|(g?|n>-@6?p=Su4RA{K{7;|Cu(9-6aF!SPWX znS$`y&zZKw6wHW*kDo7p_f6mlQ*frdcN5j~#VWek4{iy~=>vi|fhzAKz#`bW>B@zNf!&?x4@V@~vZh3NT_P|v#W#BUGu zke=E#97AtQHRZiN->q-i+V1)tFOBr2JrRa(=l!(GtEbdom6!9n({5*;-1dcD>QYm9 zp0h_4|Bb_`!#FQvnJK*2+0%-@Y;o;(_@4e-Q}{dA?@kk#Nn3r^AZ?ye zh&Vq#GSOfEZ>yK}*Y&_iez(#t&u~^$2}8|4k#hc-6aCSm74W(-)jobiQ?E6!Y_6R zd#JqBd8Y6}XNM@i*Z_jh2A|3PhDuY^-Ps3<=Q;ce@HvcWk2S@Gt~@Eu7)k8FjI)rX zyQZc1P+1oi{fKr%gX)GdGL$f&WJEi2ad%sPcLvpOqi=9tgyQ^Fr3_fn%jv4gN{#CgNRHiYcg zbEmDHG!dGGY6q#!G-b5OJxJ`JC(s%55uz98y!5(G#?6b&1^p|YK5N3o8#mqW##AF8 zBFu9wm$mO=^CLA287kZHQnB5*S;>HH=B&!uY+Cd@pHJ;Q)4!ImF7!p9+(`S>LL2A2 z{PB#^vBxIe%^&#fo9qWlrw{3WsUI%qx`=Mar%5O{RLB4 z5yk&t@qX)h{(7|RF=>y|-F#Et?<4IM{iw82(w?Q$ z?uqMw)5g(WYFpX&KJbc2dnx?y^J%fX`ad$?@5hh7^i#fLze}d-SNd`G;d{KxC3l&7 z*~cqM-jhbp$F%L$rw*5X>}ZRxvbd={&Dv%ew1X9YXV1lJ;N7J?4eS6fow-phpS}F( zP5*M4hx5z-K8N2F;;YQTAFYy(fmFw#y-`4QVL zi?neWxtBZ758^-fq@DDgZ|`{-2ZZfpFyD1z>a#uGyXB<^k7T~f<-IWWxAoD^FMjIJ zcX3ddonyq7(-S$uc`n*z@=u(X_Ajf44Eo*<^A%I)T>Ib?-~3hHGt65bZs|o|{eP+7 zZG4~j@dfi0Vm#K#n8}aO+5v7}jR^?evSwTV6nQmR9r`x|uycp>HfGRTp5I_j{!Y#} zxrg;C;vF{y{1*0`U~~K@oXiuABj2-KyOw=Ab2-O`G39^e>R-6u+4R3talbzfi2HA1 zzq2c+*!$9si2d*JT^z5B_6zpu(x03D$Em;66E8<>19d6f&)U!b5r%hW7+xA<589FL zUuz%UVag`;(#vV~gNOu%S0LX~4bMyt>v`X$W12Gkn&DG-kVXoTi1px?C~yV$90;ea~k*U`q4|DoxX9?rXMSz zmyXSt@g2+5$^3o$sbs7m(J%e^=iU4cy@O@q+Z&>%{$p*}$|rWg9xe&3uC3L3lf^FB zQN32q&Sx%x@kWpDlWxW$$}jZW{b(ohD$9w92^AHy)ZU4| z#}(Km<1REQrA}u`*GT*|dTq1B6VRoz&*A_#Kc#dc=gKv54BuKQPtsmy+(%hQCfz^% zpo+x(DxA~o;}0sX^F$fH;yb1`Q@H~IT>N}~@+^aUY+0uZ`SAm{{Lg57MXV!-{P;P3 ziQLOzk(gJzeSY=x`7^0Y$WS~u%Kwrv-(AeS{I^tgQ*;|z#s%`d%08jL!}n*0|2piK zHT}Pbzsh3rpZ#4(8{vNw{x_Msaq~RI>FxLl_q(fw!@6Q#8k27>e)W|2M-D*-WMyBS zwus<{`{ZpN@SXd^ysSBp2mA6U7dj3T`!Z4-HAD^HurJW^e`4<;>GcX=eBYnNw=kag z9(tC3pYWsi$*T_j#TaZeYq?*u{CnIuX(;)jcs&?4oxB@I>T+`2J{)qHmX~pWg+BHm^(|UyMh_wdn{#Q7?9&4GCy}`xI@3iqIjs4Bhe!$B- z#Ky-OuSehG9Q{D%aPxKdOWVf}bw01tc!TyU**m3L%E#m%>HmrH-(S(RPI-Al_AN!`jWgL7pZ=)rC!q+PhaNs7{i#$K=QRDByH0;_E?QFe@!I%j zA=@(Umk^tZ`P@%jd1qg;;Nra8b>I*4jr*Y5?7n2RO*2okc#gIb`n}``x35KPS83xi zPd0ilcpm*2cY~LlY1bz#zm#EcDermEXFOxN(OzqLR{q6~pYqO}y3~*E6|TH1&YXkt zU!rl#zwXz#_Ezx~4*yw*@3ZGg+4vckt9;D+XHo`vL8WK5oA+0K`~*J~{bbrUxo3gg z(~{J(A$|dW-Z6Y%VR{aY@?RM6ivV6`t?7Aq$Z!1!U+x`e0BRa{n4Z5j+1g)d{FmE% zKyx3VP4sB4rUmzaTKTaH#?cP@PLwa-1NpwM=Q(+~Pt#`J$9(&S;5;YGf34lO*^{}fF7<;q-D@eKE_`jTe(Ktz%ShYJN|wLQq?Jqjir;K;$zS?fxnrC9 z6ZV(1U)tUc#TLSOnb({A>r9&JSNVkpK-;@C<{O?g`L~%Y317!?Ze3M)bu1_2xHWEH zn~$;n8oDeqP=1JfJ}$pyoZanp{2rF}-pvEhUS~?3aJYOQb*IVc9mS-?3l+lcA zO?>}bC*!l(EC0Cp#*2=)VhiIzYj1g(_hNq+NhpN#D*gVSI*iZ4j=(j@ZYj2Qy}!Qv zCVYRl(p=nC*!vZ8O+IKO%%?q`U&?!v{}4(3 zy}OY1|H9wF2ia8SHZGrP%=x{Ix!?+8PO{HYK z;t-eeDCM2@QJmPXzRP>j?c-D2@Bf*5k+!$-U6c2QYflx=v-O4bJ8w*l29aNC(zHEM zyvX81Y42$3%wFuf874KTulNP_N9Iq#hnxJCgYQeNkJz zq=vP0|JpgN?~JXr&C43W95n5kI_$SMU4P7bEfzl#`*ptdiP|52=K8~f>Cd%I91H#p z@KuCR%pt{gAFRBmWbtFkdu*cII&kdAgChN@@{iZOhSH}>f0}c&d(Veo%AYxsZ`OI) zCz*WC71i;pj4jz5<>k|-bf-UXE}vI47E1Ziu%|-v8y})QXA`vi3hj6ALU& z&+6d)58+qGdovzJscFn%9hc5XJmFXSUgH=>`rd=)bpYSKCx3}Z{C($T5l0HYV*3!! zIc-(<(p6_&&OXF@A6HT@<9T?iEt`&8Ka1t>O4^1+HN25q$9(%&_XdA;Z}6l^3D3(+ zaGK0l_XdA;Z}9)}dxOJqo|*iTZ4b2_mG*R=Z4Bxgyd1w)Ttc5Au5aiO-u6I^NGe>c|mF zDgBL%jkB?<&VA8E!lURhn}h)GPrubyaWDH0@V8Ab`4!-U>0($%`siTj7$5cedbp0{ z#@6QC(AF@eAJF4keqA?L8HS_3a^&d)z*lg9 zE_mh`_-F84Mh?suz3SG*mG@};q~EKwPu4W@vfR43;>V){_%o(Ee5=WuX4j!?+>9F( zw|VWQe`@lskK&UoUvwR8V-)|o#dFWsr~E6NVG7m0*Z9xqh+i-AIXjg7sva-ydQ4iV z(|OgstlRke5F>Qi*fiNT9jr53djG!*&)j$gHefm*>G*LPo-G%P^tWFw77!M)%eX6> z?`dq7Ep+25mAg8E%l9)(QNJi&6XLwcCSepE5yiz;BK-c(@Vr1pk^4i{4%YCof5CMh z3h^5=+NmYZHzM)3+Z28jzm*c=$!oT}HjgW9A4a^+N2}?2B})BhQo}l*`=Ob~rraTwNDF z%5{`qz4+%v=54Su)3w~FoymNQ+L^!gNlpGZ)ERFIJ7U~ z3LkUr#uNBmtzKRhc4{W|I-4Hut{3{_R$0fa zS-G%onZKMU`|$NVqA_bUF7p%SSHxxg&OUQ5a_M?Zu#WCd-h>Z3vQ!^P#(Dp8V^s1rTS zo;hK<)B6!)Lb;Kz-d`7A#CfWWQI|@%VSePK5+Cn8A3DLs_oc))xqQa->6PVkDk~%` zDStO!%bXQ!j@=l~9l|_C)a5>5O69leF?tTstHhIO%T*3zed>zNUq*R#-#jm1dpSJ= zZw;qO>wx;P-<$pN@@Lm|gZ5wA%L4;88-IKI!Iit*=af$CFyUQNT1I7dJ!udg?Ok_Q zc7$5xi1tt5(q4;g!2UgPvR-O(?g{trDUP4)-^<3{NFT+1lzuTgLiXM1ewJKxB70i$ z?g_`u+8^A(Sok^ODg{3wfnRJXb7ynxYt<}@LZV_;)yb8!X3G)q6Q<9uVmi$8 z3hdX}b1JxGS?((f&C^@WvQR0rO5vpaukMM zlZcxzB1Gy#VA*Uj^|hZ9dOb3$`K!0Im(pJ{_GO;g%h}qF zu4Q#i`|+>RZHLy`s~TR*s-VMHyYdTgY<=D%({TZNjXcH%MK}6(yWsdK?#6TMkuTz2 zXq`XD=2ctY@rzI%V}l~bn*;ptF~TkXJe67Y$QQj5;phD;#r^k(M{xvOy<5MR@Y(ci zacA$0{DWZ&ha1;en_F~A)?J)HfMBwPs}?33$A z_s`*FKDe+hw96I8s$k!zIN8f?3U80}lg{R+pR_oAY6Q3Aafzdh@P`^R`gX|L?PJ$C z27)tY&wT)#@wmT_UB~0XKLngHyGouf=aA?*UHbl{@>>&H-h-5LVscM(=fvoGz3?;t z&zRnfVt)gxT`YY^*6Rg75}dto+|?%Q^|@Er^#Og~MZ$OQj@W&Yyzlabyzhek+*-Mj z`SU!PFL*`AZP|pPPUN%eXq1HO1^|Q<&+GizRI{EpFd#B#+6WneP1(4S$ZqXR{|C+VnJ2 zxFIZGQl4%3Vw^t;+Vm__be^ntVh71QhQ6DiB0{&I6FX@AtJB`P;LAIDKE83&!;5TM zc;~{)t+q^^%>T2M)75*~Z!hbKZ}!p+W>uUK%xlrJ=FXgxI2m(j#w_}If9qLfi;?Wb zCx7JJGSxBbHlC~jvG0P^%YKGJ-H##bJCX;i+jv=&f&6>HS>Nd$S>I879r#%6ZZXbS z-#IF>zN7dh;H&{<&I0$(;S23<)1R`HJ&6zV6CGW8zo?(cjT5<|&dV8Oa=!1@g>=09 zUE>uU7Lw$%*;#(Ma_Qy%z<7l>hIql!I4nG`Dsh;?qLJp(@e4aOC*0>+0b=6$|VP5$tD25-O+VBmEjGrIjnJii*Ukr$K1tS zj^c#dSi*UtAA@uL$Q$nbCMjQevSnc*PfQys) zBy-HI`d$7NTt{r~&WqzuCpm>KF6rU6405wVVdfFdYOG{!4sP?VxHYZPmYG4>e5yeLLZ zqNZrYr|9Rg1%(>^x{;sw5+H3cH z_TJ}%UF-weVC0-hYv)DuFFv6Ck`&^+inpcx()su$EvIPE=xzHqc0Sel$<2;`TTbQW z_QEB{+5DyH)5S!EPby(lyachMN4DUwc<@K#WV?CA_H10mj_=uBG^#O@&Xpeiyhn#dVz(aoO!GEh4JF^6zf(ffIPA zF$g3i8K&rIw@ys)28-WLzj%}_PsKlQ_SgJ>h%pVfQ1`vh z+Jy7T|JTLuSrnfNPT0HC=i79p;ySq_@e{o3EtD<#Ev1xmnR}JOC3=MPIRg7viH~&! zpTzZ#rr+1dKdycfimq+r^iezm|2vMkHGCfY;}bX^Czt<1_`AW6Ufy+WaN$e&zKZKM zkC0v`wNk!U&%F1VhC_ezd?V|Wh(9jsLc9xBSUxSk@1^EnDBr8*EL(1;U@We^i+DMb zZX~rk{eqrXqGxw2Q+|Rbv>p9oTxe7EKRJiD-KcmS>uNg&k@i&10;y>SOn*hb z8!2Oh>Ju&3SM?j>a}Dts7l%@CVOF}_K)L;S0%x!!|0VWsMD1+4Y5N^hN__V=7rTv; zA-tOi&-LGhw&2#R@R-Z(c62PI;FoE<%S+^=g$ew*G+yv?__gM-GzHVL^6{y}=a&io zc@8H%qz@rwQS!5t8EN-q-Exn+WBRY^N!zgl$TLre5Tb%-Tgm6=w%KFPqxTx}{Eyi` z@?T0Dv34{nc){}7b}E(6m-7vi)BHr^|IRc1?>r;(j{iH)$c(D}p3nro2+th4j5Yma zw9Kmi?>yuGzvme@*!sKcGFvaoxUwsCIh$#^_;IP!@A!#}dT4J*pSzTsvhbd~tBj>^ z!aw*=&@VAvM!9jR@-ybaMLl}!U4FXk=gzlNoUtS>>M=m?@>5WoSnt{OLS&vrd$WbR z?Y9)Pm?G_$D*we6?|yd7&wKWH(w-`Qsl%^`@%4%K_{g;H`EQJIRvE?owErRe8+kt0 zbnToBf34&HJn(nzYbs*?brw(9uj?W9JM~sSkxBd#_QB+_{vF3zocN0q8+3ipg-;u- z?jNkL*q!h<-HdVn8rpLs^EukL{VDAxb3UaR$cttDPqEJT$b69EchVo9!t>X_nGbq3 zF`whYkhz?w^f++#zkKTUzo>m5P!%-&$vaW$a?_c8>T&u!qodB3#^uJyg(OR1qW@|9{>qIuUjA3d|1O+D z)>C;NyZ&H$b7soav6IJ5pE1>qi6xxEHi>X}H&U1wj}@Qe_JPVgLvme(%rp3KN@5-j z=QF;Vnqe8mq0Q3|af)d;%q4{Q^nxcbZ%}e9Gix}Vr%0|3Nrpq$-}5Nl=Mv6=lc#bj zkIL_pkWXIW0NLP5*0^!$uHr%3c%C>OGpE=PtSAkK`N9y7-QgJ%f-xmeCF3z70I75t z9rM_DkZb15pTE3ig^kA^DnBY@Y+txP*TF%IDZSERcECYa4PRok0WE**50VvviPJGr->%ksl8`8eda^Yn!m!t7`A)l>@Dk!HU@q6 ztr{j)aQSJQ+Cc@T(t)*rUY6>)iSSvnDu&Q-7r1bl*Ds_UD7?fe#jX1gKTk`zA11;* zi*G&Hplx`P-|~fjS}Zfff8p}QEsN)}Q{K9rtJz0%EBiifH|D#_LuzdcRnBKa^!%j`b3T#P*qKeNOio+{BT_? zTj{n^z9-Jqno9Lpqk2fVQ6cSr;g3}X{i)pU+V5SJZ^qu9C&;IJE&^0Oa|oea)Ako8 z#^+UMB<1HR*Nm6piCBnh51*+Yl2!T4Aq4pkK>KK{f7K7%e1qz5Cwf$`Wc~NB z@faez<}>p%U-SbzEr!9E8C5=PTRgTmO{FRMp#Z1kUl#KO`K&IM3Q+aG zBq5)^LbpUep{Y3~KNR4UeA<6_qAuS~r0>{?ljSvn%1^Za-Dv;2CEEWcHetl}8PR(A zrTzCjs=u_KjE0m?>bFGu-;MUa+iwK1uIZ=A^vxrm_TTda`^=aziPtZBP8;u`e~-g!^6%v}e~oCpetJIUNyKleR1?xq?M}VY zqZ{iDD0e^lkn3ia1Dt>#{n!8Gy03+<2A*YHpBVGwxm>TZ9^vb(pZ-tG;2J+{8S?kr zw6{eu`VbGx&vs{2e1&ZHJ16DNn@O zhlKotrXS_y4^GJ66WaY^{fFo-3dgr&M62x(-^df5sGy6ZlX;F=Gn%C(RsHu$$Y+kA zC^0`UWMpEVW5IkTr>uK78H+|K20h`)t`BTAb&Zurzhlpo|M0P ztL;$Hsmc@a&NeG0pAGGWvHYR+Dfz9|(?#dUJQ~mK?D3djWvG1i1_u3U zYm;v1Ot-#F?JuW3TL)honF*Bn%c3jQ&(0%oF{n>=Tgf2&Zij!XBMnnGS7WYH6i~&rH@#2?OpM+ za?Z2Jr+!wwZGRzRVRh0)MNcDN;`=$`B zrs#K0#z4P9rf`n>M& z?=VMStrR7t{ya9X&!5#l;sC^iQ+-12P<^(bkNY%&>La>5&Gkw`A8BtyAN-sv=_C7` zlktC7k*MekPa=0RRl>P^JBRO<%{gv4@+JNfme_!{UfTcL=#SqI0NpEU4$>+r#x%zrIac_%%1r2I34U2PO-tnYm zOXtO(p`g5V*L`|zYHhl-aml0Y2=54rBd%gEMeIfS*}gPP+@|Qtpe?ktO7}$|v-1O9 zUT^41lXS-~J;m$k>JgWxBz*6>Uu(wddojs>#kM|*iX{KlVW$_2;QC(8)p^1vxZaVM z#G~TD)K{M=W`Fvy(h?W!PmRl2QBg5<;7lGJ(g$bcevwc?!K6?%I0P9&vg7>2Pdqu)3f-G zq|f|ytKUy6z%O_0nYSO9=+{^eKf08CmL-3Vlh63I7+uO)EGp7M{1|UcMXtE1l!3mS zyCBcW{!j7o;sZalw%lhj6Q=GyLJoBfa(Jg&+@f^)(=QXRJJo!~_+Q3xGFK|D^8KuM ztTN`71<2sOvy42`Gn_9WZEsXepF#1{d0qzoa29@+!Q#w?sZ zgUY`U99jLpmxW(!@sf|hkyZP27S32j^68+rz)6$3GqdbW{y%i9iH3cb?@N=;16F6* z=QdkT%5Q~#urWg~^Y3Y>r`o%E>RPbBk(Z@@mHJ~7nF?T2Wi)#F@;K$_yksK8>mmxZe8~T%wAI;Q{T$%j^`X8k4re$A}S!Q!RX^P4QgW zS0nwQf6Y7XxKkD`Nxmpoy=k+Obsg`^W!?TTKF!@l-lMY~Ti&627ZpEGdhlQBysZm9 z*>v&iJ8r*i9p@a7)&dtu{Y-yIoQD5Vay{UQyg1`~pUHOUPfDumyYyzL^x``CNVd-PXrdax%-TvYrf*H%lbzkFv%?m2vk@nNylzm7-qo%HWB;|`iE ze5+|2=zp{3UB@Y`ZI}HqQDGl={y{#VA6P;=TFBV)t8nyNG#np4vVBxS|JA!TpW1rH z|8LWwu`$&%9>DwGM11L>1sQRCDQO6i@qol9gx8h-dwA)bb;HLkqM}INPsNeg7@Pg*hvhF6O9n z*H`!DqlC5<7nRVjaV{EW3R2^d63Q$taM-KnD-S>ov zdsNb_@@#*dHooX#6Me&U-7OWb{hSQi@7TF;rQA!r{P~yJ@uE$}MJ4nzoQocicx_PI zM-aaQQE^@tVd#@QC+h?Wq#(q%=_2$RbMsVBz6#LPp z;jI0nT{+a@N&Br1bXJ)ErQR(0me`L5+c~x0=FGA=3zxTGKI*-K@tm#UZ5R62C8xpm zP0uBC@v}Syy9ym`QOWrh&!O{nAa1w_x!$$?%rwS!xW;;m9eUM6cd`*YwgdB~IBSQy zz*m}fBeV0-di*V&73P1%4h+1ak{@^<+ke<^~0d`RyTe zybCC~#nR@r$6bm?C2NCBKCf9D+v5p~yAgL(a*Yu@U2dogN-SLvV!7~tv`!993I4YJ z(PUS@5U=~7eLRrm#>=Ol9eYP5_XXN^i)4LiG9NP5FR^riNFT)huZjJcz;aIY*>X}y z0KQ*3o_U)#wXO~e`tjbOqmc1I2VF{ zn&?N1{+}Cj{skh@n7imlN5>_`lY(!v{Ehv1Caj1}#X=jx-|5DCJCVOH?c}|Q@gDr8 zZ7p89o0UZ&>yYHf-`sM&i3U*rZM>gzni~`2RpF<w_!uUyCR56Fqe`=!7{z-56?+*62{b$iZ`jtO3QHAtL34>R)(tp;zuj?v1>X@6G*SyT6Zb$I2c*UR&Gm%PPLV#Ru)DY*7z7|I6I|K5%i$FZ&bASUIX@l!zd2ln`o@dL6%Iq?+x$#)D?#@BckM*Kdn2T1HMmUY&O zXUGfG!9D&3&N}PgC-&VdJ`bF6W{=OnS*QJeV&A>uyaSLkm3Qx<{GB;xQO9TUodR%i z@{NM1tQ}A6yYKJTX*b7>o5GJ=Mj$|n9juse*DBmiFN#) zdnUvGW`h6Q$T&mJ9f-r{JGz$t3QNatXFk0fhfkcvY4}&E4xNum@?WKJ)cr=i%TMRI ziFN9wORc~1FNdG+_MTz+1+eAoI!hz}m)4qgugZM!2KBS}^$C1{;aI>dd{6>sb8D^X zNq?8}C*Olj9G`c>iaEz+R?bvk3&NJUKD10}GKdm~5zTOr7(VZ(I76 z{?qbBxsvk@MjT6CpnKEp?6`gry!%31{<_aZj}yV~2<1=DXK*%X(>M|vTtYaU&+wq> zQXuI|yrNRlxWlQm2aJJBKZw&ee3x$7XD9ys$d_L|`2^-Ux~ZN~Df+JYuGKl--@9dtfXQd9YT@Es?y+~~}162a-?51llT zx2%(v*|f(;A|`Q8 zo}Lf~X{SsqlRz>VPL$IyMGo8j<}RPV(21P8a^WJ5SYQiyhAv0Zz{VA)oz${)!Rt%! z{qu*b_WmydUDDDr9}y5N;xq=0!}6AsSMr4&CvEwvWh3R0$T=eUFQm6TL?djyYd!hD z-NmN!wYvYSyro))e^qa!Z;t;RsyONwzK0w2_?>4bpGrOS1;^z(FW+C+@3h5Z8cVl1 zagKzOr*v17cMfskh`gONx?UdnRLk>`t~`I8yiD2WU3iI*NIq7aK5`>v?~O{!SoCE4 z{zCCNj(-ke&7?jVoWLVr zU4L2XlQXm~@nF=QzMpF^?&wfNBAoWyJFfrsbsLYk;`QO1dau9jhxGlOi@LjUax3k{ zQtFc2_5ynhp>N#%TcqvfeCG)H;yx`h#ov%~mlEd!biV-I&Q>^L-=A}gex=n`*9QBN z93N!l_2%;9Gs{kyKWD|9%<>g9hL%F?ui<^ih4+m0A3ekHs;gjLz{xkN&+ZoImnxn4 zpQJwDLm%7kh`L_v>9X{Zd905zOk6BZX%oU(=fauo`3=)4owdGFJ#TaP{gj2#JYQl; z-j;JSl6w3IJuXxJsH>fK;h$FY*qG8o8`+=-;}VIld`D;m>vGziVoKW?{f>~e*RK?h zhI|(Eq>IP=d{Pf|bp4=+eTmLx>5)#KrFqlmQKt`WarZ}6RQC&w{qB+4h4(3{?{5{0 zy8p^_ze@0=K2M{M?U%}TL0Z=*nLY_^cCI$&=6`Vgm5Z^(&HEdZ8D-3o)DcJi+{^mv z?mk^)tfTqxBn>O#`*uAJbm!e^IsTaX4ZX%ZW1>+fD^2-ra_&je4y@F9>#7Q_&ufJPve#?LD&UX<#q)#LL8}4$3lPS2!^>31Te1RVHlWVN8W4b>@A1WHW+?WQ^P+TF0)X6<* zmmb~F!!`s_*HHJjqR)G_+~jH#*XKRTMLN2@r+4|`I1Kw;8r8Q>{iCLT$M~6oC+yRs z8huW;bY0hZx>od|ev|qnp-<>A2m6e$at3=b=yOfh`iUOHTv%ttMDbJBIcw6+ZI2^Wck)? z2>dcNpzjeBpOxUB#`8g@>-Z!;b1P212#_$ko|wdihw$axq`~hpH!#$6{kl6hN#!47 zaXA;M-ErW18n)k2zmv}upX>M;`;_hpzPIT%IXQ2FpU7w4MCMq;bvxY6n}~gOdrJMx z*t_kW-!3)o`(_j9FZZzRXf&}p;FZU_@2pEb+hdulXJyU;_xVw#=qGMmr1da;1+9mP zAFD_ujzzzc_}!=E(QdAP!+%i^+U}fw#AU(H_)LS1H+JVW0%=jtt-D*0KVn2x={eSK6%eefIP2{2TO=ZUEuleV4aPn~C zd!KZFXxBEX{^2K-E8b-YeZrpI$ICoaKKdJ!KI-{+5LGr&?30iFCQF|vPvuNS#rggF zHvDn1UCR!0^I#gEJ1l>q9xA`s@cmQX1+yL@I)Z*rr_J%$O#8!IhYu4c8v1y4$6iw3 zC+d&#bs`75YB)b};l%Y>`PG8ceAr3fp=kf{ibwDL^y8A1|Caae z&ncnwQ==ZXeX!~NVJh91x3nc!;w?bt`l&7WwKi(`33r^M?<6sKSD)=9+oUCI=B zz39VuK3Q?v**&)_f7B*pOli+}K0$HXwLRaocv)2|ICA&2c`V}FmAU*MYWN}`>Vd9& z3%7&(Bk7BLbuynh_*&9(I_sm3jps9EzEX9-W}FET4ZZ_%<|`je%vUPD1)Mp}!BY1y zU-^f`e5K%Jcr#ZS4Zh#<^GczkmgmnJ8&@q|IKOHjFZhAPp_k5sC|^7His3H;;Crzg zQ5)tt!Ntj06w%;c^Ta%7o%?=VeY1)7ya&Dluvh3WiC*aaveR46zf~N$a`x?5=`rwq z@{bee--3%1-J?;`w;+7ZvD0~cAGXwoGEY6?T=dvqO{e8obtf%`y=bDLE!0gdIteLe z@OFC1PW7|(Mlf32o_)PwwS&}*o-(DiFU-+J(I;C?^P zeG-bgsObcIFB|U4W%4|X{hBJ?z3S87&OK)RZ4cP-VN`Yy@!j7t>vy!X zXIUe9(>6}}!ulR6WA=`5IRaNV>0^gaxfqDwf_-Q>soI5 z!LpL|w>{rk2xODl5!7Ymx4BcbMh#|v< zGH17F<@}bRy#JZsqVHaIR5715co&~NFu5NbF#nfFdzmi&tbO?!fJvO{Z<43_n{M`y z{x5%uf49tRzGN_JWc=lVT~3E3&Y?=3I+b_g3u*oX1Ty24Gtxs_zT^PMOk$8mEZj%?;#g4 z`g+;Dr-g3jURiY1>o?GEu3#KBsNT&_2_56SRJhcMH;69WhplnruryuNgRx)PgIx4a z^I zb!IBv^yJb73s{7`bU{_4d^e)0>d^6r9yos50IhG46_wHVIGlE>e3mim7xUhhbA3hb zPU=&|Ut|y5Pr(_-tf&2NR~^^KivI!p0d$~$e8YFZ_loE76sL}!)ed|h_!Zzs$M4-0 z|C_V>N66n7{LCzVX0|l_NYnL$!N2Fy%j@^H%Lkjl`-0!=(%s|lAz$pf6?`H1%W-?5 z;S=txe&F9oW5ikap}-0 zOFzOD{pegqWyGaJLt4Lb1`MKKxzxeLrNe}@{PGUq%vX&e{ciXb_$z7o<24QvlO%{JYaK?6Y(#`tu^ask~exjsLc@6mK+%E;61kSm^DgEog&j-H} z{7~?T@wy7-9|(Ra_%+~%gSTYaZwUAr;!j!sJADS$d)j#tmw(Dfkba$r-?_x^UGT)Z z3Y9+!{#(E=1pkok)W)pv$GG&zhGm=RjumF+@xqNXhS9f*9!6k~|r4O_rh zW~JXjF8`eX|0m#=XT|SeC!cY+l;NV=vgA)eKJE3Gb=app_(xg%(_H>14az>E8{0W6 zf6TJ-$J8JnU5e|o5GrzE8gsT==WN(Y1ViR`^St{TSy{hE4f>S@BN_-nz@wd#gT<+9@CY#iTFw$C&-F{|@jaS@F9Roboqj3H-f`8QhRn{;mWk?Z=3H`hoA0 zl|I*iQ~t(?eQUsv%*y|3!6|=ZkWpR_zA`KPb+s)X>HmN+vo8VTzHN(jzS57}l^+q2ZcYmUv45Mp2dD4Nr;}&h{KSt%uTb5J)#I1?v zd#p!gTwWcG(8sQ$!;|4|qiwN_URXANzKxSe0|xrlQXEm}Hn4t5s1ZAMQUY*fC3=PLV; z{{GYD(}Z0rC_@!>Tq7m^^kcVLX+kgZDtdFpB7|0)-lIWo{wAi2DkxJG107A3-kAjT z^E}Asy@u~6+mJX{@AMXGc^5wG{!jPobv9_&qMO7kcF)D-4c(%O(OkV_IOt{h6*!c+ z7sK4lPU_2OOsJ1^outY*fO(U0(s>EwyP1fi@^yaaSk`4J&b-Da{lTf*Db38qbkTiA zcVD2mhVe-QICXo#?*k(ZnieqMMq6FM{7VJ>p`7x%Z@W!D+4s6>$M7A(C+H93qDIN9 z$LRA{o_|6)-Dtz}zsYi2G0*CcUN)bwpUjt8%_ql#Q-*L+1^pr!=LNUewcpwDU$yOc z;G<1s+$UqoXs^!^w8FJpt?{l zJt>FFsRxqfg?3kX8ux=v-W$HpxP9s3ljbbTELf6Rkzp!?sigTDb+yYmiSU>QuV6gj zUAtL)2@AK&@UDz`w7&fJ_}Pqdrq zZ#!S@>g9^-W1d7f(`SwyJAOKIvEnp7ybB0+C!H#2%e`y&R5F}l-a8!*Y2Qzqj%Q~6ZNoa0gXn+`oNGj`@Q2|?bk-}x;r z-Xo0L%=H!*d1oBu^ZvgWbMKq*GG-b}+ivLBbi0b{hB*BH?vvUN`N4kA^Gq4=uKhaS z&$anVYV}1enZJXyWZ^*i33K8yZuo2cgiuA^BGv4V>} z<5%H!ZctlAPUooN^$<#%XWc$C(k|**1f8_4Z^t;VQ#$B-b;hl#ua5YYHW0OXF2eSc zS1u(^;;09ro_*Zaf&aZe{S=OR;^$nO>rA_F4ugi*%h6a`N0!*sf{&)x7t2}}J5<9f zBs{sgC&IH;g!(zsHuspesr`FB8x)Cp4mVNn(3d4}QcA~xumT)7wzhIFAV$Ely=Zo~J7Lb_;p%#SFIrFCSjgqNKz&B&FDbwD9J z+pMUc6V$eIcDfv;NYrya=cy2R>oi@C)_84M6cQ$tE{xM~QP1Nohx?6suE{I?JDJ*5T9}D^Kv^5`ZU##P=cKsJGYSyhqcxG;S=Jw-pRVi%Q5Xvm-Bd@q~6}mm9zgsw51C~ z@k9Gm#i0F|4>PQ?$!c#LLkQQl^-<4jf~0oaO#jrrww|=_JrjL`K&cPyB^u@ceI`EA z?rfVgcd1(_8Nzu)38J1iJ3^*--!#M1;oMI+mM#!kF8m*CWk25+bLR3&L7jj9-jn%{ zo)0-sZaMuhpMUK*G=ko{4t#$yt+WgkVuA7``n+EEXuNySg|nT#+}ir{ zzw~#zLPy`C=NmD*)tmQ9yoVCskY1aVM(DlkFvnD<_4ycCmd?}IyXf<;y7f8wY!iK~ zLP4K*p#68uo==}%os=f(`A(qiaHFY-lwRVw(E6jl#*?Nq>P5N9cB%5T6&foyO(yzp zm-O|!G2?X0wo@HO6}D z{^Z$4_G$~B+K=0dcG}Z?IU!| z1@!XeRL3XwjkPF|qN8uoYl-;cFLYZ-Z#m^({!hv~13K3Lcze&Cvs@#UqFW8!sVdIO z+m^|k*m9bBFg~$$BK45g8RWOR%Z&Lj;;O}u{>_I6S>CVWcjpGi7E->hQRy0<3um`A z=CZi_t+l>0Z-bWSkMA~u>v|yNUzfmtsq)hJeO5k6=ld!ae=_c0^kSU8&u1?5!ZSN8ot)a%J0v*RLDpK8Z@N#1vK-R<2HOTGP`9w%uIaO@h+cHhfM=<|1I zKMY}5y*E?7SIk+lk}~S`=}o!9CD;FVTx<4B>qEP|kL@!>qvI(jxX6c{>Z;q&<4onl z?F-n`X2bUOX*h&1c3MCA&6kgQmnu!v>(dZs$3L0gDSdhuP{&%jSfD(iPw&FIHqD{c zl5nW6wjS5AqGgFDwb!RNb#CwCm|f}AKC**8VV@?YiJQD4$p1gNmU`wQ*)6bPprK67QU1MqU+SR681ig2i zn#^9IeD*%ldTaZ2CTTU>2%aimz4s;@N9Tp;UE;~Y<*4_*!Q0uBiCRhumfyLtlf<*O zxs4qbg>>M(L+=9v8+7rrJf-L+Kx^j~a_LrsiL9?1^W;>nQ<%eghWm}i{P6_tFLSP0 z_q6Q)$^SxmI#s2LF5Y!ok~MDV%{aZcrSmlQ-WLDmVn;Vfz*p_KDdX&zS+?_?qLyTS zapsKe^mW)%?SDFmU{9f={qG&x|6S10{`U^;e-_;a+g|oQQ~lNcj-t~#GbYYoZX7N7 zs}E~J=pzZ9+RxPcLi`z!#Iv=>=gU{lU3wCm|Bj36v0GgDs{?hXow6Sy(LVIP z3i*~U5b1;1eZAQIyTRXk9~T-ux?c*K>W(2C+-J zo1puC9y)LDrxcHRUt;O_?YxH>nU3!r$gy;R$a3L-x5W1s!C&LMeNh;|yZ(QeL<{-m zR@m+fY`O7W&7K_KZMT@HKkrCn#5VE=x_-c1#CwC{7fn>%6B)Pi&iPpIDwYdB6!XSP1Z`SG6?PlVg~64}3z%rAdJH%ph+MCv;>_(r||9Q>XALWe@? zbt-k@g$el!_9L#nHv~4DpXpC$_b0?Az5l`G`ZFqD`rTRmiQd~(me|m{&R3ZM>3r}B zZRx!pPTXlP_qkKz@$Yo|y>)TikKEX)&i5mQ)5oL!3)r^AvU2kCtF~UCFDEYQ{eIA) z^RMaeSa0NQaCAMbkMs}w^riiI#0Xs~J^FM3b9A0x@1#ec?!=={JXltHZCgBdQH!m( z+__@4&9+M%;?buaY$dU@oOrl)R_SPy`*gFkIdncAeHD+O_pbBD?mQN zJc!9H$3EUdH;QYBrOkz&O_(zXvcUFdV1HTr#UE;-#!OhZ*5Pc zzC6x=WrdV9hf6tS9-4Hpc+zgG@pp8V+Wof2 z|2nbTxxriQ_Br`i3@82JS8H#M^KY*Gd`1p-JPmOd2XVRa_W4ujF5~*9rOmNVlJ08g zT)VnUx@)1k;!DU|2i-M!=zO}|qCm!Fvhak|*FkaI1gKAX4>QanzV+by4_ z8}D=boScP|$Hhr`=qvT*$}IeM7T5By1AL0Zlj-^({*KO4yI+y_xTNc^g10RXr_AH< z(z)U(<7=uVTMF(;)I)c{_E=!cpMFC3Tds#KZBDv)J3gy;)aR}slVg9YVmp3f{nbv4 zYsuq+r|VzZu0D<~NRbDre?OFXz81V){|??-vmB_aG*JyoK&< zuD@B@oOt_uD|GL3z4;~Rcn99+oji2jj++#Z`n+K2_$_EQ2gY{XYW*cY_RS#gCdK3Y zxWnRN=f3se&!%zmpgPHKeWl*|c@|#d_$9wGzNM}yxFD;4#@XmmUq@%D-7ocXUzx}6 z>l$mkHJvsup1WM?ndSVyp{JbFN_ohwV?>ItU;1`HOquvtm(Tu6*Be?lFR@FyD(EWm z$aD6`X5u2`dRK5sqW!}r>eRmTu+LT_c(8w8*IsLRXT2cyPUEiWQv5jZfu`W)Z2Rto zf84}*J#@ah?<}$JKEY4dGkr!qZVfD55p_F%-*~fa1aEVFl3aVor6XbY5r`ij#OEy^ zeT9yGRNn(#c-ifqD<4Y7+Je3lz69M2=q7&&x+9@GJP(~u$Bg0;;d@u`XLEcgM}1v; zt>x%1q~j(dc$|*MSvE~)#;@dyG=8GPrJO9~8j^)CwYZiu<`w(w$igp6@P7|}&i5Rj zoexgO-_coW_bcV>V#x<*25(!=C}L9aXO**Nxu6p#9%t8-l^C(D@|udDsxA-x2T)8{(NrR7NK!lhaGs|oxq zaQ1m!oo(M+@ON}x3BA)_>icW4?~jAGD`(!oyDVqf_HMPDU61%XgZTV*7rJ}7er9R& z+Fj^)-_h5#zq_P+6uSGrguEx9dngaxYGYm^jaH0=hOni*S~1f^dpyVWDCfj{%(tf> z;G#{R_(Ln>fAV*y&qsezsiK2-U9U@)^9|Np%6UKAW(pqXBe#x#d?qgHdzDG!uDzCg zR(Uq~by>J;k16Mt|3rr;`fq(dh0oC`mH&zG|E!L=t!D3I+siGBPn^Gk51Qi}%IOEt zZVqI*`Ni3zpKS-Dz8?hI!qKL}t`FF}_{62lt>EN*OJDk(jxR9j1O3Z>g_Zp57X0n` zxow*LA{(zCHA~d5Aduzi=h_3MD~7g{rOnBwJ|4pr*CxzKEu3ZgrRG=qG5_Ds(!~MG z6ZK=iCegbp_}l)XkNm>7v#3MZ~-jBJ4eyREYAVMEPe1(qwQ9suY%87@Muh0$U zYOr#0=zM&~DjpHOcZH|R{6!)k_1gzImd?}IJNc;JesvO^;IHu|AGzcX`KaF>(8grB z>E!h}T17?Bd&g(0$wx_jrXb7Gc^Z3{d~<}@|FGci`hiv-X`l2Q=2r3%?;85e3~agj zdAkbTQC!n3ZC<ZnP|1VX^<1&*8Nplax+&u-4Bk_4j(_}~BAzerw+yz` zfi1sXh3*2bZ&=!#cp#&ivGPjVt|K2bW(obi1(bm!pD<>?m9hLhPf_0|p`~KGn|Y)o z|HL_P^bNZ|XUtK|(N%nuhb!Uj>wW5SaS`$IuG?{DaOmIlLpO0+?>|i4`4=O2YP{Kx z_TST4YWFMk$30@_oc7;WAaVQuPNCEz(y`(_VyG75d~rQuT-z%5`wc}UiSktG+5wFxc230Ck6T@X;Ij+_MpP8B5QJk1J0~NB^MG%^~cPu0M3W zt;`&{)zlq~b(tTG_N_H$IddOcmr8!T9zOKJW>Mw7yi;SG(Qp~-rixf~S^boqkxF%ZK96Fy5XDKfB^seX}b6%*oD$lUq63?np$lJ>Zo{r}n{2iSa zqIc@8%2g82dBI!bx$WfT@jC7D3nEt5NQIuY)K7VQ|F4q1N974YjJ4Mmw_OhJ6dm&e zmB(3H4R34idOwx70y@`+P`Xyu`zalHvGU}!ys+NS+wpA0Bj~*=E;Wb8c0A8|>$v7T z^7vau@Gvg&>-ZJFgYeGI!mqaSSw|->>b1_{Pg1KfT#)@Rl~;0EIweZ~wD&^@_15@q zUpB`dR3GyFPZW+Sza6;w{9^ihGnJIXee5_e5a|Q?y5Vb*-s{uvS+^`}@h@6sew^i< zF1_P)Yd9XZTVioJ`PJoXrIWGY4=imColg(jUPqN{g096E$hv=zKV|vV-i)Cu&ohFj z^EKo9N=FxK#*>ua-$*k%7-%Kw6M zQ(cH59`xmK5pumNe#H!o<7wMdeIHWI{6gg&M(}hz|AfDz^Fs74@qAO_`RCvr$Md8) zD~^|VcBcO;@BPk=WSA4m;ft_s2yC|f`E*&!@}-Mbwam|0=4|@6;rXlOvH3HQh4<%` zwhfLdUktMO?B3K=f4)-M|3q6IC>dW=e#W}O=8nNj!`Zex6ojC^v@x3lS)5KB3NOCi z{#9=L&o`&N<1=QJcKpB9?w?fpWI1L0@Ay2Ay<_hx8UI&Npq-O^^k`)5E5l8%UlnOy zRp6;49a#VOc_za_x^k#lnvU^*RT%$6M?UhyuMm$BDl4keoBr?#w23LZR?)s!S-O}? zp6G8>4Hmtt_{Tb@k2Qd_XYZ7>JnH-Hadcum8Mjx}d+uGtN9d^Qs`^`64JR2NS5H(4 z9qZGp8a`dW7S(#ATv zK%@_nz6&KD@#I4y9@>IxKe9PQB(yalzfOmJu1eD%%g^}@S?lJjgpT=vs*I&oy4Lcw z&@JN9(&+L_G9UPOU!`&)YSK_f0O_pU9u?LU#$*`9__}OUB=q1EITu>sy}A-u-VV2X3CE z$~Q0^nObj-i_3wXuhsE=)yK#irFgm=+=#!U^YZmB`R5L?<4wU^^|kxUS4sx5`KObw z8FK9>{m)widw%-~-OspwXlY$~<<^Tr$N0bM_5@whem?)auXt2-ou%Wq$A6ib>HPDk ziK;AJAkqiPKYx&T#|^&fvx(*DY^+~N2I4!Kl7Bjdmxj`iwlc3#^#EcLeG!#Izagjm z2;K8szcuRe#$V`O=6WVUmyEy9KSD?Upy~xrXYc-Z=V}wx9|;a!1Gao;IM;s0Jbx@> zH-_1_P~SZNO|Ba}$N%g-I&!6dvVGC0k#j7Gx0kGutxnDVL)V%<2PmJcsr9bxA6fe) zsz)Hp(s>$tryZ`|TkJJ5_^Uo!GNO?7sZ;wC&^zcyU!&U21t_oMi|ysgNwv`J$7TBy z#5V+G`8L?~pX5Dp5%k`1#N&`q&sKlkdP{$!dYy@=YXwi`)9R^Uj?N3wyTo(0#Pjgr zZS`HcgpV!g24S~8RKNKt8(Km71(Tgv0qKro{LM-eGOXIo~nH6q3nId)k~Ev zs*d|G<@;srx2a~#=jfC;{7C*ep_TnV+cM+bHs@HMIndg7fy%D>w6g!F`W&Z^%YXdG zQBZsjIGLYMN0y}vs#q@k&ury=&sNGz)_$An<)3wRz0y3t+n{u;uFK^Rv(~cCNnM(&o+2>lBZW z>s`e?iFvYWH$>L_{221a8Nt)}nf-sB&I{2y{f6rMC7v`a&bfI^KhTcH!;CMOSLg>B z?*+p2LYz--M9iIDilj^YEKebS3*Eh3?j6D|>6jm=zRSwVq1)if`(uhn)i+u?ek(R6 z?em277yHx{B5$4IVP2^E9~PH+iiX~dKb~`VGM~HvpQ8(*$b;B#gV^t-;BCt*M+*CV zyRnPBPhr1Ot-eeNcHmt^^{asm`%o``mdPw#)w1lAWeZodI4DK`H+WvLfQCaozG}{* zl|q}MdlNc0rJ!`Jw2w8NRZdhL_jx!1<&bpwV11vXbK1BY{jQq!67NrfzlOv2%QfOU z9xYA2C&`WfyRdH#?Eg9bLcfEHK99J(@rSNP(q&tME*XDc{)Db0mnF;1uN!RsBF~76 zs^4{l#&DcSCbTCtP1axXS8W&Q9#cG3{%UB0JzbC@59nDV`}%5n2XBqn_T%QQlFkB7 z-s`t%ew4TR_GP$F=b94Os+2^3a>|E`r_$9y+t=?*D|BPI_O_I} zq@zu)IUo<6kFOio*9^0C{PrryjxXzkYAjtK(g!IgGOn*VBKX_%T@a`5JB1gJw3@)A zan87`hBXN_iM~kQ_z2xxuA^*FyQE|MUlYdvK{b5{`Ag(6{;vt+|13IhpDWcrLQn5{ z?UB{bt~m`kmd?}IyV&PDVxKdDzs(O~AD17jeKbR~Y9GemHHkh&-gpb$gHvhDI`TTPY>^BGY z+EfXOf~PB$kC;RV8{M##f~2Y zf6ZrGq#S-pJH83~CxJbGJ`=jnx$JzxuIaWz_irmRuN{%sKZ0({m!Rta-HtqTE`y8|NqebD;@2B|Nqeb_qXj!1ig2?ch4%X{b~Olou{#P?B9Q;*e9#~-#&lE zQa5hg`A+F?TzS>%o))o9^1V!d+V}qZ$71uwTj<7d?PF>|1q#FipAx%pU|Dmwb0V;l5Q1ruAh`c=i_VJfr#+E>wU9HpHJ5RJmkdf zg{L#ppYDH!#P@>W=i|FF#J6*cOq_Le5f1S^6Sj*3TmEt5xN)#2(!0d>XA<8Z1aFP+cAvU_{Lo7Ju7&MKfh~W0 zh3+R@t`CtnzCw2=*R57&4xNwhi;Byhe((B}WzEa#8IQX}ZS>$4#%pViX7J33Ee?``lODf-2o8fRapAok^)ykOs0&0y!+{;-dT z#pdYg%9qm7Kd2pQX>;gYzQo4jBIvzinERTV^yoHT^y>#X6SBGahB=8^H+C11Id=BxA#@A5eE(~sP{%IVd#Un={D1AG!Oz*>DJ1nx*YROG9KA#SxB#}R z0$YB&3*8#7%Pno*c&t-As*Sreecw&m{XxsGc4ypKyVMAtw)>CqcXY94{;56L$Ug_W z$K_x(Ybois%Q+82k2O)mIv&nWj|};CC+)pq9-yK<{vYz~r;XgVvaYx|miOO%3i zmy{-|eK^qeJ2=^XY_R^CPMeXr&Iq1Pr@!Iv=z^5+&{{ezj?>BKdpll^YX2A*`<;?) zr}qiRjjIEdJcyk>7CVuc&N(}|fOcW0w_y9kbBTT9XL$ zx7evp+N+n0;3+%R(GT==UWne&ziv15uZtUeTaPS>?X)pZy}lhb*Y=96eEQeb+mLFv z>s@~OJ)Tvs)RiO4(gh-YpkA-57X5k#e`jB(;D4^yS&JXlCHfdSdb)h8bhXg-u|eg~ zd3z63Jh{fd-@g*|OWl5!U(-X{tIbC6bb9PTIF2qvOdcdX_LBJS8N4;VTcsDO)jD?O zTqx>KU-$cRS2qMUH+C21y!y{p(Gm3CRgN|3bI$7+-`80>Ph;~{wCTs?hy2;IG0KeM!X)8ki)M|JVk21}FT zbAan!u>6u9^`nsYZ6kO(J)Xqh(Z!naBIJnTINBGD@NRLNhOY|}F z>Tl=kqq;{!5WF(&8JFXa)Ia$hj+(({x3vD0`8rD%gy@5$*Sn(s8^Pb}?+*?P=LXIs z3j78A{{-7V1Dj1J))8c%8(1fFA8@<IEBReQscVSCth(?_Evy`H^*7NFz(?e?9$J-z;l5t*m<%{`xLl zZLJKYORn1_P2~K&`Vopp(0f<2GHcyxeMO-2H1^JWf_hocU*9YEYdCJ*s+2glZq*mK z-1xilR9}pk>L4bRyKK8CT|KnYj^XmfU+D%x=f>SR@lS?347x@y&))s7Z?7nu;v(dF zSM!-!7|KDt8&7IEKAW`rl@UBu4(glXkH?)}j*&#fQw5s%kx+4av8UB#W>4o4#Hgdi@z9fXegmipr?ZC*PF-3l(-yjgk4 za^&-o(4EG0vX>X@AWtD5ovr?|7TUYoyUYo3KDxqsYd)fmCf^C3&PNxUX#X50Fzd!- z?enYuK6+X@&u;JNQ@>8uLxyJ7hO>F)62G#{*XQ-$g?4?+uKFa`JNf+P+EeJgtDBK^ zo^<_Bk!9&TjlI*})ZZuh?T+B@@|#yE&Tq_%pt$QT*M{$!)c+9ndjh+QkDMc)K8IZC z9)$K6mNsAeT5sFc2zu}8&M|w(`QbC`t@&XK^3FDbr}M)z_&Yi;MDM%dzft1*T<})A zZpp}tN{uV$^Zg@g)9fPpDC?Dowf$?;3|B~>-yr6dAclCy&+?R_dkxwbExt>-*P(Oc z`(4s8Ca?d?myq`^bbtR6bRR+Y_Lrdh6uS5G(E0qhMe(TqHt@Na{Ub$HUg(@49QEnVuD{MI1*N*iXR?Yku- z?T4)|*oWw|Tbt_GPO^WxA#T(1)(=X@yY_}zHsri^vUC2C_xXfSedY!m@*QMcYx`GfKQ{)KVe!V~#p|4yRlnzY?Gk3znqB8SV!KGl#vXh3{9lKw+OYWyFh>Vu;* z*R6(*x$#wD9R}s{3-|FiC_}w!-}&pg9!}SWtIVJ{e>`gCYyP0^!ft}6^T#jncXTOj zq#XQG;(2%QcJ;2^$ffaYy??;owy5EOz?QchDBZ)*-fL-d@{g}CY`da+=A6`qrxWKB zG`RdN@!W@b0@7UYbUb;_;pt+{c%t2D&~o4!YrI@MGc704pJA;R_2mUowOjYkY7^g+ z^Xb~~J7l~XOU;Rg&)-7F`hW)4hu9_E8_>OGW$u#hpU}OYhtAoru|)9*J-useZw^k4 zPa6Ksx3w*ur?Gd*2VY1&*p$xic0cMOsiI_F@6mWabI9)vAHudR7MC|3&@uFk8a7+n z96HK_jIBO?%a{+yFTGbA^R0=-to?~G-*1WKe^pPeym|2v@$#i3#mA3N?jkLmxf2?>^B7LgQOojaC;YYXEohrcKF1(?n{Fwb36ERo|2B>= z=3lg3ZMtXS%>PdzKM$fWTueLEWI?9;Eew@{L`U9*S33Rg-;p@|A4LFgw=L-7XN<6RMB1!?1=m)z}LF(zHe~$IgtA~ z5~6kOM!WB{dz|t5VZ7sRs2r(z1PHR{W20{Iu^O0bF}hA%28?IOi8Mk~TX@iyWLWzsBzbXwZd^rKaPz#Nn&Jk;TVL)A%wM{xWcM zm5-aI^k3!hJHe5agP-o?p9PLCe2g?L|16h(-UcVHb+{zUe&;&=bHNFVkB6rDFLd~Y z;K<@5o@xAIhch?Oh^!8qv+zqDeknMz`1oX+|4N6`_BSGnk2R+8YrrM{t>roh{Hm<{ zwbt=7_HHCBKHit+U+4JOffE+^WhwjK=aV#I+3k>a6@tzEu9_zzK_w z#-;fmviWy_)E{#d-!m0HdW-x4VqbLW_)?bqC%`3sTe+|WAAw89k9cYNYy!uY@{zcd z{O7z4E{!mu_+yPGd4UqbSwB}9ht{X$AL#Idz>$@Mqm8ED6mWFm(Dk(ZgB}0D;DjZIvZv%v zariWFkQ{uPlRp<6T{vtzEq|8dp9M}>au|0?{!tEp5gb`L_%W`0K1BX~+nBGC;X zF8K8#k^V_r@Va#WXutxe-vZvw{~PXI?2hf=5UB@SN#jw}vwPUFiQz6=~$ z9IBkgS2=tYII=jbIE|m~@Uy^?#o@te{47`hUqk#KP#h_7`!nEN$A2#TgvFt_Y5ofx zejzxrI21OGU+nOU!I8ybr)m6BhhGYgEDi}x<5xQTN^oRxNM#zo#^LM0k;UPTX?(4X z-$3aDV+%R_F%`dc@Jsu4EAfBGnBuPK_KkYe=I>jeK^G1^Ov}FoT?% zZgu=mKtos@MwsURsSE!#aCDW!3RC=dI{Ej2qf7B>lj>g&xCfm2dytG3w}M}gRewL= z_#aap^^m}neBvza?;zI9(FW(@kJ<7uko->@W{MxlDj!cc`A>nPOY!fs^m__i+K1=3 zuoZ{*rQ`pc10Ayw3Vr&^74rGkV7}J!V z?Z$^X?>uMG3OPY#UYwty)A8m&-n|bX@03nT=Lg1-Rvzyr8FQM_6Vl^N;$g>|s|jxm z`0eTX6g@S+46K45+i=KRD!v0L9U7nR;KW@HflJ00y)0gC5N1)d~e232mM;3=0rEy}T`icI?;?STpz6f0E zoAb%P)J<}zP%8c>fzzH0JPG`%R`3-L=iN4SW-kA!uKY2#FJ-m-Pg&*fOlP0V!Leoe z53=mD+VT&Q^dqeD$Fum)x8-+`*smjN(>r99--}%QHiBb|iXrLxly=zK=W1|l$stB* z`&_Q=wU{ItaE;=lQ}(&q>Hin_iEG6-vh-i$ zH*t{`74I9Ym?-}@IsPAk6BdWzr1^hj`3JoUPFQkSPKy6_$A3FGVR0x-n*Zkx|2a6a zI1D9?-{tVTz>&q_AZh$Qhu;T|EDqC1}*`$=l3&2JHBKV1G-@DWG1O7Jr z?$8hxn~Ku>-5q})aKh^QMizgC+;`TID$3LIH%a!KP=PJSaey2vJ&q{=*#qvEYQoBJi|+GhF*LoBVSoZBf?ykU_Iu`JE5`7x1k2A+%fC zKOM9P`Gi%K^*&_Kv95hP75>#cXT1*@G~elWJp9CkMc`@uj(6do1dgs%S@j3u+WdVc zIAO77zQfN4M;42b)A&UWzZx7_EZR-um$?4jCD<4H zfv5VHgD!XY8u*cwgJ139e-k*is`+!eejc>Oh5vJKbY-z?I{fcD`QHae7g-FOvd;|; zzY82$IrvS^zCQvdE&4x}W#1n;{eB5fTsWVgTT1@zmcQv>aKd8me>#1BZquh}7C33y z|KqImxy!}x5pZn5qSkc$?sNLz2TojN(Q7LFUpoA8aAf7+k68VirhpUI+AFg3f82%t zGB~=j2s9o3lTQAV;OHWYL{s5EWAUb=z>$@M|K7bX{ebady)ktI((g+Ly-fJjKTRFs zp9nrOtNwV^>Gv0K;=-cPw0?g9r++tSBl(Z`$)eGeej6M=_ncl&x1a&{7p?fZ_q_~Y5t8i{`(IE$5!>1X2t*CPXF!T z#I^of(=H`{GdS}>O@z;!zAR!ZOyS$X_X2MR{($1C{waKFpQa+@qf7luY5DM3`32zU z!XmJgeA30r?+%VGvPdi$ep3Osq+cUAY2DBxoqmLC<@eDz$l|HAd{Rr&uc-ol;v$Qy zQt~TY__Z3xT)dCtuL38mhRIp+tJ3gAWF)$>NGTnDt>xdpMs?6dN~!Q0HGIpDuCmA} zg=1ii|48_;Rl|3(;y(vrlXlRhdqM9^5+RER56gavx?97sX zpyeM;{wEB>q7%z6>tT2^F6$hd_7{!0L+jQdA2@wnX8P2b)5eZhD9+DK2SK-w^W-+* zh;)5LJ!d9<6ywx6#q!_I4YoW`&uDwxG>!QF$e5;1>G}|Voo^b(JGw!?G-l9C>H3Iz zQu&8nfF6WJo+MQh@{h9h>FBrMza9Cbvh+K~)knvGKM8(ey1X^bRa`_y)LEwK+7vGS z=CHwfi%LtupAEQ~G{?nJYd~YB%9OyCxu64v>}R94+fXe@7Svxeku0@ z2%r2+>O{|&E~@|Cq^a^V_g^v2nAbl}<7fQc;nxs81D5N_3&Q`V<&Vl=bokm3y4asB z^w$0rq^ZhZm%uxkHbb2K@D)7iqNW>7o1;y)wpuR!HSe_JPFc8Q=<*fw7cHDS_NWPbpVCN2mRE-6Yb0`yJO`eaut8zhJ_V-&(Z(wmZ&L!l*lIqMPop zOe+7QiOrLTE?+ipsLaDIp0jYtka;^!-MMqe`NhqXXJn>K&5WHqzIjS!{On0HqNv3C zWe%G>bGrUSQ5WZFNy_BEnbXH-#!Z?we(a3QxM`DSjh{9>irODBY04C2bjvhPnLa5q zea5)vX%jLNGf`BKk)l7VIWu|EbO?6KOdmTnGY*zcfhvkR#sr=GBfLf7dEEGeCQTVX zPQ*D;nHiah@~?`YGJeL03=CZ|nS)r+DjONLiv9o6n zn=N2bM#3MP5&b7kos!AyHe%TD;loEv?k2#rNyJX00%@m=4>XDjGq~MHkJ2DSPDegL zuzdbP7y9y+lUKGZS>b@?tCo#)z^XZ!MGKcJ;L>M^h)kvvhQsSEOG z_nY1}W;AW+V9L^n(WcAMrc3{%yr!+n67?uYp36_48qRvI5kK_uWc|I}MdRoq+R5mM@1V=IrVaCt>U4eT7mHeaRW9+} z^Bz>)oqW-eeh~d8Tr{Mk={_ktzQZlnW0c;re%ep?HfpF#5}bx=XuxL<>w>P|V`-sQLZme7uxYW5mPT*}BBL#k+p7D3R}bb8Qq z)plt2YT`LTd86U++RrXsOy@R)ZxlZS8rp~rpDCR=mG?fwWIwLQr&|1t3Wwisy6kUC zV|=>e(eMMfPgk0P<4u~rcz1lPfLso z_BjpwLioR7qBe){p1wM_-!}OFu=nQiT@}~=|J;xO*~1R5hzmgo30YVYmV_ig*iBg7 z-Xa7=5s+PLE!Dc#T5Hw1v{kH2l~%3Ry>+Qety*iXwQg;}6*ojhMFsgi&zZUR&V47S z-`~&A_xt_e{upj?&95k=b;c8TqM}9zBr0#Yqbs zZ#l}Z0-~?dMbICEJr0ia6_nEEs7=vEm9EmL;2C&X2-&Ie_Oc zj2Tw0zd?`pSa+_A$7vOUuXp&hTweyhAq~IQnAaF%9I}~u!I+@Sol*V*=rsucijQns zU0#mp&6THcU(z5SpB`j_s&|OqS?ZJZ+kP+oiQu%|pY8+xCU_^4{WsG|{UYgi6u+Hz z@&m5_1x~;7s?DG2Khxp#5j{TUdI5MBll`UXqV;vyoeqBp{4?6RXO%u@Poek50VNM` zpV0F;XHQ$&x)@@gBStuWe?}LKE6ce@>yb0m6lr?=v+_Q{l?_4pE|Yt<>8$Mow*>b? zQ2vg|{kbX1NXYYyjne-uC(rw#Jdqou_ASpdOTRq$FWA^RZMQ@}Ihn5f2eaQlQ|kEZ zj15@VuUKq?{M9D!4ci_Cf|qQvX&nIlFlxp}4JP+klP&Fy#i5lj{7L)Ur_tmtH+j16 zT-Sv-m-jNgeH7)&3^$LDN0LhtY)!{jfFU?^W>GCU2AE zPu`R8Q3`K!@#3ZPQ+$pO)73s;Fy$-#8U2j(F~QJjrptX^KSTd!@$0}JWPb4~)3w-S z?l1Y4K16A3e;AZt`$OlNu4U36I(+E7n7{O$gN^Ar!E{z!%CrJsdFM*~4a^FPb!lAh zs;rKJs`pjop!{LX@T#cYFlQ8#PulLmdoedd9jKCelPmSkjyJ@P74NGytoDN)XaB?5 zvGjwAQ}3xyL5Yl$=?AykelV!K+~LKVzWu`PvR%FGK)Ruf6^GN0P9_TN)`6z8){`AS zBk$yK5H{ICXHCG7@9!cXgy&?PED7eBLojmyBC{k{gM510>jl|Bi26zNB@rL?PAjQ)iBsONK&|8OJpul%zxXA;;ut z6zh~a22r_XYlkhgVRB9?HMMo68+b9c;uV|?P2LC3J{s0xYk#!jspQooXc zKDhh1eW_^cqx*86R`?vD+zg*L!|x4BGoxsBeD&o*>3KDT?c3nrxc2Qadw;*vP1o~G zr>Om-&9vhM<_uh5+i5}Kxw*l2DiUNeKbHu|+v8D@_nzps9sfk_`Kl$ZMc-{cYRN}c zL~ir3=i?P zd*-IM=8t`M{f3)u+Zy!jjoh~1xBhox{$}a2R_1SP`@7qT81-LBSo1pAlcD^0lhZ@| zp^Yk*;-wu!5sAOR;pYV1Z&saJ0ERTddzHvPlTaryH}# z`e{MwJd-!gbkzDN_Ey@@n6hX1Wj$udS)~7@(bUAw6+g(1>q_xuN(&g*O^@WWI1?_& zujvS{+#}O)930_aJ_q`Jz<-m*zs{JuZ2p2FBXJ@TPMF#9+D$p^iW{=1eQH7e6IBlV zYV$lNW6u62m|Ml%#%ZJ@^CW4{IXk_X)`iL{7{Yipl53+ecT91*xt28NxilHS z+-t`#K_&hu<08Xj?!mD4x&9sbzS#7Un4(u1+ms$+%*~sb@}UipP;^f;ezIc;#$-3Y zY%=yCI49v;%lK7h&#iT8an{}i4kLG-V* zCt17Q{+UfB@6b4X#lOqg3Hs8LOppzI?)_=>--l1BrPc4w3HlK5=Zo@&m>eBHDgSdc zN-(4)jB4_5rDGhO5fbJ5smYCT$ur^es0TK=uQ{A~SqXNY6C133h%!FYi=#6Cy{onx@6JP73Hl9R+Jvi zGR84WR+UaDS*vbr$+Gz+ix(|fwYEg=vbs@v%>4N_dP(czg{4)4%OPL1Wd7n+3tD9X zgl*z7KlEE$B08UMQ%7;s6KaPBE95N^*RKB;}Mw}cz^otwqG=j;xGHj=@ z&$n27S+!{K%0){=BOKMSd}ZfanMbG?$3_y3Rk}E z(LLqSO@R)-VIysMhffpb?=TyG;}%CZ z#PwI{bQ_f>D4S>F-Hxs$+HJt) z{;tIa>6aBx;s2bUhTmY!EU6oRH9;>t_S|dJVQkwj?Lw_ka^T@q$SaLsz{Vy$_yTRFGSH@VjS5N%AoHYE8@MSJl!Y0Z(uV1`R zNa-IWf7I8q*TIoB&)a9K_#@!717(}Q$!p%-Y4ZIAoO)aK7C3py59?`IK7R$LewMul zPG0hvUl&=T^8LHjU$x``UFUz2ra$x|`l~(?ob(Hd()9NNd~N**%8A<Ur!@_p!nsx&hB^J@gr|7eqho14c8r_as;Jk5Vyq2r2YR} zGXIY6T-x6FJt@1t{xziBrVBqe{!gW31x)AKWjYm7`sbkX20oYDv@4e;`DHUnBzNpS z0&o8V`j>IBjOCec2ucTAIvLbzd~aT9h>ZJWY=Ztv;;9A{V1mJaV7ka|T~P*F-XF`n z60dfj&s@1>8_t^=_QeF{AG(|TSpP?cEcTw*1#)R%z9A^BGv>2=+MD*|qP~{?i1^cO z{ODWe6Nbq&R(ML7OXm|O7u!2j&fT3qo1Fi!^Bbb86-M@X1*MIo6wYt>dRh!7>*LNx z_vIPk3cShl08fg`%j|zmLWfM^Jw_nrIc;%&%Ajq z;mzFEJ=z0XHk7aJkK^_(=rggnBIVj0ZY-r#j^adbm4!O z-ucpdt80FdTqN-ZoK9i39)#`Eg5`@=w=UOxPr6@<`zu>d(ErrSL!Ezhc!dcL?@t*% zXH2&f<9-r67=n*5_s7aS7(yG}wKUpiBJ{zKN5M~L4+_4*kY~V|AC}ls?-hSs=_Q_q zqI=Wm@lk|+$m0&*kVenks>mmD9NLw3D%wIWc-iQ56(O}3M zrrQjYDf2{DzW>nP2!4aZx0r4t+>tI41w2>4^7w0-Fmpv(+|4zgCSfG`NVWR%f~>F_UD7VEB9Jb9~@ZGh}wlk_Pk#+9hRG-Rg#8lH|jmz&5 z%USmx2=-GGq;#~PJi5&{nc9xbrQZq51e{m98BX`tnLX%nisnsl)S(sor7=T8!))vHaN& zs<`YcsXdo;1M|fj=q{U_bD0@?b?!PpJKXLmnlz*+GzZiT6_y$vSv$H3~8ytQj zzh|-^VW}y4)pXcDN}qcoAJ0|>~wyPRMrw zI(B`%_KTa_v3FieE+()2!Irl69_j=AM)Tlu-Lt#t!dALi-{Oy94+mo}viG;V)^sTp zdy#!+_;Iq|wuJeGFob-|PqTFLj4mN4Z!lfD$L*4yYOnneemVZ0^}#HYpqxIxjUKMw z|AXqehdh4YQtExEJA9Gyt1MAF{=ur1xLK~+vtQu)kwAR&6#nA`!f=dv7MQ51K z^F^X^9&0f4)h_}IymptEF z_V-m>%DU%wHBL}LyH@x??2jtm7yLwr)0Y=+iv3Z=Y3q7!R9wFbSH%9N;?ynp2Niw! zjD)^V8h_G+e^7A%pIY$jH2!5;Kc#L4mFMH{UKiI-87BMJdd@}wr|uc+rFK}|Lx#2Ou=mT9D&sT$|86ij@>+u|3q5S zKKS(?T!OX{gDDHI{!81Tp~F9*9SNVctlM86%}d*T*V4Ygy9EEBf;m`nm%XRmcdcy? zdyO?sRo7$Zp#a%5jfj_zykJCpKp^H$ydYl2y;z}f%$ zSMl#R8q@O{#e<6Tu$?mYUNgrl^DcLrPJ)NDx-VhY_xXPz={LvYJ+<$Pn6qJ@O&E%b z-E#}HYdrT9l>Q<_kpJ3t+O?Y}JomkW|2gQ^JK10RaTM2F_JF-^>rX9jUEDfvMQdsy zt(&W7=#>y;rPu@WzFueaT4C~TGB>CltBw8+>_v-pp{)g^D~#yH|J406rA;Qto20n5 z$f^g+U;WhKM`4dg8Iyms^HVoMCwi88Fo(|qK7WL9Y^N4K3_5g*4F|)ivw}b8>{w}; zV-)$tPODg-#a8oXh#jIw?AJbX02v{upf76EKiqoMON%eN?V*xCvy{c;x+_{QQ;lxE?`2F&-VylD@E=An6ZvK36;N*WMb)oK(2iZ*dEb_2-nr`G_50Ia)oH|_f_hlve5tnM$K|a{=AFk-Y3r6voKdL2t7k!ur3#&|fk)(*iI0UR|fle46x!m8+26=|SQN5x7UhqWdnNN@6&GNu2bf?;75{%l`2;A-U(dUG&I^0J z-l^+b3)pA3S=j`Ymk<}bm!Rd|&U)Uw`Nzxj1m&X9qA!0vuab5^)4hav(w}`0uIJU& zPYT!b;_*o^^c<5RLrw`=-kk}zzv%xCZ{5s$zP$1enpcSymM51P){5mWrm6XO zN7lpGhBg0qo_5EQ*p;<-RjbC3dMeMbi{Dy9UnKUl^I~Jhpm(v2HJ$i%bob4F64HQ=k6Yh>Q_+tk|IH+wXHCo`_0mfyY9g0JuTnFjM978 zlATB$siH0Xd@qx`ue95KdT(i*pwim9ODFtkC%yOM^p-8Kb*~9l_L|~x{zDU z?ukTt^z{U(x5nqF(7Y#n7dZ?UsK>E9HyQ~TOAJh~CdhYkqR z{)9ogDy{EQK2_cLfS@l4QeFi)Y5JhH+)b{_Z(#xRHaNowcT&4cEj_LimSi6FZKRGQ!v@?Ww{Zwg2F{A(P& z_@`V4Lrvj$(<$aZCc-U$&dtN0-#^Me(bbnPJd6CZY{)vICtE(|>HYyCITZ{^eW_KA|eZrH~GCWm=A zJKlMTKBRX@2F@5igTK;`MCZNS{pE`_Po8d01Jbtmdo2*MW&Z%#lK0^*WX_-L>;ZA&!NBJf+)=f{1ojXGw@v~F)5+PMTp;4mc zjN|O4#u@UYo;+p9Lw@qSUQ74{r93A%eOgP?oYdI5=H@0n4`vT{M;`QRY);K?ZkoZ* zW_B<$IX~|orH%$%W6u5i#SwVgBkVi@NLBSS#@Czr-w7{=!dJ|6p=A_(T z!FU$2e(rc~#E&!9b7{J#QcY85BxIKuEWq;sp?%2DK2X>)eWnBaCk=UkQI5WuT~W?S zR`rumh(3KdXUZgr3_5?_f(1Ov$*bBIF5)Rhdruyh^Y3*dp4?lsz`qOif^=%u))&ch zsW9h3Ox^fRQ?GLBnKa8;Nnar-=hLiMHgEZSC$qg?JwpDnp1*R@2|RC_5K3+(1m!_c zxwm3j>-;z(w5w9G<0ig^9IH|Y5#P~Bi`B_{=e ze-2C)ZCyp!*V^$1#@r$4SLpn9DkUxWv8@c?b(gp8U#WK*s$CtBKT_%BH<6C`6{T{Q zarHL+i-;_)-Ufv+nSPJkKNkeil;De+;eUK01)Km0k8d&R4bca{kz;#)GGnqO__M-``OQvARIXl=-EF-5kWgkIK+ zg#N&b_#JNy&S(A!CTo7kpR)lh|6AdI9_4kH$zS8vmn8k6d6sGa-XVKaxXiV)iZj0; z=L;Nk1iy0qnJN5%n@3T+yNAnlo+Y=_yNN!(J2r2b1p}$A4yZ>7d_2IPn^G+Z*pV(NSa~( z%DC=siVpSVlY4?6p!x}h_BKVw`0~-WI(mr^3@tWAX9>NIAM9?xsO=|$`0cAd;lo&y zvm(vvzi{T?qu9aw%r{W)2J9(p{^vqu7xe!kH+CZd_rnxjZnE}|aQc72(YwX>GDTPU zdY|j)U&Q{2vEM_6<27ad%&Q->ENwY`we3$uAIT0fOb;GbkCc<1S#f~ra;e(~q2>IZ z@(RmA{%~Z{KI-QRKKR#8p1W+k{Z^T--w}B>+PXY+5js9hYNp~rUWLj1p~kx0Ciih;_eq3xT=42AE#94R@5_QSuEqBaD$cg| zv!%}Ai?{Jd{y22#Yg3OnW5vgeYpvfOR7xA;#xQN?Ggh>&T)9-|u!Xa(hySfhs(#v( z=^X38nF3QAXHRY#EB&$d^qknHJv|%F=Eslhvp<#b$bYq5rZvpuG=cibbuBHarAy`? zyJ%U8_gpVseo}d=O#FI1$AonRo!1=p5qVliA#g~Erf+e%)OpPjr-AT-$i{MA>&2ng?WCsvp?P%daaVGe`%Sx?+p5-6B#%R{dII( z?QWasiVk_{!UgkAve2&0-5O;Bsdj<2kHelc(HyyYiYx{cKHI+t(e?t4uWEuVaid^bz90XUO-#z4Lz7yv}@~;IuUr zlvdR`@a`t3#dKHx{ImF9xpLu(nI`up+YiVc!4sk836slF%Ub`KiwuVT(_{?TY)nbD zM#~tm(G+$wdushs`~{1%??ggI@e!u5%GiBMivL^jVC-zg<*RU<**m0{|Cawcx#W7Q zDLl^gbI@xj`4{t^%;z15T)7Jg=7wCz+3KY~44aoA^h5i?FEF`FeEKdHcjxBGpWM~%`E8Y-yh}J> zoqXdr{af=d>1&-H)`#EQjM;%d<^q0Bc725J?QrY!NtFCUe0)EP@9Yqgn|zj9LwdQX z{CD<<@zY~m)<;eCh6poxBrgB7eLf6*VLL7atlPA0pYK0l<%b8J`N!J^G2c8vV+K`^ zqo*oM)y{a4H=Q$H{59jn+y3v6kEbDY0QY}~eE*+^d}?rNZy!j%&DlwE`qHc>Jqx<+ z{5Jhjv@Sa&ZCy6GpJx14?3wkUx?|XrnP;zu-D>vYl`>M#0>zoXcer_FB5}?&dtK?~ zy%fL1;>G=Gix1`cO0##Vsg-uX(zAvj^rg2`Uzw+=d)@5Axs>xGoOKlNV8T&`Q*hyb zoxIXxbV#33{9jAo_YUa)0e)kIn-a!zVP7Chs>1)^6pQRhrjNAqHvJo8{=-C;56oWm zW=zOG7@VNB_n3X7{<@ZO9tIEHmrOaaH(8dT(SyuS_hWj1GYL|996{=s)+ObPD>Ya@ zRP3&vS)ICO4)&VC(Zw^H8$;agXApmb{eHoSb4g2EWNf1qR6{8OaAeV%7`*@=M9Cn2NXTljk~>$QI6?HBnq;u8kLGkF%c zP$Au8l+!m}k5hcQ-Cs1kFL7&`1{o9YFH+o%|7-4x(#ZbIttTqZm|x`7{q0<{A3le9 zaIG(jGv<$Qx#o~o=D}mUcZ}E4z6>uixx9iW#_?^T4@Nov0x9y&lzH5ZlpFaQ_Nk?> zIoirs|2vaE!p(nxYheGB1l2|U599pR%&bPBjnA0kEe=ZB^`) zM`F}3l>KRf4`rW<>`fcaxE(vqp6vFijG*2y=a5nSHxulEJ-Cp)-HjW;B?xZ)GV+3N zmDAbzRZ7ozRQHD=e+BJH_8;Q%Q@qxdA97S21^!Z;KgVD9k6{P02hQa5j&R*Kq&y}- zD|rw-kUzKo%}D+fpA0?+d=NPK^Y`DmGy?YCi5?RB?^Jg)kPqK@IKL8dtGs%E%){q6 zT=-*)xii!7!ySIO<&k??8h(`G!diLd{WuL@VE6wIUqBvi$9R|=lE|U`VE3}`Dr29E zpg#)yg%Fo^&fjOFGq&OO03A2T`I2??G4f2LwA)pe!kG8bc68?~@6Wsqco>3=rCjzh zS^Jt^+TTb!J$$W&X{Uo>_Y?nDCTFeRPP=j6*dK*#OwJ$8-huQN!>{MF1HIFy4*vz8 zGhBb+KUaM1zAwD7$M)A2jbFdvihGquFnl9%e`@*dx`2FM@ok+uqy2%NHxr#S!%5y& zbH{3eyKW)9d%wXvgiP?KPv)o1O$bA24|m){eonFZIY{jIYVJK?|MT{7ZNVhonGF4- zc=uTR%mZD#`>=e%F44^?d^XtoXWi_6?=a@K#-0bExVwMi3XAXfvk3}J2kk!E#~$cewG;jxS6w)~A2S zqenJm2nsPaAG(bIknC%vEe^m0$=-FRvzy1mS&N8b|2e@3zB zfb<>}dN+SUzZ{IPCZX}#_@!YvysWTcy<5Z1;U;*>?4|onkTVLDLq_pq$TL!L?H@EB zuc>`l+FE`($ItC6zL~anJo0TffxMfYJ16jUPMV!;HD<{l6gKCa z$M3J=eq8x))AzSXgkaEczMe|VcS*YDm(N=IowR?!M+yE4h3eNi#dI~jAhTc=ne?Sj+M&clsaRR>;Z%u;rt(|)9fU<70Qw$JH)zxbHPK3Ud$ zHT(0Vf3qPN;re6i4}L{EDf5T_X|KK~E3@cZo{t z5B=3I{FSJ@M!xx%L(>Tqn`1d2)5m4(m73Z# zt))EGJVeXS$u9Hn5@gT6$$dU*k96O)jC~lB$-7a4TJaa~_uRf~^%oUC4t?V94HFy1 zU(|i(>nI=k2=N!i?~{17^bs^UI(O{(L6Y{j9$b4C)a*mLl=p}a`CRYp!}o{&ID6WG zt=If&dDrzD{+6R+1l7=txWkI{zx|vQ*6d~D;g@~o@j~y6?E-r+b+{vfvma99>+5xO ztZs7C_^GKGf%yg}Y3Ia8Lo;I=|6zZI9_pe8J9BnKe8_WPzJ;^2zw(p?c3Ol-UZDagqowi2vDgJa%U19^`H?g7IEYd{qfOA9eEw#GA1=8ILAC z=*_*FlXySuv$Fc++LLXvxn|Im#wlYPn`La~1xarv`wRT^skfPl>POk7ZakD0ujW7Cg?zGXqCMj9?5? z-AD1D=L0rgd6DaPbp0_tCS&Qsg)G)BUD&r+^WN8Het@=bq#0Dxe;@K0zc-qo*R715 z+1DW)cWtz3Rnvbr-voX>IQ>iJe(`f1N>88Be2>Zy^n3<A$6XtH*&a2me`Eo=!drTkNEIBKXnZcgFKd%6~Nc(Qh?< zXRn*UpN;(=#m6Ea?O8SbXsO)UmcCb4@TqC`u|(;WKWB}Z z&X1(gQ>L@n3s6n{=yfj6U(eWo()3q@pA61ATCelL2d3rkWbhw=zX5(B_yqCTJ^MWs z9NVpa8~hUR)-?T{27alPpR?vnL1CJF*hGug23fya{j-jmNFSNi zKBW#}D@6rq@*}g>ugk&vfe%X4A2QFn%kiiDi&mwT-{sE!?t`9kF1jEsf4_A6uLDPx zBJcbd)$i5tf86mG`L?9dlQ(G>tDoXC7WXA5&Hisx{=y)rMwTv28q1%k{kX}sFZY1& zV@$VUY3<9cPCwFqo(n!TO+R_(u(?pu>HEvrT;kmk+s+Q zwDkYv^vB#&HM;J_elB4a$^R()SIZsJUjqKOwDccWdc~_rEADSx`}CAce+&GPweO^~ z^q+D1eGZ(m=zDaUexHN?8khd5;3ucq--}9b((0n*OipXF4yC_i@O6yAMy`X;k(Km(JrIB>K3I$ffb1^FvTGz;ynQEecAv zP0o9n?cWr!i+03_pPD<$Ty9(nfzbZ+z;I?>(`(aohS0 zHr%?~m;aOcllN+&xAgpZ`xtAMTKSR7?PFx)d;R2@4UOp2=~DG5 zKD=EA32N4GjXxiSIqqDP^mLxL?Ri9ZDs*RH=bt*tD_#4OPUp*ay3#0t?o-=7B{gNv zxVjc(QMuN6a!I}YNhFmi2+#kP`H)>hA|PQ$KaOca{!=H9ojj?<@juVwk6&5yi-?UW zc*eC~%HI~Spb7q0#5BtPvni?Z&2>#;iYou59)JAWnj2#Ng;_EqN<8IX5djJQ*T*y( z@AE0CNsW!ul}_yc8jnAIPYr!&7z&5G`4i<|8QxCH&sso8qxEd-loYSL<%>5nwM>{c zxdHj440r~&<`(9gzsrAm?K3aN|NbF;G;@_%mm1S&a>V{yzfe8iLi(Y7GXG!mOq@*N zRjwVDeER1g`F8enOrv`Ilq^o0o|<0QV9QDJ7xMoX*Z=kS-|zYv%Re%NL^-`2(}a4Q zGKEKJd_78ez2K$u8rSc5>HH^=kH{br>AV%wXg)rnzDPQ3O;$a<;qgzacZIwcLQ+$@ zFH0hWfG$HUUE5t-ceVW+Wycyq5{%@8Po>)~t@WZM3zx!Yqox(uAraRfN>mNOMY8`= z`n50S$-~Rxef^E-@}QwVm-YFPMJDL~Lldl*^>ulUB3tyeqH4$^W>?7w#Z~Kzn{>@NY~emEDKr4Z#(jQ zzTyNYj+Lhtexjp;Jb6e%<>8(S8NJ+my~;DzlZXCzWIvNJCS9JS*Ydv{Rfp(6`cp+V$l}Z_mTpO~G-}dBLn3U&i_=%2|c=C{j z%ELVuGB*11NPivHuk)cj&hQGRGG zxaUHLLiaq7+Vjsnd9H%?6w_f?x;&REWiayRAq)9!Po9NId8F+T9bM?jLmDa%_gv^O z+Lz}hPaf9PNAl93h3WF#sFcCTn?e@y+nzkfx3(^uzjOuVtNM|)M|5EE*SDj)lhMm}k>Mws4_p86lly*Ev;@2_pV-RkK*n-1|M z1pQApS-*Ghw^BWPp!ZczqnKw$S6TO)0kNDPTU_Ok_IG0%P8}u$dtB{)?=o3m`sHoQ z$M)k=wjY>|S!R!j|0uW8ApA$suaEpJ4QI?J{QK|gerrrep3{r;42=fS^QcDXc`oOC zGce|_vq;vD7=;~=+?j^=w)C1leIWcS{bSfY9=P~D{Y$xT;|0TAe5J|&8_MEy|3O5y zVHdU}dL6Zw{`dF)b^M#@9LwF;#@BTF5}(b7&-;3*^Ki!K@Uyrl*C=Eg<>J}c?z;o` zM*c5eV8=W`7~0sItG^nr znz-dQ=2ylWL%d1Pm;V%ttDdKT_f5kO z@$lEdo6_*36c_(Ba6WG*-hY=kmt)l7U^bp*miU%(I5I8=87KID^43mSC_M6yBl}rk z(;YQ4V%F()-+oTExbv%nQHPk$ym}-l7vuX;HeSTUQgMHk$hAC{%h`u>;A8vPTyUV3 zPagnA%{D}KK|3^RZOpz+d57hAs!e&6ZHMGf=PJJ(&$B$V9Iu6Eqv>2H&sq8Qeh&9t zJR95pw&!_7^-%J&&CVXlv*0Vm`6fjAU9W$GQK#vze0A3Ms{85vjPz{0D3V^V-+k22 zMSqtk_4h&eI&)1>b-26t-;TNf@+)JuyVcvZHbvrxq4TGvFm6Zgv$s`mo8Wn>$?lY{ zw_CXH;)P7~m-cJa-I9-6<9vvHex`a`Chp*_t(|zDFn-lWRPHxGc4y43jr?JIAo2dd z=l3>ln|Psp{9Ey0R6GZgJxuyTzdZf|9~&=DSgxeKdtUPKL^2kO2k6H$_7mF34&H)%yK>X7Hu`Y+L^cV*DCgI3&2tl7 zgEsNku_u|aKkc5jx#D+HM}yIKD6QJZ%m{YL`Nc(j;f;Fkv(R(77 zi;TU*7tmUh?XqDH4*`DwkvsyWj0=W=f4M)pm`^{8 zwWrSm?l)#RW`Q4+}+WT5w zj8$oyav$)FRG_;p&r=}t<_mV4 zzY8?QVDu?b%DJC;d{I%YgJp zUsIkJLH?_lYnyz8nvX5;yw&90pI)x??_s=;a?h(f$C7AH?$*_(Wp{^UOqFT;3`1vn5;|k zKhn`34Nh7znU~;CnQ8f~21gfJhocKn%R8G|fL;wAZ??BqWeoV<1{PLuys$Nw~NWa;?5H2$YK z{%3+C3zKk3{%1P+^TA20$^SZs-v|z` z&fiPJZ*=@`1xJ?7m!|Q*$CU!@H@cal|3d6zr*qW6F9PDAD+hl9!Gx< zIB79RP4d6b;g5pDE2m2u{wJsZ$H6Hp@MQge)TRF%II`v(oR_euUQI{DrPCoj3JY4ZKY@qYyzS#p1u z#{U(^{|#_t!I@6-f5Xvl0Vl28ZE5sxJNgg7NegE+Nx#L(_c=Iu@!zMS?emA0e{ElI zWXU@|jsGW(|0m$cg7cT;|GC9$>AJ?i3#Tf9b6?xHTIq|CHSa~IpRG~~aR}S{TKa2g zPis39pR{pSlKeU&Fa1p|a~||LCjXISdrvx+zB_SXpZ`o6J=P`dZEbJpkv;#tWO>yx zPKCdOKx8Qxk;b3aTjgWT02##@NXp0jKm08{vJ`pmVGw zJ8-`~C?WoW>G31v-v|6Rk-wnNS85uA*rT?C=R8*v9qCrP=PQr;sr4CZ%S)A2!z;m|J@_Z!WD0v*o{V>GzvL{dI zlY!iQZi?jjPCYk)y>(#-YMW$8MM3z_%RN16UB{z_KTSW8*z?F-m@~F6yn<~&N*X2tz2Jd^0V}uG3GI% z=jR&g>o{z;&GU1c!{_H5&N+Euq~!B^4j(&rx*Uc#rLJX?;%|ra6YAu+-mx>sbKagk z`9$Qy@`KsfS?vwj*EaOC;<$MsF=Tx6_=gMk1Qg&L7 zqG#qIc-Lds=)65CXYL6;Z~)J_PE{GYRvUZ%C-ibJrl(A6A2MB^l5)C>aou9@gOoa*JVW_AurOwYGN4VZ~y0UnI&wb-nlE0k3Zr4=eCd5cih&wzFym*#Q8I8mM>cA zJ)bV^^_#nYi?N&E5q)P2*dB_4esw;{)3=@9G4!`VT|MP~o{g*VzVzN#>BpNuT)UsZ z^84awUYKSLQ1m+dAY+#WLK75X~@kCNHWA|Bm9pgzNb|OVjVRz#JUQ|2<33Id?(b5Bc10k~nJuB3~)v zq&nLV^{jO5xAH&B;gr?Hhn0WNlTF9{qw*7;$Dlt+pS8)lHKx}<(?3rC$Ch5xr=6+$ zP8yDHEa{i+0skkJf3N#|{`fGFd~*Fg)9Ww3e9W&#xLp6#^d4@q>LdBCjPZ#NaQ!pW zyV0kA&*Gdj7!1QFsk_bee%hVmr}h7Ol}XEwv+;tu{W-kGtN+}S;GZ{}bEw~b?Cn>RxWAee8M3s?)Fi z_v%51uitPb?Jyx@0P(K4NUwRGfc9^bH!evYN0?f){6uvXB71qAbg)M~7xDtGIR|c% zsgCt6HV!WO9)>?do&^u}A?G{_F7+X!_+MO~W%hW*uaE344Cy(uQp#+PJQJT=StoTw z=qWGGtPJYzb?Kvzx()bfZhr!~;~b}U^wE3v=Ea8&KjqbKjMErrOT3}*9NST^Z9Dvl zJ9TRX&nrERex6^jd=W3+Sy8%T`TSCMWaB)R{+2FD9k+7cF{xGacxi-t&!y;dmYr>zZezTI4~dfZpBr2gY`_vQNB)GQHf&q2J60j6Z}9_6iyB z+Mwn2+U{hKcL}?Ax}MdqbV2Lt(j}`FFYXu8%l)nPek40!+w}_Ky+5|DrE$=-apQQ6 z${;z5Wl%f)6!AC@Cz;_HoPus0P_dhEyfzkF?h*F`Dp5iY73g7K+POLCVTTh~e$d0D z27s}{qnma-y*oW`#Og(03hi4+(-Qy8yh*eX8iQ#Y4x^b)KBSL5vvJy?C{mh*Q@zpyNAIn7_XYRO8y5tgUM`xSAo z@Z#-Eo@*S{^Bc&2nw~ZPAMhrl^7_=Y>5WrmKBpzhh13h|HJ@@ipEPcX0hOmc|Cur# zdpqUp+s)49t&u&1{3PBzd~WsR_WAkk(*wvIH!(_}a=)A6^ylWfmZtiYym&A*Zd(0J zC%jkg89jL3yvOudcDYjek9SwF&yw{xydC;29(}4TC9QH8D?!h*aXr?Ci2c>{ z-%rvvH6Id1^66(}LH~mY(hDCS`x^KK={W6MntW61rg-v!zia!OgcPnrO7Pzb|DS(7 z|CZ@>Q^QyZ`t8i&92X+?SM#4HpPYCT#!|eU_Bh7l@~O{+|EVG3@qb_DEA7$Xsd05v znkLVUB6;#<^f?LoA4QN}cz96HGjx5fOvlmRHsMdHB}(4LOVIa*p7}68X#SLb^0e{1 zoUD0emtPY(6eenVfeaRO+v7Y7tyn`Wd^%FFgwS=PU*Khx4S7876Qg+7@wHZ{3%YWSRUJ#z?7o}m6mTwi2)$am%s z!gWXJc5^O3J>%~B%dlhmROSC^i;6R)X{tUCUe6ptBEQx>5E_&DvYQWoo;Y#5p#GQq zXZ#e(lDWmj)A2!ZiA$kwPT!Kg;<{@89Om~L=oo7?mZiy4H^<7e9^BXe2Fi>v5m7zQ{t$spz@Ou;T{L#t7 zZ`K)}JaN3BUTotDKCb>|ZFccwzVN-`NSwY0_bu-`P*tQ%6LS3p`lpd=x|3^rRBSf? zkEY4>w3Cb9PMMLNN*xO259OVC>Ly^j2(@*PP$+r_ZVLkTpGsupZnE%@_AA($Sgr*mwh`nzfzy#ctOJ+ z;QdrL8oASEPcO7*ptd*B`wc<;v+(Ryn1hTzzWTJ58ScnuO5EId4(@_TmK% zj58W4RVIzx`DU+vZz&G>N7c!qq%|_FyOOt1kCLuH? zyTOwuju$jchvyuX$;!h^h~+}<@?(7itmr>1uB1HJWy2BZN9Ql3Ud?pkyL`8#$#aC0 zhu`d5WBX}{;{^>z!}EBR$;$JPi)Y)vP(8GMGo|^PX=gV?-niXqSOont5DHi6>7SFK9Rmo>!_&8ad~Co;=jEP@b^h68gaxXrM1`Jk!bZ z>C7;?zQ1xKe((v52R5Bz%nunG|F94LZ!qS%g__j==$Gn)HP=M>T%$>f%qHhGub#*8 zf(FLh4Y#UJtUh?Tnp~(K{dRGfKdI+4C#&U1DS`WTa|`r$qL&Lpy>R%GGaQxcw`p?O zF^|xg-0<9Zsqb;Tpy3bvf0)m$k{a=Nctn1+er>^SBFXS~CQlxakOyC&fxf))k~De3 z_VE5RdHy1tmB!@0JPCQ8h3+NfVXj!~)hC?L zs|vE`>NGGh&X)%8DkPJVDFvwKVzOS4e10{%Dy6Y7;ML*amO*YapYB{FyGEv`25d zdLJr5d{)X(`S^DA1+p>c*?4z5@@ebs(%qhv4;l$VYw}O?G2&HFGL|4M}#>2c|BvyFhqX6SN@GPiUy6Nou1npSA_h=lIP(eH^vD+ z4eiazJK30NONq0DU*yiZ#_f0X(`$0+5m(Cri?bFaV&o2VyEAbel_xx#`KY&7vd*_ z*FkT`GO^zk;-`Xp6VQ<#6yo>^_$N__{E-kp6MSt1$NoWx(-Kuzv}Y4|1Z|82-R$bmxJH$;bA`%;`kl3|51qg z1;0FPeG3}t@3lOczvsaD6t6pOu4|sFE=?paeQo0}BX%b5x2913`TaxV2E~I$As?1tB3`lvq%@jrrZ z_wcYix3~b(&PE|>SMSnWK|SMzs~`+#rW_17Ogdi6oat^fR`^&2)= zK0*C(;xeX}pzF!ch3m=8x9xU4d3ozGvi`i)(uLm%eey0~kpuqp547k1NuQ^T7oM9N z$Mah1REmDYbQsEKFXb4_u0^)DLhjVF?!2*>pyea$mcz9hO_n3;0L2&a$k;z!x*M6l zZFjj_-`_#f5|1=#-@2zV+ zeqmf5`FIum;oEDu{kLgab3@t^dR%m(M4QgKC>^tgamTp+aXOvP<_(;LUD&Z(hs)^x zB=gbe-4CkIeAdHen_vQSv0>2i-dXHcsgrsi$60#C?<4QOV|iyce*<}GgmqnGHb0)UvA!S++5P`wwG11moDl*{90JNa*1>YFh0dq z>~C7c$MYXziN4({&Hq2V{6C01wqMqSvX2hS0lmJ@ntJ1m6b&wKLQ3f}aiScqKo7L; zqpo*)_?mLCdhp8O15Xaduj76f=QTTgFRRS!`ukYC_Iun0((l7w9`tG3@7>u!{j{kw znx{>coVX-J51Cv?_V_gEKj@|Zd-uLpN#EZ`>!;6NzybSM8e1Q~rvGqa2!*DP4Mpky zoAh7u(tkOApJ>#c;U08pyD%ZRW!&3e|j zcafX<+)2dMazO5{=ug_pJusGg#0Bh$aczr8pVQy$E5DRiFc#lt3Ug6o>Awcv&F4Nr zY{)*{t^dmS{3Fp@v2MN!S8`PT-Smg!*#|Iy^HT?AJNb9puE%!lniFeB)sg%e`{Tcj z-!qg7`42Js%RlrQ_T$0$GTPNL8^^ED<9i^-BzIrh)!nx5o*b@&QG1@~$-($@JbDcS z^O)A3Q2WClYNwwae<0~YH|el%s)yN})rf<&YvXOHQoM^ z(8EyVm}zO%p1xKO$l>c@l_y6vaxh*GL(cuqo1W4d3j+?NUET0a_0Zgu(8CYB z^eagJgfMmV@Rr$6{`vK6&06ZJ^OF*K;8}nHVg37N_RtjRfqrg0a}4AA@evtT^1(+Q ze+QpGlU@}c@xRvaxfCCFQ!L}Rai#X~Q%?`f7mfdJ%sIEm*SGKEd4_Dj0?MKPH!X+R z$#S^bOaDO9$A1k&?kLwDN`GSgXH$}cF50V?XCnvxfrM{pk8wFjKX;2KM;>x`dW6$4|Ti{&#RZTldfOL*guQ(Z?<`n@7(X2zVaK{&)Z5`4Zmus3Yi55Au9V$(5lLX?l3v zWZ*U$&JbhYH}d}T8_MByAzW3S9iBW}+5i4UoL=ru(_7=E>mwcy1~XnY8GCqRUX3r~ z+vNT&e|wy)LuKqqk6Q8$zOSL)KS*D62<6ROI(@4dGRFi{n`1e??JIfCVa{jR@^2!} z!Fc{>@YjF-`l`Jv*B$$8mu}CESij+c<80hl1~SHVWUBXQ-sP+I@h@7kXl3|xBzk`U z{ru(Y_Ve?qLboECO68QT9k$R0()RcDPAJs8=<^G8f5V=(I^hDYALhIwTKb|;UYXB% zeRuoYy?xKpKQb?O^r}URS1wwTTD)M*qNS3q*ul-fmt?+G<&d_J4MbjixrwVJ-@ zahpExz@TI5%qbYV1Uql<-*?YJc>dWm`pl`WudsUe_94f54<=NiSFcY~{!;(mO~_wg zH+{xT`?Ndh`}C8cKTpMqzI=QR?N#O#5u_Ih{9oWVq~oOjejO^5{+G$I#Qq_`!}#`n6%u&qY#vtLAI^ z?1rhBMWR_t%E!DwRtKNE$d6tU^!~2nwETS!`mXKJTf21WTk~X&MPEhir!=|!Erqjpc zlQ};FZ%V@-qlxZBJ1uQ+_XrE7ERU|;MY`Rf_YeGX^LMMK!Yla8nBKhYc} z)Bh6w?a_b3c5T?CFn;(|Kkt|=_C0wx}FLCi?6_!fZv#o zQ$8Pw{K3^v7EL%a=Fe7xqHAW)+g*?0A=C492lwQj( zS?^;!(r?g&{@62_2p)g47G&-36R@9uv$i*Q?r!m58snPm_WGBvtlyO1yNvHrQ>Hb{ zoZOhIpDafkEpA<4)A8gBn#4Xr2i=LG`Tu71KbS_{So8c>$X`JIm}~Td^8a8`MjX0ZJeZ2#F=9nJj{ZNE^uIy< zzXN)FH$PbW3+cb6{@(}v4}I#S{PN!C5yS|z{|5E{Iq3h;PWqdb{_E>MebA$}IzrlXG_Qpe6e&3+}Zz27u>FG!9 zgQ>ohv!ixDUNR%8JJsp^w~U+p=l|pJm&w0?HNW=StN-=(;P}>)tlXYG1QQukjrbrv zKgjcDycuA2bJGmmx#)$L33?_E(yF_)hj-HH8ycs(W*K^&!PnR8&Kbae9VVR8;Kdni8n7HEr^6S~S`0}nK2uiMOE`6u+?8eV-^ ze=-idHS3n>A!Wm#t9~}&T%m7YLk}%bT-+0y7T>h|4)bW4!wgzd+TJfOWpZ_ zn^}ug8Cdr}_@BlwN6p3ylRehrx^GDFk>G!4F6k=Ef2QMq{l6T2EjV+Izn4Bc$7CO8 za`gPc{n*#3^bO#D;d(82zPJwgl7Dj$`}h@~0R9Nq;*)TW*yW~B^^<*r!zY8Ye#?t- zn7}mIx0_t0?>p1s)4|!FH0ec)KWTB9bF=(sfZvV$;`8ofIEQH;rDtwV@Qj0*Z#@`Y zW*iUR$K({4KAOJDb~yJ8YhjYM4FxZnz4aU(#g8&_?t(rm4;)PVy(!r4&T&zEfyMXZ z8G$M6$DeqYDZJaAGo<*j7MF8cCb338@ilqnd|y2mMDfKIFB9JA@0D?;%SrB>8O4`5 zocZoaw27~<|M3EM&W+-%M~HlH+x8-u_?YQ>jj`tyD$bgS;AO)#bHT8iOxK%zdTdv5 zoA+Siv8L-bcMguyue11m?5mmd2G^TS_fhT~7R7&HaXHsy(iL2vZMt*h?EGCDZP`rv zsp7$eqtFlYViUKT?w7c8WG3Cq|MU1z4}^ZAr1h@pv%sAb11>@F{aCM@bS39BWSTy| zmUH0Yuk;sj3ad_N$6MrTgA# z@*(%loHKCnd*FAq!7~Qt+x$(EcIX1|(P{M7kMqwfEZk-GQu|f;nI{(g$~jUK4@U>z zHAQ2;Rr6yvWs{1un}v!>TWK7Zyzgn~4g{-ZDJZ|QtRNS|;#efmk6(7zp#>cwv8 zBgZpAp9lT+cIfSViJyKn;gq27&OB=8cIcDyzljN_1pQvn_iTsW&i91rCmh!V{Q=PP z@q@1KaIV+DcpMu{;#ypg#QM%b!P}LW?SI)7XYhP{k#hKdczX}{D39ZRc=za_La#0| zZo(EtG=nQb5+I|78WI8yl{;}D30V>t+yZg$B`(BqY;d>1y%EPw+~PQ~leoq$b}%;X z1!IiqdcQMUo_+34g8wh}yPwb9J@@SH%QLdR^Qa9{7TMe_%i{kU$>}yTEEdT9D09R|3%^`AO0Gw zzkES=&_`X6f4$%ywDe()mOUD@rXXK({>i@ji%}o8f$AT#H%|T%)R(BAiu|2%@-e@| z$+U#j+}We@?m=h?DFge^XE*qJ96fQ$cOET$;bQ;c9oKZ?GXbVyT$d- zMgL%)h{QJPpwy`TxsdTwzMKhK54tc4|D}vy<%8Ck{44Q(jDD02`oQOdZqfUNb^o6V zZhyiOf&9&}`OszT*O1=J!!s49Zu9&Ork{M3a_Cjii6l?m!TE>V{)4Z<`H$)Rl~MWF zcS`x}mwb!s%S8Y8v|qyT?^5|=6pixKdNFi|<9ET9DEMS|9>DcGVpvygcep;?Bl@n> z`2Qn@4b^ss^B=MGnSR)=VR-b*(9id%KJ1RVt`+DaoZG7T2Y-nDrkQ5~&U%bL<2NaP zf#w?~$(b*p6Y$TccaB-~{**q!(@%bH?Yc)^Cin zZ#}tZFt`-&M~*~-K{_lBNv%U--8V>Umd#7*>qE`KNUd7ubp6cs=dPL5cbORE!H4bc{#($o5WWw5>4eeV z`8P~o3iy4$sfy`sPtQ(pKJ*al`Et-v!}%kqwB--vEx*}U-=CkR_56vbzc^0)F*C`X=F^Uz=Zo z{PXCJN-_E9mx9|(Zk+mPH~Nnf+3HyMXgBzy^1;g(__fR*m49NKe8`nL;U4g(#L2H` z`BU`=!%sQVCx3Kbl!C{UHobuS^}OAi+RyPJ=3y)J75uDR8vBS3{ZYqnDE`9v%aIQl z$21@x@t0Rs{6$dwhVoB^-Z~ZX6@X7b@td!7{D$H$Tz@5G?>&sop2&wj%+vbt^v#@) zn}T92B9rWOf6=p6^k;o%<_EbKi9p|_RLo24-+!U@duJAw1~ZDVn4-6Tr=uA4udRO- z>aX(Z(iPX=>ecr-=h5}C@2~IWaq55P)yD%K#W{1bZ6P22H6oC{+h*|vFR)}=iVoGCRI}>nDFkMss(q1yQH~*$P=$|@#52gM^d~pN%46==W zH%aCq1{Pzd#~k~+dp4ao?eRWq*FEuDE=vBK%zZ{xJMj5I)cN46F+aR@RC^ot@E9)+ zG7L`3nTAsV@f3bAyQrw3NXq|b1FxQ!b@v%*y2&27&ol7;0M#E;bEXHg^Rsd?V53d) z_2+x&kBG@pzucet`GcuN*%>o~QO_Tszh)l^zHJg>EXFiapf|*&=ikip06HJB`?1h@ zoSzXV|4R&^LY;q7ocwQ5f0E9h948+-eC!I)u@(3cuZqIY6_HO#<^opFkL`1!tS`6? zu=}}9ptlc7Ir@V97tY6?(wXoN0<;E%{8zRAQid2W<#QaIFi`48@z#FqKhd5?&hHAj zBv=EGk9c`!Ctl9^Ea51Vf_%iwk5ut;LHY*ylsKk7dkC(eZ*p1RpufLy_Wd-6T0*Vf z#vks#4%Y`!|BKYq(=I^cI&l71QT4e1QLprc-jgdjv4_C7MYnV8yh#Mc+kLVs zlfJu)ZX0#~8hx9OxoQ3xl<6gR{LI4s?);0rJi2o8^VcF@`D?b2o1b?o@?Wu8e8KIr z@5jke@6YkQAIGx?`R|KBAJ>PE!k<@$e6od3Qv9X$XR{EW4-}j~i+*zV_V< z`Ir|6{w2$uh2V$SY_f%F;J&3Q#;Q9Us(a*zq=Yi5Ai~OLyDgO@S?+tv4 z6b;ieMBv!3bS8d!P1b%eKffRMc|-?zP8Oa6pm`dv$xh7!tWPl3-uB@2yk5`SsN4%4 z-l;QC?j@&On|`i{@NSIr+@KFD^IIe6gBArEm;lX^_ie4_pCan7aY_(vNi<2uoJWPKjy8*Y3dVZIpT#apmu zO8TDkHN`rh-)RcX?@vNanY&&C#C1L~X3d_rrVb$JxB`szUqhPyf(UNin(ACXR;o7~bR~j$sn?zmgyFufH(*DJLHr;P{9M zvysi!Px*N6ukXPIg8F6s%jYeV{q^t3rV=WDI3K(ltP3@cjnUuAei<5B&wYrBuwRSF zerf%OxE%SFGJhaGm2vdn7oR2__eS>%3OBA@_pG*s`NyMdm8SDmwA1o4R{ycf<*2@n z&LPPUYdVgn@dvn@qV*%c55lJ!xg4Dv!hQ-ZnPr!k;EfsH8}oAV>D+}Fd35wi{!*50 znR|r63wX{sBnm6^M@eZhA!q(WkL7_66{_E4@lBxJ@$u~QZKNOEap2-T4=}XuG3R%% zkhG7U^;aIoqQP5oFz`OE`hvdg^K77RMkbzHdxP@=gUg{u92x7;12YXImLrx^yilZI zHr|hzl^x8WXaDgK4CNF3J82)5TF!Kzi_1rwjZS3xKZ>K@T;>rx>eo-){yH@e&)m=e zDJsn@u?~Q;kEYx-Y<`>(%*f2l&PBhJ6cpj?Ap0svYKEe7-$-Z#m3(wQ1Ug@b?m+C) z&>8cbcnqDWGu7cUo=@YT!Bg+*6X@?P{Ki&2qzic((!X7FEy6Tf5^Pczw@N0`BJ2)YR6d5hG8o2}W9) zLZRA}lTuP!MvO>H@y=1{VEh;0`Tt{ogj^z~%I*Fr9>2Y3?n&`*yTLDRH)J{=v59=d zNK6_yP0wEmFY{Y@{%*Sq`T;MkwIC^e$Mv7oh|uV{oYUSV?X?&EG8pe)GkT{FVseGf z+^)wYb6yYV`-sT2|69US#Ycfq+#tO7w!eq70>VVQLp*- zhUOb$H3ft5>0zKlUXnE5FpdI<4IBMhcr(h)^vc=uYKXu4#wp<@K3aaOnVOGY`08l` zKriB4hTeAckLI?f_jyh4_u%R8L5Jr~i2-=ODN#k^J^;Va(whl>r#key=i0P+ib>H+ z`|HW60UVdVc>X^PIxROB_g_UjKj=sChI9Wci4};{ha0uvr40KQP=ozrVkS$zYh41((nlXfA)vp8<_B$Mfzso-hdDEXkiGS>G+g^j!!%~eyJ;NKlVkT z{gh-O_B0eYbJzZh9lg|!Ur>NO25Fe*%|J%cV1Mq8Eqt$16v&Yn8OtO-f8~`gWtQy{BY&}3E+nh%J)hBqRwUUw_o8m4B+-del7no z*DSbC!J_Z}{b?4f`M)<@YnCH?^8Z)hKZQ!^_IKF-#U%xWw)v|6D;@lo0RPhp7Jc_? zbMWtOZAxoV6!`GJ4g6UDqI4kq2Y%Chg&*%{v+Z94{Qpp}=(`^_JJK)9UwimJ1O6N; zg>vLC#|jf<~#gdix>09f)5l7`tJ9ZgLg05t+RaX-VVGv0L6Ge?7%K+ z^o;j72QTzq!PgGnXEffGIP+i&;zm>8V||V?Sf?+PoFS$3~MyE zzYFmkK-xlGPSe|tUG~#^s6+3^pyP0lj(#^;dd1M&JbDW-S1f$ME7!w4=WGvN>o?A! zclU(jCMt4$cCqkO(A(yaRsn@XjK6$2{Dme#>3F>63N$_}jY>c+XKa_NNDC=!rSwMSRe- zch*tBJKtc1JcS*+dnOw;`|+L*yyrT2_pb+LFy8+2pzT zt5>ea2k~ypLWiulDl$UhkaSvu8Y}lbTM)eidE``mfga4`dv&UyA-x{xMaQ zvtYLi&r&exyI-b$&W7OG`CeAkx>MAAZ`bf}RZFXyXR2{&#LLg`J3z0t(fjd_)i^Zt z!cN+LhMh0G)}eQ-p%+W07dYdv5N$iuE7!w4?+^2H%+4W-W5=-G*pnWgzkdY1_b3?q zmrHLP4nRFiFZK}@-s{oP?+6ZMD!aHR-3$jmpK$(9+WijR4#wdOcN{+8;JpfXpK#JLcdzloAWwV888P;<&N=E2n@Lpr2=t${yP8^3gvF;ncJj6-<_sP3_0W6!n^Z=m#q&SbHh_#-pq6 zOPYT2OW#I6*tlm-Zf^GUj9fRA=FP2@TFII#bUg5GQgu{+wm%MxLrm*9vyMX_BDPk7Id#bx ztlRtvzgOe4M#1_ezu7L$cG?a5Kg%=@i2I)BjQ@Sd*#Lo$-(>$kQ&e`$Z)1hEe9ZLl z77Yeo$Nu+icJW%V^vfmLf9*>%Uc`CYkB?&n8M|wW27yvn5$=Pi%aV^_&_oO4u_lSkvp-uDu|IkAjsIZ|z4JlujRrdQ znSScnBR5Xw^65$79jNLkKD9UgjrG<2ne5=jn$N5U9lZZ^@b0r}$3G7K2E4-@ydBtm zyw;ajsCe9Wa`4^=ynlA^ZgBKnJLl`p!WbEuqVbZv#Xl$Cb^n7#wGjUPj{jeD5Agrf z!GDG~4i6gajKeiv8M^BEM}0oI9)}oz;uEaXA<2qyL;Q5)D6XUY zhE6fFA2Lnhtm7v)6YAuAuE^HV6NZ9nDP_fV+uNVmu@ zro=vSzl$8ZxD(=&jt??@;{txz$QrJ5fa5Wp_ruJ>vpz)&@YxC<3bC_UXTuin#r2Qy zrNa2Y$124y_80Lp3iMu@tUo9`|LZsN?`q3GS$qQM&@w=99_?&H_R;MWob0RN_TLC(*@aX7$xic=APb!q)26-Ty$lwePiGeKgk5N3$Hf7Xk0{4&Goi zzcG-b@!~z|BlR9MwNBW9f3DTA(d69jYzIHqS&LqG@Xzq;2Q))d2DIs2ZW zjY8aV7SGiKgE9V3KT4M|`}I9}9Us$=;`Tz<6@ZA0`?ge_aj2L=5_76ZW@; ztX zU%x&Kyn3(He#hfDZ#?#U%E60g$%^k*w9|Jo4xgf5?w`GoCi;Wq!}~1oey8u#`pKqv zdVoSJX7-NyG#(J2HhQ4sO5lA$!JzNtY9C&<5p>^&_f_ECMt2Dw^fw zRl;6`;9qaXHSBK*_6e6DZdr=oeAq8~MmpSdw@rxdYiTO=Q1^nj34&T#bBYLwgI6}0b{+2Y-!E2Fo@=ppIKX9?E4M!9jV zj>d%!X`M@hTqfbA%kn713 zr*G*_(gbJT3%oEZEL1+cQ-SwL2XFiB)lmcPAG!H!2QU1ll1T~%;~nV3n;sW0{QObJ zIC%G~2YCJ{H*a+CVqZqdbPsRh4m=5o)JFFBS=S!p4H8S@8RV=A?EKBibS!egv}xF6 z?u)&%gCwyowNBVX@$zZiD7+}dEq z+wS-qGoJGuzoFz>&|5%vNzMSjBYE`3pWF-?H1w7p0(#F?Fz7q+MlBb{{;TkSzdvFB zM`>3SU8Z}A3(U_VU>=6NP1w(5Pwgm*VRb<)CFzW#yzA621h?5DkYmaOq! zWAVyT_;n?Y{ZA}&+Pj;d`H#g5`#(yrK{4L_jz6AX%FP!zc;TOwIQBnrf|iSz@wf2* zKK}NcUKMH8{Bh+3>;I$b9lq_y4vs}p)|apsR!-orl{j`haggSlZ~Woeo$R6m7=KG! z>O-L>qR7|3--1r8)ARiU7=M<|Mu$%LcO_1oKVhe)6Z&MzGSB`McNXGA)(8rp@4aHt z`@(lEZLE(pE@rwMzLsMD|0q3n_A8HO{9ztnD)C7Horp=5V%@TI4L+v`IyXn=V{d!@ z_xQYk&l^G;++x*&!7AzJ~KT0?Olz!Nr=O-mfYz*)Wulr4Bx9u9qPir zJ9&9~wY>Y>?lRkMF}^o=KE%sUc*@ZOds?cSxwrlDi5--IQJ1Sa`{{2zE7#))v0FWk z0>>iuSnAmSgqt0_JMo}hV^u>4DBN*TO7>sRl@3h*sQKZLqY!ID|IR=hW7L1Ww$fG7 z8toU7{&~)||9EfI|GW8Dc6AS(ZKm+}=qLNXM&H-tx}Etr`?cdx6#W$#(p%F{b8+lJ zDRu0BQl_S#^bhTC_n)&*+nb7W5KSn>(+$%KGBIx$!vwHDyJtyLNZV*1on-&l`RVM) z|2A|E#yo@goQru@@t2^}wg3Op?_;C)y}jPj+Pt);HHFCKW7&&vSWJEA?7y}t`;|wdf8G&de0QAh{Wor>EYtH1)oOenq2FJ-#oply zAD_tnKc({bGye4~T=nPR4T?`?2cbUVab@p&I1-+tSr^KY{ofO|FV1R%4~rRroPD{F zdADK@be30#%X|5OzQ-W{xTyRMg3pJ@ZL;@e=`&a(!(ZQX$CC%-G*+g5aQyGru3MkS zWo7PQ^rg0W91r_X`_CP#=VV)I)KkaQ4vUX3-1nxH{!78nPl3$z2M*&Gz%EP;N4@`z zpF^g(an-V_`X!A)gD9xp{ieqP9uI!XIgOxZ8+#rx8o^DteHH?`mziYv|&fMwT&FbsjmgnSAR0lwBth^7T2R+&1Nh%Ca9+IeaIK z5s6;?tJQrv_p}VQv)}WAn!x8OasBH!UzTlD6*1*m-ne%T#>4+naUV1Fcg2LC?L_TAT$-`{)Bx1*cauG2$AmYolo>ZNeA-?e#0M($`jvjeA| zj3ys}<0h?D4NYY7IWfP!;d3~Gv;@wl=mcj3gC-w-_F$}gk^egNQ21roOH%5_gO1bZ zT)zaDsT=4#7W96`ZO{;+f4ZzfQ`Ex{_*M9Qv<2bcHk)o$`BCR+;RT>Zr1qQd(Iv(w zf-Wuh(NqaKmS{XSkm`*6!iAhd79`I2jWssh?x%Uo=g{8W!`;I(P|6nok8Tf)0horB7>4S)=7$MZ$spp4lkfqXufkZ2zQ zpE-c%?rM-ffX+4K_xPxD2Ne!Jzd(O=!m|r442A_vLXKHGG6My*q z-=3Dp%$;cq`S8z0{f#z@FIcZZpYa)+Dof68h|}+xC(OBJQtGOg7vnrOql6$Yvs+Xp z?st10QSAF0)bwXrRkQB-82=dK*4UTw@8O?b6-6h@LCib>0^d|$Py2A4`m^B!422DJ z6Z82;s*fc}ywuO*kSgV?4zb!=l|`2V@b|Jip7#tV3eXFA?>vvM~3FG9{eOY@7`xy5TGUiz3_RD$*c&ur`k0v<|o z_J{cVQPtt^!!({XsDCflr}uWo4-oM(#fa3SoMLpkRkn>GVZTiE(r`G`oR(4_YD`NB z*H_i)coMZ2eh;;G^Hm}+dJul~*dsayrRe8oqT?4lZW@->;~lS_@!O}*UAyiv_;*P1zGF}pW0exi+vhRz)*M-; z;~3F&x&5$R;RQS;Z(FpyDNeG}Lvh8v7kTT?<%k1sE>O7XJMjr89_!z?Zu`5u6Y%K1 zW4Y%3cHi^K{T=A*taF!RE>hmh!DIHj5IxTNeL30fold#iTzb-NhAV&N@B@s@GXMC! zqtO9IRh8QhAJ^e6@O9L?X2&|ybF_KyOOGjaWvEt7PQ=pBgW-`IF`m4Gho z2Pi!qtq_9hR5pNS{&~;U1qOcry0R@!k$3`m_bB%g`r(aWu&SjY7))8Vq`s;(QXfi5 z9UiV<+On{=Dkb&EF(Zbj9DjVu@aEwusgV&QPGBHxfBesvc;f}J`^|SLT;6!m{mhC> z(>K=gEim{;&mxtw1-v3$Snz88IJ4zGRWOsl|)nS*#? zSYat0|2I7cJEn?Mf4=LM?`SuYM}9|ky&UIaZ2pxh8`Gc6SIy7CmY=d5a$c@&B-Kp7 zA>8!K@7;Pe*6rTUwkfL0sSV=@b9s8tA0;_;HviIBub%q7mNd@Fu?ENE>?NC@bgk%x zapu|a@;^cMzN_+M#uFc)Y{f;5C+y=k)&J^{<@_KCOlEF&2EE8zy$d#>ZfJ`Q90a#8=dUev)&hvQ8uzvRwH|7GC0i z^|`{&kba{2Ir*8nrPx#M96brK&xIR=cczzT;?1@=j1sy($HJfsk{85(0;%WmZ8?Lb zkgt0+ieVi8VtK(jEz8SOoJU_t=ZUU9d8z1(cGao;82yq{kXeG)K=6hLrC;P6#FPM= zlB_^~mw&D5(s$x&eV*q^`1`Plf%kC{Fs>^MCXv)261vj7c=E}7PtLy= zx(5DT1^5-^7eCOpecMP841IFd)oa(?k)g^@J_Kbkcc8@b^^Nh1Ii9wnDb(V{`8win zg~AJXzIhleD3~+K+g74opuZeEE?J1*>{ZaWi0OX(j0mJ-3{}j9Y$e925p|3Fqrn%e zIB3~G?1_Oqe)N@BsMls42POZ*-5>P1XFn<+8v;5WV^{el+m)W8vu+uFvxeUX@Ui|~ z;n+i*H_TLaWOpmB0etw1=`ZSjpLIU7^aT@lEZUjhDxO9)gGGsR%EUtUy0h zs5J(@(X(04Z#QC$?82A;k1PHKTR1^Tc+Rro1v)pWM<=oaxZ?HxiiZ^}J%0F)5YJBO zj4Q^!o5sN;zEARV3w-U>fVH}Zkl%eK0!i-*xdl49;thOYgXR#P`yfvz;4@u=`HfrRF?xvYP*D3Z9)prik0Mi`uo68)o6Yz{O;x*(-oYnEpZY z1eag$s4|n`n|f(gMpyjt;HooOE!=-$pAGicRNiB2Bz`Ws`{91fd2+Iv;^=~_GI|=g zJDFYvY~kXaLkt)Fi@psE$rFhmMDsz##^mHt7B?zR%qWw6B&vSUD2^of6^wO$~ zDfr{jJ#jqc5#1HjEquBq$M(iI-t*Iw;_WV6x{v(H!}(W#t;(2zD~7A+X25`JX`VX{ zI^o}t?-V?TfOSr)sPpBVz9=`D?x@tWLXSgdT+ca}^W@~AsK@hp8rK=cdBNhMOkK~y z!?-1U1ULCUELt3*@oixHN2TTbJJIIuC^Hg&*l+mj&3awyLDB!*z@Ni)7hJw-?Yg^* z6yE!KqO6uJUf25A*g3PVh4@v=!eFao@jB9zbB-M`?4Mr? zy1#_ZkFulvSBhaoWrz3>n}shY18);N*av?8F)yQ>_M}fmRHR^9P~j#=yC5I#Amg3) zYw)aD@nDVXM^Q+&gVOCn1eRgpH>Mo2X4*Euz+ zKKZ%9DGmsNum~U6vpKLibAFEx_KeSYsT4c0=6pyc(XE`?#j}yyCf9$78n59RzM)P@ zFVZ?UyLM{*DgZ3;2!bD*a^G2)D*+o{j`txN8^V0_q+Dt&sj(CA8a2! z`7_(G<*B)ZmUR|W@dW4cvDu;HEUrlm$ zKUY=tqsC#|=Y6`!uBw(-+p9nSNz|X>)7;j~xQqYeymgOAu3(GtFjNn$TpLB~z@WcNn z-=FJ2%(D-^5kqJlGnYl7b?E-#5ej2JSiA8NbaxRwQ<@^;I9pc~_Ziku+H(21w37 zg$TnI%efdLXr`>}gfH|mty9~57;VdryK%t0Evw$dzR>LqL#DrnvfUIs6(@?eSM~Lg zme&2Qk5$6&CcJ>B^w?Hqr*aXxGg~ysK9%={zWxMl><8axDCRTDe`Ep@-__?U5&zm* zpQ;>!I^S!0Nv^h%ea}^BRQQGM(cGorg%UJbIZlpGDR zsXUSWsh`Mi<-QWGU980XX$a2zhyNO#WaTW>o`+8apH_Tsz~>&=MV*Y2P=1t!A2cS; z$mQHB)cXx|gsF(5urkPx-Kg|PWsb_9zs(ltcZlf1a!Yoz@**t|Fw?dfO7uKXB> zK8yRl_j)D+z1bO7*SUzmR7`>1N}H{e(Pn&4m9KKyp< z7Q;Q;1r~kX9$&h0LFG@J6T=wSZUKk2TPz3XdpKg{-q@|m6P4Yf+FpI~Pb!ac>tlTF z@P?9=hdh*nyufZzB0JL$uY%(Je541pe3KqFsqa?ObK0&kUw+S7vSJH#&WENL@%a}0 zXNt0Gdm2N_*{XrgE!_eC6-OS{7NdlYP@t){%++n zsto4m{uBVpmkIClB6-jazvavlyJd_2vRrKO!~#7OqD^e%mjn*eEOIzy{2I*HW#!*I zbgXxFhZjeD^(q_+awibm~JkDmRKgKe+YZ(e;~b{XXxD0bc$8IQ5}x?>kxaIohqiQP-dA z)lb&-F%S0i`7q3vXl{;uXH|M%?sa!@zO4M**5!R|x$6DiUfpq+t3ih6!UiXseYd2y zOh4~cG>lcM$O8twGB<#J25ryoI$jBViP2lCSad%(cQXj+kF+*-Flv#Ci0U_@U^}{mJ=x zd|%GXUvS3*VLO(Y#$Yzh90>qW3(idXUKgV%(Jn0Y)Pl3h%(@SMO`vxA=;m?!WiK zwd?Luu}3)%iZftK#R}e%+_2OV)Glr7Vv>ko~Yt zV7RW|IrlogCFjoLU-!$#GUomOX!}583O;FZ{7!1;muLDAjaqp8xbYLlpG^Qs{_nd( z$ooMRbcI}x`O_zuQ&fDYbjuu$9=fQ1-fP0Y9x)5d$0TeN`+*hkfmR2FeDq@>AGue^ zwJkz^KQ%61S@9@nUxd1YL4PB7@mnFExefIZ|9mzR@&4ux<3oawaYj3Fsc1QI;l36hA^^(DONh80;^uiT zzs{wmXf*0^SJ)SSUE*i(e)xk=i9jc;bp@fn=Uf9gn4gfW%aL*oy=3Hb^0 zG5}lKPsFFagn7WcJd%@aas3;s|H2t~=HDwoc);(ked;x6<^oi%?eo4}0;?p?1m>RW zO$bas!=B4|Gd+kge!h-}a=Aj3ouSHdxxKI*x~gsOc)lUmtLX&&NqAfwZ2i7@MJk`> zY4G{vy!oQn%Z}d&{!k*FY*MfFj^8*>#Tb~Mx@^zCxb^3AHMxGWsV;insq53e2&^qo zl5@PiOnOzv?^qrOseITWlak)o&%v=gF4Fn$nrxATDF?3~P~0y7Ju&}Qz&{mjI}?cf zeg@W?rma}~LEk5jIC<^5`}G_#@L!Z&so=$bj!K2C!ENJa#2Jr}C+%mj+_r$La}t%4LKjNUBsUdqoQ@6AZrF?^GMDy4%nZG!h?0m zb>K058$8Sz&GdonEc0(uY3S5lsr_^=jsV%J1L&;Ph?MCAwBVTQFr$(vpKT_bUTUl z#AhCTkflgXh+d?PDSfCJ@`QB|ttTk9ZS@1ztmc_H7U1!?-)CBf|AziQfc|O^VbVwX z+!m9MzQcY)o08T<@gMeiv$HOc)T;AI&v(^w27e6eC6wfP%L7->PgQd8t*@OyidtAY z-vyqNEg2#yU-z@2^Hbz2eb0rG@SGZ5*!o{0{|K*s;z4#FiRx$guc0dFf#<sy@=->HR5YS24S+AYu1d+DS`v>Ed9_?o4Xq*!2g=R{qw==Pn@xy@Q|j@8SnG;+|F;>#%Zu6MpZ*FZ^Z1%V-WK?{j3yVE8$I4L|1hM0-E+ASayT z@9+7k$anm>gc&;D<;MiBFV~+2ejt{j67geF3_r|?UTvTE3v}sh2Ha{~9B~+}Kv}x5 z*5K}MOGxp{(R|u7^oqfSu+=58`f9$i)pGqT(53ne6^O1};DNsU-0P>hkkMR`#_$rN zV^E+=Crh7_s5upIB@|9e=P97$5r>XY6#oiEptqMzag>4dSJyW=@;i#XVO)RrFVW{a z(M!^Li?J{B)fkYKpP(If2v9phsvTc>?O^_@RYL~Y_W6K77d!&2hr%k-al~m$f4Rbo zc^4&`d-Zvp=3QDJ%-8pS((#d>az&r@qKBWprK)b_e?VU^zQz~Pw<3lK1=J-w5>n$-otT&KKQ1`p@F~^3k2@_ostIpJPQ&-@di^7puI=H$mSp1Bd9l zOv&d~kALRGB>0U{^2y?9`c2MXsquByzk@}eLq$*P=jna11{n;lNM26yIk#VLQgtgI zfxi6A6eYTDiJ^;@fvE)3vJ5MBc2S3&GEtC&`1AdxH zeMIsU(TDRX?#T7;M81+oiU%i;pt$1($YVd~rq!tbgabe360Ql~YiAzbo}c2ve?A7N zx&b>s=Mm!12jYiWf84=0r)YF$KHd?0=sKp~vFAQafIt7g1pkxv;kUES#c<#AL5up+ z9$%CIDSO82Ts{ZRou2{+`XB900*No;v&loz{`_>_YBfKl+EgEU&w0KoeT0G$Qy=o8 zWtii{_g@Blu!{#z_u|AXD__G#k3$}Q5la_IU+a85KV`n`VjQwk&G8gMj>GBIGciT^ zRlEK3Q-;3+&{{%mYooP2r7GmqKyAMu4UxUJulC+Z;FD? z?N7sXlNk7h+x}dJAnzZkAmMx9YSGu<{yC~lC&WR6Cz^7MKU4kF?cYIOi1t5L3if; zkN@>5pZSxE>ubb-rfB}3!F6RP#Cw7%1`fBsN&Q3nNiY2v?HxFBph{Al+@t}M^*Sn# z1ELXePK+g!`X4L0_{YJeoFxNoC}-yYOvhYR$KATj` z-|}k}?tu>GM-#4J7X6Nn=7+ZXf!&5w`VAcB{R17mf2f+ArK;Lm%ukIxsyV#8&$D58 z1#7Zj-tJ~dGT`}4xM4h3%G2<7;bHW;R`&2LeB$AI)GmfWUwwK|f4>U5gLos4r$;$Y z27a(*a1jNc`tv()ADh}a*TVCjcYhD~;N6^;5Kn#3Uhg#F~&vy;r+({+`Nbx?TpVts?pDXu3XEWdev71n)IqSk7-y|8)QF zFQrkasBHFD|lk#v`fjSSU?>6EGZrM02a4OKM@BaNZakx(cU z#xYZSX5hHa{I0=_ys?vKO4&J>Ic_=sjK@z`ysROA+*m2QVPj)iQ7|ZF_h4}9w48jp z>=_JZ7Nt+jETlg@^dDV@GVEneFLAmnUy}K%v_FBmjE|o5?(}&SgVx1^q*p` zV6qqvFmX95#@BdBB3<{vJ80y-4TM7#^_06K(<0jE%*I-bw3c1CFrF09hN^&wMG2BF4 z=M|3|J0^1?BSop%6DR0WbMVgebmw|3U4x%hRn0XECyu9oD33q(3MU0fiPndjB25dc z8duO?uqfQDT7lBx=4JZk!ltGweHj@CiBm-*lP5A^s1>PFIMCk6Y4o-nU8KHY98-cK zxMJjVIdRfrU7%r-x&)~WH51VYt_iZ}-@=-7Zj<+yfq8$I6BO{MflmIUYf#+~YN9Iw z*qBZ)qHz8}&`gab%OhL^OmAq_HydkP6uFQfby!q&q%Ii3YFt$#6#?l>n$wwdRKv3^ z3VTbF<|`;^U5HKDbRPwlp*i|u0xrNCHCic~{?w#TT%POxF_O+Xlz}c^-hv(e4J*{Z z;&~mWh_oKI0QNBqU#S*$h2vCT#}j*{pW5C*`5W=k^Mv3&Pj3N+NExB`Bh$Rmz`uvf z$Xdi4*zfu-W~i|}08F{yL3|Jos4+3Z?ubeuLH`m?xd3r*!e66oj=*Y3f6jODsd$0h z_X6sXU$c|s6;kTLc@A(M6>=BKQ0f}d=R3G?p5ZLH5B5R%N48fydR_sXrv;Z`IA?2n z;KKQvf>VRFa5W!xH3jE+@ID1R%(ba3rLH*lk$!#srD7>^-!mE>&R?;klL{lXt;BOp zdl4(Vp5uYCqD;suGlZ;uNVWUYtA)H2eR+4eO7PPHmSg_Dldezq&$jg*5jt2I3a z4y>7lvjT7yt1?tL>7+PtPNy7{S?RWm-8`>d=K>Dm7~zFnRwR|iX&34v$uQ>6M!wl~ zB(IXQaDOA@26n0HGJMWfWhpDEK8}1}!j)ury+@Ze&>o!Y0Ovx$K^aQe48hvNrw3HL zU4|djaKImSw9Tx~c8U@GY_g#SVTfjjXO36Lrz)|xnxy#te zUCO1Q@|KJ{#a^XBfInbrhbHhuYpau5_4Qw zSktN6W$vP%o{oiA&6XQ>qo;=VgKxgYl`TB1aaDKr;Pveqd+tUxd%!5yPq*_YJD0Qa zT%CseBnQqJv3jF=DCbL*Rs4e9;29~V4%F{|cod%t(7vuhJ~0xXZ1gX5$P@4tuh{Cr z{w1y~KVWmKwGTvi`aT$|Z>q;J1+p6Z0Jz^xJ211ha83rCF&?FTKaB(DL=ER;4F`Tu z9?!$^f1}dt)zblIvInQ1*(c=aiRx?(XSxR`i=z?_oI=2v>cGK6E%eWo!|HqmC%i$! zfuG3paw=!&fi13{34K$~WktU`WBtVH*&5Dr4F~d~XZ0Q&*y8FEz(E;G{dUHYmj#?9 z!)N*7kn?2efi13{2RJB0sef`DI1$d0)r&P8@;7<5?zC$u;DosxfAx=}x2l^p9IXEv zdrUKPo{Q_Mmabm`-Vz7i(pY<3t!S3vkNog>rXLOOBEW<15xz)e^uI3-ybCx_R-+8d zCF~&0-07~R3uCGJTm^%^`?tl~o$4z&UxvSM;Y{$u!5p|6J_nVdG+=BTIIB5JhM&@K zz;_imbLhDZaNu)L8A=1<%!8`ea#o<%XBrOVl4DM|ZQF(Qx@!0wVKtW%1O5?5F7IVH zvMzvn=Wj^HVrx?4qZ=k zwygfM2ZuwAE}WMD=Sd4j47xH79A&>{HGCXnS5*+qq31QgdESBZd>lQX?6<6bO~b+X z)p04WU0(vuD-N6=CGd zf3;d~@R0nBSGIqC@Z%Yr8b^+m{g!pm``ix5A4e3a9Y!zi0UYcFq%xESm&fTJWw&MZ z9u0^1s-kxmP7PvK;DJpz6RZdQarJafC(f2AYx`M+Ej@Z_uxA4PkxfGavHe_=px}fz zYdB~Zhskl^*UX8aFE`}ZzhaP3WWz7j5Jtnqn={W^(CK_sRmg{Aj9xY!rGvE*C@x1`! zZs;?y^XZytTwm6a-$(OlvU41(cIcf2JeiPFl%X{2v^ew@aF(o@<-y4;qE!$F&LY6c z<#PNr?3p-l$~Bxt9vrp!g8wTa=Tn7z2>#6##|wG&wfH=V4}AF*ry@2O@nHWFSC;QB zXh*4sVc3sx+Hsn0M~iL;&3AdV%JcVX&H@}2Kj6X#9TP{M&d_kq(r_@|IL43LmLB-O zi1pZXP*t4qrtG&2Locx%+e&`4x70(GExaoM54@(bln#1Ju$S)BCzo@Utm~@jB7asd z0$Dh#0S9`S%1}DEFi!if=PX&XTEl_-sTCoI9;_?Y{D#Z%*TE0R;rA^X4(z_M8?>B6 zcP%~l0?tibj=v5miq+dScXO5uukq+n3wsWnM*s(NN-9I?(CKmLd5E)Q_;w8kdYe}c z9Y3_@DZs%V2`WSB(7AE+wzA(c47#{o;ImnQwC#EeaGn4hMY}lkv^aczo>9n6y{8b?y&%?VR;0F$#!SB5h_pcN3bin<& zQ_Oxu(%yZUd44}?O(u`J*s}& zYJk1@ms_8244qydsUEe^)i1R}G`)X8KkK-0e)jC#oT=V}Nwlt4I}jg5rmWeAYh}k( zBPPV!yISleG-Vj>_dyL7tKh{r=ngd*F5>A1ZY|;zwc`~M`j%Jd{gu2R^)=FURL@=#fM5`%iqH#piv%abls0f}i)B+dIQ)Z!NCtJse#- z>vO9+YHx(=$aU{&`TH(70~;k&=VcX?PR-?WYh`WN!E+j}&vfw2)Ow^NJj=W~{9NBg zg(ou;r{m7Zp>xc03knK@cqK4oKNC#W@(CZr_9ysVdy#|pevv}|*j~8$z?GZxy*he) zCT#jpHuem_Cp?Z9E)IRJBGj+7So>r#bV}Knsc-2ETgh%;~ zYTUv1u=Zx5lf(_`V5FE6&cIiC3WFB~dwZGU$YL4U*gUdk5b= zxK?(XOLRX^pXbpLKG<(lNAq!Z4o-M4D9XXHU^DSP}qzf5dec1B4ly;m5n4$EJtJKFl4;!UU@>I~&;{_2!!?51~* zu3Wnr8tm0E{;oFAK^jM)0Sn?LnGfh#OANFU<@V7G)P@&tl5BJ!JpC zLs`sgL$Lp$Qw`O4FTt}qez*bt*9)ns8bUDT#1m7}Cyq!tHbvjjl&740Qc7xSL)D@Y zUWwF(NTYdi5c6{f^3!lLEsF1$QvV7 zU-{~Ts+ z7Kgyk$Tcdz^NQH`Ye*?!8ThlN1M^Mp7x+V#jwZmV0vv7YI$s+*zY8@m4tebns7L-O z=F<$vN|6I^1>h|Lyc;!LcgN1BLu&pWNIp%|h52GL-b2x%=ZnlA*kYXAD#LpJTG!FB z^P|v8Mb~3zX*h@%l;_P<{b%?DyBazhYs8REN(ryV#tT9hb5@|&#~Kd!)UvF3Jdcl+ zSZ~7`8?CX^xtWzh(xD3rdSJvr(1e zQ%k;vj|&;B?~8qEh)tcd9%JeS$S>kRzjU&Ec?s>g8+ey1jECgwapdZA%&U;(t}NZ2 zAA;rdmUyol68>x$ZHrk zxDI`RuV_2m{f2Ws9+LUwV~f_VdmQVkR42RuWpzxQ?eLAitR2RwH{pnSJ!Qvsc#moa z=u`0z*e`yoXF>inYyzLb1D%_Ie6nLZ@!7~XWEgQA+V5(Ud4J;;dxtNm9_?4P^%798 zKkltn3j`Eg;DT)!ebTGgqm*K|N%W|tQhnD=Og(<~h_JP~D2VmkP1u-P{g-4AX% zPvAO%F1u>FR80%44MlZH$agXo(3V{fFfRcHA41wR*ci-zUANT^i-nSXQSSjd~6jlFP;0N7EvI>3IX+;$N)wmD3IUi%Z z(^Y!BnfBj=eC)&E{3hhz6_vjR`Dg3=bCLh&DEe*(U3aMbntS0_H|p_V;NOk>`*r>! z$UirVzV*m|Lgzn){MAwT9|ZmjHU7(R+B{wwL;uKrviv-X{Ht{Sb;#cqRUdqZFGWdK z-HiS72~qh^asO~WWK49;h~n=v$Ol}iUkx9#>*-PLdjW8dRryuvLUbFc^{S!oCFD=g z`Oq8PrbXqyf_&xc%c@f3<1l+FZQF;jgMHVOWYxjQH|NzD`0wKWVLJb4#5;_C@VOT+ z4Zp4O!x#rfkE@-O%1>iHegGMKlgsf}_lhV!K|X0;BlbSZs^@^`@+dw)J|V}Hcu!2v z$D-uq3-mMh9P-hRJ->|N^EarE`&7UBY8?A8QLi7Fc7Bih@NKZ?L{{I1e6yd#ab=TLVNLE z7N0y~J&t^JO0xPstl^sByZ68o&K!r zqw-PK_9s6bgpF86Zt&cItb@KVsn1dV{;b0soc8rS2K`#q3;PzI(f#4#IYi^3b#j`A zVLv|{3^M=L6|(q_1wPpMI+Uf;xPi|?`#&hj>L0L=X`x@X_LIGRsk|A)N%SuDB0hF)5U zdFDUCtDCX67wcJ9LN8wR7kuFFEWsSEX%EBv*}iOhVE^liJg|cvkLpLrC;U5`2JMX+ zkDxs~Nd&rdS49RN9hFb~&k|A%IqY*eO8ZZypMQ;f_&=Ah^k5&y$=cs>`3bv>BjNWZRsR(CPoK{@C*_U$dg_bUcXcOx(~sq4FPzJ==sIzMOI0|{5y7!Q01%dek=g!;3oGY-e1Kg@?V<BX`T4G8OCq%? z$3(YlA$$Q-hugm=h*`X<&+z*@@DD**HEy^-mqQ)+ZJr`_>0NlZSBK&61m7}>rWXSO z>`Y)VXg*`EkY|=b=7-~qIoO)-mf{1tzJ;#%4z}{!tMP%H{@jV%m*TYVc+i^RVd*kj z`vt_mJ;Xni=W8E)0o0LqW1h$D+ZD{jTl;v{$nZ~e;7>!@JO_TZ_G1bDZYVVU0uLX2 z%nwZ+hW}HrtN<^r&e7}O3uid+VXGEaIPfdA|4Hz7EL&1v)rw;tK&KpL6kn|87#(d-QyR?C&>p4s}XX^ODvjDJ~Ec zX81o+ej4YS5#?*|{m7BG?H(Nmg!d*7 zmX;HQ*)obqoXKCrb5ru#H5kL)v3KoeA=`T3_k1DW#~gSe#=t_%@fPl2GJf_iZuf6> zyB`OhNAUU3L({E?nZHo~r5=+)O&_89fJz-u`=4T%@{;A6?>mU^`K>b$4BD-VEE5tJer&lNuX z(acl-2mXKU!PIh}m5p&oSQtO#i^t!ODE9+C`yBj>qvbxes=2OZ1o!*5Jl^o1P9jdD z`)R)MhJH1$l$-<^ucu`+{v8T`1oP%dCwv@x-|a>--$na>AbhFX2##PPm40J7)PF}m zM|yd%xZL(&W(HpQM@o*`P2;1x$Q`$9eA}^quB0euYH10* zXI)0dXnZH*8umXjO%siuo|DYH9sP#+x6e<`ta6zurwbG zUO4ISXD}z={-|>BLjk%ed57i$+2Jjg?v$2RI5st?zft$)a{RvN)s%J~;qYM@=gVv1 zsWBfA@1wboiTAM#o&}m)@Jw?=!NNJs97(Wvpm%BgwGnd|+8fcS(2E0c{qsNv^6vVB zuD?i+6GP_}$p1#jb=!6Rxmw=b{<>7r8My*>#?Z(dr^ils`}kNh~gK)fPNs|L8<#ttq%-89|nA) zAHTGRq^A?_Vf=_ubN*kD55FjBoUu!eT&TX1k*BHM;5YWU^ZpVR4mD70oXp?_KO>JT zn&>+z$6H5Yyue3%Z#fC&uE99ECyMXHPte_xf&7O#-{m`jWWKj#BmYK!KBgp!x35t# zow|#_G5S4t9BV;aeJMd;DLJ7B;wG{l{_~Q4h?{f` zyLR2bc&3bc7-Nex(6)=fr>lOhxCyqTkFKk!an6&QKpVa7sd6o?wY8xz@0)YS3FiMq z|Gor0p0OTHuR;#u8AjGC7-x~Um|z+C3AC^BI5Nbndvy@ci0t7yvH@`=ZHI$usTA;| z>h0cv>7wY4iF9!ef;LhnsY{3ue23r+Isk2IaaORXG#^W?$nWp|MUfoLzi?ZX(pXF> z{nsm%$dy&hk$?^G{rAfC3Qou7W>uYF(?4B{j{M4@6V@!ny%E05oB=w#TfHd2k(D!T z8k5|OArU@x6)d5H$}FwD%_Rk{GK#XZq)hg1aRa4v3YjMNASJAs)YD!AOCs;K(D1>* zWL~2VHnld_M#AA>E47H0$#thw1^#<_&BCf?%I*fTsXCFVt^k!TnFn;9o4(}RmN7jH zk8rz>z4ltw+qtKkS>EH?d9r;G|TSpIO#CE00DSv2KQfnb_rH&_$;=p=Bm0S zjnnE^(Enb+;I!sYh{Ol~`g;EsBRCeSr<(u}YSqW=QdAZ`JMeL*8bYz&2JgZZVoxl*7w|8v9v4dPo$3E*6E z{oe?LFa;1yZY2Q${FcyZOYyo@%|u<3SY|W{6Nc@(K`5ct6-}WQO_(7QZRu*NK~Io> ziTRh+JNuwRls#wVGvxkQd%x&nE+ZTMsP)WF&3x1#c#AIZ;4Qie|5rPD?BB*e_U_S@ z>xV76j_b&VKhu5oCukd!?%)|*k?~yP;Q2l3z<)Mr$fw$VMd>{s9{2*NBO9LA?bu;P zoo)yG0K=C>_n_>920GSZpgiecv|jbWhcAFSvf-~9{!R^_>JgvTIq>0cEPBd=KQ!?G z`1B;#kqs|v_~ak*)))={2?svx|Dtys_y->V{V#GI+3+t5U-tq2uSDMS*w2VL*CNQz zBFufS*ogJ**AY`W5w^F*!}UvCG5>(y_77qai#B_hhK@Rb_HE)ivH|`e%h@(oYqpI9 zjq$)gws^io9Vh-j^c3y?btGqq5u=W5cw6JyVr1D^K8*)Fw0Ow=w>j-8(esLq@R0p) z1D^La9_+(saiDMj*=y4tXS^?lPqo;I0}O50|33W1J-Ci+_%HA%|C7|DA6HP(PW)R; z@doYJEbfc{&isGq`PvWdpk0eG|3@9!@Nq2vl;u|ZBU!X~4n-Yj{y+4A{qt|}5nM+$ zd}jIQ*ZG=%iw|?~VE(^&ywgwb9032)xsGi3O5^#_aeFi<<{SKR+n&j&GsVHPMbG0p z;v3=xs3RM`10IU6D?MF8UBmDZue0#sw=d51;1BD(fBmx<@jTR#4L@l3&_BwuX!uy? zxA147tP}S?C}IEOb8#7bWyH4j^#(j;2V8r}#Bw`|Egrfj7h}RfzfJJ74|!AD0mlzt zjCl;o$bGavMR2h%#9BwLs_CC^;mXAk)N$he!%sc{{x@^SPkM)V)^2hUF! z2C@9yA@QQ$^pQK z9j}K?Z6rTMjRVrR76;QqdiGHV&!6!heg_iO6~jN=zdyA21+F6-@6vd%uYRB^Gb<@YMH4zYlis6f3)A?vVd>1?`yj@03wYE5f1&kg{371;bES^_=K29lyKJQS1+{mZ z8wgMoQ2)_$G)4~V561rq9(9K=-oIZ~k2oFb$i~|=9#`JAV?lg+>=Aqr_ghv3TX&=g z^!Z3&`wBh|e?81U?-jQbzKm_>@t_&w*`&i)wlm)R`hbedde~#5dyX0yYZvRYgxm{1 zU|)*n2cBEw`KdOJ1cd3yu=LO^SwD+@U~l^faqLmC`&R1<02iNqQ&6AA;U1b1a~%Z_ zK7?)`!>KRhJW0P}R_py6)Zbn?Szn3&HH=#}4Me#QoqZlSr>=2neLX>O^-lc)tUm@o z2L_C>`*woPD0Tbh`RLI(Xy0%l(jU_H81#VieBOLL>=qKoDfRpQ@OM=PO%S=g*mpot zJ}T6<(FdE}*KX25cZjY5AHDDemcX{|1Fy8*GI0$9N7k@>;$r`PP;9B+j1s1oDm&c~}>!$~zA? zF=G?|=fMj;MgBV?Z?n`NTHecmA^ru|;C>tCOhkw=Tix55KLByv3 z=?hzVt=op;gZ7`|Q@9s*vY!Rb;sr3c^?)AbC{TwqetH>89lD=_7Ks z=@EV>{yjmD_P-E)XbSBPmVSU^&w?Wuv@D4}OP%ANtA^+8y6Cy$hfZ zg1={=@cTXz93%(O*dYb{js`#UjVZJn-E^OA!C(gYdxi+V9|AKR3?%=9^eVX%bshRC zYmxu=pp4yJg*i3!i?UB=v|sIyi4WTEf&qxnPfAp~oTlZgK-KmB?&l;v$Zux2S~N8L zrhs26@(Icgg$^BKsdH+MC)y)Gx)iDnN%mG5B4~Fn{-J!teXg z1P#EjcLM6JgwAhb@9|AuLVL9H_msdFM!)lO_=}ZK!|xl63w~givz4r0@~^QE>cIlk zcg1%q?j1Kt{*n3)?X;>dZ^0dHKquWF((uK2Pwn|i+%b>XsbACfykH^o_IJN8_SoW1 zPbs-ZAJp3g3!u+~>V2p3VEadMxPC{woR2oH)0$xYUT}rza~=511^kW}`m7awz7&0a z2+WT$(CC9QQ1Dym^OWfGZAc#EPue=r+75m$aD7>aXm$Lr95>9 z?p4^obF%nV+P?H2?N#wK;8X%WHrQSjkR3o@I08xA@_zu_sk?tu6tdq>fS=>gF9_PFum$qhPUNqDApfAvKJ3t@^{Nc2(g|f~9xQgGm*1ynGy{J!lT}cl5 zmRNt{-+c)7Yz8~o@i_{%YGXMcHe1fmbK+AV{!-ylh>xUG zA;w4wope6zMM)=zuJCx~>sSB5+Eq=4@YFH`4ZoAYuMe(~N|zo-#jvXy$ME+6hy5&! ztE<^^N3UVv0s9q6oO%>X{&4j=OX*cOO!zeIhmszCp_31LEV27msT>rJ!3BM*(f+{} zUXK|4mcsKy&KRq&S}Ne^i+Zkbw2CwR?Xf0bbNy#s$Eq+bc(YaIAzA^LK_uXW&CL-f^vi*G|%JrhIlwSc4lSooOY(euz4 z`Kp#-ej(af*{|u2BbzZ^!lH7!_ID_+@MEbTpFJ9TVCry{VcZaH2iBzis=<^OwBv=& zd`QoMP4|lyBE1p(LbO-Te55R03Pj76>zjaorHw+*8NvD=W8ic)r3pP7WSmXsN3{R5 zpD<8Bgr1)T+i#5Z`m?_0-A< z|3Vr2AfmpXh3bP()VkP`OOTh*$3O0fPYo;)T%ynG^>@~Ja=nW7pZayhSK^NLrT-bB{DJnL`j5rv^A#Kq_>@rk!dBED zE50806u`?v^7le7)R#paq5mX|j}`>$i=q~Qqs}Zk0C(7FV0|$DXjgc=wd5kQo-+wVb(IH-9 zw%0-JuidP_+AEt?L4kDFbo?NH{GubgL@fPRbX(|D!w4^mqP3Y6Xnkq%FvO!CYj*zz znYb4n2ju_@tS>#F>H1RAz35bC({ zZ0F(!msuWUL6|4hODUUOCHY^kifo73W>J<_z?mPsC@(kw(`0F4a4Dj*>M*dz5Lk{i zuu#wZ;(Db8hC}fZW9B0wauPHxzY8rhY_ehy3mL zjlX*r^jG7PCLu|$qN^PKH{tmX%^lw@%9r*x9{*dJ#xK_6B|kcuQCP8@KRR;O;o0d2 zcU>U$Y&<#lF^ylm!NkL4dggzx!~an{Kjp;Zvi*q16HMb5e+vFIkArbKV<3U#Y6EtNa5kHUs2|HgZ1}^X`kAM zkw|8FUVd3#VMKFC>O)%t`X!A?88bX(LSL0{w(vXks-NOVa`ZfOvGn)JpZT*HTdU(L zo%Q?4G)3GG3dB|X7;x>j)N7kSel*}djgOKaSxn`)qcgv(;Ybr2XD&wHvjF|CmU`Y; z!^Z=Tdc6XEFy@#&GY_oc@ULlJwc<+L{|LAxOd_wcT81%@m#l_I?7Qmf(Ql`%aocz=>#P0yW^*pJjhg|matH2-qv6jxfC(}bN z>-QXZqzC6c84kHTu7P?QV;g~aPliJ->$eW@X~B6#x;^4d|!(n^UujDMi zvz>WQt$!`xs2eMu!X5TA^OzbAf0yPZE1-Y=e{epVo|n|{2E6|s@RxA^3G;P&o>9YB z;63%**1$fPhcNSo8jklgA6W4w?ieq0=L1>)wTiytHSlO~<^wf7@&)yyN``~~H_kkt zhF=Rf>Vc9`fMf2?%-d=B^?)A@__=^%F3!xuY52{64*+~D;E11@*V6D?0Z)bg8*v}! z%u{Ljop_IWuJ}vb(N8qT>v zzXkvHxL*OdGta{Gpvy=3EEx=X^r_r=7KS5TiGKz10pbvtXJI(vP4!F35rB^j&a*K5 zZNOoll0yMs7o2Bd`1>fg@arm*P;((1G|P^oFr4CndPRqWZn6DbhJOn9y&4MoM?&;S zSDYVa!a=Wu=r_VHuy4gC=yxmVKMuk1zUXyB?j5w%_TyPT@Ihe^8)y>W5~uTLsQ{~N(CIT-B{`FmJbV*EWBIoVr_alN(p zHtzX|5Ayp1$g>Z%2n697K~}3XEGZ9Q7#Gw-qQ?7`qCLTQMB*ruw!5`KtK(;J6jTFA)3W zLVwIRxZ_p~zX)*T&5}&emjUjKTQMBAAwRKl4$`}Y;}`1qgO0|nDr*3Tzw3@$F+JoG z|B727;{wO;)AW}p{VG-fJ|Qq}rSeyqj4M__4$Af5+oe8m%4121%D;QkZFvk1F5tbE z2Rz|TZf#Y6+^d7P%04Jt=K0p*@zGNQYwFb^G zwh8<;34RIYarb8&4s>qtOIGiD9Ig~~PgymtKSP(l;JOCN!Fvsi!?kaF$K@wY9X+7K z4R@@oW)Xh$RN&sO#PK-Xs$XiKH-9~@e@=d`+1af}9wXS_ol%~TU757S65l7=dDLX|YH5*W<|f%+k1WP8=MP^RXibZ9)n9zdE!|-48jNEsZN@ z=#Y?{?;JThkhWd56whmWOfzy2Z{7Zvb_&uEHMRF8WG9LP@kiQ}qFyiUi|b_k-i7)R z;~uov4f9a`YML+j-pc*CPdq;Hm5jIK=VV07+}r~FD6OG`PRG*UKrgJn)}j3)at>;o z{8D;^rD1try7JsyqvTQijXd<-O9yIc@Y_Fk&XI2>f0p*MG)?8Xxku!|)<)h*pjG{M z7TRU)e#kq`(lnLl<|2_t=_>U~=?KuGyz9{QzGmc6I;i?Wqte~fNe6!*^#$ zNrZ#?+zC$%Yl&ZKoP>wjkl^@@d-VTqbA z>Z@{$)e~dGcc$>2Wckjb@eP-6j^HR^v`VSOpXSiYq~bFrY~D4WVK-(ta0 zxPn-hjBc>vi}OE7Ut(1@mQ9f0bR6^3uC zC&T)x^HN`TS-YvKQv<-gSH6x9CF*1!;Xe#d>}Mc-js3aQ;e9Ri zsl#;(u1VNa(;xS@aD9vCgN>|T?ZL$F2o~X&?l7XdwhP%WdYHnADqKO7a@WLuDuRZ8 zU!k>e>Uu%Qe$itEN8xJzl>DY#!+sb{x8*u|lF(QmrQ6z2xsDDN9EHn2%sw&F8}o3K zZ<(<`MQ{1V>7t*z{lhze$LXp4q;tE_Z?K`-o`=qGj0w>BUY9P!_{9^*d|m8%5r(6` zK<9g1+8Xeu8O~m&u^ak_bgtT^=%eL59|Mjt4?1VAtQ>mO0{&Ukes6RuR!7jP*g92n9Ux<Yk4^-iaKsFeqCsDSvGCytafJ>p(wW0W3#c%@oQH(FN`b&)X{f@wZ|2`N$SD=aC?}2xj z!X=_sZ-(NBdJS+2e)KB9H^zwH%>ut85IqH>!M!Pmwnf zY~=n6{9eKZ8Vc?E+x3~8U(s&`oPr;H1MqVN?&eqJANbMFty~ljCDtt@U}EHc4u0?9 z0u6BRqGUDY}c^pbkbyi_;f&Ht}@}4bf@7@U3}&KXuL!IzJGMZ`qLyCy);v zJnV~7?SfN0TD<=!?z3@W z9Cr=IR4P@Q!2*7XKPKMLp)679OnkfeA$y<2a024~CE*TT(+ACylw7q~UxoFqB z4vS&$$pS}9s{L5xZ%k#`3fjnvfZrrs%B}FawE6|GyYz7oy6ld zNyqQ(_&c@idfc7%*Oo1kxS-7rgypine^cNs*Q168^IayC2);@`!*}f~f&rV|;&tcq zZOdWj@{dplybSrT;X*!WV($arysq95ez_*>Zvxb9JLDMP(q_HTYxe~uJ?DW05n zEe+QP=s&TH)Um1Q!iwds)1AZG^2Pqi+ZM{wR=b+E#KK6kBq=9`2&h^Mn zYZ=!sDeq``X}cKtk6k* zbo8k4cm#vv@!zILvgM`dMURZElJdM#P6(DyJ`nO}c^a-%T&lffCEDDp`!oN89nQDB z*bbPN;dBuBt|eFx_(H75bkJRdtK6}}2l{(=|AQWxmKWOr>wj5~veN2^=t6#58Py+D zHc(zEZ)X-!{5L3Gv2m3{+uU{Kjd)y=R@8cTvu3* z_+Dxk`t?8MgN2sYURsCxY18<-X~A+}kL-gHN0iMKDA%jkA>J6T$6jwg1HWmlLJoXc zT636*z6JT%_%5oSh4;Bw>y`C1Xt2g(=49M|hqXm$$4U~g-U#r_9_SmOpSxx`zVUK9 zcwCNi7pT4l-<;(bi==o`{Q#S@J+8y^wYZ$NI&q}e#r={{7Jn1zbqH9tDa{of0o(! z@JpOf2M$<`m|X=4B}lh~iD(O#mI^Uw)0}-#-wKZM@c{UK{r7DjMLg z{{eA@pN6&Zeg(i}#DE{&q3OOdT3b}nk=UyIPV3pqH>%(GOY7%pt-k;HP-lG`{6<=L z=Hg-fJgv?5FMrXlx7S?$ulB3$>9lw6p2OnoSHqd-`#k2~-He8F+s#@=oF?yVJM-Mb z`EkrV58{~>@U(x6-{?(MO%LP0kOoii&47-fV}Aa}U1s~I1XC<4Y8cR%*R! z#U$i^;8doW`bL>W$^)IlDE$12X~0$E{G7Fqzg*5|aD03MupW;YI(o(XLFkS%(7b-_ zWd4BkO$*_nea9XXzhaiNo-l~#R}=tV#611o=K^mZ`%D7%z?wnYqjEX=OYZrCw0{J6 zeg%ArifU3Bc>UC#lV4;1NW~H*gX*oSt^ABX?m33)I|Kxw`Y#X%nO6Yl_$_pfp@Q?e zZ(8qK*&XdX##7Asgc`mU_MV6M?LypM0^Hd@!g0S6aLgktKLBv#2Y3Gn!_ofJ{*mSI z5sJqH?(837`1ODz|5d{mFRgeK@Jzs+{UZ#ATwZVcIN%shbN7!h9CAs&%1+>c@dNkVJcdIq=~oF~s^WUU zopbXT4!Nw~Ho$L2qwAcT$8g9c{VFQ}zZG!j+&qRqrSz*D4fvga>vQvT`aB0X+Sc8$ zBb|lUTAzcb;V%LH1>mq_acyw_2=hm~MEgf}!;W-Tox6X8;co)I6SCh1d=23G+&Rr3 z?`i)C&Ya^j<=p)vO#i;3-;H#@9uj+=oTkT})-zXT!u}ZZH0Q)=_@{uQY**$2J}S6> zg!z97_|br00yxUGyMKh?8v!2xIQ&=aAqngsVfZG%(Rad`XnfY0Ip<8v-vT(&7iXN& z9+JTR5vE7F(*6;gS>_ibecb&c4Bv(LBY#%*K>Q!X{tV|FFoIWlfX~A{5pdYo-9N%` z_#!oMrvy8zecdP)2!Ul;rq{9#8rtIOR# z!t|iy{C@`M*9G^FFuc3sza0J!;t<$B!f?c!>z6*DUxD#z=bSEvCjn0OISTM?fIH`O zF&yt{{|NRB`^9eq?(837IQSC%a>^s1I2zr0B;Gn zbIupT@t*b*;G0l<)|b1VfZ^av_FsMo==)heZm*UPyRrOI!25WC{RB)u3~;JnM&jxO zxU-*t;doDTEjSCG&&F~06EJ+VqF;Ux=+AK4Yb`$waI~wH;8F2-a6bXlqpyed+Jxg5 zH$6Y8aw3EM%8SshP(J|c0vtbxfhNA_|6@*waS3qzpX|B-mIpm#&d9I40C4>s7_Ber z0n&Fl=6_J<*>+#UQ4dgkvHL;j{eE!&1M^2cK=sA$M*-gu-2cFE)B{vs?0y{ZPlNj( z7>;zJ`eOG}fTO&-=XNk0^)#m^`p}i9z>jdw?O-_i|6HFh1pT9coAFEKE6~0M@?Z80 zLwdrV=KN$GKhV+s2b?WMXLkhlKQMplyQ98YekA0h!(#jqO}|X(w;XeJmFSQ==XS8K zf_)0S4y5u@^o=l1WA;C2dc3DGf=i#ly$o5uf%r;_I0jE<@f0OJ&(OoPePX$aoq>y zn#`lDIwpDJT|eBi9^?AsnY~|)GF|z1C5p%Oul{w%_4OPINsPCTq4GHu59p`MDGlp$ zH;U#^nEq|xJdF!&6@p)hcB@k6@cBi(l-ErRH-Fsm;!2DURDJ;4{fYEJeXvb`>I=V# z|LeFk|MwY}S%b!FHABv!Av*Va-$6Qs{|RVCw^o-69q_n5)BI6J5lkPWjXozatUu`V z_(|n=iiXnvs9 zW_u*JxF{M-hY>9T?>dx^MAg5qnk;lzYu4uOS4BXLHnyr97xF~a zA8_412|a-7LkWw<&nU2;@cmp}b)7co)RRx7>2IUI;_X+dF+UET^{7Za4YKAYrZq5$-k$-P@@*ky{ z@&%Y{ocw#cYL!9!iaHJU+I;4!@zn%%ojJjVlnn8Qz>v*q#|!*IWPyyeG!X)Jyh zf#127UqXwf>+q^C6n+<3e(aCN;+GA6=?=fsV(`lle%Y2E`=PP;p|4s!!{JvQgWqi7 zS1A0j|5+SB!_nk}D)4jsj)aF~otSGs^qUdWsS*PgoQ~rYgagwzkKA?S0 z3S8b%sc9)RoMZGuKc3dME}KT&z;9O!`(4H$zxsE=5B3v>)F^29q2FKa_z^8Hvg_=) zoWWn@^Q!4S665VrJ3hql8yQXl=}c>{RYeF6|AG3K)c2&&1Wsd#s!s5$Zv`M>u%wIzLMZk=ua z55S)icss21@Y*q)&U$4y?rY!j{Ex}uO7z;~d5H`!>M3x{k0JPL-wndcH!_@H39Ym* ztLfn{)u3%z_OR#QnTR-$zx6qo5F>XzYr7x+HnqzEufK5S4R>}_^^xDW25WnWD*}(h zT=VOW!{D3gg=Mn9(j5<}{z>V5`Eim?Kk$d^O7j+ittecTwXG9#(u2&XeX$S`GW@|e^S zq88p^3f_FQiPAtm1>j>vLnKh^^0I`qabwTH>gLIj$N>YZPR>;!tu;`K3TN^R{@9!{ z(gU2aAi*r1;1vgCI;b!)b?iB5DUtNiY<-0oJfDU|;T29Vp#{Bkq%gvXrwnDz^di>s z1T1fGo3UT?(Ap;cv{N6?iP*Bhe$>v0`2ZpLUvs88z~c3WE#KmZ&LoZ zNRH-DZYz~I9%YW41)y1K)b(2GbNxupo)ZUaNI*`#rQ!C#$gvBmSx%iJ2mZpGt28%M z+nZ#4>~oOw##}aZzodcvlGNjTJlH3O;uXLH=Tq-C6mR$rnm6n7t&|Eg^6#@eINqBh6OzY{9CAuzVoJ)$2xmf;i?LBt z-~Saf|Fkj&Y?SqHl-}F=46Hiw#DO{J`vl^NKCPySA%}Yuduu&^ZYSVwPQl*Lvc3LY9f=yO8^_l{Tt5l=SVU`rzhZT6g9PJD2<7X4EFJ)~RC(4kG@v)$6f zkfYL#>K&w)mNOS)EOXmBa#n=oWas2$h2k@}wWWz6$JmYXgpq@>zPSfGa@L3B3>-M{ zq_7-}J82r0^P|r1$s^&ybD;F0d}!og4tDNQj-2;pe}c~Mkdqmflf*RsvSQem>Vt2j zT&IjlLGNYkh$*&0WqF4?^3ZpmYido*d+wF>qgqI6cWQal4( z=!Mmme$55r*#F@VC{+o~h^HTeT!~xKxT1YhUZi@-R!0*8U84k6or!6-BcBuJx zm|C+&W#wod5J9n>3l}F4f&F|Jv|I33z&t-kNIY5-srm9`qS4eH6O2IOJ5C_KS8SBK zCw>r$L<~P@?pwA@aXI|n6^O12N*b54bXfI7M}w-WI&1$31FEXZZT|=Zs;XLWpM)9N*^Wg~J1UB%{k(Y-ZC;L% z|I=wYf$~53Bb5K=O~-{f-g&t?H+x>*z0Kr*F88tfS@Y0-YaU$gzm)n#l{@XFVc<#R z!%t%QXzS;p&p)rik^iASKheIUr+DRl9>xMR50?LRK)&%xnGeMuJ{rrPkLS6#F1NBS z=_>m{H`#Yg7cc$`Ef2Q)cLDihQYVh!b1P~fw-J5Cxv;An;ckz}v**X5X6Ao`9bEZXg}*mG4(QS1L+i3pU*9C)<^fJ9N&`lf&akzpdFtFpJ3ijtIzDg z(w~W=k8XnYsn0gcJC;6FFS9<#qomLLR=AMQ<{#+j6Wu?3sGZrDKJzh$qj|GFy8aOR zQ16}enU8gatj{5M?t<$`N1ydle#t%`$4)P@4;m9vCvJMp?_qfd^3Ry@$Vd}m9hHCP z|3>sV7WhZu8sO-o^AGi(HyM3ObE3*1@$$?3ewJ4teT@9bd2ElqA|HMH`9pA>ZRO|p zmh|ENdq{p{cHg-2&#=5${tr@qAbPvlnvzYJHcBmX|9e12%`@7Ajs(X6t( zf*gD@Di_~{iqpQ&ud%!t?1^tM<|7~oykt{4OuVvh4Wv*^}eImchVoG`uziwUT%Ia$Sf5c6>g3h562Se&LDx)T=V9tf(M!fAsps@($=VX7~h?fUdnT zx5s*I2i+FbgDtJS3M==iS3zc}O~`omszKYYc{jmR^I^*GgP!4p<@^SJm-XBOK5cOw z?CAN3oD0{y{|7ytgw^qP<~3{J3uvBfH{JgkGkhW|G|?t0=~L5D=~L4a&xhf{dI%kg zeChv?KJPV?&!VhQRF?swZDJQ!zhkTn)^DTKpBIjRdch3G`t=t5P6FKkTxV(RJg?}y zecG!s3+kB+C!}%pJI%@n*zdv-WItFjWIy;Dl%6$c|7u3yI^WUnxBIkTWfs{FEBg1_ zeq*f+uGjSVUE0tg$+Vt>>`&>6z9j2470(#EugP-sdQbZG&HIP>Lx*Ij#*p~MX%A~I zw!B#%?XNmLKGp|*3G0J?e$6agPCp;#0CXnp_`IAAG4mPqcrx-uqqzE@|F3xm^4B>j z=_66+M^YwCh|u64%L8ta=v9a3xwsk>jQ$o+ck&mt*RdMxnK@O9MZhfVpjH}-g zD}(WNM$Rk9sA8dPr$wv}{q}3nX4hQFApTQwpRAjpdgkjsYE&_a?~$^;NoSmqbRuiu z&b!pCWgh;rYhhoa!?{qgSJOzo`K=@W_n>wBgwn=l#$(*_eWRt}`0Y^Tn zMx2j0p9O<2IK#XAmfRhT zHB2X!a>h8nxbb=raEy1by`1rafCS<@iujI*&o{?=U&7XIGYLr)#E$gQ1=JYcbO6uQ^xB8+E|w05$wC7ygZg zw#5rxOPgPAQgE~reyysHTf835FV``xzu+dr0}qs6Zjcuy{i-y^-c5w%*2JKJ`D~ zxgPKS1DR;Q>N4;SV_6Nbb^RRN{~23@|K@EVUd}v1fCtr|-&1;h=e7Opd|r2pA}8gq znRrRP%=Y>?z|H<3zT1iK*7$s5)XU8GKbBR~r{>bZsh4-wm;`p~W$?E3GUb&mmV5K{ z@`77by-d^&J>|1qapCZD%s8eeha=a!wQ+)2H`@!z9xY89>s36<*& zs@|_X7&#pIANgH}mPgWlG>2bpR|fgObwY@r)wdw8(9sv|Pc8B9U`Si?cj8?BNeuIA zk1;rSh`}GLO`G*?bEl1FI!Cd(R z8RpkI>jmS;FUZcQw&?*MkonuOjg}XL`JW;D&oVmTAx8XEdKVPA_B&Vj4>hzcvCk%` z|7hWVuEB}TU)irZCqvnf`pmVt!hg7-Z8ymzmU_T>uBqJH6GnI3s+X?Cy)m|Im9s1E+y({em z^~?9g|JD#o{S)m)?NewkYM=CeYWd9Z{CZfZ9g4>v{RGy3y=EA?6aSZp|MSiBr}{5O zd&GPn3-Js5Y|bvy9+BRgYnm9|ZI>X!v`d_p&sqV^w@bB4RJ%mf4n5_ASL4uckak1H zArv*^w>s53(BylL_j~-_uT{9hw0Dx)Ax%GrDSry*q!dbyGWq&z=s|hg`ZBF1IQ}v7 z|3dhGEv)$#;;+VgvZFYhkeRR*w({3(jRqi5@DL*qSlJ%m4S8xL?q zK%crJaP`Fn|F!OHTp75EsD7#A-i@hWsQhe~aVnBee&RvE>VoUo0MF2m=E{%be>TTA z(B3<2QQqs)P~Pj#_15)XrcyUtpTyD^^$6>Gro+p9B);jy_x$*LW7H$eSGDslN9VaY zJ4ijk{#lJNuv>qCx2Zokt<+cm^KQQWsOzHY52AMHDV^2+|Jd|Wf7DH9Fxg9oHve?S z8|r3*5AqYmyJ0({wE4ox*Yv(_rtmPmd-_fG)dK>jbJtG!^t~ zuASBC{)y;e^-aW3dB9((`;*84?jq&8XnB6!t)Tx4uD{`;I{6FFU)U1$iG)CXf_Ni_}QEtocd%t`pIJ8Kz#x~kmonhHgQ_LV;RS(Pp*~v zguO^ZPwD(o9D2!D;#!lvbZB>hb50H0QH=}u0XN)Bu*cx&c6w(dQ$8Qz?X5x{ydD?Y zu!aA^*ynm&Phh+}6W0X1e;m30BfLYtq`8cRm^)gCv9EfSU9>Jg`>yMeh3#PvDnnlw zmhFl>d)^Plb74D%`M`BTjCzX34d>)Aj^R)BAowq|`nQ`){GI;9Le-b{7Xr8bH;xDo zbUkn#i)%P8j2SOP-L!Biwf76JAHo}nMj z^F#db6Xj^(B`8M=XL&hWm#Efem{zqJzO_K#0e|o+r27Y$EBS+$;LXF;0Q*TDwfGhI zL&?Asn=}prKI$%vGyd$r_Co!@_ByW_b`-BtidRwmc)9fh;zehj@%-33$lK@-uYgufcs7Zx%38xM;3FT@xG)tOv( zK8E{?xcp)BuLz|A6qf za}hm8Iqe7A?>^!Gz&`lzjO6A?Pt)*!MEKurXnQR5P_OFz@vMSV{k`Z6#_91_=bSi} z|Fp;t_ygpB=~hqTw>y%li;m&{hRC;L5j~#rTA2Pi^Z$qNf5YgAhZyO%Es~#^tC|kO z|3l&bqM_}v%NeI+{xW8;@I!-x2RjGpR!j&UrSokuN`;lEk<|I5%GUK1`qUop&I zxY^*~f$G0+p(}Zo_OBs5xj+=KU~49&}J<< zTG3zQ^uJi|L-@VF=y1NvAJSVp1;*aku{WFHdp8^ky(!OD4Kg-YKaJgKTw@XG1iQiZ zIvjD6H`MBXw4?tiz-#Lf-0F{hDAAMtIGfjBbfCarL%UA4A-fM?*8ZX)S_Ium|3UJ? z*xh__aPOBL5?UoV`9&~|-JlEW-B$qk1i(jLU#s^Sj^2+#R8NLmy+*}^}~ z@~;W=pCSBJ5fsE2^$hsyhKTqtnv81}F3f!{T8ry?Twg+BuW!~bZGr!f`HXt>KdFA8`TnICOKik?4aawO1^ldM z6TcM_zgdP|(lF2Z|D3OvG0b1I!rUy z3~kRlopG;4=ra(U+Ka^-kq)PLJvW8rKf|;>aGgN))^qaPvb->G)%+4q^&U-Yfvfd{ge zrZW1{F|CZWw-$K}eMcH2UosM9s}$papr}VbynYCtvtXY<{&IQ${AZJ1;&;GKI`o?A z#2>|k<6m_SbmShc*K38>E*Sq^OzPVrMZYQjpyD9$-=>e9M1E1@%j~BP29DOJp89-L zKDR1L{Oxr`$j@4|hhaW&oe(M?8&r9(@22?K^!IwbLizD+w3DdUXgy{9LBbEXkLaeI z^4#SQp-!!jK5C5i~4BKn~D~82cN7)?TIOG5I z7owcjPx4;+@C#ML4HGe5OP0p=4D&^rv%aI7Q5OCD`Uvrz7N4)%&nG)*z7w0_tK#2` z9a>v`chndIyZvnFZ2H+$X6rAqa+>s$O+UN-aMjNyYKNZESvMB+ev{?JcsgIr;`d}P z9r{1(me&gKp}dFmmps+~ZLgInuk^lNIURm|g=Rr_vM<%-6o#qt8DkxzmTU6)LXnrR zDDk)dhoq0xk1Vg2VSfEWgM)`qd8PVbN2D;XC^Je}hCk-rIX-g?ZU2pKIlEHe9Z+9h z!Z`i6I_(L|U&HVH`YR0&JWzZul@}(yT0G*f)@|zYP5RU;zr$sMXH|-fzUWi2z81It z90yUDo6GoL28x)=kQ-E%!41j~F8udP?Vy z;?N`Ck>6VX9pjL_bQrkH86RLf%J{$F3SRFP>I*mYbC@pIbixe7O}^a;8I*Uw5HcJo zcZge#$)4L8=GX5uICzN3pSJ5WlIFko0O9|Gp&c|h#DB3GAMk$#oe-aIjB>+#Pi=-Tzi!SBPPxInncmn!elG1p zAs>d;Us0V#`(oB#4gVL3xq$O$cO#$cz)zww0s*D$x-B5tPK@gAM=mRCDTISxJYC6(L7xr{^UrNfkeJL9fwZ;Kx0P=h z`@lb7`^*#8o_EoYPQA(ctYw(L_)3F=2h!(v@+vUKi~R%e#?b z{^FYr4jy8pH?6bCQaC0)@FO@rwjG%2u8-#U+$H?ix%_+oCfWRy_-u`sa|#UqzY70b z4f50>wBFK9zlVkYUkwf(Li*D>iSHu%oU|-#(3@FQYS$GnenI4{H$0}!@Hz+OD8Il5 zt`icD3dDotd~f8a^VooG;`6S^dBU)mdZpJfDCbRv`HSB*ICu!fhx}8lUyWwxp#

Thv(Dl3vu8r~J3%A|wAxk^hR}J#}5!o}Y^RFAWYJDF1yfFC@LNJ~t~r zC!-LflBm}bTKPR?h}nrR##A_ed|-G@{mMJW^h+0SQgF&AjpNYIe$*ql8J}H(R*C3o zf6kI4P&beBrk&=Di*x?k!MuIoI)U`vCBH>q_ULP7w>hyW9b4%#4q@0;!ANPd-O8Q zrxiKtw3duD_8wuRXHL5DYz<-P5eIU53~ab(rn4q zhEwo?_})W&?~22h+KZ;&H)Otl46+OUYR(R=8I9}BX1;F--p0S>G`rJsZW2$^FI`fo z{9B@S=qbHz+l=yKc`+XHH~D)@2TT59YQ~ucL!11?@qLQl6K**8OP+>4K@U>ue5`;zxuKF%}p!P29V z50>`!KKuKnA2DQK`KuQEB+d=+l^d|n^mo{!^t%#VpEan3qZP$Or$@EBpJrcVmXxj=9ft|0b4)Cw~3an8O|Xe4Lpcw9NS zN^vc~1^;U4bzG-CWc0`o8RLb$=S?pqJ+$3bed_Lmo&G1UrSXH-59&Ot zF#Y+koW%_AmnvLAt4kg&Pi9 z(z5~W!O}au8MR?OUlbk+SNO!#(>*(n@r<0Wg~!uE?s+qoJNx`NUEUTPg)4}?69e@D z<%^#p`s^=mk1$_E``_pc4z>lY>7lisR5v*D?MCn~`C#Jrlj#1s;XdOwr+>roQ+;iJ zslpY+-rWQ7qkQ)xpTnWf5)&*fr$IGel-@ebc!u^Fs{HDl^rgUDj>qV*o`8HcvM zY*3kt&i&9KlHql-dW=;v*j^J@@5ubH9_J~X28AnpV(76MpM7@DG9*NkZmQo+V?R1X z8eDrs_%++(>JOktvKP5Atj9Ek)1YvLPYgXaL65+hg^nJ1!bABU^ds_TvWM$u?GYS> z%RicoL+Em$*6hnIO}MrU)G?;iu?wJD|n0C7 zG_QfWpT-rK{~`wY4YqF8xW4ZDG+Ylou=btKc4_!0d?X2+Y4IHH_YFCDsQT_a^q}*+ zFpd`riiI8@V4TZBD1o2N`Q!2Xh0OO40Y>%*`cDlHqW{!zzjx%@(`p$oOm}7D@I^bv zd~dU?0#C&EapL>eIDAo0#~62DzD|EWz?XkCXNP_FOTgQVJ5YbN;StNd`F=@5wd$7; zwL?$&;qP(ik?vfN$y$Cz&G;8T=JZQAzOV9ozd_*&)80qemA)o_)2CT-3BlA0{}$Tk zh0OCV-s{ZUG5_}jN8t)$?;~u_NBD1x6c%LUV{XFX|CP}G)9}ye6E2@>F5YiYxPnOK zQ^#8PZG<0;?%3FZNqyit0r|U8R|6(~>U>9F>2YoF<)HN;88ps` zF;*QiZlL%|erY_!=tFSYbDi;4Sf6f8>I2saWN$4^^w}oc%+Zz_{(Tky#*T(G;{zu> zIleuGe_w-x2a0cRc_I9NB0npVTaL*;iZ4y{Xzz#Q(Hd;pYlppePEg7lefo<&$}b_j z%rl*NhsIMCT-I~>^|QRJLp{4rjP*U4+55nC0@*{a0uy~{KccKaH1f|B`Fg8?=Vc|i z>2aRO@4#y=Y3){4N@!lX@f?w_+Ii7|?3F6dA|L09MY;4MJ#c3eI}1fT(d^>6Wlw`FOAJ3=^dn9BU4uSFCedo6}1<)PAGb z6>Lg(d0l*NS5dav9yO5bINl-*`2+74kzMM%mRBVFjo%N`?VrNpc6~GE|FZHk@(Rpy z5u{%`aq)R$o-q- zTwV>o-t%W3%`kuF@u0s;zE7;-SUobe;1;^Rp7XjjD+G49AgWhr{Fi<4ES{+ zIQ+9YWq?V)yVXS@`54b*IL2ce@5E^>R|@<~q#s-aPWJ~C|Bpw*?y7&M&TZ%Km@~|# z2k}{tOWMfR>q7G0VR=el=#;oThPW!w=bkq?MHui}%xQJRIrdNDO2vhG zj=rxlANBJsyKxV+HA>fC@~_E)h+Veg4sW}|=os<-lxh8C z7mJ-YU6_oI$g`DklKRNhaSp%D;PVmW0hhu-W8}LOZn}NTpoErZ6CLdMWh6Nzd3b7M z{J06}xTmG2Pl=?ZT#%CH@Fo4e0pH0?>m7EuoMWx?>kbuml%CYXXi= zO+a3Ik%zgY#vKgj9-iS3zPad6F6#mQRWaaQmHZvRgYMoDJwo!qm*pn`4p$zV3WM^& zm*aaB;DFGk!+?IEBl#a~1RR!X0tX%Q?*lmEh)sV%{&>&)`vKma;XGn);)nN4Uj=v) z;2(wPkxoQ^%Rc}=is9^y82ZyBJ+pD&ip? z>)(Rr-3-sa2fD4i5!YB;YjG{Xh4`-2&9D;ni~cqCnT)uc<*}|Lpm$&S&WX;S(_l9$ zFSBsp40vj=yr6vg)zJ6d^KoC{c}KOE?+Ivnvb*xx{YLoz{jQL5tl`9WJ^1J28i+-@ zq57NNll)tL5BOxy8}j>*{9;A_@o2!a0pAiTuVwsgIb*x2XUlh`wS3rl))}6EYraC6 zx;)sv;M>xa|0wv&`gpXsD42h1!5{6O4$~LN_eC`Sdf27Z^VfC3y~^{Ze-dnemo@M= znl!mLP!An@^9nga9@?*3*I)D$Pqb7z! zfbLCsNpbs!*BhUHQ*_@ET~>J%Ul-w%4nXbD86rIRjeES&FVcR%2l=(X{8-Ol`>}dn zhH~r^Qu;+T8~Wg0{p{VlyInZ$=$xBxD(!N^9j~?%nii11iJ!f_4X97ooPlo(o<(OY zoHn35S~`GNH4ezgFUu*-7%*t)2?GaUpG(tN*@{KDravh#9tBW1pS zpuD2ps`R^q{I1NJl~L*KAYFWh z9{@P&p53VbD$#DX?1@!8E*w5#{$jvU|5YHLwmjA24x*vQT916p)9yz7S8*la(`>!S z{4suzpA0zazsiw-&kNC`PdB4@LIsp?zViu*6R%K4fu4x zQU6sg1pG}~jsU0N=RXEG>c2|#L0fJ%{29hPPGCI#z5IADG282)^7H0`jI3FCg*gK< z3Zr?dC?MX9C%pLw{`kuWdx@L9_KI!`m4is20O@?b_7%^+6J>FEIqOu;f~F(C|Q>#05?sE+Pen%?V4XIpL22G{B3eJm0;xUsFeIB{lzbBe`Yz_ zVu}a!Q}yW&k%?0#Mn<3vOpJ_HgZi{zbUFNuC zXIL8ce|JVA{>)=zJ178Gre)(6Zp6mapvDqm-X}fLMnSZ@%vk= z&(D40_~t>bw&x-|FSA_R=zcHFtM2TVbixTLa|i5+vY=@=ei(;>13Kf*jJD;=6-?ae@Zo`zI?y#}`#> zq)f;6Ccw2l|BUDR9DT}ke2ITWQC@b^aRd$UhcBRMxc&S-f`uc6Gb7l8fp7I$Id?m9 zFkZ0yDM!w7@n@-D@FP(tDQZCp$a~zlo~NdSAqkl=U*MU&*Rw{ z*H64h{E~R-i8@QuaQx8Eu=4nWNfDOwq9f-mJZ})?aZ7wf{LOfB-m^6PU9L}EIk`op z1sP@7*;1N|lh6b4qdJE2(-*it!{wB>#IL*_q(zf@jPlE_jDDG__y^N-qvfIVZ*G20 zRR(sja6D1wO@8?S&*(4f(Doq7zr=qxzVI%9K>BU7G@5^AQF&oD_7>8-g6jVN~v5SCp?=8Bv@#UY3J~ znU2NhbXjqjBj*^<46t(Ab#=xjQ?Pgk7IJp4f7<2yX%oYb+Aktrk6)BroDBicNZjuSvL)yh4frO2i9*+MB( zTA0*pM6aZ|3MUZH$(B~<%M^SW+)9)5oaD&67&NmSdB^H}N%oy@00B8UmWJhQjzrEG zJ848(>Uk;DAQ2JAE7Orv0Gdj}&TGF~{8cK?drvfgfE={@nuhDG4;?vUlG8`y8;PlD z>9FUBabxin*s*XWSZHyF0wfd|X@ zNIZEMi_tW?oipi&epJec^we=<6;GCTwIdI0{)%;uyrH_CqjYR0es@?J^<79Oe)u%) z1T8W$8XvMxgIgl`=T=AVec*u!bsY{Y_LAtC>&52e!WYmqEcX*fZaC}6ha@?^4>)q4 z0uPxhAbAJgi-z zu)zxC=aqwSN4l>-TC8yVaMI=H1dcD{nEK~q@c+hg>~O7jDE*3SXZQ!2hU5E1jQFB= zj`*tbiTD|Ln?SqWk@uwcn|;bdJFaQs*f*KKr%vq&Y-!}}fi0bOzrz>%j^D}_mPY$e zR9+$%Bu7XFs-H3AlhaexjD?B|*>xp+3@vvh+W(c^Y&<(2ul=Xy%gxFzmWJzDjB95V z6%=C}rZiHJQ;Ug(|pS=b-@5Aql79>R?6-D_OWnj~% zS5mK})A(O6FvNz~UOf6v>1}nTC%3*@d9;9e(cB%vX;jzpF>&57SE(3VK#aO<=~zLOlM{aFcL zK+~{1e8<*}pO!~`5tfHB0AtSz&@Qm@Iv*zE)$!!bwKTEgH)_(@5vu3I@~Rwp82?&{ zf~-U5v-cx@jh2Sxp*?rwcVY5`vE0akDa%{p$h#D@*Jx>;*ZJaox92Oburw?W^{*?B znwpUqi=RAsvXV#R2+}WEc|B+!cI4IVNB;byrQve8At+Ce{U=YDkURx>Cly0V)Xrt0 zjmx?V7wECr#m_|hOmkN`*ID_$))U+LMkn4FyIlEy`)OVY~;P?ug{{ zK`I?z6?y32uY3oW8s}%BU7vUQ%R8!)diPGMHu82=^{bYiQb67Y%Y)_N`)Ep@w)X9ZK%1MBul=)zN^xZllG%Jg!8O z4>X+Cr%IYnm9EXmUj<)4^I|vQrlryL z&&C1OgTaRRpXTr%30&z5k(49u7W=;q{n4MW<8_ff7)vcID$T*z2Zqt)1M+V9T7^1K z^U`u@l+Vabb>w31W|gyk;D`@IF0GS6e$b&DSd1yPT4UZDf3mthGz@2UF zcw{@VBgGT`T}Ynno7Axk**DMfV!0USr)`$Ro$Z_J$i@8EDz2H7>?2Rs^Y@U8b}THH zc59NJzm8nzz;iA2BVX5j@sIwfFw2 zn}GKRT(>)V{5`H7!e&49Kwnk!mUKuab7Y{UV?EIBQ~R;%UR-zMddTY0yT7CZk8>G2 znhG^;{NYzt8QAcdXo_aJW1D;`IL%gYV^8Wl3|B(vx(RNWHX-{L^t5GzS&`^qAwDtNvwq zGu}^;u~H8yJ>FzJh>ySO16-dgp7i(V{*Ir3cF5F&U)u50Ri9WI8Sk4|hW=v~dXuzX zj`(nV;XiQtqEEl-8(iB6qQWsNWxS8-6Xf>*19%CD?uc=d#-?K`Dne~2$DBXPS6Maogf2JXy1Oz>eiMg>#-@!N9nP; zh3L^0_#JTZ%!%l+SLWScgC75)sclRWC($AVSVWmO!p_@NWXYtnlLn!)-ha^axb|6% z_Fv0jy?%(K&!R6dsBPCQnC!FK@dH-(#Bc4q0He<`XS|!flgkVcA17a|KE~3B96d{x zpEp~^|4B}7oBvj$?OuI~iaY&1{vDAMyPeUKZqk~Dc4jqv0nJ0_yNvwGjOr-PMN{sHlAG+vMVr4G^^gwz9+iAY@%{k!N`=hib zzwDju4SBj>xq3Eams=VAPZqzA;*D_|r(I7{j+2UqZfXPhENXd4{f2lK7MADdD|;i3 zRPU@_fNKt}C63&ite_JX#?AME=rqaqe_>t;9dAQCsh@{@kAvJWF`re&a@RR>9|rD|R_?$( zy1!xH(NiFQVJtw?uzk^gEiTQ;2KxvaW?P>;%5wbGF9QErTyI#OLwo4;SI`gsxy&tM zF0~k*Sf4()_wAQ7s4wfk3%_FYzgNFzdFpi5dgNy0M>(CTK7}vA`Tc!7)9+6lJuYq8BDzogu}ocwIn$a4Dr z$glaWcI;faYr0t8tRMOpIRANO4jfz71Ld3bNCF<({54MdeexGl?>z@SUWMgDs^k=A z_f6^#A0zZWT9#2-#_0jN?^!>7)ei)uV?aN&|5_%;2lM&ph?Zrbr(R0VH{p}LRQvC* zLHn}??f;t54B|hhrbzo=40&_=%xz0yTD!K~p>>^MQl%&txu#E_Xwq?g2lnlMRzcsS z<06r&q%)J?8=cN%SWuR7{v^!&jG97d{gV2G7_nz{Twfv!#6H?Dsi1G))2Fq=#vBT4 z?;TbBqWuauX#(+1v2oydZ=w1E>qK*n+oR$=T+#=1@R~8WE@syJ=ZuxIp7TM(`|nNi zsVvHg(E2RJIub$tDM0GXqd&nAq5glTf4S8~+g}U@izpj&zAF{|7Xg1h zu4}CQ&&(71Q$6u^bNYi_1Zkkm`u}+Q4!|mk?eCdOBB2*i!5R=16afVltO-p}1f&Uq zUP=N)LxO||*dAE1QvxI;q(DL%U9db+u|2zD$MO_AR!~8z=KGye}~r-LYTpGJdIqy~Q{makX8v#*{}&*XLsSh4ZDeVyw1|`5)y=wByzm_8;0|QbPMg zSqHNHtBn26g8p%wuetVLoM_r%vj5pb+Rs~1)mF0pBl}-=ZL#Sug}1XcU&_^s#(vBT z%tf0&_fyyYOYC?k`26)hDc|V-TbXPJ))m5!v~qH4>V(v(sTt#W{n*?Oj2)QIpNlqs zuBRPO8e!HIk{_Qj>y9uC)JxH`|Nn^V7#-^4IZl7N_^TI>uh~ z$>%l3d9rJ7@(}ZUJ{Nv2a!oQ5Z;2i8+C)bZnwBxKqcJOBJ@$M{yD4#Wn>LA)vnD3C z!A&rJ=tSO_mYJP}hx;6#y%Zl1BME%*K|jLU#_>VB21;IYFqUU|#Q#)pQM)j&6V7%x zJ>&W0PfWc^{f>7$@tHaK#?-0g*tE%0(p?`Xwn;)5pfu?ivEEF$WGIpW9L#mfx1=f#JGLlML7Gre(!O%sprVfw-3uspP`3k=Vh!@=ZrA<2>s|j zJ%;q^N9!NSK3Vst=V4qw4`b(fqg?y?;3HG?OX7#pOY?)YymGuu(sMfgWfs{pojYGQ zZzRjoIe2~`?NvBDxaSa3D|*o%;q)61x-mFC^ZtF_HuI7+5BvLnsCN>}(m7*{-Kf7= zZ+OVSVS{`2hI-MDz69&XxPIOgoHr37$ED3o{r%tQpTV+p4xThfKF73PPl-7D8~p~> zdpqbbS1|8Bt5vB>@ewup^9+stZCK>+SG?_VQSd=e;B9d zdBCM}O@HdYi8to|Axr0^n|NcKfHj}ACQ|&JWAv7St`O%+PrTnU;{@&pwc~+GdvrRp z(VgYB{Oz3{G%e9@-wxeQROjN~Jv=@@NC$uUM85($bj}px57Z;QdJiAiA8VxePXAc;J;l0o4(c>cZ={zqY%nIU zeV9w&^m-liXtU?N=do{zNiV8D-im5pVC3PqvR3=vW?i9%bBukM-ySq@2wuz>-fu|1 z9_&K4?@hLkjMDSa=Fj`WwQt1tW*%TC?Aw2K=g!?acPG?Wk767To=`*U2h^h@@VcF= z#iSF~7r+m6=y~7b{DtrN&&YbF9wj}0p4YjvfqZ&?WS&qHtfP_g6%L~sjMTH;qvv<< z9PsFA>(LWqz305WE)VO0-}((17{)?9zu$Jddgi+-Bt92;{C4o{&S#k=tu@=2N6$x_ zkN2*eqwa8Hm&Kc*FFzLVZlP_=O2+#cEp5Hx*3a`BF}t4M4E~^g9oBdCz{8JTy?Y^@ z`_V&?AI8s>UDD8d!;-&zZKRwqJJ^pj3MeBwDUm5Zj8ZcUH$wwMMnJ| z#%}oB?xQh3(b$FliA^VZAHclB#-QVe(;$mi!Wwxu1^jRZ^qDrADC(~d;P4N>r;Bkh z_AXKNcbM{IXzqjG1D@szs>;OOJTv!M*txa&hP{(dcshdJqp~P`A?gGG^T$TP z;U7-NMZm9&f)gM45$!b6N}bRt;79PW{3_sh&T+!60Y5G=@^M98Q71eU$S07?`d0(T z`-dl#1@L7EC;jVjJ_P)QfPTcA{qrbrtV2I>Ucf)Fi~WPPbN=m&`~3sGZ2!~1QTc-3 zk3%op_Z;vgQE<`=`xeZ?wNR&XV_0;LywV{RaF4;GYHJk8rl{58xj&4lj7hFT#m` z!O_5f03K}TpqKa;91Hvx#*g#y|7GmMb^f>5?_|5*KFT*_|AOYg_XFP=z>#k_f8n|A z{712VqQBpM$~T<91_A#kcRO>N5P?&^8bPnz@LkPBi?M^iNOB?o)NGQ z@n-+D0sb5C#{%|&kNtBh@IM$&^!jH3_*nkw>;rYm?tpyovHWhpQF2Z_Iv^i>EdN5_ z$hpAV_~dsr^09_|!HLlSjgjxE#}`PSOE2h(bf@tUkEhJy5E6#N=GO&eM@I>xzo*U! z*b6(@-Xze$lGE-A*o$zsm+FjD89#~SIPwt=emXRCsdncE_)*TmFZhLwx27KsKk^CJ z2PnVu|E1LFH~8y=1;{6ypT70WeB=|(Pbt8UVBDXdkWV;2O#)s&3JyPWeo6=4 zI0_EEl-}h}0Y4qV-w9DZ4 z6dZC{|DC|cVE>_%fPTnj{d0h0+z;IEAIN3>4*t73eZWJ7HS^oy$Il%V@^g}M| ze;jx&<9_{+%lbC~FNlIeF6-Y6yf_LDxvc*M;H64+4CW8WW&JM$uVCD-A97j$>%cKD z0)9UZxvYN+@U>BJ$YuT8fp1W%(=mbgLoVz83HalT`{hF}>)#4|QxyC?qyJOjo1@_1 zqx_lE4)`qK-2?d(d@O$_@Yj{_^tp3${kVA(zr8 zCl2_hQEywF6%!Y_(8_~`XQI~C$bNS-;YBs>u<|Gh{Pcm`spAas0;24=!abB7rY_k ze)*8g`p*M@(8zFFn$=p<7$>OKMi_53D`Lrb7G!#kA3bA;A4Ofcjfna zHXuI*IL4v&=(FEnKVZ&V&&#$b=FyV_^3#E%U9!iexA^-Pm?PHnPzddD=~HGt+NSd~ z;43VuRNoWKys*V*07pOD9)0f)$iD^YSOFi>75(ii>IL%uEQ3D;ni;@<58(F!e@^NB zy>NY5sR31y^JY2su=Ws&kDWJ@>00-(hnw$6&z(wdGmHR<7%w~8U1v)Pq*)>#30NSAd-Vo$zzu;0^5ICGW0?sM#E zhVfVR7F;(V>{jTia&`SL@0|F&>eq2q_bBms$;9VXCq8&RJP;pAUn0~wXb0_a)%R|G zy#Z-XbCEe1cjM60$WJX*-Mdsv-hVJtwfu) zFdp&Pfgc*DcfY}-ulj1$#kapX?7oufJY(lVw2?V~3P10|w&?HMht2Lhhn)to8DYms zwY}%Q5OV<(57;O9{ znUAcpLYaqe#2ePhCft3oJEPPO3(- z|KaWHX?$fF^}-=$Ur+MGUe7+&HlO69+@Y_yFbn6kaB@VsYj-f=i{`)1uP&)xV*I}l z&!88YXa6;{{-p5lD|h_4k+Fv;Z&Qr@51aC~Gd!U8RaDlJ`}ZH%GdT?ZQ+Zp6X9)|3 zv1|0g8Myz4brY`NnSNHVym{K6g=jYxq77cS0P@J6`^7qv!t!r&61N1V7G4O^$=)(>}N?qX^I5{;72Kb^vviuA}NE5KepKGH&Xf zM_&fsK7v&GW z_KcG1e@S`N<$0ieO2qx$`SGyofAssve%K}XS?Bb8O*=nqy1hTz7KK#5%z|?0(-FHc_222$Lt>2 z$=aPiWGC(8>hPiMU$_T+nb21kd?iS^XnfxC@|EzEzd`v2$)4>Gc<(@0JLjZFpb52~Omv2j9YbDfO{l56_`79`McCI>!DFZa7__*NN{z zn6s zzj2R5_Ny16Y+4$R+#$ny_Ut`mi15Onp`%X2*#+k%I4{R}70&TE|ABKk&NVpi$B8=O z-Y=B?R?4QU{Qq#r@j}_Q{#=Cl|3zoI0^?pa@nZjVb^!1Ck40DuV0pN{+dCNhe4#Hj z!uYM7M?Tv7Mco}SD(*{DZ;^bw_hUJI^3QX5SpFYZ^uUJwgGmG1-_;`@?fs%lUHS2a z-uE?Vf6?({v9;*rapCdV*koz!jCL})@6*?tW$4N^RNi3MKCF|QmYFgEVp(4gkG}q{ zF1l+`VaC_Qx1afljrp(=l)q=PNYb9`(LBsO$bp9?deJaxFH+KO8eBbW3n{D-Y{<&Sd+)7foT`C8U$kvNw?t-Au8!A48 zeV8?Nx`Tx{GbuRMfetl8@p4JBba8wWQGa>mdfGe7#a9iTIVa)Ie6@7;nc}@9qeiA9 zBkM(yi~5M|y~`)f{XudINN!#&aw%VCPQgy-(=w+fUc`Q|a_73DBJX1PK`JXw=bGCb zwwj#{;!jNp zp*xg1!hR2u{aDQi(Rz;AlFl5GB<1Dc4cVE~goN6QJD3dvgpD`mP`Q#--BeaGtO8owunrgdlj{GkS@3U6C3O%ao zgs8r7%Dox)FG3x@q>rWJa{nIZhyCeJ!S|K>&qVg(M9bq`^`dWJ`%d57fl|*P(BwQiSoI) znR=_$w`fgpBq5ZKTI5psgxtkKBIMq`B=OcypQFF!zlOfdCD!) zbn(%y?1*~PE%)!4au03~{{iqLYuO`$e;c=9dT|H%3i%QKvPbBBZ@H)Vp3V@_dXCx2 zZo!XI?ydZ8hPSQ58v4as?nwT*hDK-&VHEjM%H85YhS%+y)TtjRcZ-t^%^;=AS{U*C z-TK8nrF~C#3ZA#zExy9=p&t-9^x~ngJB$-!#Km{vd<5q+hc0*B&pq2;?nJg;e4(Qu z?j-tdp(%HZ@tk7uH4YZ#CRTo{rF@_rXStK2h@&7XAIqqG6st=fp7AbI9je^T0=eu%(uk8Xy~XkPbkKD_-6#Lek%aGrMC z$Xfavi+f7FL);#IDi5n%<73u4Pl68RQMj4D&U2o6hyDEohwH^py7KV->EtBmXD#*4 zhlckNhq%sHo_dGnzi4QL)(}RK@2z)`ZusV_o_!)ttV6^1SM}`gl)n8LrOnikqww#z zvHgw3-x%AUakRuG1na$RhUOck3maNG%Ku-x?6@q$Y5V`V=$qyqV*+uBRi1J?F^vYj zJ&rh5HS@(Y7h`)vXKCb0_MMJ=k&7=vPhXeHfzj;S?|c>+b|g@QLe@Kuy(d7-nXY*0 z*M{Ze!U%TKr8m>)+!GwyBhFH%`R%kb!g0SMd z#_{@DWNYaQIQ3m_7l`2+%+k@g9sBO!>b6p2E9Fw7^_7|iyTM6tIY#Ef*sTmMGbdjr$x+ z_L6_ogq7_@eu(gI7-BMA@iFavP&DVt90&b4;_aY+Z-XqMa|O~}2;pAKU>Qa zk0gY84%WL5?=felg(+ccwm0T#jz(X%z2}(i=8J5IyW15IVYeBd=MvrhIPVbJnB{XG z;~Mn#IAX6lhrbhyxi_6_=9%fc%egP1U3owePTzTR>z6`@&dQK0tcKucyi0M-L;t(oK3b zL3+X7K!Q1TqaT&K$yF0!w@ojidlskkEn?TFW-cIC<~&Gn-O--(%B#b=_`A+|YawqQ z$xky$S=n1oQzA^CM&R_fTPDuedN57He3 z-7XIu>hW=7vm)f>QF+EJs~&dBYhDAv(|pD)LX>iE3-Co@ZlTMM)dDFwx4|%3P z0(yHSbX4cM{*!hT*$A@G2E&JhyZ$?m*%)8)0Ps!$e6Zl2dFq4-D&ANA@_K7|(Ujq5;&6bMj~21rT$&E8gUHJTuG4oQzV~O~Re4RHoUblvQwe~Zy zKUtb|lU@6UgI9V|EQ;;Jeg@baYFairrB1n!IeMKp+|it{M4jiiFAK7w&E=DQ*|0Cv zT8&xt!O1KkDM~;1-~=o=5&4e)t^@B3M`z4>J5T21b>4LjZNf+DIKO@OK$g%sM0`W` z-B0=A-rC#I{S#C11uf1R-0uk`I~@qeUi4M+Jo5#vKe3V2M2|mLisroA98(hhQm6Rs zT?$!3=MeD?<>PX)x2X1ZbWd0eMoY^59@tA2L9~8PUJk^SxoRTpHuX2tRe^Sy(8lWb zplzf>gWewXI;ie$J@5$!b33{Obm;pK?k~qrfnVsX47pPLKcM)(r9OWB6sa+ymE*nm zsxH0~M=3__=$m*Baqk2?m%vzM=2^HOf-tn^E>ehkJ~lS7c(& zevM!KfAgzmXMNPelwUB&h5#qOps$qoo+~lJpVse0hjjsYW{xO=ZkzPa^2|6nZ-XPV zUPfSil?R!I&LQF(JP*&?Lw@~1-Ex8ci^G!S`v#Vf^Ti+5ui>dRn2)aG%~ujVH-4W( z+)m4lczfP5Vg`_+@ANF$c&&fen6h0L+42?Pxti-)%i~TTO#u!lK-&o z68V+zI{aJ+&r_~?5b2KR35JgN%@HyqN&4AC+QB_p+2b;&&>n71eYvCqlj|jMh7I~_ zR6Sq$UeXHkh0Y=38>GXM(~u5Jj#IzQx#VgNi}V8U2jeg#MVn;&wSHaF z6k-xx@e%$q`HSgJ0j(LA*GhLf=-Pu@rO$gzWDL$#{_kBgZIV@Uzb&wuM2rJcB|3csXUz^acM;d*E zzW#3maE6tB67|=n(<(K`k?KI(3A8Xq$ zrr)+4+z2sI#{W)#c$?I-=$q1^mzeazef{UDzh?1r!Kt6S1Y=M1bqV+9mpouX$HdMb z$}cpoUy`p@bSk)(!=vSw1C#N&+zf`P{PXl}G9_C2Bqu&|A*R@o9JBsiLUd&~mkMpn za#GE>2E9G7#YbB=-tP#`@%|X{9-u8i+WO;-vCa~qvohowhwwKj-v3lXQZ5rsNoJvk zp)~yxjyO#a)NDI0J`VLB#hUvy5`F$X<5Ew$u7TKRU3C%hv*}NC|HApS(AG+avFs8v z&rvJg7SO#ML!QkqA29CiYcy!3`nZ1mSa6yr%E!0~?Hl3FxM|5}f|K9#sr{%9;5CB7 zCpsTvmpOwC?iqJ3`4N0V$HdMblDD7IkH}WllHa&L-R*jYW?~ zl0>9A`SMGM``ytHJ6{srUYw@i5Yx{@_b1NXMvtMl8f>|QZ|KlVzO;yHkg9q)e$F@J zSN0>;pDp>AabNz;Zwem@og;*A;t@{s>G{o7`^!JLpTnZf@9e=kG;$R6%eS2nAMfU0 z*IgzDTYu$az9+wxt1gxwnC?W-N~;;uuS|C`=uA6OtGsrgOBCI;(wzx9nU#ptYw|hr zE*%>B?a^S3n&A51jQ=^G&w~FyP=q`A9C?=xo*&4c137g9_;A5FpJP2L%7yTI@_Bw= z@Ch9gJAY8`=3hzacbV!`xWT4h$iZ>L_0Rt4#|o8`UY9`3KnW8% z_nv=mm-&}`q8o~{zu*!6wSK#WaSeKVG(1iv`r60*@sJ~QmPW3qSM#S+yi!&96)zsa zfzj&KJzf)~m^5gZc4lh`ZiZ6JfWFAJI+0>9qeY58XNbBD!pxGUD}|ry ziB-VQQw{G5^at}XZ<{Z4OziwYeVSiN>9M%>`gHH)aamMP&^*lcBjf8xMH+G|36K9U zSC((uZqgIs7wbQwTZR)2$RX)4pP642gUx=f8}eSoxUf-o^RaVbE;_-Il|k`#0#Yh>MPh^tb8t6~j7z zBVXxH!%x&Cw_JQDIF*Y6^zrj&G47_ynJAY7a3K}523zWLN-PvDrc(i`VUKAOspsCzM_}?u@$)UDmZQsPH zhkl1me>oc6`U&9$^>9l6A&Oj6pA#MC)eEGSjifW_fVk74^W8pBqyC<9Q_xay@-yyr z{tiXBlMV%aJUGUMkk=?D;Kzbf5H55~?EFEwDM%(iwo?=C+bmClgZ&gKH`bB0l^fTM zQOZpLo@Eqtb~H!&!_-SmcMfRJ6xzsi6aO;Z`Jg-dFz7A;o%APa*Nf)`1sBGUXVXi@ zr5gI}(J0?jZVCo)FwZ9~Jre2ll_K0tufc*-dKRKRMwQHVCwbxpr?lqqq$u+x`zBwXGA(uT6cE__UV!=k0^j_1~SMhAA z$KLB8<|bEs%zQ$0|G+t2Xd~!sx|T4m3*6@hjfblf{qcGTa)i!ROm|ct(R&01bJf%@E72{%IiI!FPFDoF#WCn?{9j~T z7u+Ru_}lm<8qa(4-D=1YI)_NO5Wbn0106EonPla8c$#ZKe}Tqeq560Ss{UTc8IEfo z6>4w-&K~$p=|^!b^Q-*7{&Mnt8Du~2N{g94wlR&@j>e_IeE$w)37spD?kL}XLiyvp zL*{$-54Tq_^8G6i^N}k)!d_dC5*?mn6nr4G5p*`)cQLLD+&)d?=YskEXUGvcS25jD zeY4{*>YM$OuQ%0=Ti<*Q-rrntvFuygglTkvwDR<$@%JjjmoJyX4nyY(q&xV1X?^&8 zX&rU;x(zb&h*sbH5jGVfo@3Dbnfn~WnLjT;-dvjCijT0@`km++;fxpB2s-0;v<-A< z$hAk4iRw(J|F!fS4wiNV^W*4)5$>CRSeghuLT6>j74^~5&J^#H)tJ|R7E6Nlk?9Yc ziq>t{x66Eu=?kNJ5|OsNEIkHd+q>!_;%EH8bR9u^s^O35e@Q#ebX`DqMhrUZukMU% z(A%TQ64k}^*BHU6zq&L9=aGtVzrXq*TkSAOIx9o2RQ_%vzh)h}{Bgx+${*TAoBy1A zHwj{9xZ)$?WBo&Px8lqe+6X!u?>iXROU--)el>0GEq~J9NW3c{FNJY`ywQFwjn*zv z`75S)FF17hlM3HvC*+$$l)rl*Ht#U}Ky-yT7sc>{^%v1C$C)34&iYH{FZ9xT9NkTO zd&}Q@94`La3VC-Z!u|fjGxeoH=Lq2&$|rA;zh16ApJ2X}8xnY{W)}JmGjZQb1|h&@NPfGYNeO>)%`Di#cC%=@6tD14H5az`km;|Us(F8&_>W%zi(xn z;$x4dv(+6=y;mrq?Ds;n`AaJm;eNk=gRzF?_Fk#p+fRP~PW2pp+A@ZM^&YJQJ8(mm zyRQD2_&6-!q4F760?6!6dHob(e{q$W=Y6uR0D^sV7%MN8`2_T1qpv$#O7f^}HSLIz z7qJeI>HY-WZqd!}-FwynT8r#>24gTfH2Cb%>_~N`>tAW}d7im20eNkJBHS6znfEFL z$9NR-{P=M!R%4wX9keb`@W;{qA@9=qg2Glfg^r2*e-8291o`VAz8Yx8Zce<;WhuI_ zsUxk~b*hE0-&EKRdWFs*;v1C5!n2Ss3Qt!F8$PVzuxS0Jefa3GSzyTP z*>z0GB*T{H!fPOAvMZj;-|zUEYi9b5sVQM$4$@=3pwNuFIsOM7GG`r4;k_(VL%uzl zC#Zq`{Cqp)2%V*oE5-+fcgAB~WO72S>jDmBIR(jy&rI;TZJt{{rOJjVPQEK;Rl0Dd zqq_M7b&}t{MUW+Q4iVpw|BJ}~xoT3vF?%^In*U`#2`=fpj_g@Y>&QZs0FJ#>pZMng zQ9s~&LHN99c+k+ne!69#b>{y;hw>(?2q4dvrx)28UHBkh=}+^AR9}C*FrQy2bPf^U zpdVDYnd0?`df?o%WW`!=976Ti9{PM8HrSwcoz{^dUevzub9{-OYj*|2J>jb1{1PpG zME5k#M}^jwD<^*2W&BY{bkE~_($cxI>CW-PD;%ytZ;$4mt5I(G`&w`se-vRJcIj3{ zxWD{;06juyWyqD%|8w%w*277Ep84bSFNu==Z$QkyUGXvfLv&x_{8(rs{A1F;s0HJ? z(CyP4`EfA)e?^>y&Q(lzNdKZHh*wb^b>nFX3mJ@-{*tfL39p%jHK5t(vwemu*2~<6 zd4d%SR4DFbrC&y#cp2AaKd_{~<&UhNOE!+3t(|g({8A)+0oKd@^7Jcwbd5k8FXqMc zKgny3v%ZHeO8G0gjB#DKUFh()#cOJ)ulyCYh8&@Di1-HmyQ1FY|1Rp1`438OEL#80 zls|gYhWw9brFu;Vyc3Cc6Q=J*AG$Br$~MM3C7p1NLs}##J);3=+A2MD49*+LJ-iRg59HN;8>rbF9WWcoL;(t+uEg6;y5$#mXyKswR;5lja^ z6eU?Y*9N+?^#sa39Yk-BqfS*5oqD23Dj@E!7v-Y-y`l*B)e}Xdz;7!mD}}C9p3*2i zuc^H}$$mxIRG>_Lt|twJo1csDOsZ%%7ich8&@@G;*c<{4mA;*4p#)AJU#=BSV|~R4-*S|g4$(!nBaBZYg*?xjidGBG?aqG4 z8^E|fKb0X|=&X3TqF+>0N&YN9#QP3eGijQFm}0%>SyTWqD_!yIAAWzt|Gq=f3rwRy zZ;zvI2)yr5^dw{nou!d0+4~IH`&8}r9vpjaS8h$nUV2ZN>vNXj)FbO5<~dh9+lzIp zX&F*iXp7#4EYprURCGi3zEAePd#Lrx=XM<{oaTKJXQ8cQ z@5>Og)s-I8-anW|7oo8<$I;&e-hV0j4zh&K(#RG4kfLA7-tX0(HP1^gGFm@$UpO5G z^VrP)K8a(tTVJCcFOszYtRup1TfY+BE}TD!DY525iuN;3?`YWLnEI-tQ@<9=d^6Xt z#gic~R}pUZm(9!wn^&K_&$WvFQrhrYnR10cid(}U#jVus)g8sMpg+)F;@Lz@)WO)>1jRHSpT|M9+~{gAqk z4()ldd9KZL=-*7p&KOV3wmcM{&!TiOU--{47YE+|D#m=ip>wI|4&|Zv92$om;{CJ( z;okNIHOIcw!Q0Ih$M!{eKdpEG)9B*U9om*%RX1PzQjGDxp>v3Y3*r3^<2mMj)Diam zFOz?Z(bp*M?}~Hn^VfgHX`%`A_GmdTFitE+`)}whja(@|rci#o=1}vbVj%VB%zALs zopPRM6%U4(VEgZ_uWh`D4()%j)BgKSa`J_Y`8DL)qvfNX`QhS+Iatc&0+h@Bif~^$ zReTfl2%VK7SM+;|@1uC%sus4+X~}`XafCe2vJ*30HxV7LmqWQQ@wd}cPW@DT1H}5; ze^F`wI{A<2=HQ$qNJRZ){Y7+W|BIdW-%2uk+vL50;syL$L%%&*?)3Ugp4W@NzJk2T zig0J%vG{S}r+Kaw52GJB(%_zX#o|)%37yp;SMuj0o`=oyyyw`E z*DGMYp)DSAx&F(Cm}*x%&-dVaUYYo+$MmUb(^3uO_>t(>;j9pV%L&@u8^@++6RnR9 z&kKr8za?gQ`kdwH;(Vh>saEaP<^J;iBIF32L&P`ePZraXT&CBgWY z)P)%LnK$VCs%&{-y5m7B+G?k34Z2n$CqkZ$e?P`G zKU*DallLwMMk`kbC%Ea4{aE5m`XdXVhLOXG-)Run%>?2SVYkT#B}9jQSjjntKbF6U zt_M!(&(tpOQqWxzL!R|lCgU3V?Q!fmfpJaAaL5rlOCwkE*LCEt5$e`ut@d(YG=Ci+ zf0_Iu{^I-+)n8XY+_kQnnEoQV@i<2bZLM@@Yf8o(1|9mpC8pw!kZ1iRvw^zg3dhD{ z#|Qm&3*-o$L&P`aues!}+YXVxQV&0W;Tc59ovxY)yKVj=x_fcX7TQ|r9s=Fnhe3z_ zP|5u<=&ZjsGp23laiSJBD#-pz9Xj8O7}VF zK0FM%uR&*?gV!qWd(eFmL!R;LvSy6yk{5&ye~;Z4^y^;CTUQogzQpf%Q1#BF=K z>?nvk)pEzqhfH?{XiYyTB7UacWIFU0mbEkbW3#8>q9mW?L=4^ofLd0ul^wEiCEQ_@TdnEo!jPNON0 z-+M#MFjsm^e-ho*IL&ywR=P0gt`eCMbT)Y;Nu8f6%5rl_#wKr>F>EB#WCn?{GVl9FT2apef-gZd8uWqAxG#OBEF&cZ=(3Gtv&q@+SI4` z$4LJ&h}r0hj~Oqb`zOxzLR%}{GoX7c2Az%nhm7lGWsdISFAlu_uep+54Q&aL>0t21o1SWIx|BDdwzRM|m*oP~y-elRgqIubi z4(;)ig5?$MxuJ82+?#myEL#|3Ut8`ErjWs1Y1n1w4VE2b33}Nlj-=xksAGKdEz5p~ zUZHb{gbU%cuh6pHDsFM;X%36#r$53*F|7y2cQKx|kApq+DkSl$S8AfC2RMB=YTI6WU&ev-O1cfnO09xXom z@bwVu$609OsZWf4#*UZ3ioVXyUzPr~Ax?Mf=&1N#-*CQzKW8V`XVEs_ksZt1y4qs; za~#u<-S#+sTcDgQ?*dsuXKCb$`RnD^kiUkh+n&E#MyJ8&DU|R22pfkX{o$_-9kItH z>fS%fvFA-^ydQ+|7s{!A4s%nRUc-6?D)Q zs5kZ$A=>EIyz;)%Tbsn7juK4k!9z~|caqKNr!B{Gk>zIWPV5osX4*@p8w1)Aq9lTD zo6Jk24A7xLZ;uli(eo3F-@?ICUJ5{`6yg5zl7(=gvohpL<%RYiSe|`|@^YP9Ua-!P zpWm7c>*Uw#Am%1le9ZXdioMIPb7)T(5X`U0Ps@eQA>td#uM5cD`wx|0jls0u0Q+ou z)~`gAvsn-~&s7s)w@oji!+OEx4+(7qolTDd#%bR=dz^5yH@&2Nl=MQLNBR=(PcP)r z<)$6ALikGQwTj|jeTei*AD@{qQQ8UGXS;Qjd|C`KYhCFv4kluoyS8qwcK`w_5ob}lB*`dZkt|2_c~59-i@HM>GclF zqWuN!al-fB^!h?@o_9xmwY)$P?oY4J5iWFAhUbd);>pyo*VeA5yXDK;wMXOOHL2KaJwp{-k$cu*HaT#PTP6LDk~HIx9o26rXb`K3xw{k7T%hj8X5?nu^lyuK1Yok=_`s!LY}PF9hn5 z(!P)-be2Z0s7Fc%lf9Q6sva>0AG+ShJ4m{8kgF!bZc~pi-7wIadGQE3n;z2Ud*5w3 z@mEhhQhEdHlJuGfd8a7C{pmFc;X>ycMt786wEutUb+xD0ej1fO?p2zq-?r1G zVTkcO^N1Or2gP2{+vB933g7j39M;s8_hd?MgDj!5G;*c%qW%9%XVsowSm(+H^K;y0 zcz*%)y9(0ZS7wOUNcA{Q8De`Oekm<@|FIav{@bP zPoMR`H#@j{L+L}$A4)gWzOD@GHl+ff`V8-3+jbf8a`F@UDy2`jq9g3J@glmXaUNp+ zzf{_5U0UhLJn3`Kdo`sWbGW3-e#paO9pL_Sc^lzE=Liulgwy)J(syfLuSnnhNSA3_ zvtBU?t1MWA6YrNHW~(dS&I<&;`%(IbXvTK}?2-6-V7+4LcaSA?mPW3WPPG27^!wWP zlio|;(MabHGw}EXpG>mFDER%4($65yozq7C!uSsJppM-(y@+lX&Y#4Th;+5(M8^E| zetOU)Gd^Ilap6gS;0M;lA`LLw#cCtPHuL9F@_&LS^;T?ZZEKjsufWx;zKl ze<0o2aMq@m{c4nxUVlMMW0x^je9ZUgbm?CXZJXw*l~XU2C2_d-`*3Z}RCWFFX$@UM z=Lq2&q-WU$6rV0?)5F7yI4s(D8}YFl&eqJrejJ#m$1)0yJ~-{eN_*YvU8mvf0e@1w z&~L%_>Oy)d#@1(o?gL<+y3%jNfA-7SZ+7v8aH;jG+aD+0Wxn>Go?AxlH_LPvgZ5m} z&*=ub;C{1ZV;HA6*`rNxf8sKX{|%j`kt^ms%V^(#vVn)Q9-m9NS&vWUI~2n7ugx!I zy&z_&E8doq;P)$-ZX{^06k4W>vJRc;t_9t251n@%`Znn&mr1&5(A%R;c3?ez*<{Fx zmcE!XDw|F5&pfR4_*7$<_4uCpg5BWs|I1P!&NIIf(+@<4`Tw%1p7=%c1JV5h=X4L9 z*AJ%tVZC>P&dgiHEU)*m91VJVwAroF-11r_IE_2XFqSFZuL$>-SG=EDCUjPYTq!@5 zkw5cl&rf^#b-8THP$ob1jmS@!FDol_#mDp`(JjZBFSIf96VX-REcVcO{b=*k7mU+< zojux~u7>;i31yE#j?h^exyB*qs6;8}`yp8(r zZK|`-7kGOj-dVa3^L%FApP-)uuZiw+oF7_wt_^f&`=7|Gbm+3xe5F5aH>m5}e7%puxm{gx7U=F{+@G(1 zL%7g6LWB$9yU;&9)OSA)jCVf#;na)YgLjWBE>^i*VcLCs3&|d*oKNq&Q-0Z-o#C!z z71%qjDEkw0$HH%U<%<5m3hEE6s8>t>4f9=iiWltntbi|9_}YK6gTANYUk|?GOje~q zZ;w-k2ENm<;snSNI!hy0+RBx`h9%=rKBHWk1R-ipMbXJC3(Vtr}j{K0M zc5QBa7Y8O`)aW_b{(WIu0Kq<}*>-GvU1y)CXOVvE8$oCN_9^3f#j}pg(~eV}+;S-E z*w}C7)Shirg!{`O+SnCB=Lq2&%4d5i-ap2E??#^2n)hy~e~*22JrTEg%!xnjUh%7| zCL&%oe-YguIDZk^TIukdWX10>=!~BbcRKWnZG5Far(Nm!4iNGL9pb09p!<|@Cx4YE z3QqZJ6ZV-}@szb^HlYeMG ztMXQk~Y!xb%v9F%98}Adc3?BPOS*{<)3o&2MwK- zAyeNcKJ)N@Ebj)#BgDG2BJ zD)@OL&Ocz^UcCFUcLeSq#F~u)d>^&Bhxu=~rS(anXOqo-As)YGAQ}(33S;_}=+Ksy zOMfD=Jd0ngAYGneZ&imIR9&~6E=9lW83$A9YE_?pIr+RC-?{ry>5nmYbRYGMcB__* zZ^!&5+C$ohth|&$u)hb|93D6Q8cyz=+@oK3(7?e%lY8{<4bYLd4)i5BzhEAvFHlYB zj`Nk3x0MRM!e;eroK6}JW-}1d;hacgre>d=tfPWRx|Eusb%ix~;U9pozhvIH@^;V$XAivPH z{AcDnji{_$B#-f4pg}m~`f=nJ*4q^P`+$!P*hS&U*A+8xMczK*0l!}>FwSOvT%k+h zBklfpwKO=c707Y;D7qg%-r%@G7JW3_k0%-&*NT?-h;`Qhj{L;>+W|kGKXUEoM>yrn ziVm>;O_a9k_K;Nz7Y0(iQ?rvOg@o)N$i zJ_%`5F%9+FG{*hq2jT4hTR<}jcwvAaX$yWjbVWMj=hF`-{lLfN>nGq1fqxk&U$cyS zTq~yF<9z1?f!;3I%P9mt>XJEdRcrNEI# z@$o-@{)AjgpUQ#2kw@v{fWG+U8vVFd+zEU~K!1V3ajnSVkHPuNZ?VBEfad~F4e*yL z{qR~~rKFW{SY&=g0(5zhYE0K5SBnt*)BW`3iCKEmab4?e~>gMTIS`|-z( zd|WFk@R6G10`fN*9M_5sz>@By2EH{4j&PR02l#uy^8@m?8-83XK4si*|4)KfP6YmS6#RE7pOr&^&%oyWjZFEJ zdFjEudk+{I9yp-C9n#dmURu9b0ei~-RQeNn-me;;;_pvYV9d{U<65x|a=QiWt}!^S zkfrc351-#EF}FedbcHPX*o7ajYj9jEYJisp@CF9Q6*3e)n&9V0eb4fb1YQ^T_W>N? z+%B8}`TZHs@aBukV+=p8mFTHr^MAkm;|z{#<&nSx?GA10#rkoDEZVf)&wsMPajis) zfz8kT_-O{m6|!hEZ$A#ZS$-Gb=t*MJX+MtkjN(_72pl5=+LYQCKk!kzT6qcLKM0%I zHuAT7RG+f^3qXSw1DhuM%MXL*a^PD6_92|(KOXo6z~2wVAK}DbrGQ_>`02j*Pc-~lz(--z z*MtE7^`_k8TCtt+fj)ltmFk_!n{iHzfkUt{e54)_9WTG}OG zKlCo@K^~K%#X@;rXY2n;$YuMU0e=y1dg{0DNuwXv%5vEhl_WX*;A8uq2mV+T9N{eg zHQr${sYx(RKHZU1fI$Gc@%$p*nCnI2Rf<;ajguybPkU4!#K5NV5ZIPNQQ$W{#?#l zfInZUmPh-`SrwH}@>5&j-+_O*-%nNG<8u5H@WsGi@RwtA*WP-o^Ho@`ZBMgpf75Yio^u>>lHaM!S9?tKx5CF95V^49}~ zAJ?i`z#j?7pKEYjAxj;zAZ1zrIB%K-mM!;foKG4Q}RrwV*5e*^FerSMXj z-+qL%{7t}18Tb45al?;m)k@%(1^B_o@;3wD06Z{%Q-yGr{~qwQjQi!kVEA#ZdK~!T zfc%#Yjw@s-yhP-;|8;}oTJ-|(Ujz6SgX3EDGG3bK8Ngu|+rJg~>%eCPaD=n{p90?m z{E2}75zhSEfN$ZKGW_--ocOC#fWHTPYk>c2!@mpoR^WktZq;_v{_F(aLaD^SbCar{ zO!?gldhDep}#sfd|^ZYVdLVE(HD) z@Irt4O?p{=SB|4I$NudFye0760sWngd|ay&8BbF< zYPL!JTn)dl{=UFFM8Tn#{g(v1p;9N;1pEiTvweerw*?+}zETZ7PM>dqCjeg+NFUhE z@;?LK75I*TeAvbD8w$J~7R3hYuj>BBeq5_NGtN)ko%q2nj^7C2eWT!z%l3Z>yc_U& z0sn%J^-luc3;2eBe@7enxWX1*lbxM2xbJc3-<@%!l z`SVlYUH$b3^}E=0(XBhkz;8TDH z=9#Jyo(kTz3iByFfxn^Z`Q#&BY~N<^PgArA(Jvo%(R1aEV}OqV{!k$PbBz6Sfu{q{ z^Y>3_{)Xp)a!|f51zzZ%2dV}i&-3I0AIP|`e_9Pb_D?C~-wFKPfPC<={9@p9fNu@R zN4l{53g8bget|Fk;N$e$1UvPwZLDJps*)*5^{3FB6j zmkw{Z_?U*`BV-djF`r0rG*y^*Zdxy5{SEv%akZh3Q=um7gq&ufid5YM@>d$xV$fW| z$^;dPpKb62r5{rX^$4GdH5ZIG1b(Z*8z}v{YQXsY=NP=H3N?gLd;tH-;5qO$^K*@8 z@TN-dCwn1(;V^@@G#FiAOGnX9zlh&^=#|H-&}h}9j;!AqKXc;rw2V$!(^AsY#&tyX zs_4CkYsM)(>L;bIU4Uyx#IGF$X`C}(D(u~tuWQv2LyvrNZB|lUnZzpeXxLu; zm#C}RhIM=2_f78KDJ^4sCoJFVG&X%&c>LJ$lTt;`b~k^o>?C@?Z`T!tllu=1_e}2D zw|BU&tOMGLHArPR7m2Qw=OG`VJUU0B$%i|g5Wexg$FZ^p$IVYbze0#(|C8{ix9arW z*)y3SxUP)K-A;-_8b=~LAw4?_4&(J*cyWc+v5wqD_9I@6A*wsh%Uo5wF740sRDOtq zU?1H;(4uXigXs=nmFHA6M)Z3dY@Vw0s*Cxjz7}nmIhsyaEkhPxd8OdjQ054%ZZa(CU?oRg)Kj@xLAMAMEhEHx&PeDE{}vj{jDq|5ud$-uQ*F%Z;$( zc;Soud$@M^(k0T43S$T5kHtz~jddWMgZ}sz?C{p5))=gdU->%ak5`rReaIdt=bnT8 z@iX}YA4H*AF2%fiVrY1ux=^o(?@O%2y2O=lxq>*o4`!v^lqzA4AD@8DSR;51*4CM$ zNmJE)yn_QHeDocR>e2mF;{(C?eF=VB0a+<@rTBdluUo^u8yarj!B8-MRR8Vp#gE^` zbLz2=AY;2LRPy(fX;V#*W9R!O9^D+;#$T%z{(1^?`qy~s4u=xubd{!b*dM!`lAXVh zol{dMkDcPA2JaVv_}QA;u^au7l{JnAsehx@)1X@w2ik)|8!diUw`ELU4c~=66uL%_ zsgvlA;tyP}Is(_Og7M!3v(EEzq(WU;XS?bcq~nnu8hcCeZ;kk`I##{-&F#|li`h;| z{3S0^yMp~P@ZN>wz>&Lb{8yo^!WLf6A@PcArb&~IDYGhZ2Y{c&-L_G zUvrqg>URgD{t9|vrat*LEdwoI#`x3@*bI9@>eLRfqr-&su@kd8aQUhgcl!qDC%8v~ z+drjtbd<5->XAqz$>~iiR6~A`gWFjiJs|&~o*0ERLtD~xz9~mx^hvL+V$phJXZYfB z6rEtd=*Z)LY29+^b!inP)sMa^wAUU4?LaXpdcO#9%$g+qqG12U(@$E3J~iouJYPSl zPygg0eLel8FVRo>GDmc;8jZM^wuD2@mTEmpe>a2%Rj>YzsX__DqraZ0nm(xFeC2c1 z^-71)_l`JFkF1(S`SZ5e@54Iflj9uDoRXRmPD#D7W)`>Y`dZk#`b_w}JI;Kh!8te| z!->At-+AzUVbY z@V(ctYCin-LzGyM-*U-si`2x5qN|u9DOUR;ejA^jnUzY8Gva8!U{v4|0fagB-wUyJ ztV7}SKFwbfQVbi_OJe_B91?0I`6kr(ebuzTN}zHf_)>$n=c`_ga?vcX&&aCPf`vck ztNVxQt$$Md)~P3+TC|FRp@H`{C|~?W^>%vZ`0LTTMu8wY`ra?ScPkO2ztqe2#1DCW z)ngPQhm32oQpZoroSGG$mdWA(IsPDew7IJ`82VWLC}BL*Sm;8H-%w3{Lzmj|$1{xU zDc$9|dzotTj;iPS>vNBBby1iLb$A`zJq@dCF$@>v>UwP>EXHJ-a7w#kE?F60aL&VId zudy7x+l+U1XQ(E_y?*_T@lexZzS5s2$9nNUINW}BPp>{jHU2}z%lH4h@$|IEtLq6* z*pA`(C;lKER?|Lws~f8DzJ2pJI9fVzzQ!w%DNZtQzV@YqB!lBO6eYdtFRRG)Cxx#* z3TG3+*iU;>QnRLsAvx_K>fJ-Tna`VIf$?BpE|IwFB#cgoqhkB z`xzLDUDZ7Y=XdV+O-!98ZpODdCuG)aJDR^sMl}a&cCJUR9*G*o@H+lNo3lE}70B{- zU^k#~sZ+z56VRKSn3kE5<)#nO_rZCQ@NhaDB#q{`nFpY@Z1v?hdwS@i_;GbdWRO11vzU$vBI6Tx;#=-QX@ljs?OL+)2Y7hV8J)I`4RHJjKe%^-pq+diP=G{Wg zl3@$#B=k!gP^fntwp!)|b=VzaGb8O^zxp2X|4pi9_HoTPFzA1p@A;AH8*I&tmPuNv zaa5TzIkoRASK^$>V-$T&H>JNG0+H9C{-Zu9+9~w8{fS65qU$Zs*)lhs7G7H5UfGTp(HvD3zy-`4*` zhxvll(u+WOM84ZibSR~^Q2~oj|C69!Z{>h~7u)Y+@Xd~P5fnFT#G~*um=NSCaEl&U6QI4@? zgA!Gj=8d^OckROr=|5z=q4$JT(|5h~%_o8%SS#0q*Q=&as5)+a{<#SsYOWY3lzZzV zY47!V;SZ@C)fDsQ+`n1VRd~L<6W@q$4qxv=<%}Rb^y=HTwZnDhOKbl8Jnr8qGwwWV z`*WCImN@D)sJqsfTEAuv(sAyEy+;hactTow>iM0rv!-@JZ8J8VCYn(PbvoX&k1xCuU@K>U-vlZs&&2?Rw^v@n@!|Wn|AdlR`23-D%?Z@j`xPYWjpu z=X5+9?3lYw&rV6D337Z8mj-Axk3;X%P2>gDAwzp5555#5FRkn0%~koKb>ndO(gDMI zhKDDI`}Z3%RO_bLR}LGChUqhw;q zgs*;N-_akv<^;YcUKO{%jH9WXSh;BbL8I4TM8R@@^BYFoEEn?)My_7dhGjZ`;=VG^ z+a#Yj;lzh0M`FKeyO6H>gGQEwTHG}qtX_C|yp26k`1sGVJmBbN??dq_MB^~{dq+4t zE;}uKT3Uu9g31|ew)A?9%)JnEd_$UF6r6r*vTIETy5%EoziL*O%e(c{B^<8TjDlTM zKkac6ljm(%58CiG7vcmB9dYsIIkMN^eHqegE;s(h`_c3sv&|V{z>eJmA*(mj^Pjk5 z+<6MthqjT@oALx5ah>cuiHY}Bj1#2S4D_VG^~)%Pn?4=$zwSOab<+AmLO_=cr#)ce z^EiG(#@eHmel!X9x8X#-*C?RA^0{r+V@>Cd{W`8yNp-&DNxf!2Xw87MW-k1=_NR)Z{yv7}&xwk2cCC8mFpu7dd|>iX&;A2@ULGDAmU4ENNB+aOmll`h>+@`W zg5Q3}c3f!_Gg4C$)3I;9*yoMULgv*Q2N^wk!$bRB(VNtW9?Ua1@hHIk1~(ph0(uZQ zqFA(XSV)5PN3f`6u!RJ!_rxggnE>b9y}~5BUbZOIeER zE}VI;?*HW-r&pmTp0Huf+pY$Ezv)L&{c$LNKEu3v#A`UDSiE1 zoM^LBP(}`0w*BQ_@oWa?Ln=jm*aqPpEECF8T#H^ctb% z9|~VDNWvY<#eQ??#$aQwp#jehd#ggdR06jL6d!a)^qLtCM)S|n%e9IB+WNA7Xk$w} z+Xf%1!Ft5)EiY^9vOEo1DKEc;`z82?bhshBf$7u^7}mc( zrGs>dv8fR!tJ_J&GsBcLs)fk=LPU>4={Gvo+>f37h z>BD{2l(CqQ#68?2_cwN@pXiTIOPdUlKI19K7xm^smNg}Hyh{k)>@e$hT!gq}W3tI3 zjftpnb@|}i>~Pkk%&8u-x|1@ePac~w6P+txeKJqV;l>tJ52OA1DO#eNa)yTn|Gp`cW@e40>1olA=StRZYcb|u z`?4Fqgr4SoLmm$pKD1yy`B*Ptc{qLtZ^#~No~*F{FbCkse*^dLd*qKX>l{h`e&XZi z(J%iU=FuBb$8tXm<3fMlGx5M&fTQPQ-2dk4sTXg?4Yj4y=ggxw!tZvx709c+kJdEI zcV@k=^aFUF^AN@#4^2SYK83Y>9l%dE>{EK(5x9P;^td}*8~zt}>`%yb;<*R+HLm1( zVY800ws;<79=#Fc9**a)fr1NhtOxP1de&i%e_cb5o((b9nR)Wvx_Zo`HzpZ9oNnIg zK=iP$Ou1c$vGlrDuAch!51nr7Fh&E9-q_jb*%8s;aeUSt>50#Y;6eLtkNT${+HdPl zW*&WTx}!(xf42e^J&7JYXMm@R)q;7=frp_7eGu^Ijs0D}`Ri%pH_WL!>4W-ZU6M!7 z^26}kMa-i&4mEmyiLB@;AFMmyqo+51U*pm9xtWKpCBLn^jCu6N5w70?EhLw#`%0DW zfw5r@<`^Crru3K}u!a_O*94TShh^^GRs27`<9J@`i6@?Sue;7w(rDOWq}xR1(Hlp* z@eK4MB!8rM^kjku`NG?&NJQ*M7sdV-?o29KUwz~iZlj=t3fL7*Gnc(ONo_f6o6{Y&U_H(`8-X zKJoNKN{jaX_@W($488F!8(-6}qWDrd-oX0wy615JG|pFCeJxvi^!?nfUAr0W&uG_f zX8SYGYTv#Su1>##{C#jnhnXFO*XM7{Ky*?gibJyTjubOc=+52j(_SWW3U;3`cc-rb<`}V=Ut9uW|^e+2veIxPTdbF+U zkHP6_UynW32hX*}2waZ)^U&?tdOQmNkA4(omi3_D%j0M} z_$E8auOl2it^#w{t(ocff({z_xzGAZ3~J0Dd5&k367Y-DdB2QS2&~!0vi16GCe`c5 z^OgQ2;FVC{eB$~nLnE|?P(HvX<-&|kfH-nz8J-M7j(NHPf%(Msw;39tHH7kob(VX8 zhw&Wl$i3h2%rxYXTc+au_TFn~gw_zwPOgVL>jyj2?#NwacdZBgX zVw%y)9JwV-rq{cDlKP{9a*GU&(DDzOS9Q|uU^a4w1Uqu2-01a73^}$MT%_Xs zeyB2XO&JqPj~@xW0ocy0ZY%u9b7;FZ8o4f-)?5u{vfVN8QI z)ZkwZ9o^{lU*h~3=U$~Bse|(roM#P-w^XE?4%WYy^wabce$N8Wc$_nFqQAC2L+R%R z;mpSA`c9bthQGX?1mno{nDbaK&jey zN%+%PwK@Np8yQJ5YNWdz=`WI7U+jIvI@KeRZ%J-Tl6zF`a{s_8<*E3j;nc*7*bi22 z!=OO#?^u2~f{EAAcRnpU;}#>DMA3{|D1EC?cKXKd4FHu|9rA{ zH*=mjGp9`5*5AS!Wmsou$4?jOJf4VpImc=tLP<{`YDAZm&V@VbmUY z^)^fP@&sA;2{-RI$U^^~bvX{)L&~}t$D=r2jj6YP4IsM?qnc~#O%}!qSv(Tkadj z{yoZkW+yx83CwthxC02YJ}~!#2C!Wfk#%>@S!4lhs!052g0flfCavk>1oF zJqD1}jIaHge(r_q59Yk^E2$^aht{4>`|GuTvtFL&bU{|Azc(!c(cs@K{{TFOIvBg0 zM)exVNjyJ)QSyO*nov7O)#Ce~T#k2aInrv;^@FPR&xz%Di<1Uf?-&+zPACV>hj*YH zD8k6TUjCox{9maWjtHboCAU%)%najJ&+>Q6jyN+RI|2q9s zm&c<ZyGH6=0-n5E85d;zWbmpk zUV(1&%_cdJ_ZUl7b(baP`?v4_Hf`_b2FUr=;`+3!pUu}$ja=E%{03P&3`N!b+0P%% zAeCWEEl2-4A^&XNVE`Pk-_m5B!+0K*h`!D42*^DS-=N%I1#c`;^o&EW{U>~{1mmMu zk@nSgIBrlu4z6=fQNdzq5)H$DFd%H~{Zy2>ECBxl9UxO{-=5K5t&MlkYl?Ae7}R_6)MQ*WmOA{*W-=%D!0h z?FRp|`t;h5iow{5dP`{jl6|dC)0xloQ}4orb}9R6jky-GNu5T7r~eAQY>HT0Ug>*- z?8`VU{nVeA$d^ZE;3-%QB>iV+a(zj*PB|6ryPp4K59K65wrf*04{#Wb<6azC|IS8V zl|7G0SJ)A{xroij*i(21**6;2s=*euuRK?d`jVZAW1OWWxriHQ=6K?p<#%sy$*kRDnOnUtIp@B}L?y_)jJNAI0;h{yE9Kh55c2 z;pj!g>BSp=ZN4j_7=QeQJnZ<3ZT%m|K7Ra_ohjolO6{d5Kgmjw-uMI7|KYEsHxn96 zRr}VkeqRdOG#r=V_>3V9a%n$$3G9M?lJ&BX=r97iDz_w+L0A=gcEIsBVfR$i?Cv%Flt zIEMy>-h2-2h0_OJ&r%ImsYd*~5RDVFF@DhcqQs;#p?l-4>>rsgVZGq3%WSv1gk1Y0 zZT^hcK1sg{+igX{UdyV4Q?E#*`mF) z>vVsO#H4Y^VB8iNVe~jn=J-K&g~6*v$J4mp)5A1k0G`06?K{EXbUV!B_2G`OCTso% zOy4Inp%GrWWcw}b0pfi)v{#2hS_AjpDzX#pOT_*k8`92o?@wcTBfWpIKX8=(RlV-L zLHfmMO+ddBd~lz%?>(Sn&_>Lx{P0;?7pApi3h52pK6U2bTm`+v$AZi+K)+Gn7cupzBkshreQAf%tKfVKGyDMq&08a`x0RPf(?6(((B!Z|LNen z!jjcq#rpogp`Q)<=Z?O2vxM~RL3g%Qdyh+y590>x)39Oh)d~DNgWd#tsYVN=)}aaf zyJElTeKxtO)h0pz-I4xykA7cZ~Ku6kMHB?Y>H1?X;2fby2f1+HR{}bQ=IqJNWkU#k~ zm7jd9CnhadCX^rLg8x$prZvJ~G(CYo(sB780Ucw)1FlRc-$czHXXr>B@L&Req@(<& zR|g$!!vUoUbd;O;?^p`@IMDw{;19j9d}yOd#|L5;DHYb*=T+7pMFrCag5Fi9{}KHf zy7H^APBrZ%BIEoj(ouVa`)Y1GB-0!}^jp)OmpJ-8uunpMsHb#ajrR00{r80Oek}EQ z=ZCtU#(o|&!>GLdC>1c6mSIgz|%hCKW4q!duxub;LvQAI9=ReK$t?J<866(z|)T@VpSuN$LKOw>H zD?rZ%UAJ8g_D}G;)l8?v!L(&~Z~3YOI>s~LFLbP7Q0GklSb6FGzqgJQp~Ig(xr@{D zPlWyr`yJ7OX-|0fRX4!?)Gq(Pejs#=aP9q6L;nTo+cInZX*fXN4>j~Z(5}HBO=x(Z zd+wF_Z$bKt3>EyBg!K#42OrP%=wB!C`<+Y=$W7Ruo5MS`iR#~fp3XH3b#*_dN3OTq znGgRoUg!4fQ#q&arRz7&(L#v8t_-cLW2(+ATVlbp!!kI3$I$FDi~pnWyrlozzV zz4|fzD9x`ql>_{~*Zh)fowwy|3BFAYSEWwvp}16e!obfKjyY>4KN23j5`q@I?MY!53y|b##?@xTF zOw-#7{p)c!@1@dn^!pq6c?_~~NA&LxGX`&yQ0Z&cew@A!e3F6(~V-6Kywiv?(&?(M zUCyOvF}ifi=nR2r{62jYjvKW6<8h7pYeN%V-!|D8z6iA!_j8(HdN%k;KbA?4MbHDu z%pd*<{=xJ~IM5GIpX_)AZ|eFw74>Ki_MK(Yjx2g@Lcifnj>ZO?%kU-K7ER7+(yGas z_>Z5!=+*nykpqU|`|*8yj~q69z^J|^6;bt0H7>{BnRbIssJt(88G`9(H^q-KGDi+J zC6=;rxnBT|%8mLr{Vi8!x=I_N`_2EN+{5|~>`U*2_^-;nL6-r2B<$pZ_dYK!<4n-+ zb>v{UYk!$O7vGgc`!PKS=PB^%2V6hGbj175C}RG^y#VTy^$nz_5KKp1NxRymAAx%v z%wvhr$1cZtAEnMx`*8a}?|)@_5uW8jTm3cpS1qM3)$ezGc7K}(F$kW0)1yPKIR|l@ z7feB4Km9ixtxFM zh_L{bALWvI!p~PzMU>}ar=xAhI}5n%(>LSVn~zmLTled!>fv^mM%ITj&gYsAj_l7{ zJ^t9sH$zmzztwx|aaAIJv=6sj=F-i;Sb9cH*a+jg?@z?@){pCPZIW~YsqScbX4IBv z`=2dW!QW`ltG}(sIZ4vd^WHWc`u`b+VvKWu6t?$eHD>!m7 zMtCq4cz0V{VNIFr51_vH-#laug&&84yYyLG?Z@`ui?e%=KZ z{iz9ieUYG_Hc9w@=+apJmw5bPTgyKS*x80VHbbcAMhK? ze*v%s9{&XWL;X?xV~lLlIR4T=v3=o>mOuK58LK@0kA?LE^+)-axHOi3S9!*R`J+Bq z{umR?_|oy;r$d-O)Dz|Zxl3dDU(%Il*~}mH%kuvQ*q=TAkB9ju?H|wi1+aMvA`uaQe*<@5UQnTL4%j|BEukH7hD7V|&Z5<>bz+ilV?|G#zT1T>X@ zW;2ifiTM9i%SP3iChzm(bi145@f)Fq__uLsr2OYzaXy~?CnWPf$>V<(u-q~VAH2M( z2IHvwyH9F$vW)3NJlOf+f(zg>Wq`&K38kzr{-=%A(OFH1yF(e`f z&gU90pFT))i_qw|?npg9N~K5iqb3dOaYt8rt^_a5)ppAC_zwkkjK_b4zQ4ii97)QD zHpZ-L&&2%Qq~&}nF2A_D7KP;)?&WhQuoJv|wwZB1>&tp#NMHB3G$KcLlB3UnVOoy6 zJ^l{@`-J0vz!6#w($mf(PDHvRh#KPm80Hd#FfV!p@r2uPS*K1H(F-j!|A#&P&w~HU z%%9Sz1IBy&+v-C(Wd4Z{2_TPurLR-Eg?H#EMU^Io^}E?WF)kp<(TW`Qvj^j@78-jw=2B98p;P8 z!p|wrEOKdWK7EJ5n~)FsmzmJlOxS!dwOxhK?aMer#_#VT#Q!$1K9Q&C|7W8ApZSZ+ zv)+5LazcUxIe&6##qUJz8K&NR&-ny1w*a?E1+(_?^4X;Qg7mqC9>?^I1{m}EXG}|z zB4o!Mju+#$Q@$_*GA8}bs)zh2Z?qxLlFhfFFUL6c;Y+ZVz8BuBv(<3@_s^_fFTFo5X zvPpC7rp9xOEt<0k|GGGQIMf?;*#O-!F`j=3g&=Z&xOkcMI+x<2B2@GlumVO_dsf?a))pfj(!} zEjZB5n=m9@ub0vLGjp3Ce>`lENp*V|9wcprb#Aa6Mz3xj1_E9I#Im%&+BXT<0*{z# z_|W5yq;-F)hZAs6yMX@JgyHpceW3eWKiG66 zrz_Y{w0G2B+x&-y^T$}g@U;3GIx?!S`*k@!0scuGbpJ|+k!Bx^LOwM`UwnsPwAL3H znMy=D9NnZHp4rv^47@3mcE>`c><6qmM)8Ba@YEJ9S~ekl>d2;Tn;dif;A0GaZ&tXY z1WZyYsf8{4oMCBGzsM!P1&7?)2-$mv z)19@q=$qU90T>4kd|U-jVEyF@j6>-Ab&vjv>vh=Y>-BL)_y6XZ>qWM<^JGGg2oV5YRZ(e!s z(c|J0l;`v2Vm^7i-M=}7iCv2(@SIlqwv+Ck>}{ve_-fWKE)Q!TeBNc)5Zyna?s9#k z{u}H6v-kJPGh6GYlJfMx&9W+&2lHr?2kUbi^wS&nsD`0TI%^s)JpP0VdOwY^0ot?P z7>msy|G|9k$&t7((*|YT>B{+UU$LEbie6?nM0pRw!7~WSY4lcoAA$5z+FU>3&#!eb zcB1zr&;oIf9(Tw(F}=Zo^&j*6{p=<#4`(Ob%p5#~{bqJ!U7llsI|hfF7N{|&c;kqz z#$k}w%;TxOjLY{#$BXmbt(_I3k{)QDP5v?a3>>H8Xz#Q=W{`g0ij2S6U$wW>TM1Ij zi{~i}FV1(Tc1n{k#^IcA7vM0qnBCpW_X#~7=Jy0hJ>h)Gt2t-ErqnmaorWjlb~q%YjG<5TGy;|#-#({CYt<5O95E4XhT&V=)auXFzW zfbWarZYJ^1m|xBPFW43RAh$2bJEgn>9S_d;PtmuZGu6A9ZBe%{rkjOv(f7EXjW%=k zuPUwjKQ90O)fLM9uQja(>i>XxXm{X~^gZ3*(TDwky?=dW&TiBZ3`TjMnToWa zef$>aQ=rc@q{mo&&?)#XE9UwAn<4G7Dh==O3x5B^B$YGEkMRrJ9do1E;4#}<=O25z z*%t))G4B1Z{O&`?i}kcUvlqTwLOq_;^Y?YWIlyJ%kZ1F_V0V~(vvfcEZ5j_Hj)qVc zGdkhPz8+6t2HCO+1}JUYHbJLF14?>b2?k9(93rCr_qgL)y6#Nui1+=N9?c(b%wFWm zCUSJm=#9-d!v^#bIr3NzDt9ou2#4MSND+5@pxa6ElRalp6o(LhtTUQ4%)f#L+jv0> zkZd2+2e!{=z)}17wPu3To#S->P5!x!(ls|r9+J-{ho!4fz8f4b$(Kyy<@<%s_h;aK zz_Ho!y7N^p-#;l`^D!-($n={UB<2@0Z=d~#*|IHZt> zK43@GEZ(m>NA|n({*U!`EQH1WU_u>Ex0}{QCC@#u8TrDTL-_w-P90ko+@8SuISlGY zNNKp-6vmi-@_^#A{>U3`ceFSap0@uCd@FI17?gh`*EMx?MeWuG<{UDdq^y zGU1B=@smiNXDgFOe|ns~8KQjuM@p6XSNL zz@sk({WW#)FNyW{6T}OS!S<&b;&VyfEH!4p!8d5hU*)RkfVP)#e6o7H6B~oEP(yV3t|dPEN{#rOI%)2^ zmUD^`@ulS)uOGtUUcj8s1RK$hk^3j62jFW${jREwm3uDlUZ+B+VD5o9FI7Yj%l#K< zf-^kiTpjWIklZ`e6+bjNjU(IZ7yoFa_zC15C4%qy@t}0`eLWI z=V`$Gq=;_o<=oanr|(P7$C~oH>6$(h{Z368XUs*vtZ@vsKk&1;CqUnGk5-MdM@#S2 zGg*I;IdmA7XUWm_{8*=x`A#SF&3y*(Gjh*u2zK_a4f&f86DvRAF2&JBuo0Y*|0<>jb7|-!p+R?bo0A{+h!I5oh2VFZB6?We?6+rZ1)>ur%#-n*#0ccd=wE^Ds%^4{xNN*s^P#Tv!jNsfKQUvxXhIILmLeMYbm zoRMn*(*xj5IOIb$E-V+;9_9+pVC0N;axQ)6ckV~(_R>k>S;_kI9iwc+u?OD|G~Z?9 zc7vK}e9X)G&l_Nu<+6#C+sH>av~6>z3N|Hf9&q}B@d(c7VIlJg=DuO`KJ;XDkE>s@ zHo^649@bOlUZsfc+TX7wJwyrSe$UrJ_uJn!pb5@q!WZNpUyvTxsbTjj8O0>)=PO3p z3drAg=lUlKwE9~HHlI265qXXN2nX5cmI*e3GkOtOg1L5ns1BX2`dYortI6rfgu%R8 z;J29R;dW;WXo7PEqbvB&yfl(~n_ANBjpX2!^y1tKZD&aj*|Ge zeh0XDaJ{)Q3XRr#qbI_p1NXP^jMEcjrx48jS#bDm*v#{vc}+Q<^^`|#&i70Y>1kdA z^VNh?u_L{aK;2-d_N} z3l-7BddL0vd4e-Mhg7iL7?f2X#^*J(D@5Vpt@OSIcUsJP$Y$aIv?*zNYbR#(&q>BUa{ClgVe!Jo;)cT%d-tUUrg0 zCnPg9G*8k`IAVrClYa7#pdFySF8x^RA)*t5YEp+mS`P61)DWX@C zbpgGO4~Qw>d{oML|5#qp^7;Cz08ioX63`Xda~HWe#P55+xlC-IFi+Yb>+|@`Hm5+o zCN%mX!7lR;Mm}C&Y=D%{{6k@v`3=-BCB5YaZDKxjKZ)#O{AN>4u78R1vH4hgnBUlP zj>>JytNStFO=w(=+EY(2^Rc!+UvLH^XZX?lPE_7@sr_i@$ib$?pni(h!gozo!C#-N zw6uwMuOrsS@V@cj)3?Ly{}=zo`SJWCA;x(w$B2LGcA9aWfo(6?2+rtL`lkSR6B;*D zjh!F&;Aoy#%)bx*wM`M-&MW5Yeva35&^OGjuNrrx_b~f*$v`;-XY!D9b;OS#yYx~O zf7W=8Ba^M8?ZSGi{*c+84UN;QQzJgGe_B&H>;LF9r;e>pSAuPSmt91DqbI@*!qHc- zTtENG7;I98_zeT@W)HV3gLbxYLe;UUJ^B=YJ#*~9ZVlO|NVV-zE+t7eKCGbm7sV1BOUdDtiFG^f9fLMQnb1mHJM5#8zU zZ%uCudOBYV-Ph0WNGCX(315(Yc94EHsRv3X$`W~U{a^v#=;uIRKm1;Ny2otw^Bvgz z<+6#$ZQ2{c?ZUA|uo0Zr4`ikg%>Pbs_&w}*)xzpW%gpWaf@6XEg6UR23y$V=bRRq@ z#8_?qMosthv)};ePH;98zQFu0wPcF8Ta-c;CJ<5!&XFt0f z`~pSvQ2({yG{g(e@Q^e7a=}GZ*eHHEtVupjt?aF+kq|LFc(0P~oMknvy2dx4AvIEz?);#mp=Dys>H zkM!=(E|C6F{BkgG$10+S_1O#Yx*s)6_)PljOZx1cTAw?I^~D!_IaP=c{jB@ z{(f2b`)2I@%SXFM@48*^hzizWAK!xMIOk!+2EQ-eE1b>0`Cr_;pUSb|Lzh#mK0jp) zmD_~FS9|mR1xtj^{!s*;6BW_J`dol`!5JQMCVeg>edeatr@s$4W*vj{xxme_qwS{g z_aZ4T@FpDohwtwjgwFDO3!a}UqKD-vN4($+4>^-OpHX!@szb_o;ZA(MhBn(H*!o(6 zv|l=QvG(|uF~Ncoi)~U@9cKMIN21xkbFhxRphz|8l(7F}!JlYnZF27d}H^acv%7uPyL50ghk2~p&XB-*A{R6BXLueeHQPwzZznq4g zELiZP<=Lbw%^SRaJ!fCYCpZh@3&@vqFyzbGPd(TAyYD%!XR`a~_I3vRXzxyRA6;*| zvU25M4v@$#rb% z^$7GEgNBS^h2s8j()9@bmcfaReQbHVGKRi=U;;)=@I$}6?NB7a8H}9C4i`{)+o$$3 zl-D=_?|*|GjEfn+q3lqZhwjtoT$g&>hY*7+wphKSfOX(KBm47)1Pqka@_OE z8L5u=Nu`I{*_`_kFE~>MIg`IXOnRPJS-(N!POazt(s|2K)-p5nV_sIz7I_kpni*<5-AehYE5r4|<-C);PL^v;DvP zXZAsu2GZ)2@}B89NAzjxCE-x^99d6@_s31li)1q4sCw#_aJ|I%BS&xsBWJ3YzmQ(P zRL35ER0&7+OtP=>uU^9kYsHdY>0a!$mtve&7wdZ12ZXhb;Dx(zj}H4@yzkG1^OFC9 z1(T2t>!mMVf$`tXs2^uwKGPLEufg{So&b-Fk|lF-m``@92BpgFDlGQtg=4EnOKm6k|6t?)mFbCm-_3oaavAvvhqf%Yh7=`MpM*OQN39r~ z))Qo>Ky}ZAW;N7PPETz(n%6J$jsb3~B6?U)M86I**JCS=L>8W|@c49{_JCKR1 zr_?Uw9`D%4$;~*-%X4KtA*x3scZSFXya~--RdJGb5uCxundG{b|*swxEpX>A=n7c==FA{2jFYM(XCZxSg!-YM{ousXVU8^((B;V z`zLo~I=xo1e=@f>*o|=6M9Qt}730PNE8~fHzqy-v1;Cqd^gFcQ(8&Li&}qDpI~n!m z8AbGPeR%{l!5JQMCi$Nu`5#Lye?{hi;d%pUW&3Ay?*+SOTsE=t6Yd2ZPYO1IGxAMl zdH}vA9CHxuUo>>-A9-A{up9W@t%x3$|3k#n441bIymbHQIO2-@Ya^9+ed>AT4m)f^d6Um8bJ2F?e&x7F%4_Ns z;jliD`?+8vIPEuiX-p5m*M#O>6ZY}uZc%~88H}7suH7Wpw$%G#D~4M`n0_X4o|gMF z*z9!dla-gAzam_o!g;&H(p54%J@1ltB;zQZ3C-uIK_R>4?PqZYBj;*}KMeBa)m7Kr zxcB)SnQUCR!wxslzVFwG_Q!UhshS&>*>*p#2H5Gfd*T-7|gy^C6Bd-l;f-^kiOnN$x^mJ-!J#Dwc z=gReT9N3-hvWbUgp7i{m_4Eq(9ixaI z*3&hJkD34H(fmK}I<@Z7hX!&E$?hBdHOfxl%zY!CKao#iqb>zAj>zi{c2$`FGcFU@ zO6LEJ!~8!__p=dw8a?UxKkz0Tdy=}<>FEWImi@A?V_s8B5k0IY%$4#4XL!gNYiD`S zke*+eomV=(3H-Y3d>2Ft5wIPp~O* zPXYH(6>ymQGZvL6OR3ly4~sbb)mB#7J*+^MRdEqlD9Av2-K)m1>Y=5X7rTu()U!`szwnOi3e#`5FvF*beu=~#D5U+2-QQ!KtU}N=7xIb|G z5Q8&%+{W}EZ;j3SxR2FP>%R*R7CPx^;i2GHqKF=99~NSKp>dW8UqDX_>q1WptE;@~ zVBNMVlhhDrr^`56=3iqlewwU^Zrg>0BZSWWeh2s*s_9<4u&^iM z1!wY*Gud$n+3}{-cEod9W}scE9%0vo{arQ@xpg~C_Fp&%M_<9F#Ni(N!kc4oMvr5d zPJU&=@wI6@Zs>Oio#yciF>Zj~iSG2M`$g6x+J4jtq5FD#5b=UDdB~ac_&n+H;naGp z7-b(6tyGWWz)sKIDU(?J5DxnV7wUTmDRD0W_p}r_f-`#3{XgoY3CG{9@Z6uFOaI0G zn7gU~djIs*`anHMPTcZ1J zVjhv#_#w49^Kt0=@)4YoJD-b4ZIlToq|-W>q08J^4MaH2&N^$}X4~`$9Gf!9qPg!SxBBsoqWxdOd*Jk9_JkzhQb<5C4EBIA&&l(0=68 zdO&{N_~#w5-+|}<*5Z=d*gbzQB(HBd#@d~6nE&V3)9DlLTZQ;F1@4d-oc4RjN+AGW z6He^t^Bhf>8$!~*r!5JQMCcV)7KmSxUB?p5I3dzP}J76#EA!c67 z>pfRU zZt};(;EX-*XFBQ4gjOf3G0vVcUzhn@Hpae1is-hV%%3Xh+1}_IQ8$F{^^^IS&ug5? zL(XLH|B$_3NNsPlWA1*Xyay##->`lDWS32>9trmrj+X_S5{JGuU&a&h^@sRP!SPNE zKcnw#rjw7F&}yoB*y($w&}rSG0R0-~&_s9oE*CoMo9GW|y07m=h!>p6L(bF=(Z2rt z#i`q&ic$7O4VAV-ScAx4?y`y1BjHxzSSr|*xKiNsdV2(C^!Nqyp*n8DNgdSvPLCUf zPI_8=G5F0?M0a}pTj(^7UQ{1#$m{Clg$euo@_$D7mh80jo`F?79GfR+Ba*$ z$xGFdp>_R5`#^TV8H}6*#Mgm*i)vT4KS*Ati&Sy@WET;yx{iHpd8N+}fH&dfUEaRZ zMRJdw$Lotug8Um5(L?>pBG_8v3=cU|8Jd$kN2ivDo>LtyNn+(`2sW%g*v-xuBP(eVuCqU%)aZVB~$(Llrt&St_FsPBvD z{{N!EsrOs%8fvOL-M_K*9YbCG2}?76BO5I8)-ob;>-x^PvB2svL8sPZT(38oHY5&BE5D`%p>JBauMzs9FIs5A~+-0 zi%ch9G@Xs3%Y@E$Ujcp@is<2b^e*BBXL!gN{o$ell6#g~-Q%fWI5OF|e7n4V z4zEV${W9AyPTTef>d&nzcq;?XAQXeetEe|Gh1vfv{-b`Rnt5J@-WH-Wv3`YeELz}l zj_B3spK$p&bpM$WR|s6L@QmP$out1eJ($q?Bh}pY$BU#NlJ@&2@Ox1aJ#44X5%2md z!v`%B;x~|;zD(WT{RKM>95A>qUYQr$-mC^2SqotPvGV-HjdC_QbZ5QRe=4R8fTffi%Q-@L2@N~AF}qNf@7IeP~hg_ZH`b) zLhV>VQ{*o=mn~gEuLaGZ*McMC^~&oa_Os?_pOQcSRnP!znmhKf`aFR#0q`cY>Eg9d z1)YRW?NdP)9Mu)k!}>f6@q#lvA!dkI?1t(l|#>?fj6Pe=hTjQ^WcJaP#(b< zjGW0%ACsNlOTACFqK|nk8~l#MVg6rG$^0K{BNPJQ zO*nOw>gf8hjU3JER*PZp{A@*ZJ0B>JzLNF0WDNMsRHyBes7K8IHO}NAXYwCf?=SdM z72o-i48aoT|K#VJNrxHG|*svxZOCm z3O0h%{sh@65ML8ct4s3&Lzg~I^zic5c&tL5Ig@%#BxM%T^(8J=os?pf4 zeK|hadhHH*4z1S+lCw@a-eb)5$W|sKH1aR50e1Cm4zYg1xJJO%6Kq6&txv`^1@4e4 z;Eo0kE>0oB&*)3{i{NX*X}8k*@Vs_sG3K<31!pjFM*Umdk@R(1>U|kIM%jj^vV9q} z4`FdzmrbPHMh}EL7sr``O^LezxN~A~Mi0_|(mn_iPMhvM$Fg_`NAvxXRUJ|P;8&oB z{pwoeBRIoD&ZMWCNl!PZ9@l+Y$dNr0o;TugPlnwGs6X2WKdQ_7OR1&0YfTz&`=RvK zzb*w^H%E@z+31UK{c-f<+*9KQ0Vm!c#Tk7KXFkE=ONAePPtR6&yLu>dN0b@7Cgc6q z9hn~1*ErCU%`vGS(*FF#_o^C$%cS=7MD6qffB$0(^kwz~YK86DP`^`k-3~!tiH}P; zy^RL@haAIL{~_GtI35seN*w0@i{s}1#ty{q1sqSt@H6_I%=BRKXj{fJ&Q=dP{k|!5 z)-Tox77tfMxBbCly^cWZ?u#);M7t?;zg|y8e6n=}(m$;uEY3=;|E;8d8B$n(t*ZX9 zhOv0Il`ZOLMqh+mfMbSWWA#tCd>oa`{}&Su^Z&(_%>Nhb`9JU`oKdXaar&2ewD@-g z_)S(scly`+;Yj~W9|rwRP51Q=TQ3%z$wSW6UTh-$f0Mes*arQF)n9pgfw9QqpImma z`Xn623yZ%OY)TxS7g#K-O;I}6hPxvD4*D50)Hh^FHe-b+2tFmJAg-`}GL$J6sVx zY^Pp`7o26n7Z{f>xrOZ1TNQQb_b10Cj>~!dCqq7V3nrZ1klv4A=wmoq{PbzyE>}di?c|cjg--MHC6h2-->B(c zJGtaO&;)1lkTd4zOCBQqOjOk;rOoHa_Lz5gf!mL*T0gx84m1sjzwQXz*l_{-^`nkK ztlUpChU$R{XJ4$2adJ-*I@=v{z$GIT(Vg5gh0bzASD3S%Jt|?ow&X3u3(n*rXR5zh zB=>|ee4K4fM()w9B8zzp!4s zerVqIht`kzLTeTLsBkZ^m1hAsyNLW+PmH4?)R$64a7Itfm>vLcLfgjP_nit`2%YtW z@dETpbf+gho?tzp?T224?(6AP#0$>kA!qnqA&n;rPgmpj?<*~S(sdKo({T19{oIx` zMUl@0*!H;ac(B!TcgidxztI!nI^sB6ij)$E{=cx2{-5(j|6f>1|6i#4f8b4Md!6cP z`+>r%Ia=!P1n_I7i0<@0PUx)niJ-U8bYJg%5id9f+aJ<9t z`oA9Rhu9qB^-nmAZwdzrHdg`hQ_1>;Hulm`_l6y)9$gPt+Ao{}YAI zem@`lE>}c%`jjB|F#VCJRNmy9I%htTURgx@1r`Hdc*a-3jIIhs0eZWzwZAScNB1q zbpDC;RqGo%q(JSB3FmZHcRGEaz|o@b%b>5_is(+?-Gt8d>niY3n(pfp_y3m)&g3Cy z(&vRFOxt*U%DRJot_}64GCiIQcIUZl;`|Y9Yp}F4j`o60iMtrMPBA#6$IF=Rx7p_` z^PbmUdX>$h;sqy#HNR-r zmfl5rzD2cp`G89~I&nNk;{y1tzA@_jc0)rvPw#aLSVZt{liK!TX$IIEXGaFH`XtM#oLDI?1D9xrEk0JBKk4y1>rux@rGbi;ywmWuY*T$M&HwzPq0+hMd+XQ zQ@!u%E}bQGt`FaW-vdl{`raUP)+fri^l{Z8JyD+ph!-4#?GO3;XQa<1s_TgHbvZuK z-*vkpA1X$>Lf_3u@N?Fuj5^O|IM(>0x$FZ^sxG#ZQ7lSi; z|CZ^&(s_czZ-?66d!m;9D0I^MvVFmC8q=-bm+dWd()%*%2WM%z-=1#+O>j07zJMPu zt4?~~UTJ%7Zc|j+p8pDVf4gjA^$6TD%+HtZ6l_XdP2l##;Itm08w$ZvHwNl3Ry|?c z3+RUFtj7+(eXEG>^w?JDtjA8E|ETGn9+w@4G7FBu_6Kq-I~{sl)+&CTL9g=;9XYID zUz)#-Lmn9_SYHok)a5ZJ;Y>O?2nXi3%e=PP=JPLJRJIPp4A8`nLOl7e%_1h zbGdr&!tXBO$e8w;cfwJ7?R_`(t~L6`1)RgQD{P}{T{aQ9b$h`$*m;?ZCt}+l#`OVC z&x0fUj2>_1Jjq8)IJbjp<@|iG(Am$Q0lyB4=uVGM2%Y`>dC)s)y06FE5idBChnz`| z50f76ir1rFuL$iZB!90=pTodb)&e-Mi2O#MgnJOjcqvj!++)DqAA>Xce1_?MeLHuw z_g%kb&kLRPiMAiUNpz=A-7m5}b3q@h>ApVSM!evpFy@!^nN9k9SKWHtsv9{v-Y;d{ z4c}dN_d96_A!9x3ml(4w`^aPvKdz(s?6OaBd?46ZJrZsPj*nw-Mvu~e(LC9NbEm6| zonPj1wAAk(!0#DFbf?E}gwA^W1@z}N-PdC=;ss~&kTdD=OVZ=Ycs)A546mbArq4xS zTk5il)f3@9$ANoM6jI_g0#_b`Gy432>A|u^g2V55d#fv*KIMKr>$9i{_|0Rw)8`+O zp7dGN67<|Ky-4Pnyw9%aDD*uKtMhs#d@pO+Zlu-oQCkYSNJo5q=o@3DZT08hz_j*= z^#VU{i19Cd=!_;O5q*>$m7Y$ni|w0wIIO2BUL*={{dx;z_{U}7#~0N?QFQK{Z<6nw zEkpXE{c-4dGvktcFN<;LgNtN55iPfAhlt;yI1Z39MdZ_Vgl#AU;A_Hp{Z)pwBXmze z?06G!KPaMGI~Fw;I?ZEnO>>zry`@KQ1B){*WJBLw?Xc-Vb!WV?Xfkul$$xFKUBqI@_FM?ZPIisB|qWkql zccz{%;J)>6da(%i`>EH_iqM}Id21k%dZg zzKiygJ}klQ=Qz||>SL(gL0xzUV-3t1sr*6F`Y`+d#edkBnT~zZrmdz%dQKhpSu|G< zUP5_fEWpKxwG-j;aLks{ro=4M zsT;cV-=cTi=Pz2Nh;H@1+*{{Zemv;w66no@|LC7#7tE!z4BfNK@`FJW9E0so8seM4 zF3TIFp1-3$(g#SmKGJg&=DTy=MlEBHlogSsX;B|=Qw}F1Vru%-@8}Y7RG5PR&#g`8vJ@u_jPx3)P($kN1 z)6<$pRb=&ZE!f@SvWUoS{ETozar75#1ZVtgl*qr_t!;G5^44h}GX?Q8tSc|?p@{DE zbC=NB&*p%BWddF9GxNM-c^2yN&8pMJL_foR>*a!DX7&f|?ecd>e~+q{n>E|O@yX^L z@H75UFX^wWS>ik+>F0W_KJNkhXKjx0{zkYLaXcm1xN+uk!cE2@;|cJ?cr?kpgK-!y zEZ6hrh<%J5iQoG;UN`x=veK1pPe11P0DMj8^rLd`)r0OSh#k=%z}JcH>^MW{JdVWt zA7jZdeU3+80D4veUHWUTCl~?+@SAY@-@NqOK%b$`@1E!f=+Bo6j=}ba{NP9OgB9_9 zK=Y2@jUTv)$A9e)3z1C~=KsqHhxz|Ae-qBX%6pD;`8J_byH|{L?&TjVqC0=65IXxq1?UUHbl8{z*XtDx zLEon7WQU3jesO@`BpN?0K2*|Cdr^D@(#_H7{Py&3&;-X|`;&(FgWw0n`^LAY-gltA zZrYAli!mlEKG1TEt?!I$0POyPjngx9M-g!7Bkv38sT87XkRD?ShM{;7y=^;~?8 z&{-cBfREC2Panl6B3^I|wm+ngGf5vOr_cxNu}SRVY6Z<>-S@JS>gi~(J>BLMuP4G` zy`Wh4ud#YMPvk5<+VVVqw`%S5Bz?Z<=~nPNOcCAbX^^C6J#7O02u=6(bUES$XETvh zi0@B&%8cK4F83SBZ~ONeruTgb}-?Bp6UcA-+Mx5`91{CTNTm6@}WO37M$TB zXR23kl6)_#lRwFp5md70IJlkTWqQ;Pyg$Kvj?s)Sik}1<-FFl7h&;NVXWTo$zA8nC z;EY{AWO@L+2^UQA+nXgqXSvaz7C)+p9+n&XABqKMc*vRLUPyA!QKP@R;wO&mndH54 ze`Vjr5uA}<=KMi%iRIb3gZKTD;!PaS@~@~5enpDtwjEs|eIJk0R@@8v1*-G3 zgnb*u=zlfNX2KWM5Kr&rTcOnCN8Wgm;}Y**$@*{j#REM5!@fqI*68i4a2ETtFBsYS zvK8!Wx@;qQF!~}~Z5+DaO^K@u+}^@7g4241t|$b>TLp*T&U4i{)~_(ep&)ua6S!ZQ z?({16&Urjk@(=oo3QhO=rxndn4#6=q`vbpQaT4kESher$rqa46Yj3t^$cLv%pVZ#i zd7|5=xPsW6XgSBWH;iioY)iq$>g7zP2f&-qB~6{|^y1B*S76QwJrX@s-&SB;vqEr& zhnz|7OR2zJDywg1I|}?Hb$wfbHH#J9Ts9H8wEr*;41)CB#7C0GCME%L=>2Br|Ab%6O%u^>iKan+msXv&{!dHmy>d)Jf zp2l}8K0^K4r0IVBc>*-SnLOl7dVG!a_;kD;sa@J6dh`b)mFn{WuzkU07po`2y^7;G z!KTE$3EWFDIHS+^neOj7@3PrjKZTAch(3$K?`}nOr_ZHACw;E`1oV3~-Ph-A#0!qW z_J``_BGTu4wO9Qn*KvGednDt##1|={Ws+dttA7}maeQFf_Z3tYHz$qylkrc&Ey0mv z_-V%aWag7AWFi`XuL&2n^5zvQ^gNd8C%VjF#rulr;rjU*;ss}T$eHx8mGtmiydGrU zVD!MxUB8C)*b>YQCcKR2uW!Y@8`$(gjBB|JM}T%N`UkX?CH=zL{Tu(q>K*sAR%~`T z#QG=Uw&VCiun`<&q3`zT;YYAymF0Qi02+tN{2%&|aj~HqdzHw%UUYF7@+nr7E^H5s zKQzuHmow~9Li7KUedGH{de5=DZ)IM4YR4C3my#;X{~6Z+*!?BzSUteO5y;*qT=;^w zKdVIdpKSYfz$r!akh~?wAzpBXhnz{?Q%K(9s+`wT0SpZu@2%O)b1 zu74$jI~_+W!A5Y#52RlVfH&d772f!uB!i>H-o3%^Fh%sR{FqN`oZ%s7wCg4GJ>imz zQ}4Sb`3LtJB=Xnw`bW3r+O$7Z_ew5v+3@=8?u@~GM@w7?cQvrxbv)^LcgB!`eUjjA z0PYHdle77?e&3s8sP3C^QB8G;?H@~Y|H*!GFZi`nL=Wp}DB=ZYc*vRjbQD~cjk})oui2aS95bjPKdLACZ89&kezt`qnbe;G7MTzeJS$>QUN_s1z zhvi5AuW_zmbVYtb{eQ{Rs^7W4iH3S6d0*4E4D&q_*H6*+$6b42UzBY90R4JN75e`Y z!lC~!sigmB+?&8v(*Kv}{vUV~F8bUXcb4e>o%MzOzvNy;^sv6BBR*OGPWqz$y=129 ze_FxkoI#?#q+iqD1EG0-=)G3yOaimVD>3jnxO?aId@MYr&?(;rW-6AF6=c3Y@Gb zM8{!9e><5^P~!UeuHSp#Ilx?(0_%6>nc!Efh;GNBD^C?V-A7o7{txqLp?l-dm9;<< z9E0r-^uLnk#VZd>t$)<(gx6Z}e*4Mjzu%ssg2}`1KC|Xv^N32Tjx~{$({P|8{$GZw z+J7b5?v>44N#g9vxMP9U{cK7c?iZ{)MtG*gwE?b@`9Ew*Awd2nTs%y@VCxrbN*EqvN&ZMWiNl(L4x98h4;D>Oc*vRB;;%`r&r;v_*`5Kp*gep1Ccp24{v}ws!Lg6XWBh_}-{JT| zuo0Y*`xmDB{Z_ZR-aegGwS`XgV%7fOSEPs@mU{iv@u`Hh~4-#$2WKNP`fJwbK~0r;BG?RRyOtrzfh z3hW=N+5`8CBD$>?tIifWt(&dBAM{T&-RrMbHAOiD$6)(|@ye>xpvP55sfV7fkXd%( zK3`fN*^=QtAg^a43Hxoi3Sn{32R64w{F8>)c28MvFOfExmw?mr{>8aqjU9jxjs{P5d7%i9l+ zu^I)j6UNZ6E76^u?i4zY>pn$2X_r9%KN5Wway-Kf##M+T?r8rjTa>TWp zgv0*7Rd)ZMD+67b^@mkob9}IBwxwVCg__{(^S#i?KC6!azbT67);_Dz{2*|9xcX?& zr)#>ee>@|iaSXOU(EsW~p#Rl1)G4*zAIkB``XlH+@rAv6#^HNyH)6hgqDo7f#QkaT z4#tVxuXM(l{N2f9v;4O%_yD!lkr5!T#auN9$MVZv84=|ErG$`?fa6s2+5C#<+8VJyWo8 z`e)n)z@1YCTvy;^JQ2;;=)VW^3BcEc9>00-Wrt2Fi2m;ZzatdUo&N6cQxr zaKmuiEZA866K*t)AyvTL0o;ffoYDV1Ob<{_6E3^Md*3p~*c3$n7$=|(5Z&qjA))hl zoZ8%a33T1Rv3)RKg*_#`Zy&T@s|Cl*><`)JBeKtnsqKUMVP9~i6Iaj3N-!Z;=FeBj!} z;EcYzGCcra6RybfzOw)wQ4oD&eF63%y3@C}zOV-OBcOkw`})Ls{+eX#3#3n4Us#h7 zuTNR`9A!2LSE|R$!LF|nCEmZ+5bkCiHwiXYkA%bge@!Lx|22C4556W`d9E5`+ZE`B zg6MGy_;po8cY6Fl=-h663VL@<_w{%`;swWG`$Kx9`Td&bE7hZE)@eS%V?!FBJcfhX zW2(#Kha@xBiq=fW@fGH=`{6hT#~2*gN4D16e{IX~UtUydN6KIK-NZW9K7@M{M3t#MytO}=1L;#LAz7=tr< zl>VB0$b_r9c;lcopK&zThqdSz*Gy4Fw|ZP#P3WY@wY5P1RMUMuqU;)H@{lw2qyLZ| ze^Ilx)R);*%se4+150?G7Fjm7>%3Gi*2q|Z^NiIO;kM(@>*pzPyMfy(BBa8t4S=hN z!D;uqSs)zR+pxE3+|jQ&q!I<=i9Tz!pt!1f2wDFxC0K=7-ni0<^?U+C=rBS5dE z>AwCuBVKR}wm(?!UE7=Vf04R+;|C{le6n?WtoJ4?+hd)gQF^+YC%b(%+6Y#!=YV|= zn`3PI%>Bkyz;+XCtp17L^*D6@nG)9vxNEAwFB7;MV{pb!1DQ_!n+aEcuO4xB8Y*;Z z@7KPK<1|HdXQvTD=k;Ffvs?9P0$t{+Qjc(d9d?)Wz8$glx7M4}nu5@K!q&b_cASvf zj#zI@+^|z+JB|VShi#7Wb|l;rI3@}<);@%L7RRGiz`Y3EQ&qrC25wRe&e&1zPSF^} zglopA$e_w>K+P?SY*47NW3;tzxV z*ENd&K0N7vXC}Yim(uyqGh;4q$6f2{f=yG)IqK&|-eVXO0B^!|BUA$??{Pxsbpp(R zu&zLKC$IEvLG}sAPx_GS9#b_#`#;v54w}~onvAHvue+G!JyUII)Adr0PaOYOjTJim@`nE$V9C)hZDW86i+omT}M z)=SoPj=>qd>-B%|HQ~DFyzelrlXYupXD7hV-d99-dKX>u`sccd$nTg0`Z#9Gb}9P` z^yAcZMTvH~1@VG2Wso!K$vXO8(7Hit>WBCu9fid88?wvx46@6>0fYNuJcRGXB-%ya zKA_~L9Q)SSML`E5lOb?gDO949@6#0@DNJZ^CtJyyy0zLkg_#(i_3= zN=0<1@5w^vdVqN}>?3r)UZX!>CpZS%AF9_cl0Ki0uh+CcQX;**JvkMdOfl#i`}`GZVHN;#rIQxmG%T<(;Ym8O-`U){WMAYZHdFR zo|Efl)zr$Rm-o5ems0yVUtJG+Sg!BUH`(Og1wse<{r zDwx+ozN52<_+gBf+Z)HdD!5k9HM|`EwaZw&BL8*&xNPF|3SCj4@|tk{lfGUXaX8G)+Csw>%@S)N+E}K}n&XjV) z=S;Z%E7io+1Kod8{Vv70r1Wq_^srnPAYO2Whn&+8PtX6CUZ@_~{q;zWOnlCm_v>Mt zHKMm)4Imfx-HvxP+|=*Vc3`LH?!+%5mmY60?s8z|enJ$d+c(HWApqWl8`8b~#^|Fc zh+Uro?o35=+h3L5FLau3mp%l4o}g}Mneg7TQuO~C$6)(|cBOPY>1VL2__M}y9G^HY z6a9o3yl1(TaChSv?ctKV z|Bi7OPnF(baB?=k=KeEuMj-&+gd19`%bou{#?dlfz+|EFAw4Y^)s#hcQ*@R1cTTj)a?sW17LaGSHQ^<3f%P zN?)|}8=g=-oE>G&U+lOT{GL-pcXpKdI@8O(0exWteY^0dcBqW}nK z1Yb})w3+PmbNqYM^f-GsKIbxmo}U?qHQqmPU`+Gzw<;L_vI_3mA1qcNf7t!*cU4-o zp*Z?u|J*DbSjQL>&h`KFUuExaqjHpbbL&`tAlx<_ze#Z-xDB$e8*^+5B%cYrYt!=w z&?&tS06M3@>s4i^pq<-W5xts3J3lBpNpNhZGr(_|;P!ZSD#Ln-#+l@Du7>!Quv6LL zl|84BF?{q*Bl&&gu+!UpFipkU>ME?ayxd3y!!Tx_^b^i#cZbanXOZw<@b+x%eS}Y~ z`U`Vz%22|FHua<5mxaQIF6sR=0({te&nasyIRZ^>86nz=*~{pT$BC7^gAUz+xbDz z&rq39Bs^bKb|K;gXUZUFtRt6=Av<5M{<-Y2ejJ&2KY;3EMdrxC^rk5Qs6L`?310g_ z1+Uk{*!UG3Gw^--y;QIn_oo;AhV$BRHvi^-dve<1`2lLM@qE)!P1E=#1Woxn$@=oB z4zRw=&F3_hK6y@KilRYGQ|k{MAht-%#pCuF^ZVWdhYatVIoQr)$_R)1FlBv23dZfo z$e{O#0~W^J3fuq>2Y&p%+(dq(fForh7=ARci151|xZz=3?;%5O8GwBZdp79yU_8?U zl+%RF=K}Y>$Flo`KBqBw-G_JES64)L{`H_oM}L5Cfrjb2Unc)5{|@xFs^79i|3ZIX zmaJc{fq3ed%br)c7Z3iOb84^j&LJr-%a88>i-Y0$wV55$YZ&{IndxD`|K7~bE{`Jn zwgK*Q&h*Rw zD`>KIACznRN%=QP`G3;omzQCO1lWW3*Sqq|K4RqM%CE;yWj`3bsGILm^dk(- z9VOLgE#r?NfQ8Xt`F_kVDBENBs#`Ai^k0sCpURj8-`RSP3UbD(TW(kBA^ny&0H%@f zDYt7xx_u`9F#6@jIP4&{9Ovuw2fA`BBt3d|ESLU1D93vDdfPsdT;(m;4}Z~i95BRY zGF;qH?ZFzLLZ3$dT;5ve^{mayTmvHsmXNBHy{k|KZ}e5c^$#Oe3l%&Ee$Sx}O*#)_pi4|v|C_Vb zr^ptRH?jE)tWXD~@p$n-DOdSrmX_oKB6DoIoZ1_R416NvsF{EpzBd|d!Z|>`6UlGy zS0l>zDq(7SosE7yNK(H0=<@2mIDsqd5Bd|t_t6x5;fH@=&@-&ph#|w8v?V)zx&wZl zfx7uPlJ-==uCG`w2k}sY@=kmmc~le>z3_4KjW~|G@Q=^48&&UzMt1{qkLo_1pV_!_~pn z_Fyig_C{LNm-06SQ!ZG|hV1*czHTr5{%-1hC2G&6nmo!d{fNy^+Iym2D1*`c`d1mNqU7lUsfpDBHkM0+2e$sxUP0>k}8u*b)cjb|O z&~J|iPEXX&Von>ByFL>URGvc3)aZvg1c|cc(d|5yr#u%&sZL@~>3n6&yPE$ctO)~G z`1;$Z=?5CJ8d#dBzb`aKuo_6^mCm1T&aofw;tqo8ro82vuPx7anwKm0z)hb1*2_9F z&#%^FU7_qjHE92Y@oo8L^dD}$S(gW=YKX5!<=UoZuQ}{YCM4cBq;{JCl~q+=M* zSIs!LsotMi-o(|vpTKsP%ZmGtZ5ghg(j?Zy&WwyfL;CckC^Nq)r}Y2e*dahaem%zJ z>;3fpcvIKQ^|cugfR71-4pukVaSC)rf%*&6w-VisBiHMBE#?h^`%VoSnV{G8n3HH6 zgX|B+FYDVtuj`wr$G)0)8OJAE&)Y2D0XL&b!twobqgQI4Fn{pWYxP6l2VQ@S%@Ofr zrzHB-<8Q_vk2FU~nmD~P?quNfzIn#^`t|u?f93iU4L?^Fx-$NYF**hMp0NpoZdbQD zJ9OY^T0clj`w0HKP!ZkO!K5+$dH}on^k97#w8K9dm{Qe`MXQQn^S@XH{l3EUson5y zC$wkjUn%wsOTSbFeJ7)Q7_&@A-K(*W+9#AA@ni2-!Nw&jc<6f2FIW48=+{X4ue*cC z#Y*k{WkUKJ@J`QPK*RZ6w8^!1s|KO;nVSAQFxRO6kGD60&!W2i|L;UlP()O;xPzji zWd{M*5<-w@AZZd1*GFU#Yy`?;ajjbQXRU43uUhr1R;{(JxRhF4t5&VG)~dBuS(1P( zVGodmkRbfu=iECpcP0bhzP{h~Kk(!}&wTDVpL@=|_uOUXna6bei^Ijw423d(TWDi` zuy8VV$$my-E;nq*eh&*h2*3umB5+RK()ZbV6G;pp6` z{BgOQf|0(f(07?rM$+jYW?%WF{V*)o4-^j;Ugh-Fp|7a8aCH8t!cb+T?GoPEBfMTfZ6}vRK2oy_nT)-u(*c_o?(7-z}~5P zyTb$vy!pWaGng%leKmGmUwAk8FSI=R=YZEzIQ6x_j@ybKcwh==pI7RA(OZ=BLSqiB zOx61%CV1r-@S&FDpxaaOkJGNko8UtB6Mxskn1dcn;ZK@i%5CT-edZ(ZCc7^YmH%0X zGf!RkxG@KJN#QS;;LchTRQ0#~2M==f8`?h~oONikE8oFqrf~G$`7!ctU-RH`DgVCi z_(>_FIrz#HKYr2ji+>h?|2Boc>u}PAuY-S@!as00>x_kOfp1RXADQ6i*tn4Nnazr8 z9FF|+iNi@3evpBqSLMG{9il%e&v`9B{#y91G2bdq@h^7rqzjq(gX>k)h#xuCza0F_ z44m_-e;xSe;J2joQ+Fy)x^S`L!=xr7{m3a^2fjQ5=e+9Q4qgNPaY}!^lP6tRtN1ku z{Y@5MWWeh(aP&^?OZ#qTf~UK-#zju}7j;0M33C^IhW&8c)JW7Io01CoDt`c z@1*o2r}}$<6A8gDP3T8X^@|TXXW+=G{(<0Kz@JU&@9FfDE;?NC>l6CXtNcU2dt~6~ zRsP}NT{Cdxlz$|6cViCipW;8w=_g&(Q}G)U{6if+4tz)k4zI??V(RC?3>;o9Un%l^ zGjQazd==pIyhC42l@B@9e=+z_#qIpF#>W3e$f^E`;KMU;%B}jRfR8lhuwzsDFL3se zE;>!|r2X)!|7U=Y%fR84@-1EgUYvo$E9G0<1-vu^uXN=j#TIkebE)#dtL2{yJ_S70 z{};`6jR zL5Gtrx*L2|3V+1mq>Jt|=7>E~_~Q;IT}1moBHg~8bohMmN5Icc$>WzP?6)jh!1y;` z@v{^5z2N*uy6C119KGt_*TJ97z|pJq#~fhMw0IExU;MMR^}KkI-51E)?^@cW%x5>|3Q9(m78MtbJ~KDE@SNhJVbOTFNXq#x z{e@U12diDZgL-F;>+h69?csXuBK&paiz$1)aOEXk^t$4MMNcG;ocL>T8}QFE@G7UD zl(Lv3H>C8l7pMHBix#rGk(y5|TIq1oMOEN)Qu1pYPP&Ns?ooHA@C^nF*fU0)DdDNBt-3 znWpfmLa{%^kHWXO1Ns`tpYq4zcFy0Vi@s1i(Y{4a>!Xv_iO45$&a3{z!6``Bhf?M3 z?Bq$|68sv!z9sIe{>#8SW#H)5_5#oyiG+^4qqaPWc@-UGeT zo=+kD5PWX3JumL>)<0*_e~540zH;;PS`)1+7u&UaKwjH_SPzaVFU*aTHDP~sSJb|x zA1>}G9Nj2%+ms`tr5A3XZJRC$RLS|6l~yMq5Q zW$y@wj|D#iJk|adW9J0}OwjZo@s9R;%ssAs)!6j?6Eatq* zPX-?gerrme^D2J@_<4%YNyyUX-JS@?U|!ZOjR&dFJ9zUArP( z%nTj;=0y3?EA4K{$>4v@z|pJxOTgdDz&Wq{OTqsP{z|I+oLBkP;QvsZ*6+2S6;6IV z_*cf9*e@lIoURi#5tlAj{QC)ci-6Oh2mEy^dl$w zmjvLeGjQZY|B|-g>y7z#pQL_~6a7otgKtutUKZOga;kq{@P-T=In}>E_%`t8Q~Hrp z{Re@6qd2P-Pd{?1{}8o7$Q=Bd7Y01>e`S#*tI~ zCxGt{{)<%kkyHJ>zzlT8Aw`PW9)5cT+skf0iJp z`U}C2&A?A~`isC%0RLgC{HMGALrPy0{Q5-s;nn_i5Ar8x;P7hsMuGRrz~R;MeHXl6 z29BKCKL-3HV|uqul@B@9e=c~w;)(dZ1Uc1zA$Va1j-2Wr4_*ZR{gi&>RR1LKQHnDg z^x7YCs(&i@cQbJ0RR87RW55@s^dqPGzYl({;u8}3kyHJ1z%R_ekyHIwf{!<*&+wFf zJ8;K-@| zJHf9srf+H=Y6)_x{~_>e6`z~XkDTg%6#Rw^{2r(O*WfpUzmW3J{jU9zE;(KCpCt6d ztL^(K@Ov_Fc(r^lg8w)JhgZw@JMf=o;OJHR-vhtXn11=G@;&GHNtfKOII|S5{lP2c zt2z|?sSF%mDPPqH@P{(+S8VyJjs$-+1AoKLyQ^5wF-JH3$0q09GM|=tcNOb6=IEyX ztmM3V33kc6yNdN3b9B@H_sMzpl0Q59NtgT@{KJ&}e|0!1x=jC;6#l8hNzr8n9FoHS z;c(I=e+54&g@5I6(j}jQpOeCuIGl9JKfqJ-`Xx&pPP*hP@YFutk`)doU9to`-TzlR zoD^MVz&9!W^$sURml=3;3g6^#(j}|Gi&A)l!%3H{2fr+ZZ*w^5l1<=0PvTX~x21hb zx}*X8C5LKc@8#a&=o2DCp!J4^ku<^C(4JM z@(%+)E(7oH^q&HLv@wHI`!`(Y)9ZyxZ)ZP&{lLM)lI#B}m|CS+tWCqT8 z;OD%`Uj$AZJNdMfJm*z@8aQzboM`X0ckEL6nc%Gd zPfqQ}S50>Ilj1AEpGe4G=5W#~*59pgc-4PbfHT8sg~O}myAJ%k3>-Nv-;LlG8FR{2 zDf_N+`bn!UQ~a((`OvHUKLcldj(ieFukzml&i+Cxob$?m8~99PPCX#S&v})<3;a68 z6YGd7cvb#haMpRJ-j$L^PRsWaIFjH$N|YZt)&B)JBWNr90jHm|>NaCeJ1nLDVTY4e z-3vY{g+JzS(y9l*uT9}kIGnWVVer)Ttg5FSPFnRC__~z*JcpB3(Q-~dB86kO`sY>f zr@_xp;V(P?km5_hixd7qPW|^L_)8f$=T-lQ;Pb#=OzD5e$&*&StoWFO{`Vce68y~! z9KEu?*vxec_9D$0`z7}mt3G!8q*bqizn$X$%;BU}AA;{l;ncg9et{3g$_$+Is=pb08F*@*Th-{~NvqZP3OUuk1AKD^&Uw*ay*KyOWCATI1-|`nwGMRT=U}I(|}oV216NtiS4`9Zp((D0pffUwxdzNvn?p|3ONga;bg& z!H)({ji1%MUHM6|Rq#;>`;b%n27#ZLfpcEK_3< zC<7nn^p6Jb4?ZQOA343ASVlizpt#-qhm%&%1W%1` z)z>+kwE7D08&dK&I-IonD)7|x$!h$r{<#bMI`Gs!bTxKs{oD&)rugK9e}3ltLs|`j zd=f{m`tJeo+cI$UD*t2PHySe{wa%+XPWYEf47x?}#QeVcVW*$8nwmpCiKAEfKLdXt z1AoHtlUCma{!z+*Pdl8n`Z4hBDSV#8NvoeQ=FHSOw)!Q9lU6?sesN0vWrvei&jU~G zQ&zv~@HfF<0{>G=p7YxN-T}W%@!5&`;k^3yeejo!8JUiMoLBiTz+Y9IR%W8>IMp9I zdD7}P!Cz1Df9!D5>i3N)%1hz+UHKP+e+Yg`3Wrzgry2ZV#S`OuHF8qE8rHGZ?_}Ur zPCse&$KY?I^e=NbDY{J2<`llt;iT17{5X8y6u!pcq}9v7r>5`?4kxW%sXs2iXTpD* z9Zp)k2K;X+`9_D6R&Ox!qvJ_={38CVVclN689X(A6u*f7YPy1drnsHo$n}5j|1U2n zF7(SIdeon+^Q*t`^1FCn!+GUnonPGup4uO%;k?Rsf)mB^qt^-joL70S@53cO_MEV% zhVv@Vb$+Ff!mmjH3lpi_eF9z=k{u`6zAMW&%)(lkq?u0ygmH$ETp&2-Om471m zkPN)k@srk^X5>dtll&D9C#_+n!;ga|@o^3(ttkah#haQ798OwO0lpz6f3d@-fRE!x z^-|ZBYACnvXH+tu9HIEl3Hy;#`(_|NG6P3W{W}}{0`Sy$T7#VGp9_Am;*3gO`$A6j zUjsfd14mBvUk}bq=euW_q<@f8{WpPE+8>)s=toZV-wHk>14mBv-wr+-d`U__a;pCh z@VScLl+cfy>c1QOnhYE{)qfxO_4;F5$?_wo`X2#Dv-}uWLO*h<|8ekJGw=tU{wKk2 z2VZZJ`q8WH_g(PeiYNAiYS63v&w|r))s)$-MXzh&gd zR+9EFcKoC@A1F>OdHoAsDc{mI;9q9o@M`(ifq#^N!>i@11OFrgM^4LE5B@ngU$Rf| zBd7YCz-tu0DuE-X`nQ8G&%lur{Ywpat&tz~Na{yU^e=4(zD{v^X>7m9iT!0R$_ z{G$3hf!Bk-mDDeK)qkDA7b~7G8N{)j`uKJ+SoclAMQ9KFilLw(R1M^5>Bf_FlHYCXCXIn^&d?4o$me&kgDK=8ve zaO70~5b&->9>Slr4>{F;8hCfb|6jsBjdo0ri?+3tpOm!>i@H2D~Bz zN3WLedhl`Jsq6AfXFGn zlPe$T(n@2_pPlmGtqvz$dOi5PDg1Va-vNFT_~$8{^YZ<-Wwei~{>EI;K6yQB>D^AA zbm^_&ho|K4b2#bJJHQK5_=657MVCDEHCg|UIGl9pec*SdaQve6BmQ|1d_f9-(&3~_ z9|5mU;mpYY!wI40lm9=~|;2-Hj%aZ>4(q4aFb^-0D4fr!D{qV~5=Vg7t+ktZv{vloZmf*pFgn!mKybgSM2G04( zWnlGuuleu{ob#%`i9EF#IOj!vSqJcS8TfW5Pr9_OH7<6E{$*{zn=)|DtNq=T6{+iC64!rB z+!6e?N@M1ZGt`o&ztqP6Z3kfA?ciS|qt69cb}{jTE0I?nnEYPhPB@R6Nvd(|ydI&j`LiKL+#uY18MoKn@Zvddcb@;~GxLgU-W+?U%YJ3m?fis&&wq~0FYYsS+Jru{ zuAJ3peC6z1<V_!`mCu_drzUCSh?`8|J;OSPntl=fqt{| zOY~Ofa{0v-x#FUN!qI%6r>t;P(dglYWw|j0CFMm$xsg2Uf#;0n(c$yE8%#ncE?&?QO5W3gtrsC`)yQx!SXJlW|tms z4wiR2X&WP{r;&%4;Ori}y7D6Cp52L8J6`13<4u#-?+H>?CVTKK(}VCs`)a4>|CsFj z0JA?X8C@{4s5CdKa8yazx&3o}acgnOIk{0~`J-~>MWgt-;pmFO(G}&nlF`NI>a#Dj zUYE3zJ9A7?PK1UPmlT|xt2no`(3Ni&`HMyuRm9rmp&DWS>rLKa5AwPLClY`19_IA{ zFP^#g^*;YcqyGhQ>LsuLFL^baya7D7cu!)?oQk=KvWMuz}Y!6;f@%}R8zd(K(@^>S9KXzP%>^{7H#B=2KH+ct5iR6ve z%jd29TU7SlToH9qRyL;8I4jt`eJfNP}w$dk$Fi}$4tN1lI z_XzzMeElsx9~+ma_v_{$`J{SQAKho-q$~PNpEhC2)ET+UCtW^$=9RRXqXn;x$IY*g zjCH6zpS9*M8J!zmI3j-x-B{a#G=UsXRvA`Ys1|9t4vWf_ci4ZSv%goa&8D}O%jfMC z=g~N^v=wiwHmW=)Mmz!cZw6lvjd}Wfn+I`mBApy)~`MQBDDH zY=L&m5_pt!rpOl9<_H_2G4nzNNpCCMqOD|N`E?l{yVUV z*J@r%c`f1f4_=)APahIz$1>iJ?Pc=%{DG9Qdu$t%*O|HBf%NarTPfc<-qWuS9Qf}q zjq*Qaf>96fx{DWc+ELe;;4J3fXZ`!>T4@yiBF1H|w+2OPcyax;h&f9UajWRVR@wjU zC$zmR992|Wlq)@F_~_i|+%Sp!#fACWHwuTJDdYEv>c?YsCrgy4e^I-t4u>GGWE@Mn4c^C$Q#EdROa|BJ=v-R)GGUFo+z zkMx&Vxy_?pdcNuKqG>1n)Y^BxJr94doM#qp{ls*5O87%Or+8kcaKghYP-#FJDUdwyq2=fYzSTm9{wj}ymi+siwIIp%U#j~d5n!#FnGF3VY-PZ(cW$uhik z|Muh9{mLu)Y#iI@;@G+61uPuxx*I=D>}`Va^O;w%u6UMxy7P%?KY7Chm8(qf)64WZ z7Q6CK@ci4z(=IBHWxn=z{JPQv7k@$di6OVKXLgV1czRdjl#4hfbGdNczZ}_$6XN_G zdAO{6viov<`}O6}&%T{6W9LC8xTw_S+bZK1bLjFytDpIBq<_2+tFR#e2 zu==FP={XE7)&d;f;VDzwP#mWBYV|?CEaD&)QJFk=Wg?$x8qY6t#49`E8^HNXjfkuA37#_Qqq1JTV-|h2>{05%FJg0IJ zKO!@J)~ufL!wNkUd?&&89r&0p#`RTHQsCMf`#v#GB|Im_4%@fBl|B6gP3X@@Kfb?k zrPHtVRaBl|QE{%?@q(wHcC*6H1(ampWp4jn{MD2jSHR>ke?&?@z8b^a@xrg1er?ZZ z7Zn%tS^RW&tpA)H=(lqLtN$-v`9uBZr1Uc{7&8R@te@ik!qdoXe+kZIALX3o#Li=g z-FBQ;-SX$Kfu@tBD!0v*Up{+rcf}<1AA)}3MC||K^1{NirToFUf$9%d+=Tw2l;7hx zw3EAjvpfHMpRs^_#0y{X%P$Xu;xqcWheOIAJl7lj1JQ5i5>jk={^ov?YPojw(`%9X z*)RXK=&wTm?|l7bYy~;}k2w9bfph-Ki+T!=g9dy4**bOFtl8tIO_Z zNigoamgju-XvW+M&u?O$PR-_9@=4|Yr+C7CQ^o!8wMqC>!oO{A>;q_h^&!QtE9S>M z9UpW1uF{@&RbQ+ZD~RX0W~X1xUjHP^q=2@eFL~Tf)!PoMt@k##QmlD>b^a@_PtiXg#NG5KNkJJcltG6 zos)0p57@m__aQ2$&YInI3cn1|_4KY+A17~mcGW}_YJY6elggdYzY+b!!@S?Rd^&&7 z?O65y`LtuoAFNDjcbR@uUoF+8U%H* z@&Gb2#s(GVT_^XXv%m5Dj(-20%iZ_T&wtnT(P!4Zp*n)5`^ei>-T}7|X?-r8HmA?XUUP>G z$_*OWYsQ3L{NVbWxxM79X6rSnaxiO>J9UPt;sUp(uRx;OH*eD|_+2PpFObNOzq(&4b+c$~`&a`^rHk zpy>tQ3`K6nuH`C;8xh~BydP$QISt62irg(>+~K`Mu*`FY@$@56)9+{d0V!uV1H_dOlJ9aj}fme@;^W z7*BtW_me&SSNr;Piq~2{V@N1t^^Z&HXO0{B|9iZ@+|z%XuV1HJRzLO4^Y3H1nY^FP zxjU@v@&C;gc@E|C{VH<5@Z_%K{nea%(8)dT%cb~!<;h*od&Z6hPdd3j`f|JQ!_6wA z0(L))c3(8w{^mf5)uZj@MvsSaaOFJ~lfTDrcK3nExZ&I7#jTZh#WKpX1)h>I6+V&j zur`YP&fdq$$6}rygTwwJJf0tXo?pf?5f7IzTk$;T@%)DO&wD)A`aHYv+q1EZ@-*;u zE7@6n{gWzWt}dGC#DC-n6Fxr=)HB9@8$Sy0S{{Q?)ZY~1?0 z$HTmLCF`2-IANUc&t23T<9{flJe$Oyqt7fW8B<#BJgWB8csy%)za?bhd4e~2^NgL* zv^+7-Sjjp#lu@2~;R$~j#OhL>^&U@?30B24=7bmgezl7@`AsYn+jCaQFul`(3-;(! z9_AcjzgpE6nSEoT6BhgS#Dl&ck5}y-%P0@yWI?Vxe{A7!?guDWWx}&+FOTN{KF8Na z%!%#%cHl0KN%_3?zUo`CjPhu|8e3RaUgYmn1*@1RNA?_r%<-{3Cl2)6!Qco;@N|!5 z;`%AgKeLdZfMKsu4z;J7$HTm573-?-IPqe?eujjnllJtBWn#Yx4>!9wBI&wW3JS~1OUlZnU9yKDhw{oi!p*BzjYD?4 z#pLg|$C%EN+W2^~ywxL7kIZ#LnQT68BXV;a4+Ro?jDv%42RcCk;fit#9v zN$`}G6_t2#SKD}4KaBsYyz#$haz7*rhzWZb^FkTr(KuCBQC<{}%xaG}{;y*EU*(Pe zJ+JZN)Q)~pKuqv3{)aNkvr*$=;iw#U2ad8MiSl^k|0>4+Ro?jD^GWwS9ho=n=obaV z1P|kXD3jzFn_oOee-cXX>sv*8tEK;}A4a^W=CzK`lSqmCYxhJ4_DXE7mA3d)+(!N# z@6=9j{9h&U${YWCex9&13djjN8UI5WwNu+Wwf5aHh0aXdue|Yp732RZZ~X7YPil!h z8ZUPAivn_jhw(p@iQ9*)pUdL;l#FA<*(gph{;%@J|6cxlW=Fp$FeP{x|3ew&(Ku0Y zUidp+T2A5d#{X4K)DQbM;n8cHA1CZyzsh;>w${Wl%Cnp^43?BfGwj?3EUizrC0H^NRkN@uK#MSVryAc)?JTUplg+Oj^6+A$~_Z*CF%&1hGe- zPJX-?kU4*;{ZTBVcC8Zsm5=0hPZpGVPj;?k*jcm^_7kOlF{g<5Zs#-m^x@IxG;@f& zxAAsB6n7Hs@y=K#ZXaP7auwzj$~;*3))FsP5$D%32VQ$NFY0nFbG7v+kz#-C&zLJS ze_!2?7wvs5GOO2zrTX8eYA?DYd!L5u#aLgTDSrDLkR7+4k7d*^jpAP&U&>WK^M*)2 zV`lA}p8mVN>#sWoWXG%5Vwt%8=QD>YX2;S_rIcrZ$MZ*I{^Iex<+uL<+41UwSVnp5 z{zf!c6K%@#p2zbEGGBQ->-@MlAUj_DBbL$ju}1tQ_UOcLcwuoxemF2I-)9~l(g#WVR6ytv=6Sw<{k#c1& zcXlDmh2%a_tv3&--9~%P^Y~^Y+Ff?M+7ZhrpRT(cA6NhRh6G<&u{zbhme{`4%nMiR z%*o&P`@pX^d(VWRejHhSXe^`k zrt?ECV&~!+mGZEE5caRtjM=Nb>*amx{rSMa%y_l>_*f=x_s$?!WaT0LL_EZ))lseR zyzZ`kyC0YtCsq%PWz>Hfhn@epIA502O3NQUyiEI<#JY%&z5CT;WB>Il_T%ut%($_d zbwMbz8$R8WkT|^dziGe3s#WxZwNLV5?XvbAUZ2Fg|EYKCU*dXXFJu1dNikc$xe0qS z@UjAyH_jb*a=+@vq$yUOFc1=*j+ zeEmlx_%h?#>Yv0i*?a|s`eSh+->n|s-N^pZ%=vClg=R})~+EAt|2z9IU~07KlM)SB?d+IGXAgj#{U6>6ZU4u zcgFuvCLaHbOU~q)S2&U=4{xF!=C3zT%8avX_KjuKpSo_zuP7YHS8m)CN9>X|6Z&%v zl;8CTaZ{)aNkqx;aq^2^GKxHceGTK`F#jCdIT*LdUqzyrPg`W+`_ z#?v*7|DlZX=zi$1g50otzV&MjQhU7de+}dRnkZWG9kcKF`=KXAh#yzhF#d-!vESes z&R1Z4zj@>S8rJG-yz37GznAb^X8c-{AIm6@&YR$o+ozpDYR?&---?j=uJx(>9rz1> z-gHuC+*&g_mQfyUZ^ENrQC5?bhxJ@kKdk@P zTglpW4ZLeuv#z;`c@+I~&7Gvofmai+R};h5Jk0AEUJJs~{NGdcFPxEoZ$$rXvEGvo z^W)>7%(%7Y|HU#|kGk$FC>r`KW`9S53ZTq!CYOK$bo0#CoR zvkyHxwtDx2>==|Cm;MyXs2v(-nIVV44|U4(uE+B?WWJ1f1|8$a*+JQH>GN17?#H9p znXV`qUBn#@sr^^E1{(Qo39>6ZzLG>g&W=yZVi~nd<9b>CIk|E+dJEa@a&~d;B;r|v z%odO5YCo+41R{SSH?Y z;@hosC9Nms5TQM5+pvD?;OYOnzuz=CGajrZW`#29Hyz*03i+Zr&v3A}x(JV4V~Tk8 z=d-sDKWL{vP7ThC2WtP*uCBh27i$SwFRi|c*L^0~K&;!qJXGe~ zYgrrAw#2smJKw2&>`6xU^@7hEhX?!LE7&nOGY+j~|38%R>?_SbXSBV3*PA&t@j&*0 zWUpi`ZG7ESUd1N3>=wS8bPefUJfG{}R+a^;m`kjB9oc)3>w^3UnCpC$qn<$BXb#d9e(Xkkh$CA8Q`yf zhGfRUwRglaT2Cvz^-rq0l#l)!@!gN?qaI(*=v_qCe?v0k;9AD-P)6<2aZ4sG++--h zmP2{iKZtlFZg~3vLw@3qTSKzrA>(%_6Zhkavit&j{Z97EBK@rY*Lv&!A;0(g(U9yo z$ofB&QNQVaxa!Xpj^(*Ha`Dr9Zh`E}MSO1|`)6yb{2lVOzppwZJ1(*Q4`r0ER_jUZ zaeUD<$@-5uMa1_pvR}k}C-3Kd-($y+>^Q;PIF!+P(shEhFWec^dg59_#Ip#QuRWeq z{rT9C>^Q-kJCso#y${6lu-aE;%0rxuc$lBAt@C&;_3r~2k{ut2*P)EppVWV$=ah%J zM8wlTY>sKn$^Vt;PucN-_#Mim{U$f8%TTQ6cMOU8eMq7FTRnc}4C@XLQGS=~56++1 zAMPWpYZvE9w+nvHzRWcaodL-BycYY`!m*Bhy49?~*B!%{gm2dU|Fkb8yrF;BanIg5 zZ{2_LTEAVMoEfjy9T&@Z_VQ4vR+mM!ABcSsA7kgbsCDo=Yu`%nWyY&@jMCUae#OAIf+!tSoujlqiuU?AM=~YkBrspE{WUaDbKj|>c7H! zQ7o&xx-a0Db6AmoAHq835fSgD$jGA!%Jl2uwKdmIy&r3ai8P~RY{P$(_pH^D%o6lb# z>(F+k`w`>x3jzgY=ayEWUU@fpyxUpNwefiW;m`NOr%fe!)(5eS^5}fu@)V99nJely zWVG_^hmM(R#-G^}Su3d+X_M+`}$_6Fh~njP?WFugbnau67Oc_*nC=KgZ*H(c7G#>X=1M_mV4zVeZyRGIS7?joM4 z$b3KMIU{_Iyz_5Ae%7?>w|I8E?d{)u(=U1;N5WqlV;PNay8co9x%`5Hk};zz zq8p;so^>8y6Jwq?FaFMY?|L14S@B>)r&vb)M!v8=hb5PBcRls99^b9UzV&dfXFp-X zA-os^H!wbJVC>j1ir2ZU-ljXA4`M>Icdb)hqiG z8;*+kh8_~G1LOUP4Tr~h)NY+`SU*dfso-Lz=oK47y&Jmoxu>VM&>QdZTULD9a6&Aj zJQ~+6kGsp=^5Dmarynw5r-g3l_Y-lwUsinDFesMs+du6xC%abiT?Dm{|{x^4#h1Jc!KWG0(70UOU{ya|bp&8q2tL0uK~C z;d-?DMzQid?D0H>%-bH%XdIsOf7d&;^BK=h#)J(Y$J&QY@$C#-CH3vCTQ~eA zmWk^v-08Nul;;DF=kLfY@_6p{>n&`BDISU4PA29l&94}lY9Igbco^F@c>4mw-tu|E z(KE%fB9`%Zib@M}!^XJSs`f1Ncv$~$X!Pt^=kvs)Z^CbzV;MId(hdtomYg%1ONnxm zR6&J(3cc%J%p;=y)nbB;-hF@tUA%e0&T!O9+O^TdGO=BwOBhVJU)8H8#@di)V>@Iz z#dZ}8_w5QttrX9`u}sV}b~sO%?K6@FlKKYAA4_jS8txSBbwGH zd5Ei_jPh(2p8h<{X_O2q8cmhQ+xOqd7`@S(4-7B%#;sj^k9{NS_fST8HVKboaiK|h zrg-)+{%^e6vuCb1Pumeq>y!2{{)aNkvq5;|r&3_kyTFTd-)^Hf-fyH`Zv3&w^SHmy z6fX-B?Va^|D5G&{jqsEe(yK-nl;y^a;8I`spbE8%HXhZ}&ye-T{o$YZ*PEm1bkeT> zie;2|=6d$*yWbk?OfQ_vGH@+0} z74}H*MS&{ePuBmTOk8i`Pvy!l=8L21M{oVVk@f$^w>+MTM7>3UD#7!5ETeYSiXY{E z2fjBns#M05l45S!lqrt#z2f=ty_ieh6<+UOuZ*VK#(zHd#=r8+*KtWXlXyONp1r?i z8_(vRI&->otDTI;)Lp>yuH^_ea&Mv25%A+!0ne9?p5wl8G0%;iuKALG0_3XN20V`4 zHRWL|Mxp>BLoB2F)!V#Qe=av;{Ol=mMnAWVuN*(~a?KFd zLtSV6DDvSEl$w4?J9l8G%@^jeDfLjdA9mL5Yi^!-!|8f*Xu2NSwGD2_Z^wUy!}8X( zLF|B7U0B{(lO|YyxOP%^qUH(6A0EL^O}9k(>bjxJ<_q)KRLXa(lrc9hVQ{kO;dr@Ag2dg3~Zx__`u;=7&Sl-CLVR;KRPf*t-${Q>&-IL`VZ1UPS zMR@#%c2hT0%6qbTqR)dDDUj7}C@X$I);UPyngyG-RN0neTY4`^g87{!n5fALDo3#a_Nwd`Zc&yZX$v@KGZ;~ZAGYUmlWjAL;&$EBnn!;BBrHQ-vpF)^z8TM5zF48Wlk)w#ZIG9r zmw)N0$97Y2XgXd{&)X*O#Lvs83bo9uf4h*_$@=^4Att#0RDP>$vEh5 z?j2rVsJ4ImpQ!$CgX76qz53@H#tnBWs=qh&L{N8Ily{FC%@IleFt*p(d{HJV#raqM zCI8HsHEE{xkBk3x^N@W#R=3OYeP)@+A0B%=l`bFa`8t~~%wtojzrUv1S62OfGg14P z2u1#rI{GA5r{!bZxwJMckELo9yuCx6?L- zrf1SF_Pbp^Te$Eqc5T{2?AmS``rd0-{TFAwKkkyxVk);z8Y}NKe~oW^lr;P4rQhFUq8E#I7T;Yty%OZ&$P0 zr2%W_F6`RW0X5$Ji^*FXw^_)Sqzdax^PNWCfff(#l7}g){u(>JY&uu*fc)Xn zc2nA}v(aPog?Vf$c2$U7qs(ouo_&y>%<5m-4zExcyWIRF?`WA)Mdclanlh_a{)XiZ ze-SB>?;P^Fjg~N9dVa$1fVy7>oo@joi>g{ZMpY}Fo?*Zw+@XTRi|$;-~0c5T1p`vEVv4hr?P zvj1+Sliv#pZQOIADNove3wmt6&~lqfz5ZP6zjgQZYV8l(Yj(X}i<+Ot>cjH3s#nR! z_4`e3oDB1|YOk+2yW(pldkr?HCGC0?JvLuVEbmxjY??22{mLvp^7C-M(Zo)|5#G7=MD5fPP&e{>%k^?jo-E8_p^dWiD8ev>l6Op z-|wvJV8`tv>GUi*BLBYu|B_h$F8we0mhoC>^J>0~`AE2yQQK_R_WPLL(R_Zhb*K~} zuO0XA)fE}R6YHK$4frF=@x-0YZN=|f&Gx-sv-<;C>mKpDTlnm(JwW2l4T0DlFMDG9 z@%^T6Jp1K7PjB55B9XtOt|b07dw$4R|7e}IBd=4iD}T8)jkJOAXg|T69{cM6J#E)N z!|>NMBY3p_+3dz$UH^;#pXu-gtP}RK&->Vn%Ur&Y!=`OHFXQg!ZsvQpaHpUgL+$TJ z*$i%+X|n#LyEE_nR@=6&zxytA)4|BE(w;Z>2(>sp8U0`L^&;=FHm~~0^MB}f&DWoN zCnocy*PWVgF!}m;`TY5cixZlU@qe>F{==J&6PxY$DE%`$+HWxz#r0#aZ`t};iN20T z@VI`?QZc(e{S^Fw6mHkif&8xC7CQylTYuNjuRq4~J^Gihp-%_Yd<449We&%{k2Y zVa%rfqy0?dr%vT;)E*_@O}wtLd99yYum3kcpm>1Z@YuV~oS5`0>wlLo%wtp5kDKrL z7uJt0lV@EyZGy@~5IRM8S2Olnoc@Bb8I)`eyF2`5o1uf5LV#YwA_Wb|Lxx#On_> zujXsXc?R7OEw>W_j%3qisQL#lfIF1Ht_C$D(9S3IajN66yI9(W3YLR zrt+u5?Or*z*mbMM=`AuIeQG*9kt*jFiP7=;Hd18YP|huT^L97oY{s9~o%q+q;gmnO zoS@o*%{wFY9p;&DCHmu*L!h$xqD=aR_P*s9DaT>P+_&O9J(tzqw@Nu=V|Nzi(EV^W zKy@^43j61lPN?Y`tJmvr4Q@YJe%Z-EiTuYQbA$yne?9r9PUMGy!(I}PH~3hN0G{yZ z@P({DUAx+Hnx5ABs6U4KXfc8(+V_@Ikhl3l9bVej?u1i!! zuqC<@Z}z<~6%V%*!E5t*e7q$dZn;qWfBx>TpGd!&!A}_?vh#YA7nE}mkhRxu-(vnh zhJD*#p@RMHx4GWO60-)tF587_{M zYCK@RKV#6~VY^!UH`R%+}7WrzCV?S-nRlL0K5wzN$s&Rh3Q&}W`cy#=kAFuAQ zxXv5zLFW}l@MwJ4@`Q&!4SuKT_+;Ad$2f2Eg&bZgc0bPNUDS*0Z)!Wfbf#=@MDZ*& zuiWyqstmT=AF1y6f^Wxb7FRp|0OdWV>TvYuaF5Xq-F|$7}ih?vShFXA*bA`uIfkYCCG+x-oWjT$?WEN1V6$l7-MuQqGTg_dog57Ic^X z{M?pf%O4|(j$3?xuCTaVFRO=g^GdVdkJ9B_N>OaSNJ;!g%K0@PcT-OBryXu%e?lln ztW5ue4&1StJC&}*4HIyD{=(n506fN zGzC%nXAG7@=W&g!+nCD<9>tsbGaTN;eD!s3z6YqdHy^EM|KH`)%+Vj}wqEuj>ie4x zKfUf|J)bpi+0HK(M(fyUpInEou>R}WJF8FZ|J(f>S$OtGaUvh<|N4Qp6ruklUq8uL zW8-lBRK)}IhR6QjGAAd-w|e&fUA{1nP1#?mpD1>XGL=1jFG=2-zB{4 ztkR8pwjHUz-1w%vmy$1+p^uD_U$0XnJUivnEZl4PW;&WoGf)zqmb3 zQUs%V;+jSMFJcO<_iw_xbyE2rB=6leugy1Ob~sH-=zElWZv57KS@HBYswb$2-`RS= z1#;aX^wT>Q7e6&{A9DSTM)1UZzJ5OEZNAt5d6&oeH^fgb@BaR3!b%f1qYXtX}<;ab2K(tz{;Ecy#V&x<>7z;Q))z?@jwSlKqaYrt_U< zuVnj>vBl;Kb=Z`(Q^USuPqVqRY~>I2(e2GVLi?sqp3J(|>rawzPl~X^GKTr4U2^4YyQrRt^O+vv9WG;{Pv>P7C)Yv^T;xxT-R^jY=NJmUv5r_R=v zwzK9CuU@*hs+h1JHyn-Hff1AS5A7~pFPiUU^2#8^v8#H~d}okvke4r`UNm0;`A+rn zWz|cO;sN=?qw^XwI<6Nx@3r+Z6MY98!Q*;iPl7}0C9gf>I5E@WUR-H7kKerC9IE$H z#+8Pt;`a;8L499&P?4;0WqZ^e7(Y7N>ttbHaOxs|^BshSOJWZ7<2RL)Y%$}0&>;C3 z{~P@A|C`q1isq{%pY4vWeYc*cH_TMdK=M0V4=OW*Vn5z$ac$p=(N|;yPsELeA9CL2 ziw%%>#KDFiiJxxR{eDf$%*(?ObXWJgHZWIdxFuGv{_(Fn)!6xD!^4^dA^`5=CK~B5TjFZV2)#vS~u`NTzwY=N; z^>6F%XnbpUOyQt`{H{y~KjqDT8tnKOpgef)kD6ETr2n6X%jVMz(I2trw_?wWX5K*q z?F?yXhCR)M+GyVtd)&;Y2}O}TPoT!mpj5ruL;rPRu|2OV95lrH9^blJ?#B%4=Sz#T zEt}WA2l4J0BY4uDKf`77Y3Aq;b*-;b>OS=cjW`mEXEMI{s@?@O+n64~_@ z>b$u_tNkK3UNlI)FL=oogX*(s_&2g|v7QOYA0Azb%(r9vn=CH>sW|95WOT(s^ChAvVdkF29e2u&|*t}u= zyKSGi{dAGZLAL?zE+ef<|+u5()k2Umrf9E~rq-;Usx7e>{9^W{G>$vOL!)EULc6`kx zN%?>Hw5$EG#T|2ZB-`(JT9k{z(H-HQE< zBV+Ypdvsz^dt@&~4)Irb9P*wQj~eaxDElsX?Yj_6X{Mn;qkrrrIN_2jWD?Amukt+|x!=L6iv06a)I1cc594b% zG)495_Bk5k>m-NH_uJ_!wq&x8-}oi31I?kIr{m8HoVWR6LU||cRPH-$d};UgY@Izp zcJk$lgRJk}sFB$JXk-tj@%OQMwI^de+W5KV3CJHFhb}fpC)?@!=(733JT_&VYy6v( z_k-P!bCg#{IxVk%|6RK{PHqa6ZHMc2%cJu!IC-rzD#DjV^iKWps4)J%^SUO zU{~eenrE3B?L0+399C%9Cs;r$*~{L~*0_y5rbU`B`A6E(H&QPx@q9jOe%w4~TDX(E ztNDCm9scpOYk9Yay@LMa`uWx_nkkU{u1tsh(;S;9@7DeJ&gRcOW#L=OyY)cIyLErF z;ak6Kai?3)=bPt50b1L=n=frcAoTB6_8zt#94eOnPF&w1-_W#2RNlk&b0GO$nGWAW zuGfb4eB0vl@$p61>wCcy?PKc+aM^sCA^GQDncrM7W&E^D?f1$;-eT1fZ0#CpJA9zb zZ@hZnI)pM+MtJ;&abxS5;>T0W4UgVyqkYzTwAypB1Y6^F za>SUle#ZH&HlJ0ee|J%STqN+$>$&gZ5xz%9Y{WOBUu+1r&gJzk^D@~lX1sXsBv0kP z@oi+^TdGue!{dkx#Xi5EJVcpnzA%qXrJuYe{p5L5{QH4+hL$zIlIy#%-N6IwZ9K@D z_YvCV9~d(pB9{MQ9BnUNLnnFSPrD+`D*O^cI!uqi{9`! z;<9x6`Vc)fUzmq9qkT2uQnQq ztxMpy`9d9DD)nE@=iRLHTCRw07~a)7i#e+t!Pd_;l|M&pm+KGCPfdI2dA8SyQ`9$m z$K4xK`^H;0gV}tM5`9BEZOW5!)|*F9_|^4#E~}k3$^NAs$@Tlqx{J`8W)j`L8Ml|! zsB4aC!ubdHW$GrTlv~>i`I^KofAB|4^JnY_ZM?n7Y=)S|u zj{W8K6*R81m&Q0Cc(m`(WaEnXQw~`(9Q$g@pG}8TmaO>0y1c0`{%ktZT>3$Kd*vZ( zAHFg4=lIz>YY+1G;UkZR{jZ6=kEZUSDb7F4vm<}H{-^m)Aa6IDcUOMYe9~5q^YZ!o zr@p=czcA%@^vh zDRauE67lEA-QTak`s;Ex(e%F8m$+Y{>2%cCYXPcT?csX}GSlqOnaJOgk2QFc+qdxZ zVRkhBH4#fV0`!K*aTlk)*Vi;2+~y1O*i`!6bg^rKSv-E9c6u_a-)(hv>G!`hKGWy) zZiTqKj1NuT9AQ^+w@LC{&TEn_!fx|1FKD`K7x`*zd~Uj1@c_Nyaoj31Ffl$h-GCmO zFU(_8v0uK|*YqQ^^?N_QNl#|k-(=Sh`hA$XeZqMp9`NdGE^2O#)$h_S$tUg4?T73( z-(BRp&FXBG&)Odn22FFrx90e6@_mEQKM&$3f81^7o>v#*kC@;B`d3R26D%Hqj)Cs^ zR3ZL2&)2(+ay)5*Wj&1HAuoFhQ>35sQ~N4+u=E%bgP@Bi4C<4H~BMZ*ho z1?Qf54$m~o#rBAv1w32OZ7k*WaL*yFaqW#cpYnZ7zWLm$P>?DQbAd^}1p5qsT@3z! z`@OhO9y!MUVB66=$MAOW7u|C^LmWBP&)$C1JK)VJ{hU|*Rp9R%v&V5M{R^EuY17Bx zH>Tu~Q~k@pzW{$Kg>zo@uL1uI{PUFll}?_tX(3-BJt`%Soa)~Iz6`uDg>zo@H-lG! z|1hP0vy&%nTFI3N&TW%__rO(D~~5O4Nl=59sj||Ge6nuh7|t+Cb*L}vTcwF zss@2Ky62v`_OT7QJ89e7PN97j8`JKl>e|x{r4I80pK5|{D)rk-w1H# zm+ik!+0S|9KMR}zn=k(*%g=e0KM(v2WA>h$k{|8lNw*CH|1>3E=5W$&XMxwH@Uadj z-8Pyp5p_@D@M`%k0xttUGlg?r%XbO*2=JMy@^N0}F9RQ|Us6iiN4=>0Rp94=H>Tu~ z)B1Y}yfZapA0@D)xOZH{BMFk0sfOz`8cos`2zfD@Rw5j z=vDdm!Cy9po5Yj;<-GDQ1Ahtp$rS&GPM&nzo8W&;$$#wdh2ZZS!_CD>{h!(R)m#TY z2z+cReo=0TU(Kh1p8`HB6~EA{_H6)v75w&;eN~R1blZpEkEHmqOYL6?zR(zMeodB- z^J0H<5Ab)u^HcV(aq^qNtH3Wv$x|*FADTY`KMwr4)cAm$mcJSN3-Gs7CHMO?2nR9^|d2^BRAW1 zOX=gh>gxiI^3G=^%iG++$&&)h&31|MHg|G(XYh95Yf?DpCl3dE#00l>GUlLD-0#J> z`9L$gT0Zsy;F6o6lKMHX$X+Fr)tpJm`*CEJVibJaft`L5tiDgEfxcyI^u^Njh{e#v;yjGXe1M4tT# zZr(}q(@#`?1$a;Jc`5xvU4N=a|7XT@X_M?v&G`P#Mf?WvY!fVGebJ?F3Ws+R{eUC5 z`+D#rQ+Tl}A8GSI@S;@tN*zwxJQDoo6i&I-z6-#a?{O1I(mwpE_DumF0=_I|A9Cvd zi@|$dp1dDTA=yp)?rZc6FrJo0%Rq<+SMKb?|?SLG|gF93fpCC_;+-|gTP;OY9E z;p9o1CmO@e14;YvyXwCNyb^qB3ZKjGJHG%%y7XPe^QAJP{)?RAwd9$dfnV?RlQz!) zpP$lylfy}yS>JNeI9a}19ZuRz{c~|OiDS2x|8DS`^x|d`zr*?GNpRxMVY5>8hn%+m z*TMUO-O{^E5U{iTzs{hP)`j;dBxNbTp%a7hg z@0wuy4_Pn0Z_JT{Q|%MKY5V&G`6rF(_F9VnE$83Q!S4Y7EahKxUySSy^2q~*y45E4 zN18tXr;Ix!ANM*OEmy5MqW1R@ry~#gE@b{|@}v{5kl0 zDgCvsezt?Z0KOw--#XX-Na>@x$(^vj&f;7Q51MN;@OnERYUzM~J~rmq@Hya=Tkbz< z#x9u;wRGbBY2f#y+7IOt`&&ALe+iz7|H3Qnx1}BUa_~PV%iq$*m5;P}o#MCnvx)kbau?%)9r&Zk`JR*;`|>&zgV%$V-d#_0~m{LOSw`Kf%DMcPoy zQ1s76{swS-w92$oe0n#B4+ozMF6%xIpR>;4Bf+l$mwUiG{I)M0PTeiL9$fCFig7+T zyj0%m5p3IG4zDup^g7VQjfx8pygVHFM|s!L9RHQ+*nZ~%o4@566XbCZR15dmwOmNX zfLFzN*VXhOz4A}b@90Sc>g>%R8XN1wU>DJL_RyqD>Fv-3XYy$_#OIIiLhxf%bI zK67Tx>{B^?!uZNQ<12Z}?D#(Y2KDaSXWG>1v-(e(IIGVkQ>XR0blRLgBYVvqGAK7_ zV6PbydU45P&fH#dRoM}8eVq)(pt@f{L8|{9iHkB1 zZ_M$teB~9xb0c%|9QKw5TV zgYEQf+GLRTD|9`@i~7aAN&gNy>Z_H9HZ7le=A+O2_iL&q*e>?`!m8YP2lo8;f6l+$ z@z-be)XOK$l0^&0&PU*TYX4k8$*9sIe#PO;+~~q{ii?H?!JfIoaU;fz-dnCTb>*=R zv!{39HyHS(EP4KYZe$SbpUd&w;|br(&CX4nG^~)5qW{#kCR_){1mriHq!@^zB5Q(plV7+W+-UAYSWZaPy!?$8YdwyLj)) z>oORtR7F#5qw5hbvR4X7$X;K?=G#f<@S>gTmG8ENi%_$)EnHl52pa--z)ckS+bI4`Yyh$^%9n?7777XcZ0t`mNk3Z_ zy%v#T?NcS;oIbUFiRVS4zBXg9cR zVdNzB9V*TLB;{A01w6`^h1RcRdib;V7BQvw0NM6D+b?AJ8SMA^@pC|ratGmDnvMS8 zi+-h_b}jtswSfN^peM%c*LG3*p;7SRXcNqM(lYtGt_9*4o-VnG{kj9j&phn;EeDPAR;_;hLWKt(rSlfP7yrNb z9Vaiceh2My`(AkzGi%wSAWg`gopLR-x8`c8=L4vV`~s7n^pbGA&iffIpYIiZG39g0 zU+s~`1xs4k!vQ}DCw%D_$mfTIe}>44V?ShbYh%+qI4~n3ZCb?u-IL5i(mf^;u&PJW zZ6R;U(hx#`?%xjGGa}&$^3zGb?Qn77GO2N6$(Qs)e^mvq^F@(>PtQv^-)sGhdOoOiL`lMntVftqtRo!d_1XX zUgKhV&Wz09o|zS!7Kx0npMZ`)!^j9UhfhCoadR`h!c$TtGO2p%B;1OWNTj;CO})>G zkQU|oCS6cZnffDF|}@dL*t%_l5v#uH>hXGw_%Vvn4TZ&l6aoEMqL*oqS&ApgE2y!rPc z?_sP>1#f35`q|RQ5dEH2-^~Nw@%16R3gC@E9>ze=Zf~h^7~)qJ!aGcOmtih}!q9!t zV@F`QkhiS~2nG+ffh5XB!UJgRr-9FwK<=Nta;0|NZ9 zPw*k^q3K51DY;j*PtbSKzKrMXYzE(Bi5hye@vj(}MI#iXFZh2<>pNTKx3w%#=PWFo zQ#6>eFOPmnI&&iSwp+VY)SFrI^zsPQRw{E*>`06!IQ z=yd_w$oSoD|D54%fYZ1Obi4p#Kk?&~JvIMjfUEZ90<@v=N11j-$_H;spPd(iJ_qnq zL-fmKI`6y$@O;3pu;Xhi|7_4BzwBHA_&~rPQu#y6KNoP=edm>c{|4~4tRKMikURfz zz~M(+uqWU@g!n@)>-RNqmSMfpiy{3Wm-69G$lG};;9rF3A(!=g3-IMwkCYtJ4{}Mr zu7d$T8}NNY`mL1ubzyvO=edAS3h}=Q@DHW^Pkb8i|AhG82KW~W{v6z#FGKdf6Y%d9 z{3Q&3#f9Rx2Jjt_y%u@!9l(o1`r&=6blJTV-vYcklt0!nJqhr3-U;|KA^Jx^-xu*8 zEWDFuVLeShmA`fRJpuSYz=r`o2k@bx_&p8y-hdYaejMPF?f5LG?{k1toiG~k1%Nk) z?DrDjl`8&UWB4vJq~B|R*DLsDzz2umNY_@3>mhh2Zh@P)IVArb#Gmr#c3dm4-XJ5S z{|A7d3fM+m^8t6(gR%awL+crm|4I1CS{h0}&{6*DN&@{QfL{`d-zLR>GvG+SEN6U} z`6FMqUaI(~0sd`>9(0udy9z*mA=V?Lg!0E0=1&YL4kFuG@5uZSZ>nFq;sJ+qlXGCG ze(3}p_UR&e_+m*E-XJ6{E8WWu2BA%e&;$N zhVL6Je^jne{v`Ynzz+_k&uGB+R`4ePpBc>mU5GczpQK0qG<<1D{=v#VRj@%W+S%5S zeM$kR`f)Psb0y$shvGj0@G8mwq*I)BTE`!B3q~sV>43i+iXY^HKkJ7&*tWx(zLxcq z@Ueh9^J|>`4XU3x1NrSNj7{GW(hu)XK)r+Dt^OSF86o=}rRedCzN#2`b%_301;=mK z3@rb?Bmif=SRd}kKMVL#fG;<2hOv&aug}k2^F-2Y(+|-79MgTX{Ris@NxFq1>4!kS zBh(LDj&iy?=5XE-;%Jnqe$_&(gg>#cPI%+4Clv|QBb@2D7|GtI0EHoj2E{>$@hTSh~#Tdnj!kZ2I&nrTG1)H}t3h!dnr@K0kKiqW< zu0OIQuj|2(#6haP3deIj)9}1C=xuPuk-(GZ^)bKgr+$oap7lU;+7E_5&GY|6?{z$Z zzn7W(Pda&B&++`fgroiu&U!Y6zXbTB1jg^b@b^hu{{YVKG5_wT4FmrVfRttC-x;QG z7!iNGuD67@N1$Ktbh3efeCl<-$zx7_+HJt!f@?hp!};{Uu@}r4`O=L8m#kX-Pyw^| z;|~I^Y>VW);L2T>Pk&MV#9Bb;PkPDm?d?2S#d(wS#dm=Jmv#5Luz#KUWhNY_>fvy{ zKz?F>M$vV{H0U2uACQB0oN7O!&~ZDV>8M|o3A$;DPS=ZJx=k43Q01)~^%iLS_(`g~ zZH|=V+vJDWM{4Pd;rQM)-b24`jQi_&b?4%_P|Bdc-gZ^LQ~$5Cq`0_P!rXG*-QUu1 zx&9$2hA3E0KSvJAcJ~m;i~jmJmz#d&cv=)a-FTrtscGeSU3t~vk%scaiJsyEAHu|E ze>|(cHu3kLQTd(fpJ;lzaz-<)pMZ9p<#e>2qEBdGIVFx9~;-oyxB^djiuOg&=3V!F> zZ?plr^V{8*^J}iR{j&`U-I#gfZp0mEHAb$^Y#Xd@-j|nSZ6hWUK@eBV1dCwQJ8dSV~{hw|fza5bGCd<@9;;?iw7{7J~ zdEIWiA2@fxiC=3A_mZf7Kw0%MUnu!w4nl>vJ;7f;zz%-gRlv zO%Z6(XZtg*GX@H8Io6P!xlmJ?U+>-HaW38%&|_XIc{Hv^Fz5Gg$ov@e^MrQ=?$3n( zau)Vs{P{gYXKtKy^hG~@O*%UvN;v(id}(Vk|DKArrM+cg$9H z_Qhbf1L5irS0WN!JNsfE1`)0kV#sfu-FPyv41a2~;g2F8=@3^M<}drtKK33vAqxKv z#IJRsOh=hdd}LwCf0!YSs|n^4UmVIX!gWFv{$#(Cn;Vt=WIm}<{Kp#7xTC}RAI30W zR2dxH#NdBY$UZX^e_O8N=7;%9AJZ2zegXfJL;RO1{?|yrOV?7DnH0`-u>{&?%!MZ=~zFRelE&{z%TNB1o`6Kd+>XX@Xm%4 z{%Z%5|ISwNKgvjmJ6|LP^YbceTp!|# zRnS4=xeBQJ-vD?c?!)cB1amvRgWV*4Va|t9OOK46PcqmSS4ukkjV}(K=j96=_lN#( z&*0a$XW?{^Z9b{ti|3K&cNYZb^(+j3 znaL#lW#Bv$f^T%-Uo(&RKZ!o>`6Rx05AP+O)LNuk+D0=Yz*u}$~90J?L%ZJRDMf^X)@opEs!eeCf2l4hY5N|J4{CmpEG=3i~-kmzui1%`w zP4p+VybYeJuzc$WPv0kR|an5BW=W9ZYuJ2Y&Xc zyYtIvc5QE5(xgmF=XQBMj_jhch|Hw(kv9-*hFbOMIy-fE&#kl zB(%UK<$hfvkKg(p+FwuNF|zqX@ol8|9@Be#JLb0PlELd;RZSohQRYk&?|QJCZ6v6E z1IIgBH0L95r2hZGOKLY{@-Pf%$Yr-~q~D@(1DFhHIsI&*PJkIjssafOi-0Zg%jt zM_L<~$&Wn-@Zc|acQ|-mktOoUsW;Z~8y|~ubx8P7oMZFbBmA1byK&S%!0#eKaBKH> zB%J&-?*m*56dY-Qkx7~-@xAA9Njxn>{=#qZaQ?sVy(nh9y;R01n&0x1swX3hVb_cV z-`10Unc`^T{RG&(ZpHK*KY(8FZ#=?#8`momw+EgsZyzw6@O9|Zs=gOP?X$O?Uvqhb z!{vL}UkrG#p83+?X&&;I;*azHeeWw#II{IXei<$P?Od-S_DDB3{`dW}XZ+WL-S<{Z zk9ciT@h7}(xW1LRJ@9n=I~neK>kZF7kBK2Be<&xD%cZ|N_?;&RZt{mei0Pz#(2qeL z>4PRZU>`pn11}QK2;m#p$1i|={7li7_K_^P(d@Hb*282V`c9D_s)t<%P3IFIW!{(L z0xYUW{FR*;4{fk7{Vdc2K^41|oe*~l^j%sV`fL%Ct)2Gf*V0b#C$PtZ;MPtL z#QoLA*WN z2A)pGvl&kI&><;P%(dzGH-1g&M8QYiCU~%ZAbUzYMhgB(5VGj?*8grhg%6Ddp((Gj zAL{#dTp;PJ;P>Esez z`%3dcUh(~Z$oZKF6kfb^KGsEJ-9IBZ^DMsql%$VK(zNE+_g?@Pw5R?CT;G6y8mO>BCrTm(8d5NEm0HhGf`$OKII@1@y?bdY%SUJWs>a4n6WooD?nPhwG#D zvL6_i3pvQIBwK}~_eEwOH9ko1{kXmi@qz1vGUvWj*4JH4^YlxT--$AQ=9|ihqFtC3Oie$bUbE`EkVt2RG69%g!HRfxjPz z@rO9fjl`AWs>O8_L8fw1Gx86{dy3y)1mlnlw>&8SxBT9ZJ6K`xPc-?n4T9l6S@9oj zK*?EQ{u3GI$4xdkxQWJpi9s;@(YCYxr3RFYe8aoXl1};l|5q28dK|$1Sq;cFTe}3!~TDaaebW;Kx1A zzwRGD?o7PD2-j7(Zo>5xu2;F<=Gj3V2U~BloSyZz{51 ztA3IZjwxT&+syy+Fi-VN_3C|8udWgQI{Jlk`EAU4I}|_Sk9wQ=-_Q&Hhl&3~vH8cS zx0$bO+hZ_~WtSfHc0h5r-i8cQZ*y8dU`55Qx8oMcdYh;nddeq{_Cl}gZG4B5^EOj6 ze)6eKz0L7{p5Oa%FIs+4>jlgkVZqVD#rTx4DVJY>|Kqr%PeKfmSJ-(YnO<@qpda_e z&+x}N>}{vWiA(b1O_`vw@Ez(D<=e!)iX%a=7h+k5%kS5{H}U&Q#ZQj5l91$^Y2HoQ z7o~#Z@A^siigZ)&v3<9)Zcdk!o++RH!~p8lC_h#CeMslcNqdr)svR)MBO62svS#3N zxfS1yY;J2ig>s<6GYft}D4_GJ5?+%8gGlER(+xgWT`7`0<0lTH+a`V7;!stMU_%W#)aaC zD*kewfgaM|7Rf>W=;tdum%pxZ@y_tar1bojx%1jg{*RZVMSlFAhBSR^m_Nqa6`ny7 zVowa8=|{yM^9gz(pt6rf@Z(45TanREB%1z4{O{nO*)$bmrd_ws@h=zNdD74IHWY)$ zS8~0TvHv9WiC00t!}0qGn&J|>FM;Xt9_u(w@IDq<^kC>~6g|#Uz|P0gV7WlW53H4{BqD=90h*0f@9Jo{;yHsSo6>Ey9IFgGZ^d* z#_tA2e*@s~M`$oOARlM`@Ofu<1AcuJ_#KM>9e`gA_+ugdcPsdVfZqc6mJs|t#UEq; z@$gq@5HTSCK?Q#p@VleHA6ER|0Q?T@M|95H|Y1{!XaezrpnaAyC~S z61RllZ>#uy4)}xU>^a|KVE*qZ{oe!pF^112W+wl9q~IR`{&p1j1_@6q0{p!w@XsNC zK4AaDexv6AUxwPq<&XEAzkUGD8-Sk`O5bl4{kMQ`V0ga({SOM>!7@bBH6i}n6#s32 ze-8RvLi{@n?mqh zh7)VzAu?1u~Ot;KCrPF0Hmc&nplv}od%kij$X7I&WdV(4s?|*^FBQAmTX;geMk`PFrDK*tK z4K>x3<#Im=(`mjf;CT$w@t*-JLiTA@@uA-uoKt(ZijSs0f#F2UaV|VA1Sh-7tmY>i ziQ|6GQF=>yqGS7~!kLv>@qY4Az@jJ;Mj0XtEM(?wzu+2!O975q%p z>E{aXPLxARy-U?Ol5|ca)1QMfaxmbi7f=el%bjx~8Gat%rP!m0de?>1Igt#^ zjDC3@uE6;*X*KazElcV?V%6$c(vQHSJ+Fu&~X)i805IfF_0S?p`7|YN!%)bNtCsfuE7v{gw;g5Dd zLH6reNW!tIy^{QkM@QrTqopzY%O_j@n;rh}8|*56_`l}{`H$56*V}p&4nly2^(K8N z9^v@t}==J<_31fu%A0tE!FueexXs784`3!Qc{SLzOcqF z8e_l8iD<24KJIgf!+#QR*+U^H`XNUJ>{rYQg!gVa>4UidO~d?us*fOh)mI*g{x#`e z>F`Gz-3RTQ4tWM=HCJPsD_aFV=mU{kFoPUXqRuy|Qabayx;$LtLf!~yDicT$}D$d-^z}*lg za_hxJ_yU@jl?zlY7v;ssMf-&@LlaVNcG9JtoUWerZX$dEO=I|zQkwq+hkrG2DZ(nG zydUP@v))aFFQ91*e~K!2u-$4M{%Cs>W&fQer1lN-?^*9A!WYmqhCkAU98>0xy2RKA z?!x|q8exQmi53oI`y7pPh;>N6u3{r|*M9l6WH@j}87otxiI zu{4JNbcg>*4*xTOd#=O(!5;ia_TY~>08L}~Q&hG7XF2>Y0`5%?|L=S7FX_Smua?H} zN4k(h%Ju!jsL#-*CnA3(LRR9lxR4ie&`$J2{n*#BmzDhM+;P4@`(o1PcF4Zp@=hDk zBVW`sOjh~A+|9sUlzefo_LS$TOyeLYT*nWCroSPteujGPZa^M#d@zPlQqwDl<}VwpZv^vzvOl=S(`^5Xby z$1xvDILqDO$o(3)I~=*ksCH-_>hWi&9;Xh9lvaDLUkkBHV!u$z;A=t-~~> zo9~kfEl(?7`H#q#N&S_4wCzcI;&R&ejEbL;4>mt5AML;9Y30j-BFZ;OviY#j+L3>a%D1uX4}a%p!&&XT}^}7TqfJJ ze#z+b)4UXLb)c2Rdr@E+LdIa zLGpTBx8XhNPD-yNn`d0czskLd|74CI$lA@)biwkOJd0`lWKLPlhvloD zkFr0>m)o>$`p;AH?fQS8&lf^kP+OY3Ov=Yj1wZ**tfj3IahpQrGkLL+zf8-fUy>i% z-$41lQSDcxw5D`F71xEhuEd43PhQLA^BrTKvz31H4R0(5Hs}Ay^7luE`N?M+9Na|N z|L_yVe^KLN93f-)BTiKQp@$CfIQYlr{}oRBZvx&t5uXu`|6iHZ#{zIC#E8E-vBAh+ zspMa1NaORu@w;B}Uuke~(_8%b{2`fs(3#_RrJ;@A*NxvA8^826#P3`YkNqEk_9yvn zruCE87#_HZ5kGYVhl$@yO8#wz7RSMc((hr#|0RQio8IC#ipNhl-=sn3DqQf5IWJK@ zT8ryzT&VZ+N8|dP%lFs0H}U&G#c!?Q8$ZR_2SDvt^4kpalRq#xxQP ze_J?yKQPQs-ePcYv!`z*sQSm0ztNWecE#VuG5$|({_JF)-sb()Z-vNwQ;ec@;GDnJ z5I=bWeo8!eVZ9~bv=3Xt<0akqkE-8|A}dRt>mlL4k?)xvW5@782p(AfpMw3X8_{O> z2tH&EfS;R^BmVQ)owqO??_50g%XiFi{L%CT|4i>pkM{&5oyYxq_@gOFxC$(#?1#`v zZ88M#1_4)Q1LdF7r(YGGj<;I#2fkYOtV6{JYqvugpYun`nS4+1V7!YVN8(B5`Y*+M z9L0O2xMjq($MEaYXz^}6es+s&9J)7iysW#IBnD}62Q#amHUy zA-scejh0GCyG9l@VoweQliuU`(FeW`Sxdx78}Fm}wahQ*ry|b~JQ(j9yq9>Ihy0~@ z&!Bi8(R;i*T92R8BDbQ%jQ2#anP%C?j5p!^9+w&z)Ob4LCf;(+z)zWIc;ZtYqiwuT zK2;Wfbp4;;M`i>E%Vs=Waid&Iv&-$9VuqaLQ&f zm~?LjJMO8d_cU+a6(zqD-XCx+aqv2$n1h@6X zwaj1IXC>f`B6|$AgVaA)`+!m~o}VJ|q%!(1`q3#@kbPE&a~7X@2){1X{b-3K^Xt;q z#wD^Mp>tF`Srp`7#mm?S?M8~Ten6+YW?<5NB@_B7+Q9h4%E96{Hr}$2E8~TAbSdXB zJQy#`%cn>@rG@%IeVXzl#p@pN#tlPf@Y_-~FrZLaukCZ1=AppSmk(39ln4bM^*p2E#!oxUz%6$^ISG>o8_s}oEdm4C;#K6<_rd%^X@^$F@s9S%? zJ}}!ewLkc+6a)|2^S^i>=qoE$WY4e2p6`nHzMIp0>o!bi@BI@4M*Vt2)BsQqA z=PO|Mu~rrK56KPSZ3ONI61P{pFM;>TFTnd2c%R3>)Ap1;fuHh<(J^PXm}2s0s%*!o zTzVTZZi%*t-~oH4qI@Yl!-Q|*@IDLnOpOy;@6A1k-$gqorJdU$WzWonxIq4tERCJu zkEAABF){5#c*v)zeH48xyAvM9_fk`1;3+#HFH!JQwo5$x&3QTmhI%y)+Z z?*)bj?X(Z%NIb)YZ^%w#$WDWMx6^jnZpm`oyPZTwTaRBLt2@+pd8T~Y>iquqbbd-54|aM|4-8|*pYUejs+Fqrigz^drv3uFS-?9o z2A;O3bf0|asYC9c9RC_)9u&x)6#Udf1;I_bnR)}i*CUZMhAH7ryP0}2=p-H^n?Gdl z>&V_0h(CP#&PDt_n!SJGPeLzPf{clI2Jf*waR%sSjFT=C-YukCJ4PC?cJZe^V6_Nm z6z?<=e)XH~O*tmL)j5mLQiW{N8}d^xwPItYBjH_+>tc!9E8aE0lXDNT^AGV`iR;Q3 z{B(N5U@s|Sp)H@8% zyk+tnV5(-lX+QuEE4Kfdok`-sw30*@?Etl-Z2h1CBrxu1%? z6ViXecUfuAKm^a4&-;kW8&-^XMbBT!el30Pi1vM@p$sJy!gA-CeT=fb_!RIq@YsSq z8t{4iOUyoB3I77{KQVu=`5GbOmW24De9gLE!smPg_<3sn(2d8pDju*k$Dy$U zX}N%}4#A5Qd@$ezfPWT(@1^#G?gjlnVt92xzrhNAEa;1(z=tXRlL1FQL+2u>e=+RO zZ;;Pk0{r-3`lgMR>6>{1>0u7H&4PdF(#09Y!vu>#eY8FM*;p&h(F@Z`Exno z@Fn1E1@lLnvJaKvD(tJ=D`cN#fTP?YcpasHj|ss~Rra|M^bLSd3)yG6vd<-e&j5U3 z$UbK){Z;@zA8;JXPQpz7IalhJiS>O*Ly`OMko*fd{fLC}n8>yJy=DHoMCzBh6!2w$ zcZKv@!TL%1Q}KPN{E&WED*dhm{c^zf59xQUglC=!_zJ+6h2SfN_x{t^_jelhMxKH1 zo9-9jPjb0E%4|XTdj;Po{oJ%y-rT!|jKgjCg^!kbFR-!t?)M@w8TOHT0#Qe&-AQaz zfb|yOE5!uAV2q+)gS=mieU&JG#C^Himxz6flK)!#UIqRSNca_Y-=c)CW8SoPk?9qj zUJ~35e%d2A&oaC(kw#Z(lOY1eYy5QhLWqlpGaLOh?2}J>4n}~F7T9Na(6NU`k5GON|R&t@;i^ac%LE7gekS7`!xx2-ANjJ0g4CogTI7vvMs#NkhUD# z?>xQ(9J(HtaY_3W_dEDr{&__0Yv1g=!!7$+S`O7?J0Pc3$=S@`>zh_q6~RKh%4+)L zSod<_-SY_WK12S%zS4Ve&IP`2Oy3};?*w}bgXt9%_A5NmIv>b3@k~b^Nl&tjy+hSG zExp7uJ;Bn*b%vGl!(j9wGR2DIq{E-p_DoO5bDoux_&ZgOXxuXT_s;zEzLtjN{GjAa ztE_97Dn+pz>?JUA27qP{N6t*OkDBGsN>UlzbW4ZJt!V=BsjaJ-sPQR2MDubYlp2{S$bbek!Nc94n`1 zzbZSy>w_*CVk*}^5w$~4>Aav9`t_XGe9S3RAbaWHO;hU|kQe>*<+zZa)Jg$A`6l!` zocU+A$LUN;xK4m>nhJ@=`KqJsMDraqEgyadwFk(LI(TTmcz&Pd!*3w@55#LQ&R3)F zv%ZFWkPH~5^TiDF(=RbNxFPwPO381B{H5|kY-k^;toi9*F@O4Yk`CV46#q5gpCs$| z^y?W!xZYjYX*Bh2CFob2zdgV+^bjjQNrTA# zX$-z=L#*^8<^PW;|9>F3hPVdiu9Frl;&oCiSOsc_tW0_Mk`muSB~vl zeu2AKc5uqoPh76#Icv*>cO=eZxc@k$M}^=upZWO4=lvLK<@)bQoa=(K7ruJ>|16d!g6)dOPD#dg;(-owKfk({Zc9k+=#^&Iic{ zlpFGAerP)-g75n+ZEId~QnQ=`)a54wV|rYMzSCkvpXWt}&-rp2= zX=E&mQeM}g{O16MJfC65vIETIL#%x1lutL_F5kgX*wHJLql`LIvQ~Wj`tD7P6|H=R z`I4@5Nk5<`bK-j>@txi~U$=ZNN75zD_T318u682;GoY&F5WwbF0wznmkc8}8;{Qv~QbpqNw)0(UDyS1e)(z2jUmOP1S z%5R&LgL0rl;+-PZw7(fhf1}45+&78Dr^0%i&ZItYoe$6?o{%Z z8B%Nq!}>ESCu&|l1Lyi|bk?94O!)s9w^DvyDekGclX|a+duTkH`(t$aC~BUCbL7Vx zfkyqLAFslNvx|OwN_dZAEdA;%u)=u6kM9WYnSso0m;KS}PNbFm-Sv1n_}D}?R8DOavPQ3jyd1!}B}9oB;Fvodb< zm*dyfc8Y!zz|^3mQn`#7=_5NIBY*XJnv z$RB#I(*Iqs`=5~zeSCuQ3E`1X`h~>pQJ?AfDPI8iIwbul4zl)X=hy6SXS9Rg>w@6M zKACwIp4lJptqShgClkJc!qYP3FYJ>^>jW~B#Pr7#q$d&0J{>$QwS;2h@@Wn~$_Lpz^|aWM*vhxKjl`YkFah!X{O?lSoxQD*FuIaVJW!4@G5@dd7}@-x_>Z9Y4;IJV`N+roK3e>LLOmv1FzD&7Q_M)w@xeYS zKXbU@EaQWDA64y|{KI%7fxDl?<#+?{qQn_kpiN@*kaikvUVssXfY+ z?H<8{@rM7c@H7wk3tv35f#N+$-1W`*l2f$xAwQx1(DBB6zFHqbMIs}G7u`7VKN#$$ zSTP(goZr1rw>zr*WD;H-uEQj*j(-n35gzsnV%~{^#_M4x8FwFibx3|nEVKDT`l0Nf z!6)@$SAy&EqiM81K(=(@er5~$tM6zu{N8HL!Q_4{#suX!GS-TvtpvQ&j3Y}p=65hI zXM(r4IWJklmkOGXq~K$&Pk8vqEQ9(9~j>ToPv+BcF|{B z2#&M=Fb>Y}v}-Ypz21(4GyOSg9Q{)8zY^!QIP+Kx$ND2W7dP`fz-KeOj+hz!;3F{n zX5gF?1&*;#%mY#I)6NEbg`G!W{+B8Fmx2CF!0oxG(mwDNXdWf=DqNQW{-Yh|XL|HC z8Gaq$mtmgspb&hOg0Es80)>PfQ=>n8Y0$HNnb(2dp1UmN!{6rk-46Qm7`}{<4gFmV zm-O%-DDyaQx~2T#9a#kW7M!D6Ve$vhGjJRpfNe0ob0=YAp5ZMu&p`784F3my(a-WJ zol##p=Nn4+qkyB`PJsRnoVXF(4Swd6A~98D%kvArQ|A}ra6djN9fR)h|0wvGI4{?~ zK;6Sv@o*cMCphFc?|wdD`^J_@t5#q0PlZQg@$d7!oF}+u*Pcg6P4*H!zd`=y_i1JV zpguC0ROWQbl=2yPOmGg#nA^aBQIOv^98a$ z5q|bF%+@;w>m)YZWksZ&qvp3a+jn#ad-(&=)MP&?#JLkg*Bd|J)-!LJLWVkXrs}4#Cvm61@C82!ztQN$O2uKokoy;!p^4F*;116 z6BrNY1!Rqo0M3V@_1$FGEVRZW$0A<;!~MUb*kv5v)dG(ETnrnTc&l{DIt28S0p9@n zSEIno0G|i=M^cX-^c9>gepWxwUm1lSY0^){fjIP_htJT@nb$FJH(ic_Z+C>0ANUJD zCTl(!;aKs^#c@Lz=12J+;EtAsO(tDZq#uKoRl9@0qzin^;{{(O?={Bpv(QGFcxm`0fPY}+^?Oub^*EfC^>XoSUsDnnz9!Yfgn@T_E z5tSY~k32`h6uf|byS07bpX`-n;VFv+S_TJ>Qd07ng(sJa!T|hJzy~_;I6>8j<^P2c z`=?6yP(YHN7h?nPuY^EbX+jV9clc7=1R)MU-I3kjp?C6aHu(gwZI6I)=ImVL+w8t# zXG<%~MXvQ+JejXfYHX2x4jzxY2H%W15&eXpMxgs~1g?{C-HHpg+|{9bb7BJR`cu`7 zEe}5-8u?Z_>y3T-jcrTiID}5mAK6}h){np+aO9N7M)S7txc>kJ-bqBXpw!{|il^O1Sp5(0`!dXP2R^ ze8%)2$QSdm8OtwFZ3iLc-C+BF3?GN{(wzDyZuxlAd1r+2>$xqFo_Cg$rmLS@TEz%y8J5ai@yBGs&;k@F@!ZrKMl$(jQ^y z{cQLO2zgjaY3b2!Gk=>`^Nv>Mh;aO7DtMyhUoYY<{m}}JdP{{od|Jio->BfIH#K~; znx|*}%?d8lz|THGdnSE9z@bNb%=9N2`rNH9{b>&U$&8cxl}mpnzw`6iTbJ;UU3yuU`S~(^2_g3t z7cPAUKi}rj+`HZMy-4x5>63dYryu$wUq;w*D;hj!_OPNzLwWu9y2FPRm7qwW`~d#N z;PUc@`bf>hs+#FIqO80Q50&&VM8dzRteIXncvj<*=3zy}!-}vGuaRgeQ2L-f^?cYh z?eFp({Y^bZu6I#zKYJDX5n>YjYw{&}z;EXE*c*lMA8M0(z;EaFm{|K+$U{BgXs6S# zR*dwZ&;x!i+7tLXI`n`)fcobj8XAQjun{c*c%VJYepLBMH$%T`L9hE|>k!{d4V||U zW3}0@pyBbjpKAN7Lrea*;povz-#mNO>NRpq&(E#^?i-R;e!s)*uVOl^wPm5{w{JEw z_=f!^)j!R~o&lCyf%M|}aM``bae$RI=$R4$)`@vjs)aXG<-dbxK(^!4rR}c1gG2rJ z80*opHzMvT|8YCh9+^>&ui}&u|K;c_V~pr1jMGj3QFuqg*S-sFcH`< zGx762#oE-qaaJno>l}Jw`)sbME}vXk8<~QW0qbUtj1=R&9KV=RUa#UqO#>g5XAZIY-D1S@YQ`SXbC&yz*PkfaoQayDFYNJT~(A-q${8I+4%*-urXL2q+EM3Ctg5GsPU$oCeiI*oZ_@aE;V0^l_J;Bs!toguMGovT zV}_(O@tJ|VgI6r)9!JipkaM(_g1Tylli%FBS5-`iOsuPb`~d$Y;NQpL z5B=5Wp*S6?Feh&K-wXa{YVNSltE!w(`QAQ;&x56d=L7t)_IQTsi?IIN!GB`aRLW3f z|0=BEH~b$1f7uqZ5Ui);9wyUmBmF0rp>eGa@V@|cV!NY1_@gbh{4r;1_+zfPWQWy1 zBTLOg^inVPy+U}O)j0frBKt@IsF(e|D{w8sg*_yFt8k(2?~8W5uj8*;iNEe0+Ye** zCVrnoF4|%pGU`-)$?+@EuY>7>^88sj+N(oU{_U(TFIRm9@b~w_+=k)51^nTE=#X)W z`c71D_In)sRh`G_2>aESDfKat@l)wD_FgK+2?^6u^pE>-WSKrYh{kw)60|oe_{r^n04OagNb!C;+;19ab zs^JHWj7%smE1z5uslrP92z_)UQdKi)q!Pt+vG`*z;Aik>C@|hO(tiRQi1k0m(f<&f zKdo>HKjSMg(9m_ns6Vg|VB=Q8Qv5qACso6Rnb}VH$G;F^PM6R;Bf!>hcRCO)# z0Qw~R!5_@agui_+=0FBQ4$95CE3B+2ciz3%z#doP!XBIvPoeJk3cd>bG^DGa3;$sq ze9_(l$)A2K()T%BZ{b>xxc(i#UqhFF;`$h8iQkIL@de|Le?NwV##Mwfxm1$fNRmf}=nBra6uukU7Kgt2uwv*OsB_ z4d{>df8#R_|BlM~hPujHqyNXf>CZ)3>eW?pfaDV5kM@7#I*0#`%Id0eHjmUFbMwaj zCqaM5zsOv!#_g#7Vf`Cs)`s&p+W(Dr2KY~^cKM^+8vgKKa-8-#^G>IIZZBRox}M9}=DyLOWsEE|oRn%9=VkYTgr7zn5 z2JRg|F2%F65?-E)52bITqd)q8`1Yd-nJ+o%8;d{Me=h8xV*We8emO3@DgAfU1j`578k2wj1^u1&AM=W~eT$X;X#eYP3-YgV%g0oQKjs{A-c?HCCu@RJ z|HWuObI|_R|JC8&*-$oqlzOK8JH_FT`aIW}*~)5i_{Z>%bI|_RyZyQj`cSLde}?ws zgY$*=;ZE>Hdx8D0e(syNJ{I2imux(C)t&5D>ikTCVz)EGK$C&P{_HRS_uu z{Ovd5!2HV`{$x*{aZ&tl75g$?I37+vKeqtrv;#;|ohPK1AvyN?3m z2Xk0*euwzS@B^{;+N)PBjOMQ!9M&KGa>IW=@ITby-|_Q)Y;H057fBk;U%MTyA6xlz zer$|#l6$c5>NXPyyHj4XvcFK!2bfhoTv(n4k1uGm5VX*T-4=j9unTeAL7~x{}OHIny0YW0%JcDXA5sqCcXn$ zY32S}cWgh*O_}^P3%Zt5+Sa<8B#pFRZCT9(IXJ}mYon}3VvkP24!xDX zbHV>&hyOM^?9TdUJNjeZGWQlo{~Oi(X>a^7PEfZjz~7AIQ~Km|f+xn;F)`rh=HWtL zHFr2J%x&c+<5G2lmHO-5as1Mq_+dOO_dd%%`voU|J0C1}+u>ZS-K%4-SJ}CpW-2gq z0XEDZ?rTb=eQR(-hv{L>u%9mHQc-pqfP!~YrZ-|X;T zp~gQcKgaMZa=#Vc5!+n;sM#^XNN*^-1*hJg*PR(Ec$k~*Grr#PPbvWaBF!D=sH9`%CIagfqdm{t4gA+g8fl*f zxa~Du0?Gd4opGHc>~>95acZQ|0)*vOSztO!A!k zlZWyDBU&B)9n(-&rH3WUFU@D*<5E+rDk9|=T!8yoQ4y(}G6@GKlt+kNujMxn^KnNU zfDDP}5E9Wb>JyMYXI1s--B7W=@tN87hcEr?5 z>A*Ap4;=nifd3_ye{PcC5er%VN{oO2|BJytLDDeZj%oE%>udQ1^G6>=)gRvYP2hj6 z!~YN`ePX5m)tGnuCcvN0DY5)9&Y?iR1ALsCI{RR9nZ&VwoU#H<5x;i!x^!EoQ zf#(s-)6Y}mb6P^?LcuMUtaoD6ANPU(tCB+7M^#T$zWo914*xG6i72(Z1!O{ja@)uoT|T z@nf_A)*tIxVIMyaep_Fxo6LKHQTb2C?;ZX>L4P@|6x1K>f9(oM!+Pu-f1vH}p}c<_ ziB#60i;5 zd&$w?6MNE=F(wpR;ujG$G`iy}5%~Ch|o8GGAyYay~fm&VP z!XMB33FGEy*ZM8Nm4K@T7nU9NI|JA6Ew5d1$L-V4r9bA@d)UE=57wJ|wd16A%08ot zRZ_BjPE__OLwpKwIT10+c$zx~0VbBV(19DhK7f0N=r1?i8yqW$)=0@7Y^ z(%(%-SO4D%uV#m&k?|Z~q7#_)N7mdKJDgwXA z{#bL+t9`JZrN*7t*fD;j{Q9uUpO|Z7{hOitWLyV3_8IQfAF=d52K?1nrn1jyt3UET z#&DSb39x@Y_;bxJWu$KS1@<`({2vY2XPi!8wmNt^TRkIs9YgpA*6V zE{A`|_>yrdfq#+xu@*zd+o=cK{Dbzt=DGm?QDapCP=C80=HB`ZML9>`q#w@d$VtL@ zND2BW=inK0asBSc^%<@=F;*hGWj2EU$2+!Pyt3a_kg*Kce9JVo;uoa<^@!g^f%uI% zP$dxY@4sI0zZvO|@xp$pts#n(j$cr|?*RYP9RBTSKgOu7Yg zzc}N+h<t>@X=GzUA77cN=U+&o*pMm_!u+Ci+8?6K~MS5N+o{AVFw z_h+p9mY{sZ@eUQwClSYg;JQ;OhaY?=MEXJD^+?5X!mHQ%0quW{u8);`>_iU5AF`1j z+0LIr_A9uQ&qi7#zpTbJDLvrBGT!T0YjGX$o_F-@>x_TKs!vdMYLX?5P7m4{-NPQJ zPgoB8s(zbsIrAOKOVxbZFG)ApsMq{~_P_dnN+0U~w6`_QUfkHS0IxWIV+;{`Q1J5; zpvPBy&wr8+4en>ieMpWcl{~{>KYuS?g4)#MyaM!_(X-%vgZXI^7wbd)Y?V)ic(Rl_ z=B#&TQ&Xgkar8P{Ki`vbhF&G#<1dX{#X(=oH=kjC_C?^^qVdiwOTjJk-QLzjJ7U+@mzBysnj8;v`O2{*Kl?oJonrY?k}}_RPDxhT@a=ExLb_9sd@+`X z5eR9Ak^G+4SBHcCz80O?6@bTr2)-ofzo~! z@txZ{U-$f@b&#w1DqlAmYeAD;3<%qy55JZ9=5(X5d;Sn?Ed6%MLzGUbkMFn0 zbN})O%kzhbn&?sfHuE}FOv*RtWvVh?b?IIO@N|CN3vw`iTde9=u#n|I!!dqN@O`m% zXw>bvKSRM^Lo}1|O!-2#J$|p5%1gd^ zzX6vg`0xZDU8>m1CE?}z+%T3e+g3k&slmZbbomRz^3PKIhZ|a2a$auq`-_5qBgD`* zaDTtj&yD|eOzUU28Xhs_bAu8GLtFEkti68t&lmE_Ol3@JQxX7N?mq;xU zY393qoc}&#IzM}+BNA@4pZ~FXq2zZqMVgxAE9`6CGo7<&BEK;Dya}@Jh1f$@Zv;!Z zQw*~9{zSs}DwKQ(zL?rA*WTYT%+Ho>u0mvCgXDwKXFJNHavF^M&m_j~D&BZXIgH|q z{91r0sdilP#813Po+IMZyPvc5L4kz&*_9SerMHo&xxTm|lYX5Q1o)n)vVAbl|{w!(6O$6>x zX&bKZx9I&WEeqB06VO+}^!K*e#Iv%kpJ`9p;%`oWr+hYt~_;; z=7RP1r>p&ZJkH%Tf8i2Yr~~|IKItaxNx?vcY>(<^);Cm4iHx6GADLb`p#kkZ%Ngm& zfqz;c{TCLN7E$NU^cD})jWaKky2<@lJ;&iD%)~m;%5o`hFDq~NcOmb0PQ1pcdCk|5 zZvUnBb3z>k@aiHHr&gC^G;d19$iet@+S2*Wk*4{LOO^~PnzM94lj_ez+vnouBlQ1g z>iHSd|A>qlIia$yqP&5XV0*xi({?`u?Lxs6N5B5c?(YcUzYl(@B*kMLd%n;2tXm1d_5zaVE;#{>4l+QhtLCTI z3$gY;!Yjl0W&dR1jrl@|F}HzVqDX#~&d*`LZ1CW=MMH)ks;k{0R6d;g=0+htQGFxE zhrOrQ^~@(5H#&O1488y2=>4d*_o#u!mLh&E@T6TCIq`WluSNa84JMyd%&4uZEU#?9 zn#A%N%#qbpVW~Y4g!Gryby)v@qOPDgYkaAHFuyFv_)RIsyzYUWsDF9~?lHbL0Anlz zXq+<(dM?KGdtB%r4R~7e`?da3Ion8=s^1Plds}de<(_)IP4`j#9Q$G1ufXZI;#?|j zfqC3xV{kn|q?oo^(W*E#nX2uvW$@sA8}>c;;ITtaJpi_&5J-Ql|C{!{elPPa(!XcE z#eAaHf9L7YOU;cFWvZ^95yy$;j{ehN+cAxxhon2qdK7U$ z7neWpK#T*H{u5^wi~v@qNd8o0CWZA^+v)=L@1FzxY0v_J+xt$cH`Fufd%w}wFE|kT zc1sxj#rM{d>9>0w;{ANI_M>Dly>(}?2e&N10Rjt}nuqAtggD5+`hKSohT%Y$VL1F{ zSWsWAbDKI%;Zu7IeW%x{XVUj_N8brZf9cOiggw-}Eby?FOxL+09%Hf97fTfSoAjW? z!@4tzw*>s0aeSPkmQDE5&QZ?!Tn~SM>Q33FdB3-Hd-|W{IkOUe2-dZs3+=+^iPQx6 zg(j~cUN$5txqu(ljO%Cyc}J;yh^O>*BAxtK;_uCbpV1#Oeot#CrLUWQg-E7z3Mr5; z#=reASJ)qGnzTPwiMYZqTO&qXp5J)&^dS+)B1Oo?0I1NT_I$9dLBhYw~iZaEQ0 zU-6k(I1j6Nabu(rCkifH5Lwi+FtWILuHJ6ktDn}t8DnM81N>25z;|PK9aAmbHdHm{ zR4l^7dXg=sym;d&9;Zv)=x^=??tF8J0l4*0|28{D$8tZdgby*Hjt=8;7EzIK<4`c( zN0h@WswbCKm(vEVYGBt*t!;>u)mG|d;-p_WOsU(`B=jHqUu4t}x$mmVd+xK3ylXfXb-C^Wne767J9l4!)d>AK`q@({;AjHnqz|r+F=@VoA zzdzQ<>9Jm|59;lo*C)-<=PSrkYvW0)ynW4ia6GO!aG=z6^y3(3l=sPTbpEDmCv0X3 z*y%3l^}M8$=@UC0*%L*R#pzSz=(Qbsy)AjrU!2z=%Q>YZ)|e=JdgX<{bNsQqGNpfS zc7v~|$LLwV^|8`XYln1%|3LE@1CGE|fa`obW6e~-I$SHQp1bOf?K9ZKTO=nz{&p)Z zZ@FrZHraeMVWSWOo{%`4ULDBSa{FSiKBswul?so_JM0lX9|i4^i2mbvtiidr9rI$? zLvim~_!01_a%MH_fV+gayhXyh*UNG9{x{+Mk61@~yOBvp*Wmm@>mLt5Us=zM zaenzYW`2fs(NV8Ioa#e==v|I}Wsu|aGxNR{`;bJ6$0S@P9{U1M&MUA&*rO-$C_l$o z=QjZUww@bg{XU72pN)1T7yLe@dXrW|+bYv|-*xpYA9mn+X`fS}*IPmiQGIgGPqFkF z4t~Y8@lt-E8pup{sybVRRGyDxC2PTCXIx zkp{})0F1ZlIsRVL(P+o{#~*r`kt>pKfZXF9x#y|<>na^FzUB#41_+DO@h7?Oa>+@1 z1ofE%eUz`I^@*B}VSO%g^g(}Xfc1$)zdO`^Kvn(*z@Me^H|$%ZvrWes?cM;SfnF=Z zdZE6G;U~~k#CTfLhjbKUPPKZCfv+*(uSTZ8JU)9>oZjbHyyQoL=lC)GUU2*vN=MaI z3Dg%CK|jS>+YRFYJ=SAM=z9tS#pwJeX(_lFMnyItF) z^@*B}VSVOVeUiJN&!dh$zg784r{f~PsUEdHyiLdUCC&5aL>4tsJl%TrKInxuiURwu zAI40_@~VomI!Z}dD=B-;u==I!2Kg^ZUi3HrWVIiL(*0Nm5B>ZB>m0n9&N}DzIg1yz zM$r>vG{6Ti)tcoZLBB zJGDWUI~q4ue#Dru&?`&wpuhQ_I`#JUrpEd6XEipVes$#zOod!M{zP)AKN9Y+XxE84 z6%fq!7;WXIoQU%jKeBQQ5>#u$Nq4{f9t-kqp zjOJ&T;7rLel1{c8$F*SQ{ zhmO+Yw+@{iKa56)qGIIz4s>eFnW)XU8QZgX8CEHcEXI4*6Jxf94s#p>CtG=Dyo%^{ zj2k!ZfUyi@x(gh-DWI!y==8XRmB%XwneIx54sHIxX%3wp_aM5iQO09rx|H-z0Q%E4HS}Mn%1dwZzjo-)0{uCbK4pSFr@+3WJGOg= zLw9}tNO^2_vf#Nk?VsN=~{T}$01Ftppgg+2t2O5_s zhF|8a!Y; z#hOB{Z$A&`yT}B5#xzn}Bliqgd9n=gv(?idh%xzrH`3cEdU1Jh&c~rRVSS#exBY?7 zTUw$YKGE4fh;6@+j_k5AIJUWP0lqS@DAL;8 zx^VHys>bYL7n1MlgFg4@dz|?GWOd;9>irq0_xhoIjpJDtoTawE)KmXG;2Fn1aQ9gd z-6BIL;vNuwVS=W@9KlMb9J%{Th;Eic_Y~;T9J({~xpQ`WqTqP!Gx}8GP<_=8?TOM? z#34-za~%2>M_)G`iLTP2`wnz!?2o7qs8#-1Pdf_gvub(q>7X;E0d%9B{!-BXd>+1` z!x%>45J%4(ht6F;MtXw3ri+8mTu22?g)yBm#{Y;8{Ut@`4>}ig2Wl$x5k7G264Eno zyP*^QcF-N_&^^@)-B%9Xji7VJ1IFB>+FP=xyFU?n=6&GMVVtbc@y*99bJl%_@*ABa zukGSv4zsY{(Q~%yKa#wT5%&`oyY7p8m2p@TnA=*+*58S128 zSkF5gx}!ih&yknz(%FP%J+C)({y>a<7cR7PqknMqblNwH-=z*6#$^f}zhm@&opcDN z`sk1ML-&M37nTP+V;77G6u$1z-RjsglwWcmbLiHC4z|)^x4p85(;`XS-0H~hGJ;kBJ zm_Xqc0~RB9cj}3-JoIObo*3^c+~LrrIdq}+8t3pCy22FU4?@1v0pBc1ru!a#6X|K& zX}>TVbc`t}OK`Zjp$qHjl-t50&}B&)`dji;?Dj8rsG}$583yG$bT_-_6@=`W?$GTI zy4@VQvvj`+=^oY-Xxg5IV?ejJLwB50ZbNiANH0ToFzALkbW@#l2-AJ$(3OME8E+`r zPZSXsP6yfEj-%Fv@=MO!4&7m(bH*D=eCPbPP`S-<%3t9TpmW9>ivJfo{c=`Yd4v87 zI%mA0_z@=^LghB+I!jkL19Z-KL-9#!J(%^Yz3RWgFGO2Jf%|70_UO%VhF7KR6Dq$s z7h1W4j)7d{TOEpj>-Jv){WFo{l&8W7=$bVZ*8S%>bm4T2Sb2qWKsVpg;cQU$O1szd zni~<9B*Z=Nmk_)WM+nikpDJ&ZuC)%IR`6Nk@Ojd$cY^sn2kB_?ALa=Lo$AnCuJRF$ zGi;IbCiMNa#cVy5pOCRM{chOlGUzOa{>T{Te38DpTe?DwZwzwU{}Dr-{gR>jCkN$U z%PagN=$!U{{~rYRSfbTG)GbhS-jo5LbK3v?A92Q$zEkrOq4NvjR}F%HtwUhECQOHX zV(fV*=w8%R&bSNZyYGU<7;AfEdbBz8Sl=?}4Ts(x50UlXSc)yj(`|A>udDzrt}5BZI<8AE@wP)>mCy@K7{$;I(axc=?D7kt%lw&d{xkwU`-hM ziK6HJR?uJ1{=%WdoXl>h!*m$>m~-BAs2vZdjU_DOX?J#uhpc~`R{lE6U13s?e+I#LgwyZ8U zuw_fSTDhoO)eQ`&T5GG>l3WO6k)^f0AWK5Ug%|Nk`?=V-}n15KaX|y&iS8n=1ebl<|U2`E%y`9 zPG}sW>`6bC;qGDWb67n*0rTlDE(W+NhP#TTPguJ?VKu{1x-Mn7O=_I!w0EBL9M~E4 zAe>dQKFrRnUzj!pe3mmljjSINp3dm+xO&e3?nH+3s``=Yop+q_xG~rp^!IM=?Dlti zWjR1i@8Yw7Z)bQcq0z5M?Z5;S7#D}}b$Za?a$aHS6E^=dA&KFNf$L?sn?-Ikc`2x$ zTzOa@N@wkI&T5rDC}Sz_FuDbx+syc%q1tbvd&NV$RGux^!pCBt3B z(#vTlI&?dcg8qS)myUi}I?APX*817Wy*!X|WTWtKV+L_Y=TV;MuX=b?7fn($UIZv?iA6AZ2DjDuv z;2zLg;yczI3>Q{Er=VVP?SuYMI_hif=KO%ohlS&^84mrSbmT|vaOYzRJx3~cDX9#H z{!lvdl6G_Iqqhgi7!SL8{s(aA|7ka8mg;{UBpvB)U>A>drF1qAFq@w@5jJ0#`~<`O z9ypXw?PmX-&BKM&$I16H-2K4q)hHom|DL5sSUM(O!*FQRGFbaOd!@T?PbBYPbXb2% z-_Q7eQ=Jb6+a~{j(Y*|QV;Eg|^mIx_{iN-cej9KYhifr(Sng^Sw_0hVJRs^3(nS{0xTwiNeeNHq{W0qxK;AB!+t%IM&|JI+vBZ z10@ zWbnZ}r*^Z_+4w80{Y;+9a9GF4Ks}({tavpKKz4r58!W*mr?@P&qo*%`QIxWCJi}wY zF$3+mb~E>?c{Qbf#&H-sJN70b}>)NXhIM(jZ+`-dpfsT%Ydz9gtfZN~(ijcz~^BtW$_FRGM0`PqZ+4+1X{}S-I*zn2P%f_!?gvHmC ze^2_=z+KL8f9CTaq2)J;mHTw;kIuM?;eO5PjVSp!3HishGy3}(zhL#%TG=|3{Wab`#^nH-ge+_W4IiK3)@eVl*Mou_h#J5aLK%$3bPM-w5~ji zdo%7eIPV8cUU)f+V>pa^GahER=h(ch6Tf-7oF%e$Hyz{5477*Zd2eR*Qdsd|B5&<{dprd8iY#eKLxGOENT>53%~^ zQ_KtXIyab0+^GyVAGj$Dw}Yj}$22btplbIMmoeND;ASw~`Mkbi`;966P5Jd?pkB67 zzO>8ys;X~vJNA8{>pM@z_d)wdF=>se?-E&g&cHas&edFm$gE`P`kvEoag_HL>Gm{{ z%@buz10MYY?J_6v^a-7>PMpNzy%D%$G)f5jadsYfSU)^*EW@Fmw<{U$X4ao}@_nby z_X(J9Qt4`~$^?$J`}UbEUBmKG!s{;1lW`t!%}m}}jth-n!hbQ`MZm3MxZ`#HL%SOm zzXZ&Cy7}+Nz+qgaot?q#>BP_7S4sCtyEuwpK%<1n*vItz)Vo|xDkGTkE!{)w;(iWX z7sEX)X3?)m`%Q6wtikO7uAkv<(dF5^lYB_t1q}B);Lb2K8Q)`i?sI#{j-IA{wN|A8 zcP_)VvHd-cUEKC6fz1_!KHt$$KU21JxRN)W_tb+I5yr-f8ijwIu~cHq zy4G_jF8&iHZvZ&f{-?dB=IK?vgMO7;@5txvV&dnj-ib%MW>gRgWqna9t-L1`a9aOs?<(( z8aX+R53_Upe;RrAQ;_$VLrec-jTWh(aAD)=_`3`);}zhbyLK~P6;wT`d4tgQJ^p5f z+XEaM|IfIW)gNK~9-Iwe?1FKq&BpyRu3&nG?fbyD_y+emaBpiZg}@#qRS&>^`@097 zR?o>t@u&~obo>ao4;fB>w@u^L&x^Lm$WnYM{i+Pk#=4!2ewTLB-(l_L{>C)wW2`Mk)9uW8!A@Y#niw_+*F231uli* zR&jfV?jMh5<;zBWoQZy{cGDN=`VsQN>i;-4uC%j(OV=pGFXJG%cbT4;N6nNe=3sHQ zcOpHx`IP|Eag?ezsz${z$nG zF&ygUOxFIV>TxOJ#GS89$Dp|z9KHzK7XwVQE2G;%Xfgj}bqE8{TjuR1@uQIZc*-)|V4eG+hN z{6FmqU5`Y-ZDBaf=Vop&G}HQYJ!0O`la$B$vo_{)GugO*THQhPT*Kr&4BRHeds>XH zN6b4{9_!EAmS1$9Qr}pO|8@ICh|vEI}kUP;l2Ufk2DJM=CFQGSbZM*F~ea# zBJ&!C0Uk2Rm45z=plk=D5Zh(|`E5rQ+xIehT=DWh3&b!UKO&Fd$nT-O|C)4D- zO)UC7x*f8wMc4y|_GtdyVOak@mX$~QCgA?eaQeH;2=!3xMMhrcD&SBLYUh4Gy3=_B zy*-(a=uoWNUL_KHM7pDQx8Y->ADy|!r4#P=uaur=x^&j6I?%nq=*;)DBK8!Q&SRs0 zo%t%G)87L}iVts(G4GN2HpA)fnj_(m2VA>j-XoK>|L*tNk#IRK&Z?w#m9_sN-*1PN zqu5jz=dm$gf;j-!x!1dr_(nVLgW|{9(@d<#drdLt=bT7pvOJjntj9Y;#Q#7{ z^GLl>FS>eTecp?9LA%NN{1(#Nt(Qw=y*&P6hQoTiw??C|_miJDv%jRMY=ILd<(dAn zRk;+ndWO^I=%D{iJt#Uqw*d8cfy#3de>#&p1Nat(54rcn7)0XMx;Sf9HE>^LIDQ{Z zSba4f{RP)PSpWBek9HyV3fqX{b5s=e=+EK8J)iWi0D}P%|w6GyP47Pd!#<~u50ff@KPx0AG4qFM?cfc#{cg9 zRw|u-vQ3DEHwtUc&m7(o&*o<{F<<7r&zdIT3OyI6FG!yy# zZchBliWWHKCT2UsVLv10xLr4q-#Zu9FNwK|;jkak`)d~_Ci466+;ZylpJGgT^JIPx zxVsoG+hPKEiPP9>uVHAJfKg*jMQN3&Xkh zOPY7|B-3FD!(m^c_iu(~!ZtQP8P@Z`=Wf!#s%heM6Jt-b-uV(UZiz$8gUB_lcn~_vM;* zF76eEL%W&9NU*m?wrY{aS>yi7aA-HPVhk`v-!Ht=c}MM$x55qgWL1dxYC2Dj;`=AV z2bGa0i>(K^_dPQ{S(6x_8%2DkNOt)AmhnORn8n)vkb9}a>XC6bFdW*$EZgNTqTZKH zdSA(KXb-cbr5tS3d%_8~mEmRq$J+noX!o-d?lgwW2hL=bs`6Pxf1^!qQ&*W`v9VBeu$+!lFTLfG+!>!i)!pu8*lJbscIJEm&%M49&k(y`U z>Aa)-ku}2&_hj|rutG6j_TR^qFh0vchxS>!wOUd3OtI*)C9x7qL^s z_*khAGPZ6%y{CW=>klNo8T~t`aZ?y>J#eV+v`cza&;O#o^l|?{Cr+0nlasu$A2D1v za7aJxlCIYC0)*=w=*#a4bfcb;xIGMq_4%wmjl$ab8M=Nm@90V5USK$kf3uL!v`bpS zE<0hN!+~*cNK8oG&D&mdVi>SM^6%mcFawOUjX+V zLxVM5S^9_8H?Y&L(D^2}|F0*Vh(EPY`;hPRoja09-j=mO$b7LGvd}hickFhRepGJW z)8$5GhC;h-ywaS`{1Cow9gjg zcPS5RMOhfHX5GecdBUb&L+yijW-1)Uq*+)`$-2|vj`@)7kJw*WSjf_U%S45H5STy1 z-^*~%L`x6ILqA>W`7|&uz(34zJ9NH5{KDjI-YaoLY|Y1dU)B=_H-4{Pe>3msN#>hr zEZ?APSD#^M5`1d^+fJ9a*s@86TQT4tyIRG=3HM~Z0y^lS-T3F-`iS;_Tg{jY#Qtw` za*y)FnQEQ(Uc~3|&K~gfgC&M82fB{ z^M+toz|K~;BOxAl0DnKjZ|do4ACNSXQD7k7o^?hMaRRTt28Y*BRx+P|4r&#F1JU*B z?=^b$_eRs}N$B;r$a<~q3$&l+4b|bmBcOja0)4hulG~+W0IjFNbq4we?8PwS+QDGg zK(O22*V!@91ye<|8|n9)lBWTi`y?RW?(gdeLoxlL$SDp(I0_DrD;XuOG%_xFyl|t! zXyR2CS%T;K;Ek>O& zB!OlAJGh*P8TVjvaAn45ON_}F6<9<3aWB+>F zOOLh_*xMJ_6zm!7_l7gK3j^Jq!F6anRDFCU>iz5JT-SPE<1+uMiWQB%#wB)kH+B=` zE3j9^4h^QAMFIF5?6foIlmc|oOZi2fcSK@lMuSigv_oP?;nru&tx1=j}!hNm>*$oy07T2+MQ?$!yOs^ z%xwn`BM_{iln7Z_@=Vx~z=*Sk(pH3gdv2~x+sf_S zxrZP%ntA2zI{tN?5meDqqe0R0>5PnY6^;ho+!q`OM8;~VZd|+i1A)^<6xkurb(FUb z2^vk|U=Mmz2UGa$2nHNBc^rlRrHrU@6P*<{QVq13LJzK7+FG&1--a9Cah+dnMH?y= z+6apSEIC^TdiJmpi$Fk7wWj|0RBXq|oYLTHX+eGE$Hh&!*1TSph!)G5zM_W8R0Tf5 zRUs`n*uQC|uezq%vQk#bfCd7zv{w6?ENiO2qNbt}r~l*VIsC2nwXX5k*Q~6mx2*C0 zipCZnZk@8M1b<~qwSPrhV~xKRKPU5_h_SB`w+UF*6#05pRUJ;Cm6lNN6aBu@1@jEI z6uP3T4uR&?WZaSf#r;d_n<{Y-UPWuoYG12m#j4ki4h~5Rsc%`y{?;|kHGWKRpjKb! zA0Q>=oBrS#0s5Kf{M_8Jp}miOC;L}JiFsv4=y<=svZkrUFC!>*Z>(u8p`R1|er#21 zZEEn>`s!=^xJLmmC;0v9vMQw&-X-E)U;Fx=?%J-cL=*4#*Y*VhWG#n{{&iZQuRG91 zFCleFW7`sXO!oWhD^@J2fl?#~>6d^vO-NR3WAgjsi?+;P=eYVfzrQgsp!lZv{mrc_ zs(rP!P8|JXg53j9k8%XmZLM2TgDa&V0P3~)PQ)8Bl|NyyyFa+T8;uFb!2?;K%8x6w zTIiHle@sbHadB};JybvdQkCu-v#c1ue`SNTQIg-U(pGXKnRw;*BWtUy9ER>{+24-b^#N{lKPU0Z0!=n>PIPBy{6(ynUoB#U$y${ zWI9lsbbbg;)>Ne6>eYT+#ZLMhWj8Q z>p#Sj$R=z1JA;a)?%;32JtckXQ*yJ(Wj9CCysWz-P_aE1!Li!zJOB8Uc1%q*bwMAjk@%t-JJf7 zI6In@jLOc5&>VE+%l!0i_$o?8llUICdI~(9XfqA}wTe{nJA4}IWTA?E6jdpWUA?9z)$tvgXS}Vb zHqezA2yC=5BI`I!S1q`cZ7_(>hcQ5BHS0i+y;fF&TEB3PUbnIo}=`$==ix?99!vM>uSYmL6_;kGzJFkVD|7YbIAzq=#Yog|FEsYh;Ep<(;BW{60lgvqv!>6Inf1#ZOrw9-9`)Mf} zQ;`u0@iC!#>0iU}TZif${8V0}vJiz&D8Iv(V;ued->*-jj%8Z9+k5*rU@{x`U1Ee7 z=#yg_+=ChHYF~@RWoJyI%@@5s$QsgAyO@JL==2Szk?lEU8}uhP_6}*xX^_xAMDv4< z7n~NKW?U&+ZlfOPma&vAxoZKpZ9m9x#u@ogvwuh}yA$8ToBz(ny1x*0N5Lp<0 zPxe=YH8xvVWol1N^6~Dz2q%0zzWv6xKiQLoD18k3U0c=nd>WsC@Usy<72()7F>$@S zzskX<-~hB65N;zJ`c3Kz;}5<^*C8BxxzfOY(oJd~h~^KzkZ(=82Q**7zVYTT`M^QG zRZ2Xd>*Q0y#@8N&oe;(jIKWq9TU87{G7u7`ZuFPA9d9ukQO z2cCq^_ru>r!T38B@vD^2^1q}^XMc)*gZ(mAEaIc+J=xgLo$b@1*w=N9+RySX*1bN( z3})H<5(<^L7hIgE-Vadw$`!1bj%>>Y@~!Uv9jjt5?oa+uSQXgcnTxzM?^$7;@Ucj06=SlH4l+FZWG5W= zNqKU1LS{fDm5AwM-0*f2o{i@dJ`_nw6b?OV{tADeBflUI*vpJAvDNB+Tck(jF}OeD zHW_ABrs1COeT=R~WSL*EEA(3OE@a*UerMsFxHT{ z?!lOUk4Q`uX(oJ&!_ZLdL9@v3=dvCOR z(X-`-IyKeiuUJ`u*?K=){i$fJp!=S4G*_8Eo^0HsUhp2|VSnN`Z;@f1>{~>_iDaKW zkoRG>{7{iTCMZ@|*oAN&oO7sgo@{&x+MyWx(28yO&YHW(gvlpceRp|>lxxkq!VR|;Y#7?E{Or+lv)4cJQSXXtf4=>C z>`R5S=40=1&Z7>_jmM2nJWlU-XcoLE66(bmx<6L7JGj@SmMcfdN?0>pCinvN4ONz5k zh3-K-w7f(4wKl`a*9)!?&Zm`dmWm^%S zufF#sJ!)M#3-^k7aKH%V zQ>@De`of+Zr_PkbDc@lH(5AZlGC;S5@q3){Lz}7SJUQrt=Nd9GAwiu3tlOblIBJMg zWuWU(s{2sa3Tl7Heie1m(*j3-6uR~IuYVz|!g~zk&(Z(;eBZw`yPyWxSV8W?N*Lz; zY(LEn_Tn^%AT99tv*e~M%!Fa2*f%hA_&;(6*c&z!uBTjR4SP5Zx_Ypmr@gbYFO*HR zF>d&nH|lSP0YzI%PF z|0mrjY}t>I;d`J5jXN$^_&kwxxfn0|Au{|eC%j-A%g)8X%H#NI-A(fKN0b*nR|=lI+yz`HSY_(=R48t=mv5`RluGi{5i zsV0gLd>Zg8Bgj|r^HpJgQ;pnu;}V77(LbKN)D4mkJbW_R{>jIMha-MkKZid`krBf2 zxy8WGj)4D%6TiBO7XMNdLoBRfOG;Z+D>eXy;L#_WoEnaI)5ltV5yF$AhI=LPa+HLI&?nki9bA#f-{2x7JL{H~Clb zkDh<=P5Q(k=?DBC$G!?*xu&_Ir3HKCTF}4b@^ilr{E+lD^+Poc(+^fZ{0MlA2f35> zQSz&r+x)(U=K31B`HKuKSh2atI$^8%E$(w+`lt&r9;7y4q-k!WE!dlvj@M$70NlYXD)CVN~ zo?PVrylDuh{&u>EeNCiG`81Cx!|y~m=AD+-BRpPUSROC&nDcYO??!kg!l`OS`0Y-( zm|da5Vf*sS5Kc8`vWWe$NS6F*9#Zmu5aAfJEj|j^;_NsyY?^IKp!fz5wC)4!4cI!`kY^9oK{mruq|183b z5l(Xp2%oFMU%y?2Bmd@=BOLR!#DBWOpLCP%RopSLG~0PjtrBDCiS(1QUlHOp%waA2 zF5-y1keUSx@o&RBKf(AHxK7}|S{7(Fj{J(?e ze+r)l{KW{r8{x>`SMvN%;b{o}9>S@PLHM~U|I7SK;h6}>d`d3WVd43g!e^o1h3}Jd zpF((e{-y97;D3qmXAvHre<>VwcRA+Ma;c3B&%YF2jPRQg{*uGr&A-ZaO~@N?p1c~& zXM6$DUE9ecLeG$SJ%lULkK>sCNXXek5Hl93S!Jqhe@){6+dKmv2$={Q= z7~#t!ghQsp*C4zN;U|UR8x$UWguFEo!ogGGA-nufNS@Ea@TlVuKPkKXkqF^yFc0~@ z8$A(!shj^O?|Jf0M)|%&hFjz7f$vfGYf}ED@OF$5P>(IW4E^dnB!7lF$G{0Eptbtf zNdL=0^CIX^7m4#ljO^!2d{BiyfN+$rU6mp+3;*2o+34auc|qU@MZ!BAk97;hpLl!n zwhBy@#ZY zd4oHNe~;m5YX>6;!K3|8<$<7+_z3rZXci1FV!MDpNdG?7MtF%vI)547YVAjOLRk2d zu>XtDzZoBI6v>^^QMN@Yel+gee;PhZQ@@|b@0W*}uf(JejrS^1MzQ{6)jx^+^8oxq zMwi(C7B>Bo>lPodc}O*3MEC}bWeL9o^QU?L36FM0ySUi`wHxpw(FcnmlHccwUmx0* z{hE{WH||_D&vgglcmFW)gZXeEv0k@vc2r?YPitF?I~D7Ex5?r)3`WlHKN)qtG+c%| zRZ8({#9CS73KpfqqI=KK)gA!dUPiaw%v(31?bZBh{|9uRGCC~Q z(Jxx>ZAy`JRxQ#OO@b#s*3cysJ970Kd9J{Hqp&NRAEpGS_%>xLxxa->tZC#=(Na*4 zU$62N&2K+DjQzuS6Bo(m4dEFQ=wb@Dx*VR+LfCIx^hF8lxEgxny^nm)75+d zI%mF15+}7Wy5BImcR+VMve&rbZwrl=9seXv_0iTR!0-#&1Bys~JFApCtbdO@;kY537DBr6UJ&(Xo*Sl~XS^wW zmQPfC_k-u(;AwoL+~mg;U$s6OWj$QA$69BdI*#7Uo%Ts`oKE%FF3nx;_e!H*Qjht@ z?$fCr`yWQ9<45|k@;3cp(7naz{$SE)xhikd?*z?z@T}dQ^8R5+AC}Xl{%0#YZig)N zC+J*M?T+d=#Pm_TCErUG->bnh4t|2>D#TIu9Af%}lpbaG8=2j|3;stL{zuPd_WT{A zL;Y1Sozdy|QTjZ@=un3h*oJQEY*WsbKda(}dZ%C(d?BMdS+)CAehzKlkdqgkIvrhl zXWx*tBlg0`@`E~}pcJ09<5QmznIwIfeI*fjOB}^pmrtB6UT{2ot%=XHd5r%P!}OE5 z?y4w}{wq?MU30*H8RP#8W7id!!_)QL^y#2$W^}J8J&4}|MwbY>Hba+M%J`{vFcp28 zf)n8}N2OirsfQ<>idj0XIK|N`6=!Z^yzD7(=2fJ~RINYNN6!pX&nY`<-o!xK@f(W& zZQzYPAq8jZ*r0B$I`njs{CPTEW$c=IIrwj5{C6OFfH(}mXyBS*lLr5phn|M$5*lW{#=;5z`mCPn%~s&wEl;XPMSBd zkm+=hiVyZ075q2+Zp|I{Y@K}Q=_L8{bh?Jw73Y!`yutWC#_W0vqgxERe;PV_JWHoP zGP)wreaPrmtM-)g-{UG?GlU0Clc(SlM)zIDZ?B~D6rkJ{#=<8Xx=fGif6Dy=&KZ{! z>YOV$%6_5Ss(}yn_x?6aJxKjMg`xCnjhFh0X{769c-D?*o}%=n{4nhNi_2G~mXjY^ zGaddEOC-=-(WWj<=`+gilvUIE=KO@+PmF{a<%dOV}U8dYH~qg%!JH9B-t?*h$S z_yvsaJ1V`XKQXHLEQ+gXNu(XuDS4O|DMWrNtTOVlET#X5)5qa0`JSox;;hZWI`}5W z*MF$#!&@hnKHqov6W6Id;P1{h3z78*vnMNeQ@;v2HupPo97`Wo?xvz|Rrocd@645q zpDK4#%RtisujWvRdgdjEBYjK@BJHTgaZ@n|Sctl|aI?`1SxwE`jkNqYyd__(2UGuQ zY65tk1<%Iuv%Yqy>BCzkCwqcL78{2}}`jPByYkv^v7AUn3H@^kcckVSqwlXu`y)5qa0`Kt1B zG{z`}JK%q3_|7@`P}7IEMk;++`8gW%PKAG9{2yZWWaS63askk&@DQl2;0H3gWcE$+T9Vzk>8GT3KJwZx;mRf z6*rt3!|7|=8mr{FWG*J(mS@*R{a#sbHO(GguiSh(>;>oi((zt4enGhW&pqGqe>I-; zv1!z3x?5@wOFXYZdTwV1i)41GPWEg@C6n7t7!=L5gX`7>`tof$EnXg5(=UsuIFJqg zMgLp*MIId()sTmlUt|t(j*vJ8<={rdOPg0?5+0XB3*JXfJd8~yYuLgMn71IbmIFFRw8dt zXLGGGoZ?jhkMTrqIeYDloA7ItJFY=1ICe^1Dl`G9UH{(i_J)`~S^-L;JSj8i|X%i$k2<(z9*=ha(5HfQwhw%gH}*@#=LJA#k{ zId3lp*`kudA1*>?vz@&>~ zw6wHjenIhqvIV12RWWQUY$>c5Va7PPjz=m{nkiG`dYimrdtrH*T~a*XE-5OVH;Onb z4Qp|mygh{B{LwR9RZ}S$Zr;4PaHCUY7ou2?o?%VFMjY3)$Zl!x3iO|#T7glqJW`1g z)*%@2PuPTdB-GFIp`Yiw3;s6vU%~%7{I&2uRh^b2_(#gez6Rep@Mpkpg71Sr6@Kjz z6s%ZF`70o6DSRz_B|N@C^_9T0eF#TN6Ug^W-6=eYIHPFgO_2F1Jo?(cE8tIr&lT1c zWx}d^0se>Z822&fnf4I&GGnc6(j~%r6*heJI~Z4{z}xUx(<*L;KLx%Q{(HjObst0H zQO4g3IqC4|-}@#C>r(7tzYBTdj&-55N8*>1??Jo}@4AoR--mw#ei!_I9|?(}JV}1t zU*R8vN1W^Kg1-$O-`m&yER^<0{F3pHgRCB5mE9sdC0N%l^Jv`RRD3&v2xjT(^8)j+p6#hgN^%h{tzg%(Xb% z>#|S{DL$2d5Z1Rb|FJ#^G0Bea$`5pzIek*>Qhg-4yf`wz%N*BQDa{X}+X`!zh)1RJi$ zd=$zSmPM`A6XCHhY4vd!L!)k6gEg+z|2X{Gko>NC)QdZW)jLOy**()=$KU^gXZte` zzhs9atv}UY<<1j`u_(tAZ!*%7^!=RB^Htd4RqS~zMg3b_h37hW%wLsEf%n1}!ebxV zB&6Y_M+}d{@kDw;mY&bBVzGW$;>>MG_H*x3>sWit_eUjD4UPQHWcQHy6nQNMj_+!# zQA*Oh3F70*nS#BMC7AcpZtffE9#<|0djU0#{66T-p>mol8YK(K-|O-hW3V1uf=X1o zqABYBRnEWI&_v-+H+vZVg)VQgoXh2Y&s9=scu76}XGlC(t?=RgnEDzy|Af4t?I_0Jtbh_ZGv&mzXtTPXl=E*i zG?M?@v{KQ~RNaQ#OseYXBkz8_BrffUx>Cvw_^|D`Ona3_6B=G z;)OFDU99ALqTxFQ^O_~BEh~CMeMiXk4j3Al&t7d=<*)SN{)+mViWPLmNlo>V8rtB5 zJ4$LCBcR-S#yti9pzz=xZ%@ovJYyYu+$VTu`(<@}4)m@&6g)e>r~N#`vEnjvm7QSBA#P|HPlxWij9DYrrir zVfEB@#{cKQ-p%-5r}IB6zu0G_X`J&0!Cz)gxB77O#=dFS-ssbnJjnPypuU4;_MU=# zt7&As(T2KsT_>zR7`E}ZjhDPGwV0g5pC3_h@GXfst zDorEt?;CvTQRtt07=E7mPMM`&$>)Yfmg`-}7YALvQ285UA#JDBZ19jJ#*tN0H?%!2 zjWaYd|Ls+BO!kxcuQZ17JqDO5O#eQ0jsnv^waL&>{7cbybM-=9Qff2&B}4OHDe7NM zBlUV8dO5v(x5!Dpsf;i7VVAP~dL=ig@87vz7aAJM*N7MC<|$2up9Q}d{zUkI(eFq- zN&V5kckS|H$UVVGE4g=wT}0ZwhDPGCuUO+tYY?`a;h$D`I)CZyuzm#^T-libIWIS* z==W+KPXBCZd%v|m*y%qV*Lo;XQV$=~1K((so?`SsI!>owvi{r?+U|pk%Pd5XlMN4< z{_kOR%~$PTP6v`zI^Ty&xu#zr(*6R>X-w|P>bz8z{-qlXjpX|Q7UeCaLfds1$niw(^XyEkGH73xa+e9PtQDZLDst64l=(RQbPo_8(| zWuI%(*&CYQD9qB_@}#kWit8oB;|pvVPqIkmpkxaiaQSV_wH%+=phit zYhVXjo;O5}7=!)Pnnsp~mk^&l@bAKZhOqJQUikkT^V+DlAWz%hQ)c7$95>pue<_ZB zNqc-;PA8(mg`}qkWyZxwx_wT1P*qI!L0k;~eEgor_;;%QBzBpbY(}GE8h~SH4AL((}-CHuYNB2?oRSe|;0K6;(YW89&x9D60d8 z?f=L5MNa;w_Zuo;rywIk^EOO{-=O8$oRjg%OCXr&}m;}eW5xuA@RT-IgOR}`p}7oQ&JqBQeFp> z*A2`jCU3tQU&;L7#Dzjbf67+x;b=Y#U1B`L?W|DH0mIb}aEa^_7^<&xy=_ipTu z6rXJd!{s<#V`r#O<+p4pJo;f}_(q}(>o;X*!=tS&L)%jJYk0IDWq-l^GW4D@U04T9 zw2VTde3J1zo7wY9$hyWbn^(%>8B(9Ie15gT$auc*q?^82iMUBQKW1{!=9k^fdpJLQ>v+ zOy1+bvi`xmYecH#FYU+M9hOe`7Eoij{iq8f`6G|H@%g(+8+w}ept2wJKi&-Mf3N~g z`|@;K?77wOh&jhSzt5BNw4re+#*3JpV*L2=&%1BFeE8A=Us~Wx3w&vTFD>w;1-`Vv I|JN4yKREaB%>V!Z literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml new file mode 100644 index 0000000..9d3b489 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml @@ -0,0 +1,2547 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c new file mode 100644 index 0000000..8b13789 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c @@ -0,0 +1 @@ + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp new file mode 100644 index 0000000..8b13789 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp @@ -0,0 +1 @@ + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.C b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.C new file mode 100644 index 0000000..e69de29 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.c b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.c new file mode 100644 index 0000000..e69de29 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log new file mode 100644 index 0000000..2f46da9 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log @@ -0,0 +1,9 @@ +15:53:28 **** Incremental Build of configuration Nios II for project D8M_Camera_Test **** +make all +Info: Building ../D8M_Camera_Test_bsp/ +make --no-print-directory -C ../D8M_Camera_Test_bsp/ +[BSP build complete] +[D8M_Camera_Test build complete] + +15:53:28 Build Finished (took 237ms) + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log new file mode 100644 index 0000000..1f0e53a --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log @@ -0,0 +1,129 @@ +15:52:33 **** Build of configuration Nios II for project D8M_Camera_Test_bsp **** +make all +Compiling alt_alarm_start.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_alarm_start.o HAL/src/alt_alarm_start.c +Compiling alt_busy_sleep.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_busy_sleep.o HAL/src/alt_busy_sleep.c +Compiling alt_close.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_close.o HAL/src/alt_close.c +Compiling alt_dcache_flush.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush.o HAL/src/alt_dcache_flush.c +Compiling alt_dcache_flush_all.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush_all.o HAL/src/alt_dcache_flush_all.c +Compiling alt_dcache_flush_no_writeback.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush_no_writeback.o HAL/src/alt_dcache_flush_no_writeback.c +Compiling alt_dev.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dev.o HAL/src/alt_dev.c +Compiling alt_dma_rxchan_open.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dma_rxchan_open.o HAL/src/alt_dma_rxchan_open.c +Compiling alt_dma_txchan_open.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dma_txchan_open.o HAL/src/alt_dma_txchan_open.c +Compiling alt_ecc_fatal_exception.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_ecc_fatal_exception.o HAL/src/alt_ecc_fatal_exception.c +Compiling alt_exception_entry.S... +nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_exception_entry.o HAL/src/alt_exception_entry.S +Compiling alt_exit.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_exit.o HAL/src/alt_exit.c +Compiling alt_fcntl.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fcntl.o HAL/src/alt_fcntl.c +Compiling alt_fd_lock.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fd_lock.o HAL/src/alt_fd_lock.c +Compiling alt_fd_unlock.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fd_unlock.o HAL/src/alt_fd_unlock.c +Compiling alt_find_dev.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_find_dev.o HAL/src/alt_find_dev.c +Compiling alt_find_file.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_find_file.o HAL/src/alt_find_file.c +Compiling alt_flash_dev.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_flash_dev.o HAL/src/alt_flash_dev.c +Compiling alt_fs_reg.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fs_reg.o HAL/src/alt_fs_reg.c +Compiling alt_fstat.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fstat.o HAL/src/alt_fstat.c +Compiling alt_get_fd.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_get_fd.o HAL/src/alt_get_fd.c +Compiling alt_gmon.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_gmon.o HAL/src/alt_gmon.c +Compiling alt_icache_flush.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_icache_flush.o HAL/src/alt_icache_flush.c +Compiling alt_icache_flush_all.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_icache_flush_all.o HAL/src/alt_icache_flush_all.c +Compiling alt_iic.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_iic.o HAL/src/alt_iic.c +Compiling alt_iic_isr_register.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_iic_isr_register.o HAL/src/alt_iic_isr_register.c +Compiling alt_instruction_exception_entry.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_instruction_exception_entry.o HAL/src/alt_instruction_exception_entry.c +Compiling alt_instruction_exception_register.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_instruction_exception_register.o HAL/src/alt_instruction_exception_register.c +Compiling alt_io_redirect.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_io_redirect.o HAL/src/alt_io_redirect.c +Compiling alt_ioctl.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_ioctl.o HAL/src/alt_ioctl.c +Compiling alt_irq_entry.S... +nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_irq_entry.o HAL/src/alt_irq_entry.S +Compiling alt_irq_handler.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_handler.o HAL/src/alt_irq_handler.c +Compiling alt_irq_register.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_register.o HAL/src/alt_irq_register.c +Compiling alt_irq_vars.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_vars.o HAL/src/alt_irq_vars.c +Compiling alt_isatty.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_isatty.o HAL/src/alt_isatty.c +Compiling alt_lseek.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_lseek.o HAL/src/alt_lseek.c +Compiling alt_main.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_main.o HAL/src/alt_main.c +Compiling alt_open.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_open.o HAL/src/alt_open.c +Compiling alt_read.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_read.o HAL/src/alt_read.c +Compiling alt_release_fd.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_release_fd.o HAL/src/alt_release_fd.c +Compiling alt_remap_cached.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_remap_cached.o HAL/src/alt_remap_cached.c +Compiling alt_remap_uncached.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_remap_uncached.o HAL/src/alt_remap_uncached.c +Compiling alt_sbrk.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_sbrk.o HAL/src/alt_sbrk.c +Compiling alt_software_exception.S... +nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_software_exception.o HAL/src/alt_software_exception.S +Compiling alt_tick.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_tick.o HAL/src/alt_tick.c +Compiling alt_uncached_free.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_uncached_free.o HAL/src/alt_uncached_free.c +Compiling alt_uncached_malloc.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_uncached_malloc.o HAL/src/alt_uncached_malloc.c +Compiling alt_write.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_write.o HAL/src/alt_write.c +Compiling altera_nios2_gen2_irq.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/altera_nios2_gen2_irq.o HAL/src/altera_nios2_gen2_irq.c +Compiling crt0.S... +nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/crt0.o HAL/src/crt0.S +Compiling alt_sys_init.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/alt_sys_init.o alt_sys_init.c +Compiling altera_avalon_jtag_uart_fd.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_fd.o drivers/src/altera_avalon_jtag_uart_fd.c +Compiling altera_avalon_jtag_uart_init.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_init.o drivers/src/altera_avalon_jtag_uart_init.c +Compiling altera_avalon_jtag_uart_ioctl.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o drivers/src/altera_avalon_jtag_uart_ioctl.c +Compiling altera_avalon_jtag_uart_read.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_read.o drivers/src/altera_avalon_jtag_uart_read.c +Compiling altera_avalon_jtag_uart_write.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_write.o drivers/src/altera_avalon_jtag_uart_write.c +Compiling altera_avalon_sysid_qsys.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_sysid_qsys.o drivers/src/altera_avalon_sysid_qsys.c +Compiling altera_avalon_timer_sc.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_sc.o drivers/src/altera_avalon_timer_sc.c +Compiling altera_avalon_timer_ts.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_ts.o drivers/src/altera_avalon_timer_ts.c +Compiling altera_avalon_timer_vars.c... +nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_vars.o drivers/src/altera_avalon_timer_vars.c +Creating libhal_bsp.a... +rm -f -f libhal_bsp.a +nios2-elf-ar -src libhal_bsp.a obj/HAL/src/alt_alarm_start.o obj/HAL/src/alt_busy_sleep.o obj/HAL/src/alt_close.o obj/HAL/src/alt_dcache_flush.o obj/HAL/src/alt_dcache_flush_all.o obj/HAL/src/alt_dcache_flush_no_writeback.o obj/HAL/src/alt_dev.o obj/HAL/src/alt_dev_llist_insert.o obj/HAL/src/alt_dma_rxchan_open.o obj/HAL/src/alt_dma_txchan_open.o obj/HAL/src/alt_do_ctors.o obj/HAL/src/alt_do_dtors.o obj/HAL/src/alt_ecc_fatal_entry.o obj/HAL/src/alt_ecc_fatal_exception.o obj/HAL/src/alt_env_lock.o obj/HAL/src/alt_environ.o obj/HAL/src/alt_errno.o obj/HAL/src/alt_exception_entry.o obj/HAL/src/alt_exception_muldiv.o obj/HAL/src/alt_exception_trap.o obj/HAL/src/alt_execve.o obj/HAL/src/alt_exit.o obj/HAL/src/alt_fcntl.o obj/HAL/src/alt_fd_lock.o obj/HAL/src/alt_fd_unlock.o obj/HAL/src/alt_find_dev.o obj/HAL/src/alt_find_file.o obj/HAL/src/alt_flash_dev.o obj/HAL/src/alt_fork.o obj/HAL/src/alt_fs_reg.o obj/HAL/src/alt_fstat.o obj/HAL/src/alt_get_fd.o obj/HAL/src/alt_getchar.o obj/HAL/src/alt_getpid.o obj/HAL/src/alt_gettod.o obj/HAL/src/alt_gmon.o obj/HAL/src/alt_icache_flush.o obj/HAL/src/alt_icache_flush_all.o obj/HAL/src/alt_iic.o obj/HAL/src/alt_iic_isr_register.o obj/HAL/src/alt_instruction_exception_entry.o obj/HAL/src/alt_instruction_exception_register.o obj/HAL/src/alt_io_redirect.o obj/HAL/src/alt_ioctl.o obj/HAL/src/alt_irq_entry.o obj/HAL/src/alt_irq_handler.o obj/HAL/src/alt_irq_register.o obj/HAL/src/alt_irq_vars.o obj/HAL/src/alt_isatty.o obj/HAL/src/alt_kill.o obj/HAL/src/alt_link.o obj/HAL/src/alt_load.o obj/HAL/src/alt_log_macro.o obj/HAL/src/alt_log_printf.o obj/HAL/src/alt_lseek.o obj/HAL/src/alt_main.o obj/HAL/src/alt_malloc_lock.o obj/HAL/src/alt_mcount.o obj/HAL/src/alt_open.o obj/HAL/src/alt_printf.o obj/HAL/src/alt_putchar.o obj/HAL/src/alt_putcharbuf.o obj/HAL/src/alt_putstr.o obj/HAL/src/alt_read.o obj/HAL/src/alt_release_fd.o obj/HAL/src/alt_remap_cached.o obj/HAL/src/alt_remap_uncached.o obj/HAL/src/alt_rename.o obj/HAL/src/alt_sbrk.o obj/HAL/src/alt_settod.o obj/HAL/src/alt_software_exception.o obj/HAL/src/alt_stat.o obj/HAL/src/alt_tick.o obj/HAL/src/alt_times.o obj/HAL/src/alt_uncached_free.o obj/HAL/src/alt_uncached_malloc.o obj/HAL/src/alt_unlink.o obj/HAL/src/alt_usleep.o obj/HAL/src/alt_wait.o obj/HAL/src/alt_write.o obj/HAL/src/altera_nios2_gen2_irq.o obj/HAL/src/crt0.o obj/alt_sys_init.o obj/drivers/src/altera_avalon_jtag_uart_fd.o obj/drivers/src/altera_avalon_jtag_uart_init.o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o obj/drivers/src/altera_avalon_jtag_uart_read.o obj/drivers/src/altera_avalon_jtag_uart_write.o obj/drivers/src/altera_avalon_sysid_qsys.o obj/drivers/src/altera_avalon_timer_sc.o obj/drivers/src/altera_avalon_timer_ts.o obj/drivers/src/altera_avalon_timer_vars.o +[BSP build complete] + +15:52:36 Build Finished (took 2s.792ms) + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml new file mode 100644 index 0000000..c552249 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml @@ -0,0 +1,7 @@ + +
+
+
+
+
+
diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log new file mode 100644 index 0000000..e446209 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log @@ -0,0 +1,61 @@ +15:53:05 **** Build of configuration Nios II for project D8M_Camera_Test **** +make all +Info: Building ../D8M_Camera_Test_bsp/ +make --no-print-directory -C ../D8M_Camera_Test_bsp/ +[BSP build complete] +Info: Compiling I2C_core.c to obj/default/I2C_core.o +nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/I2C_core.o I2C_core.c +I2C_core.c: In function 'oc_i2c_uninit': +I2C_core.c:146:15: warning: unknown escape sequence: '\I' + printf("\I2C core is failed to disable! \r\n"); + ^ +I2C_core.c: In function 'OC_I2CL_Read': +I2C_core.c:612:22: warning: unused variable 'DataLow' [-Wunused-variable] + alt_u8 DataHigh, DataLow; + ^ +I2C_core.c:612:12: warning: unused variable 'DataHigh' [-Wunused-variable] + alt_u8 DataHigh, DataLow; + ^ +Info: Compiling auto_focus.c to obj/default/auto_focus.o +nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/auto_focus.o auto_focus.c +auto_focus.c: In function 'Focus_Window': +auto_focus.c:80:11: warning: 'end_focus' may be used uninitialized in this function [-Wmaybe-uninitialized] + return end_focus; + ^ +Info: Compiling main.c to obj/default/main.o +nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/main.o main.c +main.c: In function 'main': +main.c:233:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] + printf("\nExposure = %x ", exposureTime); + ^ +main.c:233:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] +main.c:238:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] + printf("\nExposure = %x ", exposureTime); + ^ +main.c:238:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] +Info: Compiling mipi_bridge_config.c to obj/default/mipi_bridge_config.o +nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/mipi_bridge_config.o mipi_bridge_config.c +Info: Compiling mipi_camera_config.c to obj/default/mipi_camera_config.o +nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/mipi_camera_config.o mipi_camera_config.c +Info: Compiling queue.c to obj/default/queue.o +nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/queue.o queue.c +Info: Linking D8M_Camera_Test.elf +nios2-elf-g++ -T'../D8M_Camera_Test_bsp//linker.x' -msys-crt0='../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o' -msys-lib=hal_bsp -L../D8M_Camera_Test_bsp/ -Wl,-Map=D8M_Camera_Test.map -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o D8M_Camera_Test.elf obj/default/I2C_core.o obj/default/auto_focus.o obj/default/main.o obj/default/mipi_bridge_config.o obj/default/mipi_camera_config.o obj/default/queue.o -lm -msys-lib=m +nios2-elf-insert D8M_Camera_Test.elf --thread_model hal --cpu_name nios2_gen2 --qsys true --simulation_enabled false --id 0 --sidp 0x410e0 --timestamp 1622558600 --stderr_dev jtag_uart --stdin_dev jtag_uart --stdout_dev jtag_uart --sopc_system_name Qsys --quartus_project_dir "/home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16" --jdi ../..//output_files/DE10_LITE_D8M_VIP.jdi --sopcinfo /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/../../Qsys.sopcinfo +Info: (D8M_Camera_Test.elf) 84 KBytes program size (code + initialized data). +Info: 5096 Bytes free for stack + heap. +Info: Creating D8M_Camera_Test.objdump +nios2-elf-objdump --disassemble --syms --all-header --source D8M_Camera_Test.elf >D8M_Camera_Test.objdump +[D8M_Camera_Test build complete] +15:53:25 **** Build of configuration Nios II for project D8M_Camera_Test **** +make all +Info: Building ../D8M_Camera_Test_bsp/ +make --no-print-directory -C ../D8M_Camera_Test_bsp/ +[BSP build complete] +[D8M_Camera_Test build complete] +15:53:28 **** Incremental Build of configuration Nios II for project D8M_Camera_Test **** +make all +Info: Building ../D8M_Camera_Test_bsp/ +make --no-print-directory -C ../D8M_Camera_Test_bsp/ +[BSP build complete] +[D8M_Camera_Test build complete] diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 new file mode 100644 index 0000000..6ad8dec --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 @@ -0,0 +1,302 @@ + + +#include +#include "I2C_core.h" +#include "terasic_includes.h" +#include "mipi_camera_config.h" +#include "mipi_bridge_config.h" +#include "system.h" + +#include "auto_focus.h" + +#include +#include + +//EEE_IMGPROC defines +#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') + +//offsets +#define EEE_IMGPROC_STATUS 0 +#define EEE_IMGPROC_MSG 1 +#define EEE_IMGPROC_ID 2 +#define EEE_IMGPROC_BBCOL 3 + +#define EXPOSURE_INIT 0x002000 +#define EXPOSURE_STEP 0x100 +#define GAIN_INIT 0xFFF +#define GAIN_STEP 0xFFF +#define DEFAULT_LEVEL 3 + +#define MIPI_REG_PHYClkCtl 0x0056 +#define MIPI_REG_PHYData0Ctl 0x0058 +#define MIPI_REG_PHYData1Ctl 0x005A +#define MIPI_REG_PHYData2Ctl 0x005C +#define MIPI_REG_PHYData3Ctl 0x005E +#define MIPI_REG_PHYTimDly 0x0060 +#define MIPI_REG_PHYSta 0x0062 +#define MIPI_REG_CSIStatus 0x0064 +#define MIPI_REG_CSIErrEn 0x0066 +#define MIPI_REG_MDLSynErr 0x0068 +#define MIPI_REG_FrmErrCnt 0x0080 +#define MIPI_REG_MDLErrCnt 0x0090 + +void mipi_clear_error(void){ + MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error + + MipiBridgeRegWrite(0x0082,0x00); + MipiBridgeRegWrite(0x0084,0x00); + MipiBridgeRegWrite(0x0086,0x00); + MipiBridgeRegWrite(0x0088,0x00); + MipiBridgeRegWrite(0x008A,0x00); + MipiBridgeRegWrite(0x008C,0x00); + MipiBridgeRegWrite(0x008E,0x00); + MipiBridgeRegWrite(0x0090,0x00); +} + +void mipi_show_error_info(void){ + + alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; + + PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); + SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); + MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); + FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); + MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); + printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); +} + +void mipi_show_error_info_more(void){ + printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); + printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); + printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); + printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); + printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); + printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); + printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); + printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); + printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); + printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); + printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); + printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); +} + + + +bool MIPI_Init(void){ + bool bSuccess; + + + bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K + if (!bSuccess) + printf("failed to init MIPI- Bridge i2c\r\n"); + + usleep(50*1000); + MipiBridgeInit(); + + usleep(500*1000); + +// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K +// if (!bSuccess) +// printf("failed to init MIPI- Camera i2c\r\n"); + + MipiCameraInit(); + MIPI_BIN_LEVEL(DEFAULT_LEVEL); +// OV8865_FOCUS_Move_to(340); + +// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! + + + usleep(1000); + + +// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); + + return bSuccess; +} + + + + +int main() +{ + + fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); + + printf("DE10-LITE D8M VGA Demo\n"); + printf("Imperial College EEE2 Project version\n"); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + + usleep(2000); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + usleep(2000); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + + printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); + //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP + + + usleep(2000); + + + // MIPI Init + if (!MIPI_Init()){ + printf("MIPI_Init Init failed!\r\n"); + }else{ + printf("MIPI_Init Init successfully!\r\n"); + } + +// while(1){ + mipi_clear_error(); + usleep(50*1000); + mipi_clear_error(); + usleep(1000*1000); + mipi_show_error_info(); +// mipi_show_error_info_more(); + printf("\n"); +// } + + +#if 0 // focus sweep + printf("\nFocus sweep\n"); + alt_u16 ii= 350; + alt_u8 dir = 0; + while(1){ + if(ii< 50) dir = 1; + else if (ii> 1000) dir =0; + + if(dir) ii += 20; + else ii -= 20; + + printf("%d\n",ii); + OV8865_FOCUS_Move_to(ii); + usleep(50*1000); + } +#endif + + + + + + + ////////////////////////////////////////////////////////// + alt_u16 bin_level = DEFAULT_LEVEL; + alt_u8 manual_focus_step = 10; + alt_u16 current_focus = 300; + int boundingBoxColour = 0; + alt_u32 exposureTime = EXPOSURE_INIT; + alt_u16 gain = GAIN_INIT; + + OV8865SetExposure(exposureTime); + OV8865SetGain(gain); + Focus_Init(); + while(1){ + + // touch KEY0 to trigger Auto focus + if((IORD(KEY_BASE,0)&0x03) == 0x02){ + + current_focus = Focus_Window(320,240); + } + // touch KEY1 to ZOOM + if((IORD(KEY_BASE,0)&0x03) == 0x01){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + + + #if 0 + if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ + + current_focus = Focus_Window(320,240); + } + + // touch KEY1 to trigger Manual focus - step + if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ + + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + else current_focus = 0; + OV8865_FOCUS_Move_to(current_focus); + + } + + // touch KEY2 to trigger Manual focus + step + if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + } + + // touch KEY3 to ZOOM + if((IORD(KEY_BASE,0)&0x0F) == 0x07){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + #endif + + //Read messages from the image processor and print them on the terminal + while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read + int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer + if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier + printf("\n"); + } + printf("%08x ",word); + } + + //Update the bounding box colour + boundingBoxColour = ((boundingBoxColour + 1) & 0xff); + IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); + + //Process input commands + int in = getchar(); + switch (in) { + case 'e': { + exposureTime += EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 'd': { + exposureTime -= EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 't': { + gain += GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'g': { + gain -= GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'r': { + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + case 'f': { + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + } + + + //Main loop delay + usleep(10000); + + }; + return 0; +} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 new file mode 100644 index 0000000..20385e7 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 @@ -0,0 +1,283 @@ +#include +#include "I2C_core.h" +#include "terasic_includes.h" +#include "mipi_camera_config.h" +#include "mipi_bridge_config.h" +#include "system.h" + +#include "auto_focus.h" + +#include +#include + +//EEE_IMGPROC defines +#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') + +//offsets +#define EEE_IMGPROC_STATUS 0 +#define EEE_IMGPROC_MSG 1 +#define EEE_IMGPROC_ID 2 +#define EEE_IMGPROC_BBCOL 3 + +#define EXPOSURE_INIT 0x002000 +#define EXPOSURE_STEP 0x100 +#define GAIN_INIT 0xFFF +#define GAIN_STEP 0xFFF +#define DEFAULT_LEVEL 3 + +#define MIPI_REG_PHYClkCtl 0x0056 +#define MIPI_REG_PHYData0Ctl 0x0058 +#define MIPI_REG_PHYData1Ctl 0x005A +#define MIPI_REG_PHYData2Ctl 0x005C +#define MIPI_REG_PHYData3Ctl 0x005E +#define MIPI_REG_PHYTimDly 0x0060 +#define MIPI_REG_PHYSta 0x0062 +#define MIPI_REG_CSIStatus 0x0064 +#define MIPI_REG_CSIErrEn 0x0066 +#define MIPI_REG_MDLSynErr 0x0068 +#define MIPI_REG_FrmErrCnt 0x0080 +#define MIPI_REG_MDLErrCnt 0x0090 + +void mipi_clear_error(void){ + MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error + + MipiBridgeRegWrite(0x0082,0x00); + MipiBridgeRegWrite(0x0084,0x00); + MipiBridgeRegWrite(0x0086,0x00); + MipiBridgeRegWrite(0x0088,0x00); + MipiBridgeRegWrite(0x008A,0x00); + MipiBridgeRegWrite(0x008C,0x00); + MipiBridgeRegWrite(0x008E,0x00); + MipiBridgeRegWrite(0x0090,0x00); +} + +void mipi_show_error_info(void){ + + alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; + + PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); + SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); + MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); + FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); + MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); + printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); +} + +void mipi_show_error_info_more(void){ + printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); + printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); + printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); + printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); + printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); + printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); + printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); + printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); + printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); + printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); + printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); + printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); +} + +bool MIPI_Init(void){ + bool bSuccess; + bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K + if (!bSuccess) + printf("failed to init MIPI- Bridge i2c\r\n"); + usleep(50*1000); + MipiBridgeInit(); + usleep(500*1000); +// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K +// if (!bSuccess) +// printf("failed to init MIPI- Camera i2c\r\n"); + MipiCameraInit(); + MIPI_BIN_LEVEL(DEFAULT_LEVEL); +// OV8865_FOCUS_Move_to(340); +// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! + usleep(1000); +// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); + return bSuccess; +} + +int main() +{ + + fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); + + printf("DE10-LITE D8M VGA Demo\n"); + printf("Imperial College EEE2 Project version\n"); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + + usleep(2000); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + usleep(2000); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + + printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); + //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP + + + usleep(2000); + + + // MIPI Init + if (!MIPI_Init()){ + printf("MIPI_Init Init failed!\r\n"); + }else{ + printf("MIPI_Init Init successfully!\r\n"); + } + +// while(1){ + mipi_clear_error(); + usleep(50*1000); + mipi_clear_error(); + usleep(1000*1000); + mipi_show_error_info(); +// mipi_show_error_info_more(); + printf("\n"); +// } + + +#if 0 // focus sweep + printf("\nFocus sweep\n"); + alt_u16 ii= 350; + alt_u8 dir = 0; + while(1){ + if(ii< 50) dir = 1; + else if (ii> 1000) dir =0; + + if(dir) ii += 20; + else ii -= 20; + + printf("%d\n",ii); + OV8865_FOCUS_Move_to(ii); + usleep(50*1000); + } +#endif + + + + + + + ////////////////////////////////////////////////////////// + alt_u16 bin_level = DEFAULT_LEVEL; + alt_u8 manual_focus_step = 10; + alt_u16 current_focus = 300; + int boundingBoxColour = 0; + alt_u32 exposureTime = EXPOSURE_INIT; + alt_u16 gain = GAIN_INIT; + + OV8865SetExposure(exposureTime); + OV8865SetGain(gain); + Focus_Init(); + while(1){ + + // touch KEY0 to trigger Auto focus + if((IORD(KEY_BASE,0)&0x03) == 0x02){ + + current_focus = Focus_Window(320,240); + } + // touch KEY1 to ZOOM + if((IORD(KEY_BASE,0)&0x03) == 0x01){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + + + #if 0 + if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ + + current_focus = Focus_Window(320,240); + } + + // touch KEY1 to trigger Manual focus - step + if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ + + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + else current_focus = 0; + OV8865_FOCUS_Move_to(current_focus); + + } + + // touch KEY2 to trigger Manual focus + step + if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + } + + // touch KEY3 to ZOOM + if((IORD(KEY_BASE,0)&0x0F) == 0x07){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + #endif + + //Read messages from the image processor and print them on the terminal + while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read + int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer + if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier + printf("\n"); + } + printf("%08x ",word); + } + + //Update the bounding box colour + boundingBoxColour = ((boundingBoxColour + 1) & 0xff); + IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); + + //Process input commands + int in = getchar(); + switch (in) { + case 'e': { + exposureTime += EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 'd': { + exposureTime -= EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 't': { + gain += GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'g': { + gain -= GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'r': { + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + case 'f': { + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + } + + + //Main loop delay + usleep(10000); + + }; + return 0; +} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 new file mode 100644 index 0000000..fcfe7dc --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 @@ -0,0 +1,302 @@ + + +#include +#include "I2C_core.h" +#include "terasic_includes.h" +#include "mipi_camera_config.h" +#include "mipi_bridge_config.h" +#include "system.h" + +#include "auto_focus.h" + +#include +#include + +//EEE_IMGPROC defines +#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') + +//offsets +#define EEE_IMGPROC_STATUS 0 +#define EEE_IMGPROC_MSG 1 +#define EEE_IMGPROC_ID 2 +#define EEE_IMGPROC_BBCOL 3 + +#define EXPOSURE_INIT 0x002000 +#define EXPOSURE_STEP 0x100 +#define GAIN_INIT 0xFFF +#define GAIN_STEP 0xFFF +#define DEFAULT_LEVEL 3 + +#define MIPI_REG_PHYClkCtl 0x0056 +#define MIPI_REG_PHYData0Ctl 0x0058 +#define MIPI_REG_PHYData1Ctl 0x005A +#define MIPI_REG_PHYData2Ctl 0x005C +#define MIPI_REG_PHYData3Ctl 0x005E +#define MIPI_REG_PHYTimDly 0x0060 +#define MIPI_REG_PHYSta 0x0062 +#define MIPI_REG_CSIStatus 0x0064 +#define MIPI_REG_CSIErrEn 0x0066 +#define MIPI_REG_MDLSynErr 0x0068 +#define MIPI_REG_FrmErrCnt 0x0080 +#define MIPI_REG_MDLErrCnt 0x0090 + +void mipi_clear_error(void){ + MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error + + MipiBridgeRegWrite(0x0082,0x00); + MipiBridgeRegWrite(0x0084,0x00); + MipiBridgeRegWrite(0x0086,0x00); + MipiBridgeRegWrite(0x0088,0x00); + MipiBridgeRegWrite(0x008A,0x00); + MipiBridgeRegWrite(0x008C,0x00); + MipiBridgeRegWrite(0x008E,0x00); + MipiBridgeRegWrite(0x0090,0x00); +} + +void mipi_show_error_info(void){ + + alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; + + PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); + SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); + MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); + FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); + MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); + printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); +} + +void mipi_show_error_info_more(void){ + printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); + printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); + printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); + printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); + printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); + printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); + printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); + printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); + printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); + printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); + printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); + printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); +} + + + +bool MIPI_Init(void){ + bool bSuccess; + + + bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K + if (!bSuccess) + printf("failed to init MIPI- Bridge i2c\r\n"); + + usleep(50*1000); + MipiBridgeInit(); + + usleep(500*1000); + +// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K +// if (!bSuccess) +// printf("failed to init MIPI- Camera i2c\r\n"); + + MipiCameraInit(); + MIPI_BIN_LEVEL(DEFAULT_LEVEL); +// OV8865_FOCUS_Move_to(340); + +// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! + + + usleep(1000); + + +// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); + + return bSuccess; +} + + + + +int main() +{ + + fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); + + printf("DE10-LITE D8M VGA Demo\n"); + printf("Imperial College EEE2 Project version\n"); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + + usleep(2000); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + usleep(2000); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + + printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); + //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP + + + usleep(2000); + + + // MIPI Init + if (!MIPI_Init()){ + printf("MIPI_Init Init failed!\r\n"); + }else{ + printf("MIPI_Init Init successfully!\r\n"); + } + +// while(1){ + mipi_clear_error(); + usleep(50*1000); + mipi_clear_error(); + usleep(1000*1000); + mipi_show_error_info(); +// mipi_show_error_info_more(); + printf("\n"); +// } + + +#if 0 // focus sweep + printf("\nFocus sweep\n"); + alt_u16 ii= 350; + alt_u8 dir = 0; + while(1){ + if(ii< 50) dir = 1; + else if (ii> 1000) dir =0; + + if(dir) ii += 20; + else ii -= 20; + + printf("%d\n",ii); + OV8865_FOCUS_Move_to(ii); + usleep(50*1000); + } +#endif + + + + + + + ////////////////////////////////////////////////////////// + alt_u16 bin_level = DEFAULT_LEVEL; + alt_u8 manual_focus_step = 10; + alt_u16 current_focus = 300; + int boundingBoxColour = 0; + alt_u32 exposureTime = EXPOSURE_INIT; + alt_u16 gain = GAIN_INIT; + + OV8865SetExposure(exposureTime); + OV8865SetGain(gain); + Focus_Init(); + while(1){ + + // touch KEY0 to trigger Auto focus + if((IORD(KEY_BASE,0)&0x03) == 0x02){ + + current_focus = Focus_Window(320,240); + } + // touch KEY1 to ZOOM + if((IORD(KEY_BASE,0)&0x03) == 0x01){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + + + #if 0 + if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ + + current_focus = Focus_Window(320,240); + } + + // touch KEY1 to trigger Manual focus - step + if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ + + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + else current_focus = 0; + OV8865_FOCUS_Move_to(current_focus); + + } + + // touch KEY2 to trigger Manual focus + step + if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + } + + // touch KEY3 to ZOOM + if((IORD(KEY_BASE,0)&0x0F) == 0x07){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + #endif + + //Read messages from the image processor and print them on the terminal + while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read + int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer + if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier + printf("\n"); + } + printf("%08x ",word); + } + + //Update the bounding box colour + boundingBoxColour = ((boundingBoxColour + 1) & 0xff); + IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); + + //Process input commands + int in = getchar(); + switch (in) { + case 'e': { + exposureTime += EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 'd': { + exposureTime -= EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 't': { + gain += GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'g': { + gain -= GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'r': { + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + case 'f': { + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + } + + + //Main loop delay + usleep(10000); + + }; + return 0; +} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 new file mode 100644 index 0000000..bfe2481 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 @@ -0,0 +1,301 @@ + + +#include +#include "I2C_core.h" +#include "terasic_includes.h" +#include "mipi_camera_config.h" +#include "mipi_bridge_config.h" + +#include "auto_focus.h" + +#include +#include + +//EEE_IMGPROC defines +#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') + +//offsets +#define EEE_IMGPROC_STATUS 0 +#define EEE_IMGPROC_MSG 1 +#define EEE_IMGPROC_ID 2 +#define EEE_IMGPROC_BBCOL 3 + +#define EXPOSURE_INIT 0x002000 +#define EXPOSURE_STEP 0x100 +#define GAIN_INIT 0xFFF +#define GAIN_STEP 0xFFF +#define DEFAULT_LEVEL 3 + +#define MIPI_REG_PHYClkCtl 0x0056 +#define MIPI_REG_PHYData0Ctl 0x0058 +#define MIPI_REG_PHYData1Ctl 0x005A +#define MIPI_REG_PHYData2Ctl 0x005C +#define MIPI_REG_PHYData3Ctl 0x005E +#define MIPI_REG_PHYTimDly 0x0060 +#define MIPI_REG_PHYSta 0x0062 +#define MIPI_REG_CSIStatus 0x0064 +#define MIPI_REG_CSIErrEn 0x0066 +#define MIPI_REG_MDLSynErr 0x0068 +#define MIPI_REG_FrmErrCnt 0x0080 +#define MIPI_REG_MDLErrCnt 0x0090 + +void mipi_clear_error(void){ + MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error + + MipiBridgeRegWrite(0x0082,0x00); + MipiBridgeRegWrite(0x0084,0x00); + MipiBridgeRegWrite(0x0086,0x00); + MipiBridgeRegWrite(0x0088,0x00); + MipiBridgeRegWrite(0x008A,0x00); + MipiBridgeRegWrite(0x008C,0x00); + MipiBridgeRegWrite(0x008E,0x00); + MipiBridgeRegWrite(0x0090,0x00); +} + +void mipi_show_error_info(void){ + + alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; + + PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); + SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); + MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); + FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); + MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); + printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); +} + +void mipi_show_error_info_more(void){ + printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); + printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); + printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); + printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); + printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); + printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); + printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); + printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); + printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); + printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); + printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); + printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); +} + + + +bool MIPI_Init(void){ + bool bSuccess; + + + bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K + if (!bSuccess) + printf("failed to init MIPI- Bridge i2c\r\n"); + + usleep(50*1000); + MipiBridgeInit(); + + usleep(500*1000); + +// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K +// if (!bSuccess) +// printf("failed to init MIPI- Camera i2c\r\n"); + + MipiCameraInit(); + MIPI_BIN_LEVEL(DEFAULT_LEVEL); +// OV8865_FOCUS_Move_to(340); + +// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! + + + usleep(1000); + + +// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); + + return bSuccess; +} + + + + +int main() +{ + + fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); + + printf("DE10-LITE D8M VGA Demo\n"); + printf("Imperial College EEE2 Project version\n"); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + + usleep(2000); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + usleep(2000); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + + printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); + //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP + + + usleep(2000); + + + // MIPI Init + if (!MIPI_Init()){ + printf("MIPI_Init Init failed!\r\n"); + }else{ + printf("MIPI_Init Init successfully!\r\n"); + } + +// while(1){ + mipi_clear_error(); + usleep(50*1000); + mipi_clear_error(); + usleep(1000*1000); + mipi_show_error_info(); +// mipi_show_error_info_more(); + printf("\n"); +// } + + +#if 0 // focus sweep + printf("\nFocus sweep\n"); + alt_u16 ii= 350; + alt_u8 dir = 0; + while(1){ + if(ii< 50) dir = 1; + else if (ii> 1000) dir =0; + + if(dir) ii += 20; + else ii -= 20; + + printf("%d\n",ii); + OV8865_FOCUS_Move_to(ii); + usleep(50*1000); + } +#endif + + + + + + + ////////////////////////////////////////////////////////// + alt_u16 bin_level = DEFAULT_LEVEL; + alt_u8 manual_focus_step = 10; + alt_u16 current_focus = 300; + int boundingBoxColour = 0; + alt_u32 exposureTime = EXPOSURE_INIT; + alt_u16 gain = GAIN_INIT; + + OV8865SetExposure(exposureTime); + OV8865SetGain(gain); + Focus_Init(); + while(1){ + + // touch KEY0 to trigger Auto focus + if((IORD(KEY_BASE,0)&0x03) == 0x02){ + + current_focus = Focus_Window(320,240); + } + // touch KEY1 to ZOOM + if((IORD(KEY_BASE,0)&0x03) == 0x01){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + + + #if 0 + if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ + + current_focus = Focus_Window(320,240); + } + + // touch KEY1 to trigger Manual focus - step + if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ + + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + else current_focus = 0; + OV8865_FOCUS_Move_to(current_focus); + + } + + // touch KEY2 to trigger Manual focus + step + if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + } + + // touch KEY3 to ZOOM + if((IORD(KEY_BASE,0)&0x0F) == 0x07){ + if(bin_level == 3 )bin_level = 1; + else bin_level ++; + printf("set bin level to %d\n",bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); + + } + #endif + + //Read messages from the image processor and print them on the terminal + while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read + int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer + if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier + printf("\n"); + } + printf("%08x ",word); + } + + //Update the bounding box colour + boundingBoxColour = ((boundingBoxColour + 1) & 0xff); + IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); + + //Process input commands + int in = getchar(); + switch (in) { + case 'e': { + exposureTime += EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 'd': { + exposureTime -= EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break;} + case 't': { + gain += GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'g': { + gain -= GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break;} + case 'r': { + current_focus += manual_focus_step; + if(current_focus >1023) current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + case 'f': { + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ",current_focus); + break;} + } + + + //Main loop delay + usleep(10000); + + }; + return 0; +} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.indexes/properties.index new file mode 100644 index 0000000000000000000000000000000000000000..90bbdfa563f47dec3e9ac22d35e153fbfb773fd0 GIT binary patch literal 1060 zcmcIj!H&}~5S>PdMGNAU^b0NjIv!N!bm_$`@7eauC1w2=_ z6S9r6rEqKC#JVk+?RMA0i}LEjw|bfD{X*x+p^=$k5X}tFMjEaIhGfIZ;ZVSI zIKgBToJr+rhs*u60!vTUz^Z55Afr(zhFP67vO&EL)M{jr-9FB~l3vDVv!nETcJc20 z$NBuSN;(U8&}-X$j{}1@gVBkLc~#A3)nXCRmm=YE%G9CvWSal3Fg~?$uiNNhvgws3 zr|d3zqJJuB406`_uaSZ4@F>J>Hq)$ literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.location b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.location new file mode 100644 index 0000000000000000000000000000000000000000..4c686fdee531911a5d047b1e7d3a966fb1714d16 GIT binary patch literal 140 zcmZ?R*xjhShe1S2b=vdAllRFn#DoTU>g%Uv=A>HbXXNLm>L;ccTN+yG=cN^cxvs88 zLHT8=Mfzcx#hLke`Yx`92Jt?gA+GT*7QXReo&oWOX8OhXX(i=}MXCBAG3UhG)S|@r ZkksN51{820;`e8;-GQHbs&|(k0|54kE)@U( literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.markers b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.markers new file mode 100644 index 0000000000000000000000000000000000000000..5a050b02a74a6af68b83d27b4d26dc89480352e8 GIT binary patch literal 212 zcmXAjy$-@K5Q7axDj+~&WMhFbEDY?FfdLrkUZvNgMEyy1NeAAL$08M$ynMDS1BkFY zB&S<;)(wl!Qg;5(n9gMM2V~oqDHQ=21rJ({v9VGrrrLCl6%}5Q6;@um3Nfb6_CkCu zB1GpT#f;uso?eR|1B*Qty<@o)#_PQ1Fd7f}r7ec^h`3?rbjcBx=_7e;z1`BAslv1q aW5xJS=!Gxy+O&M{o1A3;Hn7ikvaWvPEjXh9 literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties new file mode 100644 index 0000000..387d871 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties @@ -0,0 +1,3 @@ +#GitProjectData +#Tue Jun 01 14:06:14 BST 2021 +.gitdir=../../../../.git diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.indexes/properties.index new file mode 100644 index 0000000000000000000000000000000000000000..33fe5200d3eb16de2d585a48b50564cee033846a GIT binary patch literal 583 zcmcIiJx{|h5WPl10tOZe5))!!G$izkxur}X)UsEueGMlPJ33z~_21((AVr0h!InSX zdw1{IJpf4JI7*=JIag>3ssbuwMH$Zs=h_Huaq8WM@|v}fcd)D&H^}G=szX+(ozBs& zYbq@AUL;N(_DqX@W51>5I?Wyi>EnHxWxd!1z;R`L`Kn8V+u7u0HH|CQt9J~tT8B!d zqbwT^v&m>OP6w*NwzPgg5pwzex0%4zZ^xf8uqLc)OTqYUOvWyab`H61Xif$0O^UKO>6ao}#8L^a~}c$Ibu% literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.location b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.location new file mode 100644 index 0000000000000000000000000000000000000000..226f8d7a8ab30781a1dff393c35e16d6e98f5564 GIT binary patch literal 144 zcmZ?R*xjhShe1S2b=vdAllRFnB!mWe>g%Uv=A>HbXXNLm>L;ccTN+yG=cN^cxvs88 zLHT8=Mfzcx#hLke`Yx`92Jt?gA+GT*7QXReo&oWOX8OhXX(i=}MXCBAG3UhG)S|@r dkksOm_@v?j1{820;`e8;{eho*s&|(k0|3OpFTDT& literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties new file mode 100644 index 0000000..fed23e3 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties @@ -0,0 +1,3 @@ +#GitProjectData +#Tue Jun 01 14:07:48 BST 2021 +.gitdir=../../../../.git diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.indexes/properties.index new file mode 100644 index 0000000000000000000000000000000000000000..1e099f3bff508a47e7cce4c8ace123e0c07a5306 GIT binary patch literal 80 zcmZQ%U|?VbVI~IA{GxQd)a0DZg5p%YlGMapz2y9&R0gi1)Pnrt%#!?~N(Mz_C8_C| TC153b?wKV4Mfqi!DXB#OWmFkc literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties new file mode 100644 index 0000000..127bac0 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties @@ -0,0 +1,3 @@ +#GitProjectData +#Tue Jun 01 14:04:35 BST 2021 +.gitdir=../../../../.git diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version new file mode 100644 index 0000000..25cb955 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version @@ -0,0 +1 @@ + \ No newline at end of file diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index new file mode 100644 index 0000000000000000000000000000000000000000..95e27e381acfa015c9e1f25178c37a85ff9e264f GIT binary patch literal 104 zcmYkzF%E+;5Cp(IdJ2j(Ji>q@2T}6`_<(gUCGYDW<#Y(aX#{SKM(= zOf-fhND{IeaooUtO=c1kA%X0RNlb#-HjSw;x~P`97w{>2v<`-=*%o zb?>zo8f(@>1sdDSPyYE7{B0rHln>CaoLN(KtG-o%fqbZ;XFvjuyvDldQQs%G0Bg z(9M=~xelMHm)7EFIr_imW(NCzp)t1LW@q938E1wxwy&MWPrz-?87jQ5eVKbG`iilqfo++0D_nTf?&2A=xOB`!2sy zXlv!ueXNV3gDL4{gB_*gMgqD3A4IzLcrI>GvfXy{%un~Th3aG!>qHE zqE6iqQ3`9-ga?h-WDD&AflM6Xh3#@Up6duQailG@9YH3JLO(^{LSxF)vv1=~GVi&* zy4xcJIgc#Ab9o zj31poR&u*t$F2{5pb*DI(P3^?G|JkoY|!paI_aosyMRhy-8egc6l)1Qb22|a_^tbe zeLOz>{I-6RJwHGA?R$j%%lP!O)T2m_lWnmqY9&24x%oMI&sslrepTe|<5$CT^u7%b zJS^XW`h7-W^Gw-RZ&e2bkuySp+{ejlq6V7I(i~RY;S&p|)P@<2zzmjovKbw%_57vB@lMMdQHst8{Z=Pg7BxN>%T$xt&;Hp{W~z zNBmS2o#-^_?smF7&hkNPdot+s(jivaO9(Ms2^d32{4~Zf7sS1q1?ZpLS8)(D_ zu_Ba*uaA1{B;zDdA~1*2j$OS6Ar|o=c~pwG^&*dYM*xR-Q1~6Z zT!kJ*a0skUi$@EcEf5_HVvlF{Lv;cM@ez3tJN?9U=0GiiMSRpPvd~-|JdDAP1QPKv zDJ~j!P>y}|kpvv#rS5Q$HS2}ZZk_CPIxLp&tCyWq3r^>zb~z!Z6C@$5N| zx$}jeOr7+T(jI<>sC$4xd`dla=^#8NfJb~fijH%6^|E$47`d1Bem20KO>5H5M_CqV zQ>ci~NbSuV%D^E$E2B^^Pdb6{pb($)!$Q8)!WcdncPpalas@;;z8Cm{3=4SeZzaQ} zP$&4j(A@_ll%Knm0>7z7r#jnS~ORJ-a7`F1N=QFPXI~=55=C92Mhj_lUT&I`>u*jNV7_w!c5*Nw*tYvO7 zACQ7hTpUFw6yvaRbaUvgVKz<|U6;1)p(8Hwa|K3zn+*nWsZZ7~deE&$X|N4ICoYSk zBZ_1(%?x+9vG8f-%W1ha!`5S)gH2qnl;c&EU=vr!^Vi8+?QxC=sZv6kfkwPwD(TFT zfJR&?`K9f4tBZ9=uT>7)*Xw>sGq8!PqG+?L6Q%Sk!$7J~5HFNe(*ahX`D|;`5H#XN z^2kGy4f9atgGF2|PeD0#+w}(yagDDGUy!+#0XFes>06xiTm4C|lkIHU^$0rg66|3V z^+?k(hR%QxWa3&`$)vl}_Rg7yoD~QX@lu)g(%l%%?b-l^xK3)X+a6%hwE5r=*Gv0! zJM&r;EaC>gis`f_gE_qbJmO{2ak^L|6bm0aG0=#YdmASy-aKp#c*KofQ!JL;($dy| zN8IFJZt~&G=*8v$g}7PTuRC&YP=WX$5x4jTai?nmJ~+g!Vz7iINVgLZfJ9)kvv{qA z0At)Rpa6@weQL3sg)BfK?wAV3c}M^f(UMAC!kbbcAy9~IGLdC!S_#NNB@+Llsbuwu zjLir-vFI1bSvz0^2GRCLvv0I^_X3^hMA1{-K!I6nJe;(PSMce0Qx4m96ex)lTT9_6 z<*Pj2i|jxU6J2?o$Z~XFocg*`S6c-pu_S%CT#4CyaER^l9J<%|c{3AuMCLPtM)oKI znSn{%>3a@Tt5gH1#10vcT!K53;4}>y(UWH&8ztlMN+30mh`u}nJF?lO6?-Ho#6alo zATtmh6e9O@_co+kWcp$Q3SVsQk{0RVZK$8Lhk0PCTBbo1K~ro}IgPwQ*+wAJXe3>w zH%ik`Iflvv{9`D8E-6dfdDr(`u}y$A}i zOX3@~bRQcVBx1LW*~^o8V>VdCiZq5O7AM_Gt50n}Bwpe3nv5~}1Oy-vuat7TQ;Pr{ z6yjBq)G+O(2{r+O^A(81tL2f!jzO~ADu(Wm7pTN*WW`m=CWE;`kcrnyB@EL6jy(gV z0*Sa=Dq*x3ToHgmyiVe~s{+Bv5hUXEQFOE$%tv{5j5pzQx;Y!@nGg_f@X3W&6QB@p z6#6&|uGT>z-Xu?N@lqeCDsYH55#WzQ*J4{1W3@-5&S+i4DKFc<=Cl#PrMW7aqZ#w zj6grZ*TlQ3cPV64RcCG|gSsH}(_}hyTR_FGk)6u-FnSc7f(b=mj&Qe^)TRhWJ@AO9 zV>74R!L96vlZ)?(Q|l*brS~3m<{>AtAoM+|N1K#qHQ_ z!(i7;ri07A4NsgXv|qz#EPsClL$I5qg3rRKLUbP(f<1ZnH1gi*6a@nD49q$Gv|oZC-rCx`=ia;J`>!e9BMY;)BKEv%pL~zP z6OYETTkX?$kC7Eck3Ue~YS%Y?HhkT0j6DvOujb#9R|363ukZX!RlliJzg^#;x2+JK zR=-mh*-nT~(eKIvq1@HCO%Ry;->dNL_=rjV?^~w;whcm4_6L^Cc7p?Df9Ut)XZKue zN4QY>M03MWdxzUsMyZ4XPv_~TV$*m=O4G5$oIUD%FrA^T4i+m4NRWPig~&+JKu zGTOW6Js*a|0l|r&RJkfC=)|ANO5B~r>q8P{x^Maffz5pXBA`^BO8MtX`GivH;}zQz zKJ@%%KxuozhswW{B`yzUl$oj;7!Wmya#0e{h`*Y;lxI1%3uwe&&q{0;(1^d0T2O~L z#i_k*1~&1x0j2E;Ht~0J4vv(sYH=u7`~NUUt-O``@0I!=rHXj$qzqLk#3$bg3K2dU@-+C9MB4#TslfI_adPDqwg=);v7c;XLm_96wu{@?@OiDRX>1?F zre>XtI6SYkZ4jE0{bwb%3nEjp-e<-mPTK~dsX3sgv3(GmnghLt$D+0mVpDTaO=J5Y zHZ=!Jhs6JlYlps~KYfH_g!PCkbQN9ELGg$Wk;h3+UhN`)#B?@R1*KPa=w)3;Y@7 z=}+#w-%bZ9Fwm(_E|8rOvS6Uo{PDcHIAGhulB#DID%%V3sd}c2tL4V1?SjbUpI+hH z@ez~!Ei$)FuP4|Zh)Yegrm=kxo0@0&(yJ>CwiBXLb%vp`y%3+OGyUM9FGAR6FraL! zC9~b&K-pQc*x~&N+X>MrIeS)OyC5mFnqwlSM_i$+=!y=CM~qcrXGlqheeC=)du zgTuaYNrkWCEB?ibf2mY(ag)UkkB|(1nWo#(5s~!EWmM1?UzCaJfe7poTPo$HRA73< zSIA=|*JW%QkUZiSR0XyJNFMQ(QuyM2jf$_T78Dl#g_^FSEBaN6{vt_7uH&fqs=$cp z5zh#egA#z`5nnCqY=3{pwgAf`zGg;Ydw}H;zgRlCy76P%fanpwq%N|ZK=g>O_4QR= z6S7@E@`ztr71$0SdBoRA0~W`WD!yt!P}tr#Xu687=+`Uy%cRii8j|gSxb%2=U1U2U zIz>0ibXmE(WP2e#Wj9$e+YJts-E3cZvi;ye;Vrh%c7zLsw@SmxT`A?H9t1@9h-U=K zK?y+eh;NgoEiPB7_^N3^VM2Fkx{9vow<~%}@|U|l;}LH+@1fbn0GGwM)6^+HRh~@gcF1n| z?EN*{5iWG>1(dcYe5mY8-@48S z5U-hYo6FccdE!K9ff&||@!C~m*m=O4G47sIl=_N~X~3ExUbk8ZI}127#OvpjWZsAX zYsPrPsxj<5;LR9stX(rx_v7q-0b9!7G*523!;$hg2X4sWdY$bJKZ@TH7TeBnqxhbH z7-k10;c z4Mkq-s;lAth~wEm<)7o)PfRuzYQJpdei;!Fd<`r-a-~ZPQ9oLK7JvU3R&}$#aESk} z33UFK5f{F?t>nkxdc+^c96hU(Uq;kgdV=o}f1w@ z=ONETnI5kE(jDk5HbXzG=%2)Di9gPmn{-e-;!nB7+w=z%J6gbWK=Oz`T@_RuC;-VL z{tOl)EbD!%rJKZloF<98G7{6Y4JKW__dN02?@FL>e1FWs4?0@)+} nqAj!?LH3BhgjJLL(w%97?>*u#lWWJt_a5 zSDFb`rLR{~l$vU5giCpDWlm+DUP)qccCj8@6AmZjWagDtl;r2<6ze6Yl<4JTCS~N8 zr553LPf2QGu3mB(Pzyn)7XiIVoT{|UoKz(Drhr1rwW1)iD6u3nKMy6`KrRIvYG`I; sWNK<@WMX1wV2mp`;Hn{Ed?4cYXK*|Qe(tH>U4G1AG+trpaahGG0A7b$&Hw-a literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs new file mode 100644 index 0000000..9c00dc4 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +indexer/preferenceScope=0 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs new file mode 100644 index 0000000..9c00dc4 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +indexer/preferenceScope=0 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs new file mode 100644 index 0000000..b8c9267 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +org.eclipse.cdt.debug.core.cDebug.default_source_containers=\n\n\n\n\n\n\n\n diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs new file mode 100644 index 0000000..d4789ed --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs @@ -0,0 +1,3 @@ +eclipse.preferences.version=1 +properties/D8M_Camera_Test.null.1118614705/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632=altera.tool.gnu.assembler.992693488\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.430311947\=rebuildState\\\=true\\n\naltera.nios2.linux.gcc4.292435883\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.compiler.1543692213\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.844079417\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632\=rcState\\\=0\\nrebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.470477083\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.libs.552441848\=rebuildState\\\=true\\n\naltera.tool.gnu.cpp.linker.564618922\=rebuildState\\\=false\\n\naltera.tool.gnu.c.compiler.1412563702\=rebuildState\\\=false\\n\naltera.tool.gnu.c.linker.894229324\=rebuildState\\\=false\\n\naltera.tool.gnu.archiver.1031562009\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.1782992537\=rebuildState\\\=true\\n\n +properties/D8M_Camera_Test_bsp.null.1818471988/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122=altera.nios2.linux.gcc4.1972187429\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.440807335\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.1525859819\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122\=rcState\\\=0\\nrebuildState\\\=false\\n\naltera.tool.gnu.assembler.917602801\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.1131241652\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.libs.337058982\=rebuildState\\\=true\\n\naltera.tool.gnu.archiver.118240003\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.compiler.31029531\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.linker.1039959248\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.1080074126\=rebuildState\\\=true\\n\naltera.tool.gnu.c.linker.1364287684\=rebuildState\\\=false\\n\naltera.tool.gnu.c.compiler.1406613831\=rebuildState\\\=false\\n\n diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs new file mode 100644 index 0000000..0451f54 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +org.eclipse.mylyn.cdt.ui.run.count.3_3_0=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs new file mode 100644 index 0000000..71ac973 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs @@ -0,0 +1,5 @@ +content_assist_disabled_computers=org.eclipse.cdt.ui.textProposalCategory\u0000org.eclipse.cdt.ui.parserProposalCategory\u0000 +eclipse.preferences.version=1 +spelling_locale_initialized=true +useAnnotationsPrefPage=true +useQuickDiffPrefPage=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs new file mode 100644 index 0000000..dffc6b5 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs new file mode 100644 index 0000000..57e3b77 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs @@ -0,0 +1,5 @@ +//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.applicationLaunchType=org.eclipse.cdt.dsf.gdb.launch.localCLaunch,debug;org.eclipse.cdt.cdi.launch.localCLaunch,run +//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.attachLaunchType=org.eclipse.cdt.dsf.gdb.launch.attachCLaunch,debug +//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.postmortemLaunchType=org.eclipse.cdt.dsf.gdb.launch.coreCLaunch,debug +//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.remoteApplicationLaunchType=org.eclipse.rse.remotecdt.dsf.debug,debug +eclipse.preferences.version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs new file mode 100644 index 0000000..a2917e5 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs @@ -0,0 +1,3 @@ +eclipse.preferences.version=1 +org.eclipse.debug.ui.PREF_LAUNCH_PERSPECTIVES=\n\n +preferredTargets=org.eclipse.cdt.debug.ui.toggleCBreakpointTarget\:org.eclipse.cdt.debug.ui.toggleCBreakpointTarget| diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs new file mode 100644 index 0000000..101feea --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs @@ -0,0 +1,2 @@ +GitRepositoriesView.GitDirectories=/home/ad3919/nfshome/EE2Rover/.git\: +eclipse.preferences.version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs new file mode 100644 index 0000000..43e97e4 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +mylyn.attention.migrated=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs new file mode 100644 index 0000000..8d462a6 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +org.eclipse.mylyn.monitor.activity.tracking.enabled.checked=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs new file mode 100644 index 0000000..453b994 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs @@ -0,0 +1,3 @@ +eclipse.preferences.version=1 +org.eclipse.mylyn.tasks.ui.filters.nonmatching=true +org.eclipse.mylyn.tasks.ui.filters.nonmatching.encouraged=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs new file mode 100644 index 0000000..614b7cc --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs @@ -0,0 +1,3 @@ +eclipse.preferences.version=1 +org.eclipse.rse.systemtype.local.systemType.defaultUserId=ad3919 +useridperkey=ee-mill2.Local\=ad3919; diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs new file mode 100644 index 0000000..9104419 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +org.eclipse.rse.preferences.order.connections=ee-mill2.Local diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs new file mode 100644 index 0000000..f9e585b --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +pref_first_startup=false diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs new file mode 100644 index 0000000..56cd496 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +org.eclipse.team.ui.first_time=false diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs new file mode 100644 index 0000000..61f3bb8 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs @@ -0,0 +1,2 @@ +eclipse.preferences.version=1 +overviewRuler_migration=migrated_3.1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs new file mode 100644 index 0000000..7518eb5 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs @@ -0,0 +1,6 @@ +PROBLEMS_FILTERS_MIGRATE=true +TASKS_FILTERS_MIGRATE=true +eclipse.preferences.version=1 +platformState=1622552591073 +quickStart=false +tipsAndTricks=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs new file mode 100644 index 0000000..b842874 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs @@ -0,0 +1,2 @@ +ENABLED_DECORATORS=com.altera.sbtgui.project.decorator.bsp\:true,com.altera.sbtgui.project.nios2builddecorator\:true,org.eclipse.cdt.ui.indexedFiles\:false,org.eclipse.cdt.managedbuilder.ui.excludedFile\:true,org.eclipse.cdt.managedbuilder.ui.includeFolder\:true,org.eclipse.cdt.internal.ui.CustomBuildSettingsDecorator\:true,org.eclipse.egit.ui.internal.decorators.GitLightweightDecorator\:true,org.eclipse.linuxtools.tmf.ui.trace_folder.decorator\:true,org.eclipse.linuxtools.tmf.ui.experiment_folder.decorator\:true,org.eclipse.linuxtools.tmf.ui.linked_trace.decorator\:true,org.eclipse.mylyn.context.ui.decorator.interest\:true,org.eclipse.mylyn.tasks.ui.decorators.task\:true,org.eclipse.mylyn.team.ui.changeset.decorator\:true,org.eclipse.rse.core.virtualobject.decorator\:true,org.eclipse.rse.core.binary.executable.decorator\:true,org.eclipse.rse.core.script.executable.decorator\:true,org.eclipse.rse.core.java.executable.decorator\:true,org.eclipse.rse.core.library.decorator\:true,org.eclipse.rse.core.link.decorator\:true,org.eclipse.rse.subsystems.error.decorator\:true,org.eclipse.team.cvs.ui.decorator\:true,org.eclipse.ui.LinkedResourceDecorator\:true,org.eclipse.ui.SymlinkDecorator\:true,org.eclipse.ui.VirtualResourceDecorator\:true,org.eclipse.ui.ContentTypeDecorator\:true,org.eclipse.ui.ResourceFilterDecorator\:false, +eclipse.preferences.version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch new file mode 100644 index 0000000..07034d1 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml new file mode 100644 index 0000000..654c898 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml @@ -0,0 +1,27 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi new file mode 100644 index 0000000..28cd811 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi @@ -0,0 +1,2114 @@ + + + + activeSchemeId:org.eclipse.ui.defaultAcceleratorConfiguration + ModelMigrationProcessor.001 + + + + + + topLevel + shellMaximized + + + + + persp.actionSet:org.eclipse.mylyn.context.ui.actionSet + persp.actionSet:org.eclipse.mylyn.doc.actionSet + persp.actionSet:org.eclipse.mylyn.tasks.ui.navigation + persp.actionSet:org.eclipse.ui.cheatsheets.actionSet + persp.actionSet:org.eclipse.rse.core.search.searchActionSet + persp.actionSet:org.eclipse.search.searchActionSet + persp.actionSet:org.eclipse.ui.edit.text.actionSet.annotationNavigation + persp.actionSet:org.eclipse.ui.edit.text.actionSet.navigation + persp.actionSet:org.eclipse.ui.edit.text.actionSet.convertLineDelimitersTo + persp.actionSet:org.eclipse.ui.externaltools.ExternalToolsSet + persp.actionSet:org.eclipse.ui.actionSet.keyBindings + persp.actionSet:org.eclipse.ui.actionSet.openFiles + persp.actionSet:org.eclipse.cdt.ui.SearchActionSet + persp.actionSet:org.eclipse.cdt.ui.CElementCreationActionSet + persp.actionSet:org.eclipse.ui.NavigateActionSet + persp.viewSC:org.eclipse.ui.console.ConsoleView + persp.viewSC:org.eclipse.search.ui.views.SearchView + persp.viewSC:org.eclipse.ui.views.ContentOutline + persp.viewSC:org.eclipse.ui.views.ProblemView + persp.viewSC:org.eclipse.cdt.ui.CView + persp.viewSC:org.eclipse.ui.views.ResourceNavigator + persp.viewSC:org.eclipse.ui.views.PropertySheet + persp.viewSC:org.eclipse.ui.views.TaskList + persp.actionSet:org.eclipse.debug.ui.launchActionSet + persp.newWizSC:com.altera.sbtgui.ui.newSoftwareExample + persp.newWizSC:com.altera.sbtgui.ui.newApplication + persp.newWizSC:com.altera.sbtgui.ui.newBsp + persp.newWizSC:com.altera.sbtgui.ui.newLibrary + persp.perspSC:com.altera.sbtgui.ui.debugPerspective + persp.viewSC:com.altera.sbtgui.launch.hardware.ByteStreamConsoleView + + + newtablook + + + + + + + + + + newtablook + + + + + newtablook + active + + + + + + + + + + + + + + + + + + + View + categoryTag:Help + + + View + categoryTag:General + + + View + categoryTag:Help + + + + newtablook + org.eclipse.e4.primaryDataStack + EditorStack + + + Editor + org.eclipse.cdt.ui.editor.CEditor + removeOnHide + + menuContribution:popup + popup:#CEditorContext + popup:org.eclipse.cdt.ui.editor.CEditor.EditorContext + popup:#AbstractTextEditorContext + + + menuContribution:popup + popup:#CEditorRulerContext + popup:org.eclipse.cdt.ui.editor.CEditor.RulerContext + popup:#AbstractTextEditorRulerContext + + + menuContribution:popup + popup:#OverviewRulerContext + + + + + Editor + org.eclipse.cdt.ui.editor.CEditor + removeOnHide + + + + + + View + categoryTag:General + + ViewMenu + menuContribution:menu + + + menuContribution:popup + popup:org.eclipse.ui.navigator.ProjectExplorer#PopupMenu + + + + + View + categoryTag:&C/C++ + + + View + categoryTag:General + + + View + categoryTag:General + + + + View + categoryTag:General + + ViewMenu + menuContribution:menu + + + menuContribution:popup + popup:org.eclipse.ui.views.ProblemView + popup:org.eclipse.ui.ide.MarkersView + + + + + + View + categoryTag:General + + ViewMenu + menuContribution:menu + + + + + + View + categoryTag:General + active + activeOnClose + + ViewMenu + menuContribution:menu + + + menuContribution:popup + popup:org.eclipse.ui.MessageConsole.#ContextMenu + + + menuContribution:popup + popup:org.eclipse.cdt.ui.CDTGlobalBuildConsole + + + menuContribution:popup + popup:org.eclipse.cdt.ui.CDTBuildConsole + + + menuContribution:popup + popup:org.eclipse.debug.ui.ProcessConsoleType.#ContextMenu + + + menuContribution:popup + popup:org.eclipse.debug.ui.ProcessConsoleType.#ContextMenu + + + menuContribution:popup + popup:org.eclipse.debug.ui.ProcessConsoleType.#ContextMenu + + + + + View + categoryTag:Nios II + + + + View + categoryTag:General + + ViewMenu + menuContribution:menu + + + + + + View + categoryTag:General + + ViewMenu + menuContribution:menu + + + menuContribution:popup + popup:#TranslationUnitOutlinerContext + + + menuContribution:popup + popup:#TranslationUnitOutlinerContext + + + + + View + categoryTag:Nios II + + + + toolbarSeparator + + + + Draggable + + + + + + + + + + + + + + + + toolbarSeparator + + + + Draggable + + + + + + + + Draggable + + + + + + + Draggable + + + + + + + Draggable + + + + + + toolbarSeparator + + + + Draggable + + + + + + + + + + + + toolbarSeparator + + + + toolbarSeparator + + + + Draggable + + + + + stretch + + + glue + + + + glue + + + Draggable + + + + + stretch + + + + Draggable + + + + + + + + + + + + + + + + + + + + platform:gtk + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Editor + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:Nios II + + + View + categoryTag:&C/C++ + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Make + + + View + categoryTag:&C/C++ + + + View + categoryTag:&C/C++ + + + View + categoryTag:&C/C++ + + + View + categoryTag:&C/C++ + + + View + categoryTag:&C/C++ + + + View + categoryTag:General + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Debug + + + View + categoryTag:Git + + + View + categoryTag:Git + + + View + categoryTag:Git + + + View + categoryTag:Git + + + View + categoryTag:Git + + + View + categoryTag:Help + + + View + categoryTag:&C/C++ + + + View + categoryTag:&C/C++ + + + View + categoryTag:Charts + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:LTTng + + + View + categoryTag:LTTng + + + View + categoryTag:LTTng + + + View + categoryTag:Man pages + + + View + categoryTag:C/C++ Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:Profiling + + + View + categoryTag:SystemTap + + + View + categoryTag:SystemTap + + + View + categoryTag:SystemTap + + + View + categoryTag:SystemTap + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Tracing + + + View + categoryTag:Profiling + + + View + categoryTag:Mylyn + + + View + categoryTag:Mylyn + + + View + categoryTag:Mylyn + + + View + categoryTag:Remote Systems + + + View + categoryTag:Remote Systems + + + View + categoryTag:Remote Systems + + + View + categoryTag:Remote Systems + + + View + categoryTag:Remote Systems + + + View + categoryTag:Remote Systems + + + View + categoryTag:Remote Systems + + + View + categoryTag:Remote Systems + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:CVS + + + View + categoryTag:CVS + + + View + categoryTag:Team + + + View + categoryTag:Team + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:Help + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + View + categoryTag:General + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/devhelp.libhover b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/devhelp.libhover new file mode 100644 index 0000000000000000000000000000000000000000..bc63c55c9b3d2461e62615a8f222e6d2afdfddb9 GIT binary patch literal 15858 zcmdU0O^h7bU9a(acfC%;CfQ{30SOO>WbM%ISr%dC!#l~WJ(C?6+hC8g0S=wlU9Y=q zU0v0wdObZIp+q3K00-cJ6bU4hQx1U(oH!980fz`gP6!DuAdn&Jkv;X$(@4Wb>e|ajpa2Q=qa~U7X zkw=s7(tR5{Jl_-y{!&l%E|m+i3}-~Peg^^d*z-%mw5$I<0cKAX!z z0-BCqtT1uQfBu=X`Fwd1;9k>Fw5iArE#q~OJ#!pg8cmZlE>xzY_f^pC7lm9MC#8ne zF2<@H>F7P?>r`fw-3PizvI)L>cB*8o3KrKp@MtDyibVHL2zy@SIwyJB4=xuU;hXB zXVB15bg@jnqR!@|Pu4y6Kbs4OG*0Da{yT#uy zH~Cya{jkx%sEWmKg)fs*j4UXomJmI^M#y_$6gqkeCaj~6HYu2@(W8N(BV{a}n!j+= z1Vyw8^5p)*1CflGR!fbT%2EuK%7lfi;+kl05!O=ZT$DEfxc2Q#N_>E!(E)WyXt}_mMRT z5b9W+CL=YNOFi{?k2aLW3H%lv6ggcvSepS>(Oj#HZfrmgxn@t#{u9t+NDy@Xadg~t zbo_LiT#|C0%GH2^h9_i8Mnb`n|NBr2;;Bpri+Jls@VdhpTz{~?1kQ5XOx|?}-`B!q z5_@#s!!=w<{o?IsB8e%ojuTY`9~bi_dd#gnakN}%p=FjY5CY@DX`U{iYfspN4Xtip zq{v0+4tU^SU{E3-vWt0~9satb?k7C}qne}~KrtEIlnV0Nk_~>zA^l(zsg;PA#FkJZ z>Kn6P_e0>5J>_XqCc|{=*DZB;*CPP)xos|y8$TujytpRuMfSE3a`sq4!p*cXew5~@ zP$+$&9Pm@_0w4#ZFF5{=5yFv!l=&!;FiWva;Q!hAPfecCnE`#(sUhST7A?ggf;&i% z8+i2p=+Fnpkk7e5syl{z#Y-`gH@<;P9YH|`$B!DvU~>1?l(8i{soYoO-k%3#f>_K< z<|~K!LVHp41XAL1Av1iG^QRWEm>|c<0IDN1`5mOd?$KAhK^z_(9}JG}9^M<=J~-Te zcx)V3uv;sz0@;w0)6V;wavH9*TB|WAR%eUZ5WW+hiXR|~3DO2KvgHls)H2Cj+D4g8 zU09r^8Q|k0p9#`OUl4AjI18CJNFe-TCRa$&1#UhPahMU?Q%{t+Smg`;Hd8P)kUOGm zoyDB0Y~nHx70NFv;#Ax%_TSUd(@Y;5V?(g00Umk5UTg|BACF;ab^Gg(ta((h7&IkH zd6{tORL<3if*2Q0%c(-$MIxdC3amp83RenhG6znTKsukP39JuEYgJd~Y?GK$%R+m6 zbp<_uH4`%`-V@J*vcEF6bnkA~B8W zSAt$}hew>sba772{SAoKP{m>mA(0wJaz0mCY(iqK{`jA!csXv;Lrn>DFr=?Ywg#rP zk8|Q7OBTJT(73Xayan$7QA+Mq;ah6^7@%|;JsH-O@zs#AsoC*ZkD$5Hcrl`ybmh0V}=VpopcUzeV zcu|Se4!wR7S*g59zC0b9M!r%x&>5HQ=oUbLCoCe+sH7Hcd$(dKdzc*Fjp25S2hLrQw#o9eVxQaZG%Hq{E5MvNk zob!1irWm=AU*a6q0S^=gd~7Aq3er>xa9|rFCv==;I>`}Wr%3ekG|iW#xPN#{yz&d5 zy3rSh$dh7)av?2|%A>blqK`p`ezE@wsd=jP{L{O;%jL44C_V0DxVBr2NAy1f&}a$6 z3^4qd?;T|VgR4UKTyuXYQI4WjidJzXhh?n|UBBcBQjWGEa>P5nUUdLkzaUM2vM zXdFVH55dReeUv#nm>;|9?3A z>wUmJ_u1R}$=fC1zZ?OfrB_t|HA;EA*Hi9s)*W@HZG7L67RG)R z(kQDdS0xE(O$wdvmEFGn-~5%Y?u3=aM(lQZLuR=TW_v^VZ)n9 z*^Hl08wpa9W5I3YqIcfw2>U6Y;|9X0`!mS1G^D#<{P9R5fY%A&X2gBS5!bMpuKB?5 z$D_}V8(imsMi84(DjcO@mS)Ac-pYTH;J)+m#|HQ3z1l`xjXV3j@X@9u8cQmayHV~; znLD4hzT2db*m%fboAFv+dIz7-iBw5+A}JT*t^#$uI6GoUl+|5Dy6368_bUf?2Y2q> zJ{a7+clV&>JP#V;Yc&eg*(hC{Q*b!k$OIRt6woL&Y{ZoRUGb-{;7Y{ks3v{-%MPZ; zshrSl$@1(gs>pks$c7~k?pBPEs?q^zShRx;K>Z5Y<<^A>9nok^5U&>rW*ax}^d-U5NryiP%Cd!@6} z)6wT(DaeVP{wtkaRwHS!2bQgJ#H)ugr6iiz5wE^{gAK1{Pr_G2S}SR>MpNQ&Waovkz2Ym|2wu9o<;5#GnNO z77VmXp=7zT*&IqK_&&O(WZ!-9dCC;|vNNSP%GCA5qa@Akk26dp_($r8PZIkJmD@Q6 z9q0%yfk$Bxl5kZTfZe9}Ni<;yAB#SuEA7LA+Ap2I^^wn#utZITBa)(q{*r;D}Y zt#(?u!iUImY5A$9BOGcHW=4$Qr(0NiI=X7_EzdbCx3+zTSQqFlnWiW8K2OIemG((_(R3S< zJArB89_M1RFr(F~svtfR4R5F6hV#D&zqq+GIY~ye*ab0W6y`cyCU|x$gNwJ3!s&ug!ijFzPDi?0k#sny9II&6AHeR z^fb#QSPNSU2E2oz8mKe0DcNZ|`Rv?i%E#N5vmmo;OaUaAJ4Ey4h4R~y=h%*mm|Ser zmiJz*#bxYT5@LDeN_(cgbUI556yMtFc_9 zn&Z!-EMH;{OACJ#D;RVAiAA>d4Dr&fhKEptXKpQ!^XJ@;F%%3Cn$iR_SW3&>6!ZGV z%DCe_-{y*-7|>iMsn9`!8z+-R5r&FbnPz#J>eZ~jc^2!+`BgFpzS?(=?i}#F=58jC znhS41y_RSB5El#U!B|Z-`zy$)?JBBim!2l*AA9{WJknDzolf8I8x0+VO1z>2+5$F< zI&SHxW$VW?x}A%#Z?}&buS>%S$NJJJ9Qb*{l8)6A@*^8yx4!ZF1jcw6~ z7VpE>nL5Wi)DL41g@|DHx4Ct>Q?-{Mf>6YfMxKc!Ah?6Vyar)wEiSHzYTB%#sbe)w zL+#O@^u?9r`e-T(w2}MsY;pzDcX;Iz?@MTC4lzH+UsR?gd9^79&X%~^oGv@a}?T# zVh;I@hNV1r*=c9eu(q)9G|RID+x-K~=$+rYkTh-0y*E<7dJnqacA;QxVOz^FR_E}M z|EL4och8#?vP>pfGWIJz>~CyF5ay@`rdzbH!*j0_aoPZD;|(QteU=7_GGG8>AzBm* z@8<9hYBgmu0rxiT?}Mv3wjLc!TJNtOZO_(VFJWW3b}M*cvMwf@?Y_bG00S~z2pXdK zJP3uG(+5XRT!?G}6QSMBr9mYGd|)azY9ss$w`>jQ1v}9Q)+HCI2Lw(uE~NERGkrfG2uk2dz#esvsZ{! z17qew|FIU_4$hSf&PeMK;y##wEx`kur2uOT0$o1+F3V8Q#}yDOuiZ3LVLPUEU|K z@QQSUv9pK?@Vap5Gu$-)WH-%Ut5lsKOThYRb60w&0xwH6ezW=dtb^uLGU0(+S% zGxV*w#q1MvEV`CRaoLuJ82H065mDsvSPn2&-c6=poX70Sx|Q;~I_hzWM;PIx2vBwP z^<)s*FVs0@V+$Ka+HGXIboZ~G+{joQkhFtU6ZaOjMQu_>p-%c71_J=vx9%3q@9XFt zESSo&Xy^$qGQ2PCH>;z`Tj$z9s`=rE3|w60s7GE&1hJ` z?|&Zm-p|ZDGV9R~jXIPW;coVQ_nv$1d7pF7{qz5O<7@NT#@o}`>E`I@e0(_{ZJv)O zSFaY+>G^!~=y<`ehiB6lquJ);@!^O1VP|qO-S9tO`HhX=e7x~nN9V)&d^BHd{O;qQ z4qpuKUMv&>Xfd8nmYz76jYj^&Hx}2IqvO%Z{7*Oj;l@{9 zT>{d-ppiB;(q@eGwcq+*|Kh*-z0dxq-`x0(M;n9l>G1f`@Mtle?QFcUIGc^;XVdfJ zSC{|b#}3-dZ}9Km)z7c`qs6S>`ip=1qdWh`PydhK-1y4ljlt3M;&M0}GTLI}_nJjK zD4)Og>hkjCS2s4k`j1)W+jsW1|K$13-uA;g``Zr=c6Oice_1R(3S9KD`1Qvd-#9uO zpC8Xglf}lr*g&u~n+>lYkLL>z`PJjm{AjW9JO1_gaB_Nge=!?RPWkS)&PK!I(abRT zO&*;LFGj-Vx4{49Y`T~V#qq&lHd0od$@J5 z{jhuI?YIBn&b_zqyz>Wxo&EbyyL)%O|NVFF-TUsH?|tvi_Xhwno_8nX^HFy^J-WI8 zCG&1kfP)|f+-5w-qlXE~_VHMBW)t7;pvpyeb$L8o0BAGi^kfz0Zf|rl0{KUy?#Xa+ zH9WuFolIvP{^UOPM-+Q}z1TS>^)04%^;cV1BnqEx+o0)HSJCh@(em0t2 zjOPXm3qG9@x87*6aB>Z98KI!(^6Kz>JU;`XLruv7ZdlHH z-OKUfOpgq9CNGAwG3)5|M=l+@!x6OHefC-Z?Wd#B@!Uu3!T08yKyu9EqS?nIB+#t; zU^E%chUeWg0d$lrc=OHM0o8P}xbr|ltXqs;K~*;6;Iq%RjPQNu^|S^~NW1&PqtD~5 z1AA*Y@5Ynv*6=OfKcBwb?7A%^$mMn7lc|Nc4%Ck)N9R|^Achx&n7OaDgQmxeb3Xrl zL9Yc5dW%cYf1kbALt=e-CmYPKE-#UYtYRVfk4G<9?xmjA2pSd1axq=#lX=o^OuotX z47RQoXVckyu+OuzVfT1CosTZ2v(Z4f9qf&d&W5w&?#X7izZjmM1JdnoZ;D*wv!f3X z$7i>@E#4fx>~?3v3*VOK=QG~x9?nLWm!sLBW%CGdVc za+$)LfOK_qHsEu1K-&btJ;0(HOQE2gLSK$yk0DBwmqh|OK}Z{I9`js|rkCfV!DKqS zfa|Y~|D^RM)5#qnkC#83zC@>?;H186CBwt%)#4u@u0}^gF)Xw(7`k|Iv5ROa(^M|x zu?ydNEJRc!nnprW+7F7FxPU}{-DY-|k>jIKymJTwb3k^8V-$mQd_FupAMxhPGYO&5 zE0gXb)Qc)Qdj!_KoX*aV!PbG%ZW?b26L_ih!1^15-x58f7kmHx+;-h*TY#kOoz=ZUhC*K(x$9tORU?BnI0LfC0-d zXV^|cgitPNgRO83?jd9u7P5jcHw1ZM*xQ5YgkkykoGGQ?*j*kt8ytjjCtoitKq92Ey?T}*{9mO1&@*3!3_&LxlDlLS}>G3zF-I&LEc)p$FyO3c58|hn= zpf*;Fj7koogsIuXpbD)g=`P4e1Brp0R>QJEiAhDB3(h>Jzc@nt&EKgMTo)0uh? zl^C|KNc5JAdl8x5bQ#lqKAvDgPEX|LU5sW&61TJ7i*I!oSFj_zVQYnmV7tMmVwg+GM5Au_p_+c7F>ZHU93Kk}OaSFhPWAK2Xo%xCn!_wc9@j<* z@ZVet8XmtgqPj+6o-?yvGf5l!Dl&w~4K1T`U`Zuwp@zq_ zyaH2f;Z{!?@$L(RnaB>2d~`Myvmt;a9VDZeqMKk52CeXE%7(!(Kt3*xNpL~Tm7F~r znck@!z#s^FqYkq~*rR=^`lK7=%>^u-{PhwmrMGG94Y)d%JrC^;K*s2DAx$C1W8tG$ z!wXd2ZHVqqug0@cxU^FQ$Or))W;?o=T^gQ;Uc>AYWx2zNKmrf$#`Fl@RM~CqvapL6 zCMA-Z5h8$@Z?BQs2^FJ(M+=3j!sJ8BF~-h`jG^VpB+L8y!$6nQi*9_4F`s5EauWfL zX$EgdxeyG{2XjRG%QK`CE`#7Spd%WC(G!MM5mW9cO2QI{8=jB<3``!5kdJ2gfC;3m z#aFaLXdkn&3`EDR#6lQlA}|A`rxh#uKs>0BD3u&o3kXZ{t-*9R;ek?dn@0)*nzopc zO_Gs{B|j#@MB?fo0!L_yWn1qcVj^tL9^&uf5F;&gD=R)89gQuv6~f5GKf_(J!!L&C z=p5ECa31w2hfGdq)3o(bIE->E5;ED{sQU8A98m(-h_u7vD4`lhlOuusFseky+4G`! z(VEDQjUP-eWe&e5>;U}LrS@D%=AYRR*3v^x&!+k3NI6 z)r$q%vT+iE+6@a*K&QYjQnY;=EFgYkz51f~-1q%Wwq4NA(fZKni19&dF6J10VUel< z069y$hGikhDZHJZ`2$S;;~$?+t~SXN{2ZeC1fcP)5%@>wO}q;uxiG8>{KgA1ZBZ0V z?-NL+osUjX10gEhX)Y`#H{sAjQUDuyP`pMUlK|ZnXn>c z81ByIpn`x@Rrnz_%i(nTdFT~BB&a4Yu}5%hn9wE;8yN1u(j~;Jhtk&uBS>zdFLH7q zg#*5OB>B0{uYrUn3;tJNAxx8XvLW})!pFd1AOY}bbU3?`t|DjfgEpJ+<6`Ii z?C1KDXZ6U9c#WjM_kPeFU`=3VJTpam=MKR@8OY!H&fB-U`-HNTKX|g$y-jZA-kp2j zQO@D{{?=fCwKluPVv_hmfnnRqEMsd0h{>!%z9ZR#hsf{?eMyMe4Io}j(V48^QnUCP zE*vF%U=3^}#X$b81K0FMQ62!9VG~;VhG|R%gdLQKefC(wOoL##B5hEy12+y8kvf7I zAkuipHY8G4&w3ev+iBHy?-1ayG!H~Q$T=rhrjXv*ytnypW2>N+FRZ>JIkPr? zV*WMDK(|FmQ1+PH1DaY2-|+oOC@wx2K%iJ!PPMWYuRL50E;BYq*y?lioHVW=c4IZQ zsEpRMYSR6}q{V@Q!~0v9$sDnpkbO9^AQip_%RiNt85o2L@M6gpWyjpI(@T0&?~Yz! zRZq;OQu<2iCvA*H1I*?f4J|Y-eNcAww#;FXj~Thj7z-<*GQ>Shf17};%p9l9B7~yBkKobi)7{Hhe zmKS)>9yO&YP@F*l9A~Tos9DG#uz3(2R5LjZUNQ#;PcSsNIr`XjY?XOb$)l}{=A$yh z;rMt^WHc!@?dS0b>Jc0^Rp;XwX=269KliWT!>bvI?nnd!l0V0uADHjlpAG>tSFTs% zAC1V0fCkwe3jH6B&j}fWAmFiG4@4KZ+Km?NM&@21#iJfU6mN=%AMr&Am3+y;z*m^H z?8pL^WDMYueJ>YP=&;D1#WDp1^fG2+<*FfPgsa4qTU{fPAJ{*<2ueQ5*ZVZCx5&Di z_(=L=&Y(FP)5#GN)jX0Nr(LXgn3I>~;u1A0DdxaT1Fx)7ycxx$(C}=)^iX+2M3d4H z>_&ery?-ESsFZn%Y=VpBH^ND|p=^Zp?k_x_}9%YH_9TmfF@p5`+z8GD07x*gE;~#dn z?!6_BC>D}tLhM=zh+DI_-mxd9Clqi5`L{~SGd0?N4=SFIP6?No)#LRPqHN5b*A`I} z#JLu%oI4tl1}X$UB@6_x^5?Wgnaq&2)p<}<0i(X>ai4Zb{*Z2JUe!Ee%xtm00U#+oKWVycA0HJ zxnrH-$TXdLBnA&J2P=v9TrEs7v6*m|)QNIU?8}kNO8{l#6?T*sWam9FAV$`k0lcSSV7lmD(WnW3k?x zI+VT{!~h!7WBV{OD;SXW2Yjdp10Y4C?Gp+Dv5RhqNJ8iYEpvJ(IVsK>65^?8$F!I& zhldw#Yw1+CMq0q+FZvl**@&od1#JoObdKS3fH4<#X~_bQ5Rfb!Neu-V72Q#svB(@5 zHA^-CAv2_-6-FTEW#KTAMF@=UpUC!=g__#|VOo8QmMS8QdC>`cqc{~{`KAICk~ys- zhFEW07#8ZRPQKO88mxb-XJ)3BYV1qLhCzjapP6$ zH81Wz7{}b0jbHFGwpYBIG9hPinidkwD!yU&M6gcxfh9~nY7K0eA}ou4VhxCdAYf$} zTEI`_q3HiLxy1mW@1qR*u3?$ff>h_jS9Kt>J<|d0j>a=eOTAhplnuLGtWUyQ z6qy3v5k43pJOrAsr4%|5NVJ-ZN+Nk-t3#R@3l&f=g<;&OOa#fD5H1srq@Ai%aa14! zgecfz8}6K_xVaO6#{}v`$yo8!2(v*(WGZa%dKsxzFH=f!4*5aeWv=S?TOGD`yszeUC-uUiWKr_)0v=Cn3s10x?-7>XSct#NuCxND);VV zt6D7Y4d(Wqp>^vV1x9^_?b~a2L4>6T(&n$)LLraY{LPeP7z~sOirgrjRkp&&QESk1 z&O1Tp{*^^vsv^{rk0bVX76HN(l8P!gHsD~XP1u&Lnq8^H5Th^0HC@U67TbEss*3U5 z2Vg#undGei)!ZJM8QFCzG<il(D3M&Nt6 z-n>&&@bGvsj^ZtIxh2UBsYD0Nm85)TfMBdQ;LhCn_#T=28@m zKw-+E)m1~)p)!h>$RleD@uBF~^U^I3f+O7-;0 z3nzZ|*<2{E9@&6F3AdRh0&Q&|*=8EJUEdEe z8#riB#=e3QrM*&kC^b(Zoi>^{%#?hGvfawu%rNSdFrp~b4=PzSFP<0Vs?WVd8N(5g zk5gzwMSu#z8R{}mtP>NC%UE@+#MxUR3lGlvoq6bE~Qr4h$9(>O3~vHHP%GUOYxNiQD8G4gHVY| za&$u!Hpmj1@j~Jj*Bn$tA&WS5cBS3bpjIoGv9-efvTtnv$~S3x^wo1tuIJg$dz)5q zAw8lk6vs9qYB@o>w1PLOTwX`o$U4PwGkh(1p|#4Eq?GIm0}`U08;@CT zKwFY^;UpYPN{Nv#&#vcIzU!>uqEVts^zUq$^tCDG#DK~+a1Qz-z^oG2B(ZS*j@x|B|4y=jOR@3F{ z=p?gwog`~M3OOd0?TcM09~F+QF@53yV0UjoiuDEI&mQF&nVRKuF{0*6!q|BfW`!Wb z-u~fWFx)iZgAnPOU#tU|FOFzVzW zd4IE;6+c=v{+xZ~kXR@!=ckf6MJlgJiK1vu?F=xhGE0^?r%UpDM*-dOv(31ag~rKD_CSoR|Bv{1o;W5A}a zctiz>P|fRBR)g|z!XwmpVU$Bvgz4F*TaVzM z5}jhd;uyKfY)HZ^PpwL_UaD{Yr&Na!aGOpU8pD>LQcjSDB}*lkir7{d;Eq`(?AWSW zl=x2D-4#5THYHMU%C8&mS1sYB;gyg4o%pdKSp&!7|@$aGpxD|DbuUk*P5&_Q1nvR z#Q0P6>LC}l63@A@llYq>`N_KU9_eCJ9+iDfY1(Nji~9pGp0 zmNraOHkVr_F{25@8Dg*t1RxpE;Ez@aIBALiWsLJgoONv0sa!=V>Nob2)~ZgDSm#3A z+MgOE+{cE?7h3%w+*wGm49nZW42eTtluHhChuOc*jB~ClpoH_I;az;%mWzn0zoDcZ zD5*+b@eGyr)<~R3Z^GLNcbdS|D-29C%NajY5jKtE(A1^`i*mx+39-1>^RRWN@}v|A zWB+-HYE)FZa_XY8EAWm70ZfuJ0$=Vz8m4@lY$gtup_DZX@DQZ1Al57cEfeh$1V&!6 zR~ZMA4pxWDc+=L}@wl=(ey2CJhKLo&pU{9R{7d@4}5aLE7P#zF6&ds&R%m z)Aj^=@s6>bzr(0E=SZ^<5Yixk%PESbX>8O^g%0lN<&d#xNp78$GSY7fO@`+H5VnK) zebD>sjB5qq83O^vf|V1Op~`}^{MSIA-eX&diPo(v>6`FCd&>+Hj(RbE24b0aQhs?S zR`_KS%Tj^DIS12vR)Qp}C%rkQtwrTb2^xt<2|JYw=mh3NKM3x21-_C~L73w%YT+Fy zMOb9xsic$lVdRicq1tKH!Zt#Kb-Pjkj0tBL5K_jgnhilAHLuH+F>)gPw!2sR?bbTnm?RYYeeG%u9an~d(D z-jCf>sDUr(CbZxn^;i*3DE>9p{S5$0+}R1SE2_9LtELyUUcrhm!wVX#fl-T6IicFd z!-HkYP_8Z7pamlt6}`w~HHXovvXdN0eo zo=kdzjz|NRP+&EY%z&`Luq7z{qi#ilt7oq<%8K1l$09^3X<3q4t?yK$U?jUO;@$uR z!VI8(c%Y>yu=l;q!B(HlrK-)gr|_#!ql&u}0`^*mpl&Zv2GNc|@CO{Gb_!i3AFvDF zLPvx~ouE!yk`AazG7It$A;VMT%Nue-F&c5$j`IXEWd@qg!b9UZ?O9xB1Cg_Avk(Kz z)KX!0GmVg8Xn6SyI7m9`PI%7(huW>1bY_$0&mxR3g1E9;EMjnEsao?R8~#q2v#y9G zk$I~r$|(C5TVzI@V2_92BHLgF6{IBRG1CJ!k9=H_WhT`Pu?M`|00c65B2bjk&Gd*O z?z%Zh@%66C0+vXu}5PM9*%$l{4sK(C>hC9Z@-D$9nAZ0FyFG6n|};ZMO={Ea9u2Yv2DK_F>cI=}

HVMLM6c0y0%cW3?)WOXqkMX9;o2Z{E5Mk zenK8hrenmX^pTKeLyj&uyN|LyL4L=s{9bJ$?^;-@IoYZJfe?g%kzPQ*A(&nX7n+tg zJ-y+Hvyit1=4l}@C#k{(P;@fXK^5*3D$!>2hMa4z4cu?sW#WqW%B>>oye&7c*_k=+ zODVJb6o}|I@5BbFZ`}$RFj4KQ?twb8a6;t1BbY|>D5oA=BxlTk3H4kZ6fS9s0_D=B zOBh;4D%Ojh128`zB%ST_9zW7Im1oCJq2e0Vbh44;2)VdVMM{F@8udyjpi7KTSHreA zaH%k968s^0D-YOkHYPtQF0uyQ^0rSUq}&#jci>}0nIBk%N4}3)31#9iCtLXD^rYzs zzETDE5cj1}`BL^4g>T8Mx9|-KSj2Jx-g$KEpmaOnwt3pZ%RzEvtqdYCPc0hTfKs<4 zNP#J6nWx}haZ%S+8s=GaL&0Kd3S>UORl4Cc6AjzkIP6Q?m@C*XG*Ua zk#so{zP~iOcS*iCO9CsZQCUSrz290vRu~`wswxbd5vW%mlEPS|rc5sO98T8wp~x}| zm2d4M6qVrQh%Cz78P|l;E|!z5+_o{QE|UN6bm_+>GjXw}Ef1AI+_*R|#>H`17fb(G zjNXS%DEO7#0VLfM6$0Q%suPoN2Lqf7D4vhUMgHlg6dFMY!Az4aa6du=ftH1fJLY3* zI(_+B+-e-H7Mcr;-W)KXkZq4F%=sVdTp}N7tx#K_s(utIq1~p22>(=LoHmazS-=&! zO%_m>2Y%lKhpDA&oBGvCaX4;sfU64e7~`_mZWWN0S4=||JpvIm6F#zv0WZ-PK=cfG zfQ8}-(CiLFoH9o-x!A3x5`hGm9zm+Jea{rVUMZH%&BRuUePHcnsoV+wa?v0?ta4IE z*qOfVB@Qu>vIh%+-G{&h8Oxm6lf?^v8$DT4Qq~@s*=$B+-cx{4$Mhtml1x{vNiUsR z1SE2c@S3;>+&M^(Q=N3qw{08a%(D(tMGw{a$nYL>;0fRf6~HbyB>kS4I82`nCeor^ zc4Wx>sY|$wLWmC3nhW1{5+?L^T{|i_AxF`7zVGyi>y&mrL?<;^0FnlV0H*A-UI5Y& z-l-~a5k%D;rolWEm+9=eu2ovX1A5<=7-tr>w=h0*wkow8PE0^5YX)()Xce>8Ok{*; z%WKd9me15kiW*Q*hRR&O}E+*1rDM<|AF zZmiwx=i|bQU{t+VPWH^DiP&LWqO?7o53$a-Z)m|q7+GEXUBt`cFdZ0AtG@&fjLzgF z0|i1oz`iCF8Kn{f$LjqQ#oQw7BdVyzg9lg{dW_NX9v2Jf!;z30KG|MKFdp-P?a9=n z&Ubu>FiWifIHiaD4&-sgVwFR(7BPbm1fh39w9L8I1BceL#{N*noM$rsl zanWu@;Au99KZ-2v=en+R@rQg*LVxHN$}f`xb_6+^?9FyZehTIQd&e_3F<;;b*Vs*< z$J~A9HXzSMGpYMsn6;D94ojG=hj_K?f;AQlYnQWB@%Su)9$*V;9jn;2f*GA}LB=^r z>Sc_kN9J^m*jVO(5Sr7wG+0`Fzz7+_ORy3&Z)4FV+Rq6rh*9wDD75fNnvr4)5E(MB zVy{EUlHg7_JJQ@JklV{|fE&G7Bxi;GA^1`?GnNWzE6odObX!+{YhtrNQzo=7m5HrK zSutR%(!yv?Hl2y6M#|umJSx%%kQ48kse?cZvWmh<;*sf#CYiD*t&6M^YswqNR>xNz zY>ksvh%%V{{A10-R-c2bz}luElsE3%miJNg{LKFoAmyT971F*B5JfQFA;+b6G80;M zwvbi*R0)Wqx{n=j@JL+eEygpYaQUH&LRiNRFCuL?&6t1$rxZpO%@iB>nB9wP8bY9A zxL}|a%oG+c)PXz~YbZ@*Tx>o7sUzsi=iq1B8_I$_s4#rs3}|MzZLKhf&BHTxSXWLK z-WO#%rX&|)7MoV_XH3gtiaK&wu`I)BE*l{0vwase{!b$=$0sg}n>a-mOPWAzJ@KJA zKg(_L*DcegZ~2Nl+%(pm&#}%iQ*NxFP|S3YerHsqw)Gh}8;uA1I0DHEm;#qQc5d}R z8Ea~UZ;t(uTq=jXGaP(`xB&DZ0t(puK0f37UXRYxuSmojmSy*7*^g%X_j7Ab;-cTx zD2*u<<9Xa1a*XcD&PhvuCnm_bm{8Ye9Ko^q+KfllB1^Fz_OxZf3;>3R>iqC+#m}Td?DYVomj)iESXpB%t3Krq=99LuV zs5f1@T$&j%WxoR)?8Cd4_)703{u_n=XJ^vT-3$Idhy~Pi1!55=0emg?kk$i>_Luit z;+Is!uLGW#V^)yQFH-X4D$`!~w1oh-J?r39jH0ncv~86G0WjRuUb7Yx@_x<%`qd{U zrPWsE!Oy(doNs{Qi&Qf$*47vdo<~o%Z5%>6KEa zxz1>*G?9w9<%uHC{c0#j{^{1kGdE-WTCG8_DV5547AldFt^%6wmQO7Vgg(&DrX(d) zA!;y~N4aQV6mUeS>)t;wBjsmb{&~|N8j|H^5^55>MBG=Tfn_ zu0jsdOysFgL!|;~E~l#%GGg(ZLjRViE7Wd+n5ie!jWBlj@Y zZvf#&&Zgxvk{=Y(;Erp70@lM_X6RE6i85saJ0xaY0L>~kXqSk#NYytM-cY^_l9qan z3~ttG*FjE)eSOo9tV z)j9NgA9Lt~5fbNEdU8Z@;wFYZ1;M&)mLW3R<;YT*A56JNip~|%(@g^oRH>D4O1CX| zVbxLWc|JrUBvSj9U~e`qBX)*#UW@t+x|M(xaYLsqm(}GlZP21!JVvT4#Z=aNOc1&U zpG~#iN-7CqYVpn5uk>*was)MA;XpYvx1}wpO1JU#h6BzmbxHI*7yeNxikAM%z*4=l z@|5EzWD%&?f0s~%p143f16T_{-2#p7m#URaD!7P~!-wl1g#A5R+B@W)A~ z0*&H*p}l2@f38YSP%mFVWS>AD^Q_p4%y%F=x)GAx&bX`kk$)V3voXgXcobXO*^h0v2m%b(@>9%r7VypfSI_2Jwi>j{=u}Gv-(068B-$@kNqZ2QuTi zPd_%_e++DX!J^y~bnD;7KA4+H$;~)n$vq9?d>oo4HI2Xi{gQUhebJ`*;M5O!y=as%QS9einM=latMVU zf-f7wCYqS`?yW^ZyzIE|=L9|*SdtB9biTPpC{%WH)pEd+iHQ}j1-^?IU`Pj8B+U6% zVSTRH2XnrWD{33{H9R5Apn;|5CFF+rVsnhZ307MKrg-O99P>S!-@E#aZOUn}= zjELRQY#R;L$fa!-#3_5yao3h^@<1cJK3-RZHJTs+IM%KqGQuQqH~<}s1A-~Y6E`Bz}3A*4xow_b$sv9^7mA4F7a2k`%beDX=$PkOUiby8;3BY54>*B&5r-dABpv zPgvv?oPTf+rx=FmH)TWW6LBCfK6wck?;|3l4GqGVO;=o>g z@>r+=FT_K6)Zh;xjnhR5@(el!y|joJz!AqaH9P`G=$lUg5vQhy5)Xgm-C^jr1rOc@;#_T#-3XX{ng|nr4G|!v46|VtD@)^;Z1b z&al$F(!%TOH}0<9x?{6#^Z>k}JtBK}KyqAARGV<%>22#$Z{zj#egU9}_F>`U5)c;K0_K+w=)_nfLVe#(c4SHkcJGVDC+ru8+e>g$0 z%K;9jGXOXb!+7_R#?66(C23eyv3{sC~?OB+(;#GB3K?J2mqDxko_W^A9G&hAs9d zYy5${=znwt>it!hBcHTU{%593ud4x$uyT>NIK6TH+=FITBe)T1bo|*;zwdvB8a?Oo2!y*)bBOc#7@mHTb8XnU-`AgHK zH^UR@=8E`w5mvw$kL{?~n@<5Jr>2e4QTcb`R;gHdkVky72p3?IhZ9tYgl0||;l_Xj z)ELfiEv<1X@hNDU!SpbTRv;N_&}WDpmnd#|7GW}dh!m%*@9TI5GND6!pt^z*?3M0jiyk@=fxED zs8T?)V9-FDY{6joU?iO;JxaWVC@TZ^@~u|6u{s@lX`SkMS2wUJ!R_J@uuhc;fG0Ik zh9h&bNZGP~7~mt_;1|AWKcBcO?1IPSTdQiWC*gK1EWga{z5m+VR~Z5C({j1Q>@rVc zIOg$fz9a^jcz?VQUBbv{Yk|055l7A*V{RN9anKg+@Z#6D(3u3T4$c_>pdbE((Zaa6 zeO~1wY=UU>4w7?%j7jBW_0Z=w3%$2Tb8h>d=lMT4yekE|2EO6?-(l5W;rFi~%Q@N~ z&1BNbF5_7RfK+D!L(yB!KIbe|+ZY_7-m;WzQ|dlW9#UR&lsw{)gWbuvOp6IkF!iOL zcQ~VT8gc!k)1#CX(8NTBLh}yD-KcmV=8LREAZD8d0Jn%ol?0rIt**Q;S%153e9{{DKf9BhW?a#WVDhK`e(`-@A8E z_}w?Qz6Wnxin658mGW%f_0$^pu6#3Ys8pLSUqtBqkT(*>L)XU{_=F`rAlvkKnQMR zsm@f(dW7cegX697Fs#7Nq9i`y*o_6lE^HPhmCqI78k!ohET)V<9VK4B-fU}HTaktJ z$aQC3*WZe@l6X|C3zDN?>m(e;gP(pR{?$_=@wo?0fAv2p&{+5&^ha8e!rHI=l!OXP zckX|hE`3#9{Zl$`4L|n3e-vbu4(F#jURYxAZ$26ZpFQ3}WZceEe=e7G3)Z)E z<_6kT-yEg4{8L(i+NkZ;rtefPIgd)!xj2)6mkKYCEJL8|AJUvI$eAK4`~5 z%r^Nyrb|D{5Dg3z)=OVP3Ho&DtX0&U<%3^yW<2x9V{slKF(;Qt-zff@M{AQOzvgQq zQI~B_0%Wl@L9r6r$cbru1Hl)Lr)}cl9r2F~20m9F!7A>hywI2{tKQ03jx5z@X`vhq z8{Hf1BM_r;BW!2RxUTXGhftekxC_ncIoM?_T4ha{wI>mogVN>%8iEIPmz*P>gfwBF zJ51{6Xm9rd=rh-O@(%ys)1_~qatiFqC6*hlX+c9|*rGadw7Fmaqd^O=1ugs+G2=P- zolgs|IXX^F3$lMA)`?9cfFB@T#Ed^ZbdmJmA;cN}-TMf~N?CsY2(wI7R))9}J4>hjnhxIKhr_v=?Fz-mGRqur!yfg(^VoyD&xh)jt7HeR(7VoGM|Z%V;m3ka+tG!pUH7##%mfj5*cE2a$P z`?NVJoDz=DvL?yS${`{ z^6W6djj=bQLr$EV#EKfnK-nBHCyhzM`hr?hVi1B&Xd*92CA!l-`x<$E%?+1Pn zQrj*@rU_TNYj^Ef-&wXYW|D2O;w|ZE%bV1QU@TC{E=w79lA0>erR<&NGIH+5gf}<7wQ}=aXTXe#zjxOC!i)>U-T+J6$CsQ~w(%6!#8f||MR)5Y) zh82;ZKxwvH_D^$!SSwCL3~_6tDYe#fLR)1gobGAkv5*4tO675UnjJu~Cxu!dSrWBB zBtBpNUf_hTyA?*`V(M>wu|*RBOq0Nv0l)hS@@Q5xZ{vGZYc!*5J`xODFzi%Sana z32Llx?iI?Yp6Rb*7ZRS5(BUF<>JCEv7+DHBfrwM&$5%`lVieukv{o@0g{Lyqn**a7 zIBx2SW;Hoog;JFa%Nr~uC_5lMp?-4f(4B59ym|F%VLM{OQtT4VVzZBDM~wWyH$V?? z&*BPTNNY498Zj; zeC2r@hlgawxf}eu*#FJQ2_o8=$2;?P$8g+amu%f>JoqR3_nYBgTJvOghD8Hb@6C4b zw`c9UmS4s(ahAa&dTN<6Zds=LP6!9*AdRe-pHTH0GCx`(JmUr-B4=#P3Hu2anzmls zE7UDj1jPp3`P`G(iAb@Y7oeB#1FiT?gO^|Vt*CP^>o9$JmoHzABp_m#MGo-H_hU6R z`XjSfT02h;|JVYb+z%=4HOqlcruU#L5b7>xm;o;%(-+BtgY5u<1F98N37Rdh|jB|~`0$k7`n=qjL1RZGy%5?dAy ze%W4$du6vD@mE`%h`8ga-(y*OT6Tcnt*0W2lSmS?n-!4A?qEa{0+6de%^}d+7iD&I z4Lq!Up@;Ly3_bw&8pqh)Lu1(C94n)R4Kf(mT`uxn-`rq&lwq$M**VK?eeH##O|FPU;+Ia)kj= zBU8t$@G{phC{FANYgrg{+=Xe_tK4%?Z(32hooY@x+`K(*?T#()xf7#0%} zZ_9AtG+>L6iWQC}*R3}pH7@EBWs-2acv$9LU+)zsj6m*erQsA^UqIDZ06+1xAaS(<+SmvO9Ze5i>DBUr*-$lc0*i#krSP}k$gx( zv`xs_21&u4XzwWqrhp@hk;TW?Ndktgl%LCrp&embhSToiY`+o1zNakVwd2u z<}T8mM|TC(wHt2>LBDwgzr}vn^1qAmTH@f75aA3r2EvF7G?mdiQPhnzeRw)q-N5V9 z6xKgAU0MmL`v}6iI@Q&Z+~eu!aNBK<=YJ_SxeuY`-f$m>H5yPvY@iCASB~-}+mDzTAb7#L$U6Fmtb?9& z>0#<_h3xXENk*s$Mx-BPML>cM<=tBzT;97ZQAVcbO3{MwF1dUN zCS)mzrBn0G>S#TD0xeQPs7g9kf%UCBzv$8Ht9MuX@84Q;62RRVd;4f6z*uD$Jq?SF zw;J@RWtddTNaJ4+U)Rog5=2R~)ejA>Y&HoX2qp+U1iQ9=eRJ*i+f=O%KqRwVZmCoS zbO=c}876K4E!Czcsnl?=$Q7PYZnloF7kUxK>>@4TC!FumjvP_b%vY!zH%rI`dC;C@ zSRf~7$j27Yl#;2q83#hk66#l4pjHv$O=!-iMG;!+l?_Db(HBaNJi&iaHibQm^MOGj zzKn13>#&?7SXGQ9VRXI57)wYWgOV7Oo7t=;0mye3fQl%gs_!w8RNgh{7t&1Kz zHIap^$D`dD5;&9=D~E`q;J<0_70!}Th}CbrY(9FZ-b=Kz*xs=R z1>+Xs@y^9wSQEt~N4WjsoF40+E`6R+BlvOX9O@?DnZFv-Y+2`xa8tA!t;00dztkkBAdxJVG6KyndP-DL}By_$fPL?Aso z%L;EQgtJO!id;W)ig9pXnTewpR$nj@&k33^7l0nVn)F^+k$rq&3w`q>n2R&LI5o)- z1(wP7fe2WG^Y0IK?kB7<3Teh@xd>pW5hxcWts-Ghn$D2suiIL)fz$^{9N@cXF@ZZ( z`X)NFf)N{@N5VNF1oYZ;>8CWP-XnW zAQo~(u)h3eMR8#-V08wHcsneD%vUa6OJt>_;B20|^E>Qn#cT z1E~s^Q+y0=gc=VWQGgQ|>WwE7Qxzt=AC1tT`43|;eatQ8zkalMRMeHl zIdbSEV5cWl{StQd+m*A+HL-#aVnv6*qLU)jqu7Vk@^mdux&XAwNxU;%`f{m!C}JG7 z@D?E`>KGO>5tE(!V+5*xEOVLiO3 zBB4MfK4h6m*6t)=?Lbbs!LuiM7mrC>wTAh1W4=JY&Bf+gnYKQDV`$2oI^3C71GucQ zxSV957=ATjOpnpFPjc95UBhzNiheI-phUhCt}=yJu6L2wipWV10hx%An;W@&rWh!( zlDTpW;6nUSFjkF|Tmh+-0T~N>;u;oB!DEa7A)c^5_#o0rFTUt+I)&t8 zfyAyQxHOQSevtWNF%-chD0Gtp2(D}oaQWIy`t+1)t=x>vmtnn-tZd<^trhxS)eT+B zIzV%Vf!bo0mcyCsAc;Ijad?Z3yL&iv-Dla+XM1 zNT#G#1g($oQ0U%e#ALLdm+?}jN1{|Ip>n~ad-n+3bV}3NcFm_l6{}@{q3B;#!z4hV zp?X$n)t*2|I5le5sk_OOhH1k%vJr~N8%4nGETe9JE#_87-Aon`2XsqLbi7=9DG~yx zDH2``i`W=U8}p(RW9Ed}P7!DEfSkWhFiO|7jYfVQ$s3Ttd%ZhDS@Ia2$e`v|^nD(? z)KrJ)r0TVV3CDcLU$^pU!e3mJ0Sb2&#owc{q zGyx~nRwLXV$D%ju^bD9QWkyP*W2DgFZ7O;Nd^&2g_&4P z02cs{a3v*g6$FJzI=)_sqy)IW)r4m^4D5^$xX^wNd_YO_`U`K0EA%#sopG#>BIIok z*fR((dD`YCCuouH+vCxPRC0%2At+`uh7f*oXJ-qtH)EC4>G^nKqi?-Yz{qDB&~raA1)P6D%WSjIA9& z{>Pa><2O~*q1xLKLptS%6I<)o1S;spkqP!<;STSjtH0!tG@9AH*Sn(~BuT9bAhFsu z2#TxdzXEZ6BP;>zs3zIuN1Z-_1LdsjUtmYs?{j+Cdnu{4VJ^VvlL>tOX!^|0#{w(z z!y_%?vu$k%ib@p(FyBw9&dyEc7!?hjL@LHf3AZu2Nwp3ke;fr;;zeK}DQF^Lh7Fit z`SD31GSa)0^Li7N+|LxdA}&e(5PB4R3>>rGtfA1t-Q=AHlA?jjRUHKjsux~rB8_&A zRIe24F`*+0Obp;M!x@X8%avWLsjZUJW~mcWo6f6qtSC3dZz z9d@`*D-SdFbYfmPV9KUtUb%Em5uVVg7(E+~JzX0Y2=d;qv1P zC3V-;{0ZoUJ@|vsn>|EMD@_YIGkG=S5N;CFYdh(>GAruBDuHpgSeU>3k4Nf-zY)pO8@4U z-0q7tte*d`(3g#x(srtNI{H~qF_49r-sl1k6k(fwzW0`vr8bhml3HeA_JZ89vPtuD z@khuoS7=+1Z-zC!2TQ1NTu9LAqyn*!S!jgBNuR1QGd>nubb_4tN1jTNIHsGKoHJjI z$+YB$Onq=z-*1bZnqv}TE?jg@Pv09{OMEUH` z#k^Wu(iw1%Gcr=nWKz>&Pu86=AhuoT%IT*pgYPGM24SGMOGKnVvs9EyJbKysN}&YY{&o^`f~hdFxag&28&VKh>^uM^(I9hxC2>eL zm4eEg6UvgMmamJv`glABDV^SuKpsidCh6Xx)lq8WyVjM3GuOSs;^3Tx*_g!rYFeqfAT4*TnI`x+0XoV@IbB{-xn4HiKSjaBmAo` zV)xKK$zK#MEqGow0p;BCgdBUxKx!Q+^_uUG;irNZ8{UxBO`{LU4)$GRLXTZ8{iotW zw=imtGfJb2EFvx}jUMW;C&&8dUJ9&JxFqKFF-Lyb<6(wtU=4(a5>h!%K^-T%695a} z#Z5i1f1Y^@>FbsYwrX7?=3!nXu$WpsGbGb5wwmUnNSy1$a%}{*E$b?ImOhcVrm^mGN zbu&!dijadTc3L~#yT5mEBw_E#U^>%2nRbjAh7HhArW*zC3DT*QxQ*i#xs(%R-2NQNDAWjNrmh@RIadEO?jPnds2PiQH#^ZMo+$qjEFywKxJ^s)+`OLo<3#l;8 zXPju}X6r4p*xN?e><`OaJv4iOjq?h$klZxIBwW(gp)F`JbsxB+4j*p@sU_StJ*v*v z{fGcL0uv%nHB~PP4it%$cS2GPTV~`z-BIq&p_|;?sJ#*ql<;x|qCR~Ya9}}9xXAW? zA>%{uL_8ZEUaGo^;i%oBV>8(As==U0fy_?Wq#M%-^tz< zUM+h9ZR0LwbnQWHwqSz@FRVM=PnC*R?`oU7Tb)8!_5w8*`AVjZq6Dtd5KPmaFp3kgw*=3v{G;;uG6 zFcN(TrD#7vszR!i7ZfVR8EKIbftvQ?Elo?Ust3ejFTxp{O;Fh?Q4QcB=j)rI-TYF4 zNx2IBmQ?00JWIm^JyOdPZFWsJ+IIj2u_hvpX*;?lQKOkYv2{w)D^~d6el1G8e%Y zr+$N~$To%l+*{^c%4P$)63A84B3NH8#cd9>mjHZU&O+iVZJmM!wK`MYv=9a%*0k0e z5BBea0q*fw3BP7*qB@P*%}`xLy{6Z)n;a7f0|G}S0oo{_x&pBi?cm07st~QRL4kU= z(rU34$_fZ0jC62gb0{wO)j|gGLS-*;q9;*P7q;-7J9* z5WQk^BkY9b(N$u^)nW>0Y0w%%w~I#lz}HE($Vpl9oK1bG`^f|+er3AUrsiC{OT< z|LsR`XLQa*$Vzs28fQe${7TG3l0cZ5q?gSf|y3fn@5Q z!=otz;8J|A_E4x1Uz*^mM(nAn3IP;_>6B{2zQI*L1K&eT3{NJ>0nE?ZH0 zJssVP4{2i*Py1=3@S1^((n>CB&LHN8Vi7Vo1RIvUbKSgAh(KO@eEF~%KgqCojdz4= z)rF%S9p2ccfj3MIL7gZ`ta6sNRk;CaG*`kgXB2A`?E~tZ(*y*R27QsUL^lx7dIPAh zNF0U|p&jd>;{n^D7%zdVX8J}h;{<8*pH7#)Nl{xw+@e&&iPwGqmogTIIO&00pmuJT z7vCZatps&1!fT%ql%g+p;x{cpJvW)|9t^gvRrP;0U0QBXRGW~3e$L)I7;Q~OHbEW@ zkb`jwTKYRd)eT;xB+LYm51L6+77 zSr5G+77BiE%znL)d~Dzjbu3v+ysZH|%$&p&7M>j=qXuG8Zd)$Wk6)An2p%56tZ`!EwO#GvK@Ottk7915_e^c?NsOj zI#z)ig6Y_(#H5tmZ&^eo+6tQ~IAJz3sDup39(}hEDvGF2C0BxG#>SVY~Ez&70@LO;X@p$Wu~s))&yHjw1~Ag zq&=yUcUhnXBoe?)vIO*b4L-!8br+^cE|JNkY9XPj7sM(FbVWl)e+xwk6=9*=MoH!J zR#l*M<)El`B{R4)UQ-x1r^~s*oH+wJUAqGmSdVd>sNh{n!L+~&SKUqY>Nsg}LQ|2g zi%TRIt7K~=rI>6iW7fPx-DJJS$wf9rK2Cs`zV?C#uN;gJvQJe$ zEdj<1i53|iPd9)-%@FIkD(gGt=Kx(noh~uKV7I$PSDI~<3(ZZZ>v>8Yi9vkUwYQ5fy!%G!fMJgkPOp$}o zKi3W%b}GRRgcK|jxU{m!Vnq#9oIoE4Y9r5xYg@`oDG|!%q8Z|b#DjDM&lsGDwaCE~ zd>$xhQ0SyMdD*d+2m+m)h{&Dz;DZQ`qw@x+TM8?sRfw?*nz15jglRF{N?jn>70)`i zR!80?|!u0Vl zfW{PKM;j-K)#A%ONzcZF`yiHLk6WHSr@hePZoLtp$sbS4+-{9}D({>vI!}%7|K-V< z-aG?B!$NM%fCF^!SwtooQ>9aGOT(n{WX-2PB2zoizwt;8^cRGLJPN}qCs0xH^Z8)9 zCO@|sor1Zt(8qemj_r{i>IM2fxbMr2+ zsv^f|>Khd>xeuXKpw(cHSf-u!VOhx6Fei#^a^U9kXBz>Jvrhn)-;sR(a$%;ffg?^I-BG77t^kIA1Ut z9h%-%%t#R~Y>IfCH-Nw@r=OP)@jm{xqBm{N&m84EnN(&e8kFEdmtc0cs3NEZn-r3yk?lE$rsvl!RO}U}WlmtNm^;O0KtU5!O27|C=to zLdwSRU&}zz$}NKYMj9YLEvV&>MjmlG`URI+OaEJ7reB+dzu*!pb_xqvXco5K2+&Vc ziL^$gP-vVrScKm4^n35zQ_~izzl*-5bM<$&Ry{T5+pqjUP~RT*iRmvx{L|!#j&Yy-&iup^+nL`{?@VqF+x<>Qvs2}c z_|&6sP{&I@m>udExMPbRF?ZDa-A`;!o}a-o#3Y$KGTD_%<2M3FO(yA-#tXH^to2F& zf2u6f8usJpjTfF6-skcH<2m@h3#z5R5@-qo3tu}2PzMeX?L)v+TO#3}X#}+x2am^L zK-lAM0^u!KOaZtzSd}$^9!Pl&i5jj8KW5`%(wfDXMt|t~bSFKwCrH2Es1Q};1O8fOv z0L6uJ`&^kl&jr=BoAzhfwYDzjGpYJRPds**vrlrlIs`>Xtt)zVz${=xCgIAmVZxea zi)R?dd8vOidT1SI7cAAqxH0p#>JYGw^JaJFbGOwS`@n*&%l#0cpkD2UAeVN_a6m^B z0xz#oGOigDlAkZ5c)g$H&A8u8(&1(uBBCsAzEDH<+Ru>F(XD{g{b&5q7T8@^JXdxk z1j~6%HRqH^J*NGja(K%9z&{J^aMOt_b3~h%wo>+FQ7wE;0-$=66gd=^8#llS(yoB2 zF`nq7q-UCa=SI5+2;$jg_L}zUCq^jjS-F7iw+%N0VmRo=EElzet16VqVUU+sq}}eN zo(ZFQb}szfM_&-+OQR1qlctadTfwHgER;2*j|AT^UmS#A5{V`;{owwF5S1XZoG@8}$a9Sup2Kw3EYI$e6}-BUR^HfN5G zM|!EtZRbKZxU1bI%1ficB`rhDDw6d~JRsI`3a-s@ot6P->AQ51{Mw{DC_X}VI-!w= zgFuTx&M`HoPCyqYs$%{bMvqoKY}CT5pK8NSPah{HN*_O1V_2iyjiK`1;CTD9ujes~lh zD~hPwfaTu$f{{{ht=HgGm#zeM7cLpJsuSv5?LrfEheq4U3}OHgV2u^}O$I_C&k;!= zzUC0+wzM&-T(NdFH{n;$3L0G+M#7Sv)@YY~bV2XriVni{t=nP1H9y7pqX97Yi_?}E zW(byCk$t|7CJm$CrXr1r<#TR%bJ^7U)nV1PGl}2%dHrmcQVuGq3{ptNZ0E|h$AepS zAxw6u!$Y3Vc9MXlfCs8m=e7)fc0%HHlMS839iW~87Pk>q(c$weYcxF9alzb##i4~Y zh1d!0IU@U08wUmUkl;^BNHChb>Q;2QVNw7<<&T=voXRv8ogPmbzrgzd%jj1zpd{)d z06$*Bj1KOLVj43(XjhX!Kz*5H_hEpbU@+;=#4wDS>C7)KDq?LQ&t?3$HpBP~_P8^Z zq>OmBFEa$FAR-l*FWXWR1eV$qt%%Zk_%8ali)IMjTHW+@WFD!MNGOF-;1)82%YaYl zH-yfPZiQFO6rNd~oKiHo3J^z|TXwZaO@jq)VKJCSD1?DDXuvM!0Tq#>gPTM`@*zk>&GV_LK+8q8bhjv~C7`m3`Q>KXH>R+2Qd zbTL*fQx(Nwl}%edm%?i8kD}(VU`)$)_EKab**u@I87k4#n|6l-)4@dG3o#>mCGsIv zSU1ws5>MaY}zHPL1htKyz0e#Y_+$$2BhY*upHJPB4VE>b^pjEE(7BOeIh|-1tD_ zkJGKno#zHikGv)79v$YxVryeZAal*rdZS;+?$eq9J!e z-Mgz&!-)(2lo7hHSNaiTEqZHa*cy+J9Oc?Ev@Fyu2u64(j2EP;7L}|K87<+Ym%r*; zPuG_jC^IDPQ=F`eB{7l2EbX z{Nc(C?Lf?Ppk9~tS|=ZRQmU=SSBmK#V=@KFbJojca%DUWdutri6CG);FRS{58)Ckt z`5Q=vZ*IP3Jz5*+i_b57S*Py$^TME4ilfZBN6S-J6;=EIs6pLME1gS)8cDdSvMR3X z0(B8u^hC3^c_ZP-q-Yx?$}cKW{*h{cx>Y~pen07Pjt6kUj0pkSRJN1;&cD?Ch$I_I z%)YmkPD{__^N6S3Yg_Cj)k=dRZZcDbpebxVAUn#_5$kk;QFophBg-Q!NatP(NjS6k zZj5P-W#u~OqIS$Con+T@o{W#Knwyf4Iv~3gOdI{%ran}jr^A4a;Yo?+)LwD+PskOxNQ!Or4oI5oZd^E8NE0?votbIjc#FrsdY?uFk;_AqyLowX4=fzi-S8b8) zd2>yM1y2Xlu&jdUFDqqB zxu!7EL&2uiu3_Wl+M0XdgmM(fF)8J@kzBWw7wgj1f|r0$TfifE+vkZS;n~H&qc3oa zbG5E{xbozyJDsh z3R>CP#Kp*rg(pie>m>}t=0F>lCzm}oP=j=i*sjQ8WY7W%3IJ18wx$=zZwaB)39!Ll z<7_3>W6G!R9B1dT?gc+;13RJuLyC;_$467A{#Qk$eQu@;k~?(t3EMG99|gx z|EyeHpqsBM`3_&dS@w?1GA_Y~FkJc1%CTJ=v$4h&?9|d_-+eL*A0jx!)Ykf;F1N^N zuMiFLSIz3E5^JbWTwg}D@6?@~WBfjyue@J98C&3`LpW*{_`FW@elPvyd)|wfmLkWy z)PpeH-S3{crPxMpZ34QVlJUo*i0_ z?uU-Ij<&g|5~F5O1Rys$lCLp?Xt zT8Y{5U|kQyxbe{3dA?%mIl%=~(a{zv3&p{DW4O^dD6L9VGWCzIM3&l zf|TjO%LYaNrFp(aGq(>T+CEn@!ygQ9R_`Lu;z)KLZqd?_$x=okaFlNDRO6N^&5LOz zl@n19q0G5ml?}VIcc-$PuDSF4YLY8)R!<3=4w8elpq}iqt;Wh#^Tt|#*ZGC~ST62j z2Tu3i(_+x!`J1=yUeDWPBTI&wNk72#zo67;eqtN^b$Ye;#Fe7Zl#f6eWTlIk;T4oP z<=K^AZ8AqLOQk`PkcE4P2;;?LLa=Igy(5!cZ8awy(*;Ymeq88CU~36YKC zsm5@*+L8eDv_D6ahR9_bQTTB4)_RmQYFSwH5$Cb_BK9#!fI$tByT19!tp^Wp!t-mevaiqXrWv824d?)xLcRIA}*+AahdT?*;=6dcIH_{@1v==S`V8OSD zuka%H69w+h=q|n_yJdI4%T|t^3l1`XlE5yeiheMeQH1d7>MEpSW%>Ls9Ad*~bH%zF z-Mtr*&OYLU&o;N${xDw$BZzbz5?TBLI(}YQsrZB~<#VjtlGD#o>`qINJC)Pwf|M&t z%}T5!;hW5C7jL#$-|L%6 z(_OpM7wf*HBC!WFFX5$^M-fb(>_Iv?1Dz$Cx@ua?k3~!-=4~JKd*>qJFXk)1vK;F3 zmA5r&Q5O}DD88I$uiBr~Pe62`3jCR1-Y_7j8{kzLE7%z1OAgh>EzDrQhGb}|E5a4( z)JuO!w?i$!F{eAU%2&h4Fu@&vEEY7(tB(NV$@n0bb4b&1m5QjcIWcucVf0dJ)^0q7 ztd6$tt-)FYeKy(0h$H?`A||%iU_Wg3)49gDnJP;b>Eol56#uLF%C9+FRF5i-_~GdC z<;y|(-&O3V()|zLuV-zLp(lE%@b|1gV}AyzSJf&kR@W=8 zSezpm&7amU{LOJhz+81%3o@ zE{h3;>nsXYCFP?DIjs6|sL-@IC-K|2l1?bad0HzL(6X?ecCsV=#?yT?nq zDFxD##sZ#vmOBScnoC@3q;tSQkf<=OT=dHyj7Fbeb01^C*oGzg4xg&vF&fo|NYA|$ zfD3wEpsOQnEpk-CjRG{zscSv#Hy~qD#6aJv4*;f|2*Xr!UG_ABwzY&>gp0;~4_lw# z#vZyEXr};i24d88N0pdg#$yKTc*ud3r*XqgYucU)dbM@Con0VG8I1OT)EzOMIvGwL5DY zo8?0bUQ>|S;885(Cp9jyBhVRy(ex&eh+eTHtapseP7|mbaJglQ12J2lZ*FbgxVv@p zXK`A2GkRN1M>_=5aUmOFVERbnLtBt6lmh5e7geNOp;Wmkp5x>*@_GV z13^q)Za2#5*G5{FbL?0u8o%E5BsU@F`kx|!JtDMjIF+-uj2A5NB~p08IKA;qTGGn- zC$q!T{l|HlqsK2!rb?%l{1+r<^tY?JWAP#9JANM-j{D=WFzAv0ctdSz!d%M?0sa?L|zcy%eQ2SkYJd^4W)z)7qceQiI=cDDi@q)s` ze&LEmb8FE9mQf_4JZTcE!Pf~LN|2O!U2q~%k%RmgMkL&drt2`Q=+1FFgnbKltP?rD zom<%EQy#5vE!*nC2&lqR+<0@HHaXcjhAGJ|fCB|3+9`nErzZzqhGDR&YL?iy9d%)qwu%Am#I z#I~`ON4X6kuzJy2rXpYuS%m_ROgMA8_**J2poZ9uDFzLMWy>D#a)YKsQk3ZZlegel@Ri`EvI*?ycu}j4@q7@TQ|gc$XzB89XO(53UT5`Umw8 zQ{w-e_x8r^Em3h}{!dRN)hp}BztG-9Za}OkVuN*fZcqKYC1_LPn$rik9gvq3@HTvLao?nCdKA`-mP&0Yy{X;{e>D-9XY z4dI7-zP1fd5)T3jtU5X7{*~tVxlm0JJoLn$&}@C+RTn(l2m9Q4H2sKs z2GaDVd&Idq;Yn;Pe~lBQY3#!kE$t)?E3hhOqmT9FgR_;JpSiZvsiawdTkUQDW9hwd zL{oDEl|I!#!(a^O;S(X_jKs~egTDBWMNJ^B{PMwghg+Qf)$R39?tOlHZR764`|F?I zU*Ep}S)o=ot(327nTOLNb|I`&VLbD|#qW;#i&C*GX+FBB@QvJZX;(Es$B^$8@s?|5 zm0c>1?>*s+1kc(b0f-z9$qlFQL;UVxi-w>4Ryk7;qrK3u`m98O@V<+Az!P=7YEJB$ zaRNc&=uP+VEsx8nG9e|wTLS*_kY%JwBROsJ`3GTs6l^@2 z^Da+pOYo~1M8(bWx8U7K*qTIw(+GcH)r~G%%&eGl2BlmtV|?)C3G)z+=!T=@D;5kg zTDQPxKjpkYMSS>lMq&Y)HcIE|RKQOjQ9`!t&1N(E$t5Q&?VlS284^9d{U%9~u4jRV z23r_RkgJMcv(l7(>!aqV^#wwaGhi&w%wluDTjzrkIvBycVj|x05^N5hzs0Z4tjiM! zQ%Nd9%uKmaFj=Zzq~i8nZYEwXHhNW<9_toTP6@bF(X~hHIU-;wm-^A?cTZ=uqu4E3 znZKE@e28gpxCi5s2I_!oAiNY3LTaa0ioQc8P5-avU`d=jjaNGT^EVN0b>j>cP+J=;0So!rb0*zNvY=*S zaEYwJjC86UqA{b`kHzhL+mamYB4yWFxd7^0G}#Umh_hOR!pip*4`2r@Q~^S@%kyTq zX$GS2PHUJ-3?2aLgk9o~X2BA#IwCZ|JZqjmaEj8WMjEh^-vf;iFlyi*;5YXni6pnP z%|gYBu2rE3CTR%Kr|T9$%nl6Uu3iaKR7Bl2ATiZiv|>%a+8;fJ6?+y1Bj)K}>GZJB_214{emp?eP64ASHx712m!eQZ584^YdNtIoP7yWzbs@b&0jX@) z9to&cq828lw>8g9-jOF^D|I{S>RHNK@Z@wBOPQcBw8|oH5@V4YIwL36z)d?H$iK-& zCf?<3P|JS89W#vQms$!tMmhB^|2!I zM*zY6FWT`C%tU`j7RiXT1CKz3WlMs9Kg~W;+GDssiIYC%cG7`=_mb(r3okwjx%<}7 z>iL{1U&JNI@_8wciSD`XnDpookeZ)WOq8op?bV{@D_i5PcA*L zT2qN!Y!L$SzOESAE+z6ED<`8>hSKRK_KUO7^F1i#agi#2KVNy*hlq@xqyJ)_$ah|R zROQ4QY&7il&0^yp5Z5*sRmg}Dso)|TkiX%K|1Hk=$N7rSbV>H;&fK~1;>vP?#ie@B zb_Hj?s=&JPZ40b=f)F^bD6o3(zaD}0Ps;?>l`{lZ-OC$n1U6p}HvV~7U|l&wV7%&v{%yYUeR*bh z)~Qq)n=5^@x8C6AEMxc^!q30YSAMbJXTS=ZrBHi=oo@j<|3Uk!f*tEnkSx^NWzS8- Wz5RQ?PZMkY@7cTj*DvW`&;JK<%Kwc3 literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/.log new file mode 100644 index 0000000..e69de29 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark new file mode 100644 index 0000000..e69de29 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties new file mode 100644 index 0000000..4f8cbbb --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties @@ -0,0 +1,57 @@ +# RSE DOM Node +00-name=ee-mill2\:local.files +01-type=FilterPool +03-attr.default=true +03-attr.deletable=true +03-attr.id=local.files +03-attr.nonRenamable=false +03-attr.owningParentName=null +03-attr.release=200 +03-attr.singleFilterStringOnly=false +03-attr.singleFilterStringOnlyESet=false +03-attr.stringsCaseSensitive=true +03-attr.supportsDuplicateFilterStrings=false +03-attr.supportsNestedFilters=true +03-attr.type=default +06-child.00000.00-name=My Home +06-child.00000.01-type=Filter +06-child.00000.03-attr.default=false +06-child.00000.03-attr.filterType=default +06-child.00000.03-attr.id=My Home +06-child.00000.03-attr.nonChangable=false +06-child.00000.03-attr.nonDeletable=false +06-child.00000.03-attr.nonRenamable=false +06-child.00000.03-attr.promptable=false +06-child.00000.03-attr.relativeOrder=0 +06-child.00000.03-attr.release=200 +06-child.00000.03-attr.singleFilterStringOnly=false +06-child.00000.03-attr.stringsCaseSensitive=true +06-child.00000.03-attr.stringsNonChangable=false +06-child.00000.03-attr.supportsDuplicateFilterStrings=false +06-child.00000.03-attr.supportsNestedFilters=true +06-child.00000.06-child.00000.00-name=/home/ad3919/* +06-child.00000.06-child.00000.01-type=FilterString +06-child.00000.06-child.00000.03-attr.default=false +06-child.00000.06-child.00000.03-attr.string=/home/ad3919/* +06-child.00000.06-child.00000.03-attr.type=default +06-child.00001.00-name=Root +06-child.00001.01-type=Filter +06-child.00001.03-attr.default=false +06-child.00001.03-attr.filterType=default +06-child.00001.03-attr.id=Root +06-child.00001.03-attr.nonChangable=false +06-child.00001.03-attr.nonDeletable=false +06-child.00001.03-attr.nonRenamable=false +06-child.00001.03-attr.promptable=false +06-child.00001.03-attr.relativeOrder=0 +06-child.00001.03-attr.release=200 +06-child.00001.03-attr.singleFilterStringOnly=false +06-child.00001.03-attr.stringsCaseSensitive=true +06-child.00001.03-attr.stringsNonChangable=false +06-child.00001.03-attr.supportsDuplicateFilterStrings=false +06-child.00001.03-attr.supportsNestedFilters=true +06-child.00001.06-child.00000.00-name=/* +06-child.00001.06-child.00000.01-type=FilterString +06-child.00001.06-child.00000.03-attr.default=false +06-child.00001.06-child.00000.03-attr.string=/* +06-child.00001.06-child.00000.03-attr.type=default diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties new file mode 100644 index 0000000..2366177 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties @@ -0,0 +1,25 @@ +# RSE DOM Node +00-name=Local +01-type=Host +03-attr.description= +03-attr.hostname=LOCALHOST +03-attr.offline=false +03-attr.promptable=false +03-attr.systemType=org.eclipse.rse.systemtype.local +03-attr.type=Local +06-child.00000.00-name=Local Connector Service +06-child.00000.01-type=ConnectorService +06-child.00000.03-attr.group=Local Connector Service +06-child.00000.03-attr.port=0 +06-child.00000.03-attr.useSSL=false +06-child.00000.06-child.00000.00-name=Local Files +06-child.00000.06-child.00000.01-type=SubSystem +06-child.00000.06-child.00000.03-attr.hidden=false +06-child.00000.06-child.00000.03-attr.type=local.files +06-child.00000.06-child.00000.06-child.00000.00-name=ee-mill2___ee-mill2\:local.files +06-child.00000.06-child.00000.06-child.00000.01-type=FilterPoolReference +06-child.00000.06-child.00000.06-child.00000.03-attr.refID=local.files +06-child.00000.06-child.00001.00-name=Local Shells +06-child.00000.06-child.00001.01-type=SubSystem +06-child.00000.06-child.00001.03-attr.hidden=false +06-child.00000.06-child.00001.03-attr.type=local.shells diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties new file mode 100644 index 0000000..c6d3c97 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties @@ -0,0 +1,7 @@ +# RSE DOM Node +00-name=ee-mill2 +01-type=Profile +03-attr.defaultPrivate=true +03-attr.isActive=true +05-ref.00000=FP.local.files_0 +05-ref.00001=H.local_16 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.ui/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.ui/.log new file mode 100644 index 0000000..e69de29 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml new file mode 100644 index 0000000..57b71cf --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml @@ -0,0 +1,15 @@ + +

+
+ + + + + + + + + + +
+
diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml new file mode 100644 index 0000000..e455102 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml @@ -0,0 +1,4 @@ + + + + \ No newline at end of file diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini new file mode 100644 index 0000000..c51ff74 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini @@ -0,0 +1 @@ +org.eclipse.core.runtime=1 \ No newline at end of file diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project new file mode 100644 index 0000000..5447a64 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project @@ -0,0 +1,12 @@ + + + RemoteSystemsTempFiles + + + + + + + org.eclipse.rse.ui.remoteSystemsTempNature + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject index 60ab6f5..9d3e177 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject @@ -1,37 +1,44 @@ - - + + - + - - - - - - - + + + + + + + - - + + - - - - + + + + + + + + + + - + + @@ -40,15 +47,15 @@ - + - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project index bd850d3..c1b033e 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project @@ -1,6 +1,6 @@ - camera_test + D8M_Camera_Test diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml index 174e109..9bc992e 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml @@ -1,8 +1,8 @@ - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf index 3404a04837f5e4a476a667689a3f023f4c0cb658..572f017e2a03e8edee67f8e24d77d3936851e687 100644 GIT binary patch literal 1147529 zcmeF4eSBP1nfTAl+__1bWI8Fib0>Y7&NS_G(v~!Z64IgV++q+c_>Ky!`h!Jxb=9AB zS6vrZdjnILLMtg&4GJ0*)~evf6?O}Pxz$0U)&&$bfz+n1iuk^}m6yISzwdMIon(@x zyzFOx|IyFqc5d!H_nhbbdCqg5d+yeAE_it;6jIfHVf8*GfAxmLYAwGoD! zqRT?+qW4AgA(tp}6t%a6EB*Sly`{)w;{6&%Z;}OE1RnD?hW8chCwuqgKQnwG406 zimEZobjGYYcg(8y#;)jUR91s})SBZwYBjo#T20=gR}N`+Ot`i#xDOZUftujYE;(2@T7biNdAF+wi)+<3m!5YPX5BW;7RD)h@C`0Kt)+v0kx3xVmE%z zLx%1*e|muH$exF?bt-Q2E4YCBA-l5m5U?hJHExpT$q#N|%qYi+W45X*)#+q@sxov~ zd1mXLLqCH)X6t9Z{u7~7>l<%^E}m-r>Uc66@#2=WhbG;}D{(clTDd=8t;|Y6`S1g~ z0qn;MhpcC}9bZ(u%TAKMCT3y0iw=}9@8ji$zv^xHtc z_4Lb8OA8Ip)58?<5S51u$@JRv2c{Lk$D{6*sDoTHU2I97ce5Fy;d8W& zb0_NmOgm~FD_}e7a@dP5t5P`>JzklJ(qDwW^QGo^Svet_HmU;~&sdtLzwqfPHpcub z{LWH0WQ1mE_nVq_Zx)+bIOM#wrk_96+`mw%2;-h~%qMHwzJf80lZ<(+rd?r{F~bd7 z9yRP|azE@&X#GRh&87U!uU)AC$F^hy{_Zb`Zk>b<^)-F*>`7sY)wG*G3rwvw_viUA zRACZ$VviQ$M*JlB&aZhE`KZdD$nOi4uj(^KK!43kk+aSzIm;TpoXwQ^S;u%9dsv4( zG_i*qCzCPJQ6m!AF62$?wp>Hc#Ks|4%D*;4ZqhY4zfR~`(+@bD(2sGFezGJpQbbHp<^OMqzvHo}IHr>uPBdd*~b3ZiV;`ilTeEzJqm-t%S ztar>}Z>#q%6Zx2-D?K&xb1^oS{!g%H)#qP3iw&#Z+dNC(8*BPLTPcYbPTF_=#J*2} zwYR3fPR6U+X5`pR@pp}^pVT&2;idfv_PBaa>(LB4ZLS%6u0K|7To#*{#RgaVdm(oB zDQsdrHn9$y$T6EuoF)(8s^x*-=ah%ybI8L-X5rauYViC3@<9J5<=K0lgNFG16ZrSW zn!ewPJUmz5Z+wovUp-6TZ?5V4T;Q&;Gbe?A!*kH4dzLZ&vStkA&w6GS`~A#|wBPTj z>9bA7^nKN&{ieSY?6)2R`z`mLnX=y}!~icw{+>ntn13>tWA40e6#uH$%6zAx7?-(4 zV`%W9{gp~wUz_7!yRPfnaQ|Nj&A>n`QoU3_XaT2Vv|mhs>*VZs;;{L+F7%zf{iM_>q1CpSvwd zPJ?km(_m;)gK>X8gboGI3T?Ze98T8uC)YY7LB0cC${bG5p|0@lf>Cbp=a<}@HI|;C zx8J`q$T?Un9At>yB?dgg-oV9rqe5l?iOw zsHI%&*xW8;kojw4TGRDPUk*;H-!tf09aC)Dq%3{X??dP&FigAmY5$%9?M}G&+2Fa^ z?tMOZ4%(jZ+&=}+&35n0!E@05gy+5*JU83De+ixo=r|3Be+}-D+c=@mdxAa#zD#>= zIJmc9mgma;y_3@YIC>XG??UJu$DJYOvx8T!Is8rJIsK0vYeOnzB#n&DwZU`Io5}pm zh_5THk928W@xF^a2-E(&Tt;#;>O6923Y)TOi87A(w#Bz|v&iL)`HZ3Zb?$=vsdD;P z`?~qCKc1GSpZe#M{v+-CmC3)C2L9(Tg?YeIE(HD|XlKm49<T9`0YN$1SVm8-OVN?hkgmjpJnA?}{CM0t_G2Im4BjC~y75crJs@bEQ^ zlR&5GQ{z_C?jmWoRK**)H!A1k24%h}@CZI~oxkJqx13MXoGE zA8+%VAP)7&J3m*uvwS~_T-5kmUmm9U-q0NF_s!LQ`(AbL3v1u5)K$kT6W3{;6Ibvn z=Uu7~zNvV*;>)OipK+hgDE~Z&H;iE17U>5WAs&_corar~zpo?rq2raHU*XBg`VhNS z^~L5ntZis}<;MujyVK3cRU?PY)%840&To+TfY?lY_fRo8Ie(kEo-re)IA`_uGjix!-s%f7#GN?1Z0-XPyQQiH9WqgHH>pxSxbiGw4;7F=GL;0F5HZMk9Rcg-?tz zyDY?wj0c}yrfE8huRB2&rsOUPta_{&a`&8Ly(qc!$BKf79?P7P@kk)!&o!3ww&#%X z8MHGd`v#{)UrbNhVp;QwfWO%Hm(iZJBjl@Q9+UCq@}xR8WBe-b z#6Hy8F41k7yUZHv0F#b&pufn`WURB?mm6(s9wsj+IzvDCT}J#I&V|=S{EM86j8y;j z{bQr}ouv0fW-<<%#Q&AgLC=|UBINFQ;bwf9o6dw=eD7NF?cL0IaDq6y;cma{ZH_#^6P(!qtE9n10U6= zs=gtY4)9A8+kk`STY$$Tj%WKg%DpNsy}`8?giDR=F^3&Ds2g}*JWe8GLT_)J`HjRm z0YCFMq~k*G>UI2@TYFFFKJ#8J+#(a`;IC|AeVaI+R4XtB>-sa~O!y$SL3D8sc2s0T zY(n+gpMq=U;M()zc@mk#UMs_4UVv=LSUMg(MaCj-jmGqKIq$7GFV2~|UJlNUFzuWC z>qXiZoBeZhCHYAD)$uU>mQT{JT%Wby&{V$y$7H`G>!e>DGtZ-6X;%Yd6<)bMYriLf zw;JcjSXH{z^6-V)z5gjcw14dK?VLXE@z1sYZzVQGUMF=U?9+HMzsKKI`J)|c*Fh|} z!MEAfcG3s3RITOk*TtVG#EY-?ZI2#neGm_v;>Q}dhQtrtAFr-0Xu3Da{EK*GMjNS@ zsJ2_$Ruf|^eeOP!JeOTEd!N<*k_*rU_&wXWMR4-tpdeOx4!DFi+MZ6MMG&X#8%|1| zOn6<(d(98$#lZSI>L=x*tC#!j2PjJNE_iAmG5y;-easO$^{V)P+=l2fdZr z9Y5DOOB^#Jci@l5^WR*e;h!}g)p;I2*HDFz`YWCPWL*hcY^Kk!0mEI!xSBe3}OO(*StX8qR;dq^%)=bD8!-UpW`>h0*88Vl@z&_>3V{4(~C z>(%A6$qeAOW_2XN@M_H45}cYcS&CNj6^ zK3eDyI%n$?`X5!@VP{Q#*X6q4DH>R~>qq;@Yqa(?K|%3EhX zUQqdwh7f&+%>O9(WBj3@61ES6__)M8mFrAs7^IyK!yMHWz_6wYLpG`y%S?8MoR<8^ zIW2nJqbH7Q{;p^SMZtNlI|%(p{oTyli@QYJ06rgqFt z({CJ^&gnRk--P^4Ju2`}m+0lhW(KjwWSn6sXUzTba%J;t{z+hNaBJIy-r4TYG0|~@ zoQ)N7#%bG%n$?b9V*HZG3gf%M_--)18;tKxjW2yn#X5{x5*YmP8(sO^hheJSBpkqi z9ZBn z`GN2Bu%^=i{wpM3XGNSVk*_}NUl+VyMcwWCdmf6WB@W6DT$}5DFa2yO=Tg-1X*i^x zEv2+vBWInFT&M0k_&a-ynt;l17~I3yQ)sgjxt~04ghr?5OLm%AVGX=pYaFkvNf}fsnG2Q2_#X6_8qY>HspmdOUH1X%yARmN zrj2ac$R;?A)6Y2Lj2n%?d_eL(%Iz1MD|%w2Rmg~|aCt7a^fIltj`@Lt5?IWi$=~-w zD{LcULYod~(=v(c1nt7e6Y>K+x8=9oKGYp??nI96)N=F|$rpK19aCL&Q`{K*(S7K= zg?#KSi_DdFZp)Y6wKMx0H(8GK-eIVFk;5<{h27hON?GAST zlY0v>Q=Rd)g^zP>B44^@4}6%&{z{zz&+nGD**~~x{KhR3ThhV{GxjXbm~L?LdNmoq5Kx3jo4Pin#Cn$Wg-UF1XPoZoV_=;=cIE}mAsk}hVD=zTuka=LE!dCT&o$BnR9qqgO__dvu zazf;=s`vW$MtG3}rx3c|AvPxab~gvi*bCZjxw@@0?Da_ek~%t^vm;Im*9Wi20yyD)-s&6;3RsW^$y&?@kJuUW@r~l2FWIl$i@Nqv} z%n^|3*3_~>EBcr*@7;)8`T8ZYj-H_VzJ4)|*0*W&S_ZvrH4nVCjW$2IQDL`xdSgxt zc3acJytkmT7gvg!ug~nnN21>%x55kfX6^#MuzP8_Qplv{H$I-Q+!w z;FlKTmlg;8T}*$5bBAfuMr5X4%S=ysfzyJ__%uNNrffoh_v;I+=U&y7N`hxt-H1Nj zrR6f@rp=87Rb-8)yjX>ci?Kn@M&B0?5+f*OnJqr-`O->dE@oV97aRIL{IjO>+x!{= zbCtjiOi@jT9wUW}E(&0JV}KL)z^SKqF+5uw+o|3oIC&|)Z@v-s=I3|S zUus0$`HY4BDg0eS8*={&j!hgFbG+6t)Y4`0)Ki5`y-Ho4-<7;{f5clS z;{xM?&X~vZryxTuJ#tO@F)D?#jgYgs`&eNK=j-lys6Gv@>v&$q3XZxq;$(1ounm0L z1h3TbN>4SO^w(DAc9{=AXW~1NCu|w(&bMvxe`>(51}2y&WgSZq@7h9g#XUF0n1Rdc0R$i=A{w;h(a2Z>lK2iuNWo4~%C;-BIFWm-y7p@@|vZi^hnX zYf>Zelu6vxrkLA2rCM3r%y0Qlx|w@co0l^T7rYx>@4uF-6glu>*i|+#*uY`~n=!}7 zMcU}t^@##U?=RZ@H8NsnbR&qH+rcf9n~dG#3O)2=UuXFWm0}(Oy}i{fD|ra%Q~FOGFW^_BEy4UNt>>7*Jj~b&uIGZ&ImG`jBlcgV;OS~~e`S|- zsyAl6oVh`-d%!xIIl(4x+;ZG;s{oHSs&VhK95U-NenZ?^>yC=tS!eQI-YP9mapWnU zeTH@Vh`YB&mWW}d$U^)I_yK%3~z6CSS5FuF7o85Y}t#W`^d41V*kgAm(01% zN+GvtHEJ#9IGv-LqeqRR`$=mZ=Xs9R962=xz4v549FF%k$owU*rgW8IVWE_ccIYG;u><$YjM3yypZ@JaY9&~ZLfBq)%mL| zex;x69u+@VIYp7JUgd1twp?JUW8AsQ>Y&dx^7k@jB*+biU2?2$*jOO3zH^81^l+)$ zxGHxKHDY&Szl?XquustzO<&gX%e}1OpW`3Ds5!4*tNvcJ9-#{Er_VyE`CIOJ{O*4N zN41~l`&Apl>Rt|MSN*Mh=FJ3bElhzE3882o-H_?31g&h0g7%sSN_mHZ358fy)?yX%y5yZI?>e-pOt zR5fOIIAhV}?x>M+)j9kMj}78m^l)jubgJ6T{3G${aISn%;@MmK(Vs*YW)#i5S>V^uf(rMpAvcE z4fNA*+q?(+wb#96nKG$ekZZt)Y&PyyAHh!6GygQyqb9M6oklke?Bf{pIm*aaK?x3LX2Ho(RP*w_FY8(?DtjPnA2MSt418jJxAHLXN<)_^OqkkB^q z#X?%>t7&?60o&)?j-UE+Hh0an#^K=-c0_f54 z)23F|C0h-5cT>IFpZ#zInmS|nlN|h9WrUd%@;7{mC(bwG!uzY1tAmMqBk;GPSj)OO zzvUPG*}o6PvsXoitQGi*l=$TKA)av| zuL6(damf?XM>6$Cc$~u?(J!`bC_hrS(CYL?&5M-}`w=tQ6b9~Vfeks+G#B`ZVVDheJ}CNXk2hEx40^H z`Z=wKB?WN)oMM^0qsX|m!W-3c4qWhM)H+R#nrAB28V-0fLVPK7e$1Sr^Jv(obBE{a z5BVjbH*`NWalMf&A1s8(uiUJ4am&(Osj+a(8DQO3FIW!n7Ob{n@zDgn#MY7r(z!afQy?XCrFDh6c!SQfRS*ajcczDA$v6 zy%=0?%5c5Q8%=$IJPo=a_wpl;r(>VnXBdVPuKJx=^ZgebmNmP#Ztk$o!q1)|^4E?W zoq8U4_m@Me4jzoX6$|mBWbF}}$cf}5~ zmKxXvW%Awz&Y{pK%y>dC3M2Tf_0dK(FYua_HCVt@aB{p~M%i zOkabX2rT4SC&Y&qm#ZrpGW3R+6NiLS_2G0rIs?QZ? zd#*cpt_xe2c2)MqzO?A4@GL)aM=rL;je<*^^cQO_{!-KQ5@^~1O;>7~4&lqmMV^Xn zSSh%kNYfVQ7gc&f%X5JJ+$!v`Cx-`4pygLLo=D3-Zv90-OBqM(LJ2s>?Uf3?UbCo* zV=bRFJ^#?B>C)u5{i$RqZg+wkxBy2laS8Jj_z;2*wY2-N*1!E#l{WUZg(DL*ip+TWI zU7iX%eEF&K<0&maYO)`}mvV+XifBW{>%q4Zhvk7oD;@O=5R^oz{LkOzf__R_u=%?F)0-&C%y)oCUJ$t6Xz^<#swxFKfFb3pdUX->>zO1>x4FHoVt&J{HILhXj?k}aCU%s zPCWncs-eKOX5OsxNUA)?3u%A+)(xt)KCsz; zu>l|M+h*o83yE(QX4?!`=#Y3QlJ@fgjrfTMSA2=t4E*pL0y@5aijJwT7UE3?H2azG4W1AmK~sSzDLgX2BG0-# zRePTLA#px_$*2!->exWOEx;-3%R@FA;2+tjTI0dJ#T#@ilD+0-*Gep4E(m02Z8WL* z`Gde;t;TMX2R!oAI_B+uY=AztU<>2sFV}0Di4PV&(vJC=#4w)rk0Wf5azA{7l|p%BJFDF8}6~kGcM;DIe4I|Ix=-8(x@?0fy)GF(32&g}DYf zfG^OSd8?OuX1*X-|>0jj6++Mc-N*@@e@`4NgHw$l7De%8~CN5 z?K`Edd(O-lQ)H_uSFB&eeHc_x`XUy~i9FEX5dGabZS0rn{_e8#YOK6O9Sp`!)9&B! z(O;X^Hs%PN|6=BS9V35`vGBb#wOGh$B?gVh z=J@f0!$1J z)*Z1=ZMxG4;#kHZ?y8AlpPUxM`Z33AeELZLnm3}SGii9P-$uu%qRVgG;M3?bp%FF+ zUk!bmB-{uVQ=MHoX<}1PcQ2bHiOmgPT1*>!v8fO1{!$5D?Rox#x z)~e?fiKD^(80#3-xGe16rES=U)h~S7 zXq~(Z`Te)5yvLs0y1v$ipjlMK(4iCxVm6Nh5L+ z`)(np@d799PhP$rAHx0;@18}5|9IAn7 zYkuu4RrL!xmJD(d**~Fk#GB=(hNF^CW&M3;R$ZBvJVf@Xz9E~OMyun`wG&o2ZTEQN z(G_a6NF0-Wrms|#b_=g8^~&!bX)m#Mh8Qe@u6H|Q#xj1f5wb2~Agko*$?>`}Unf`7 zJW!2$+@CK4=S;jzzt#9B`@X)ChU11SOZn0>uE1Z-n~?7rn2eVsCfa@+Y@%LirG457F!^&ez0#y$6|eFx7t}x<71shKH=Fu?5RimL=1i))JFWY zJGC<0kDW>;T=FB_E4Mj@*p|8#>R{^ip$W;`Nc?TDbanh~J`AnJ))6~vzd(Ft6HB9G z8LJx_^gsjrh53QAbUbWcoYyu&^4ii~Y=hVZiEDMc?*}n2dIN0Z&g{;v3-#+US7S#NYr{bw&B|#p6TvvHjN^^Yt%>m`ZSmr>Chb+~T50Fo<6vB! zKZ>2t8gh^~N?jo9$=EHa1#vd$IJp`d+Qf&GxqUqso#DeqzNzC(^9SezxM^Q|>IUYA zfz0m8>v+BD!_1~xeEwJRllIWugipmciEYP5i45p?*^pRO@*x6)wgt$5-agt3r@@#YWb|051AbzpWs&`W0U;V}K)q7_9iSmj3E{yHlkd`_i<}Ze^c}~&XPv0}+g|onmI7sG4+NRx9 zP%k52EpudS@g4gM&W?p?XNNJdb%%L9b2dFcC4M?%7CV}&k%fn_jlsNGua`-V5jzoE zE3q50dfF_ql$=iEAXb+e0&+nQp0V1Mc{B1i6Te6e zOuI!t6mzHA*f?1G(mBK#`tj3YUq24a;s<`e4!VI;m2Pp#Gt|sK&p6`GKfix8ZeAbg zQ5EhF!hifkQEGD7Q&4Q1&L#X&YS&qdmHcZ>F2T5HPEp6KpBFqc>?1l0?Y|qq!~CWS z6LCb&Ji0;lA?;6H&m0sxY<^aJ+p@{|viT!wGXj5QI(g1LwQV`}vBsXtebd!A7{)7+ zqjRB$*w)n|!!rN(^Azx@#XQhMT&Mbj)e6#zQWtOsskTBJgp~QdTur^~$^|R<_EV>Mjcy z?qA}JCx+Efc@DX!cKkB2YGR9P$@3g{ox`>vd;G7um>irJbnee z6~4y4jvv$K;L5M?EOEO^>UDs(%)*bi3qO3lnzR`|5!$c3NboZ6gHFgms%~SPzsJp} z&_L&r)8^cbsz_XDK@+pGK~?>^`0`0Vjt`gT#m8&^&YlkCs!vDH#Gl*50-|%`*YV%1 zkyD!p?%B68Kf>p7ZNi*`+`{wqYGP@WT)p`OI)smnUEyhY_GuAq(en8!)@Sj(X2yrL z3Kx9$txd7owtzGV~=5Ap+w?E;3O{8|4Gb3dV^?fUi(e4`C%!@77ynQvGEFR3i~A=xB+Z`$<9@8* z+oC>lw%DR-Jed9OkKQRZL+Zq^J!7V^&j0;UkN>v1k6ubnVQ-b#GLHjQS z?KT9z-xHgKuIrlcsr^pK^|oxHhDmCF>^5)3brE-kUFWQz26u(=^Jjl%sC~+s9~!?v zzvqx4KlA-jYhmBGwP4e@wP+u;N6V-U>KnD%_C2~Y()XxUx9L%WHY1Z=?@m#yD$evi9wgr;Sr{LA{XN*yOP9t)M0S$me+B62S2Y$x$9GLcI0jN!ShVOQ#<+|+Li$)-WoVz^Ey z`|GXbdHp&j?`=7uw<~SUpCe~_9K~F98wG+q_lrWZG2#jCswu77vWE)k*0`5!=9-SP z@WFcB{Re?8Ayamf)5m)fIU^3d@DkigQd8JSEvVH*ofl)3pBzTk>&aa!>ZKU_$M`$! z0CjUE=&fPa{ohaRgX}dIcE@e-<5=bIJ4d~cNnKFX;avf0gCgWLg4(Ub``lKZW!>Tq zIqou|&Z>OrOFQ{p=GPY8afhv(Td+~Wo39a1b*RsaEm|JWu9BSfDSKqy9JsmGOiZU% z5ZApz;yU&ZaPmF(x8@&-JG{H!16^A3kJQKWJr5HL?QE0x`LPdO`A1@MZ&Ut}=H&iL zf%gdJuH*i?{O(v6ZIb$#p?~iI_gB!Si@rB^{&MRr==M;m5t*zE4|UeNdw3sdNY-*q zCTzeUdyOQyCpFuy6!n0Dv_nkM3L($MFj_eU21r?OKypA)6@$I zj9tK(%RkZtoa_<)NFy);)5vz@;uRUGn*^R6T&I5WJF<^%yz}>4Z^fQMPpPlJW)FQP z12~fbob0Xrd~ikwsHHz>*HO~}?`(Ky!#f+^*}~sytz1L3ZV#LC-^dg4VEhajNaJ4? zz~}kQC)=EHE2+kr%O12ABMT{HVG**hP|E`PybfI3yRZp4d#UzGU3w4c7B>TZ;4AOB z4P4#EoV&$B|J-lqloeMKiB3iBvvOA*`&?=5v4hOvMK}GL1ZdpPa|4nyPOU`0@JZUH zE4Rlc2FQMvx%M)t6?0YZCDhqKBlv_(1!rKlfzt*~8#ry?w86y&7aLq`a50tywJi9q z^0&8(E+nb#=-0OLudbzUHJ1HdA^m{J!G}W0GQW&V4vX62Ulr6^HDOQ_c$2`C-|{PR z=3=wj2TTeE417O-<1g@|rAF{*9sr+-{EyQm=gJQD&?n}3dx-ZmfKT3OsHcxo=FVc% zJ~#I8w`9LwRWw56z^LmVt>2X&_<1Qmbly_$?W@(=;#TIAQe(pY^2nF01zIgG<20+a z&psG(yiRrav4POx!w-gfNBh^5pE!grNZZDw_o`0y{?X5e4*%zaq4KJKSz}!LbM7@J z<-Ura3JeY4&_Ubwq`HXxjF#`#{gglY*)?V3;c5La9_{AJvxD?e3ZB>FO!o1b)$}>Z zPuYecYuEcx8L+qi>Hv+t|#M{C>`O@#dW;J_b)*P-y+_7HlU3Y6= zOE2pgu|vfc^m+~XA?QXOFTZ8@nEmUkx?-EIYC%WF&|#JR$18iJeX3mS5kB+H1L=R% zUc$KKG`ZHsHxTe~?pW;Bt3v1**E3yKi<&5dSLb(&Eh7KYm*}z)mD-ZVB-fIiM+C3ZH=*uEAL@{qxI0md04F7r*(b>dfJ^Mt-wv@3+yi}B`(4>nOQR;k!!|hckfC|m ze~3Uo{QTb|yY+4hwYgH?5ADcN*?hAh;XLYnnmIG)Hs|{z&K+f?4Dg3%9m#Bq+C$$_ z~RjMfRWQ4u@SbygJDfH6<@0xjb zuETRBo*9%e`8EW&LARJUD)02!bBW{P4zxmMk3AUD^3Vu=$e+j^-*4c)$Ned})otq@ z3go^q>C0Ot4$Vi`cJYo{>GFlyBGdJNuJ0yE>e-~kRk45C*8v%pR~7`Ntmqe{!S-0z6{a8KgJwYf=oMsx`q zJjypM#>feN9{Gqzm7)%QvVUmT;fQ=E78Ps9g*LC&`Yg}VUiS$+d!(%mtch4riCo6s zrB1Qy(2Kd)<+#V3psn4WC%>m&YRz-`UAEV3P|Al#>IdfzRPoZZ|8<1Em+j3yaTUCm z`ng8#!<&YOLc-(fIrpH6`0ACkU#{(m$c5imhTr!1Oqy_y_7ea2@Cyvk;WqxB1_w0x zgwRIlB{0DUV40+mrbX;QVrKYi36C3uCJhtfOT;GF?a0hBZ;XESLKD^p-LCAH!{YNd z2#;lcy*AiWB){eJrPQ{KC9N-)UrWr-`yluw^BQU6gorPBH)ZpU^v^t^P=pU1Vyn^X zQS&yLzoTdP9P@1(mFPP7K_g#}ku}Nrg|t35;V;l>E22iNMr;xG!CIn5ZRUiD?Gk5F z`xNn1;%e1~e5OS{?IrG5jSZw;#c54k9_p&0ML**W_%a&U?nyaZspYVyE%fy5KG!(c z_F6x$<+;w+G3J4LnA>6Fpp~ipEP5t=N7LCJcOB18lLh(_e0AT*4Zq8i;-|BphCe(n z@{UaJg%&-;gh_LbQdK#c?OAE7pTCyc>?xjWIR7{BMBcuPT%dbC9#z=E_qNnn;jS9m zXq}b3< z=7f;JF^MaQ8Isv^uWX^5ZxQja#Fp$;qi$K4riOEZ_hO&gO3b7_Pt$qwzLU0LBdn$2 zqkvb%o^jj6=JCEHK5(m*`0q`N@+0qBBy>+8?{+=0j@+-8`L`qX68U6poxkD5koQau z-nGYx&jw?4ZWJC5Chk^u@f^PNOM|k0Lu{JHmyX$U@N0d2AsgAveqHrs|3#s*LJQGd zG?|``Iq29-UaoXeVap@nsJO>y89pybg%i?dXtH7Q5oDv_%*aBPQUV({k_t4ME zc=q2_n*KTbKJ^&jF86@tZur3Bio1uppD2D6K1htL4}76&aemGCBio?+Hfw(!^UALi z-`5j^Qs-$%?b#2$l{V_+Z(9OKc{(S5=QawK0Nxp&$z^wGCvVtA9MQ@ zvdDWFRatbAMe++(S!~}Yve-5yi%y1oMhCg>j_gyz9mryarV_Vko(m7NgBwf40{z*D z!yHB8Ti*_Ij%@hn=BIQnIAq0;`%jYBsz)BM+g7uZoDuW3?<$LH#AjpXr}*X&`5FE` zAbEZBW3kY;SLIV=On7WwBJb#7yODS7@MtX87mS~YTTQ@rQ>>ic|sP-~+)HgN+x2Ti7YtoUo>m!n;gi;atohX)7pT{}X=!|=3*wy)S& zGVeg|ThO_CLp|lDK6J^)&78yBqK>sm(bZB_Zhc)fU&}KQa+b&TA^Y-vL3FRYlUU_R zRklP9?IwAi8V@5JvP?A=iz<-w+}I%j<|`gIfV3}wxI;37I* z)iHEI|*GXWXp^qY4llu6{ zKGjS6?3O;Kw@KZDK1;11aH>z!|DedGxfD6neYW&x|EjM)@#o>F`DZ>zqv6%@dfYrH zWBa^+Dc4LndN>7t-=zK1A-F zK2ulsbyU=eeWX=@%N))H7gw_c?_y0L#MmMadVexu^6 zjvc%y`)egR56KhUMZX5eI{e<4-3*R@yOCTCbRrHE8oii#hCjcM*!1#+)8;Pdd=OI$ zoyGPE&69#NdXv;K{kvURSJ;kj(MHGCgA(7yJ}P-@-zJzxp{vBG53weOJp^9ntSc3J!_ZB0{PZ||q?fg*D^^M>`OE`jf)O@F`D`mROqXqqaJ#w69 zr#`aLAr=ZrK0mPcd-Xes#|mu{`wBnuBVSP2TUCiUXX#{fCh!0T<_HhBm&D#x=XcPb zm|8K1oO7GNhrIxAnLoBeo5}g(Eq2Je1-OIxqq>dxW2xGQ`N8%0MGyHP--5r8I0`

W#Dy}?6S>VUaW56Uz^()5%Dv3-&Z5nw*waC1haH|C+xmXU zpC5=Uf?v(t%!h$zkip40!Jv#Q@=V-#$NiPU?Wx~vy|Kv8vAN7~>S7JG$Sb9y5Q#TC3Q?n8=aB-c8!?8%2Kfy<5Sf z6+33hbAE0iuoKg0B=#ZpkM|<)v+JaN3U&bdXZBJjP(A+*=gjlTkBq~Yar52S!^p;d z^BWsg>fIZqcErj44YjF%rEN9qP^|ZLpi9_yvm|yM`rQou;EU$TPjuhRsj)vRILF88 zXDsp~O&)VrZ_IpZgUYVk&}Pi_blhwn6h7kT0$WqfUm>63Q-tmz9WTY%XM`M##7#2q zH8;_}&X=LfTO>YY9W{LxeKjg`129!%taonI>r#g7S6N+aFvvZVwJ}QIrJQLZMI!Gwo*4Wsj}2g3}nxvU8}pjiTZt=gJSOu#w+DV ze$d4`=W%Gt`OYr8PVCEE!Eb{_SC^PRzA|!jNPH%?ew2at)lNH z{r64Ts9uXHWqHp{`jE94>@$3iN=$MP|2aMX9u4x)thHpHEc65Os{P^*NsIF?Pcg-w zkl5uMxR(+Uk$tgOxAn(&HOR`qVk4xFY^&SF+EqztYkrls${WbuQ%LsKsSxyR zD@OS>RGW-BIo`Jm!bj*P`?v_*?h_u7^XEPJuS*~9K=wDR^D-aH!qZ}ub#LC)hOWeH zz)2hFkMpj{J{jAPclODfTdd3BZ)<3J>vcQw>%krk;6%vyHjfHs(G>t4jYS1Za*>Ki(2QBy%7xAs}LTLLs_+SK5)VlXq+<^X+0jt?i}=nWt}n;&(@JcAjSY@ zdFP=O+*^$K65FtwCa@s`E_!{?rVrv1e{YCjZx3z5uvyUFFg|-qk$xFxc^WzDvjUrD zvsazKBDH_s2W9U$YE<^ROM%TmHg?%z#k{MKNz74cWxkthLE~ z<9K1AF`xHuk8E9vZuMsui5@JA%eyS1!|=)#ItmYj=9&k{kCvkv+8cfjVv_cPA2!To z?(SmqU2C3RS3apNGxQ!&4FhVI=ofWYKjXbq)-^aE5PgyTy0nf3`c$hsLW?XBh45$} zw3ytRg}>#zs+*H~c=lU6`ZnhL{`6iefqwqvE&95qKQtG)7amvjPG}ErbN)VN%hIy1 z9sC=Be|oPY$q`dSzN36JQq2D-?aKEpWUVd#@w6K=r6yhHeEVgOEo=&Sox3nQNBok% zr)`7_>W~5q6g3N19KAPQ>TYdD*u+c{{?sd=Zsrj7`)S*i>k=5tTRqj?#(qc-8FBGVj7g4EX#GHvJ)rcjoD&PTTO|+ z(->n7dYh)NHY+0e>=XA>?1QEvUswEaj=!JbW~c3*hv$&H_3+~lB#oHU;g2EXG_)~> z&=Pu}chCG_9Wg>08VGFc+48-P&alJ2XXxV5N*Y`lSFHh#E@UtR3~jO}9rx+;exL70 ze~`?c<@L~Z1w1D%2KRtIR>)Z+bTIFe+9414?~kYIgtisdh<~sK+tY=Ohqm{FuLs|p zwki#U-^MLJLZ;w9V|RNM#>2m{j~#NsIP5j~&&1^SNL=w<_@FY(6*H-I%f8cE`&GCOIc$vZ^s|wx4SK;&9Hr1l@m^fd8h2p)tG}%vKLiPeqeJO{GrC7 zn1dHR<~N8zqR_%{Gk)LjNb{+tZ)(2u{#;RL`lC7V@&dpA;sW}oM%Ujb%V|5pJtGy2 zy@-4Aob3I@bN4&~4;KeKT+BV{uZjgv6&E`p^E$o9Tp()!J|7akuy*vJt|oGlBv&v zD}F2R+Y`V10ZcdcF)E7cIrUl^Tz`Cwx4<2p-<8*?%t#Kyx?sD;)=4 zkKC}|fwRLrq~pyUlYbKz3cjMR(m%Ml-EU$}9`&|$zrp(i^c8;xd=3577GtaR+-|R~ zf$VwE5_$?#P?#OxXd z$uj~&UnjAG;EuiMNhIAxiH+XZCi%#i_*?2x{c$A^gU&q~n;6C}KYnv|F*z)FN=@i5 z^CQ3fM1JIt7PPr7dre_)>d+Kj$XT#v32hz|7@Lv1M%^#89o4kw*H(g~&*NbKNPNCG zDz$gm1J)sVhyGh4JFAm2HvJ=qetTV?_YJw%qGSvo*X8}btTqPxMK%?9QUeOE3z0?X z-_5>EWFtCYUaesps>0^Wg#V0;jr>}yy)5#dbGMnp!e@~e8E19B`ELDue=&?tW4Uce<5{T#q)*xmab4$=L_W#~pT*CWak2SoW&YRy6o=*MDLjS)Jd}?I^KbAdPT{b% zuQTcnU9a_{VSu^%G(1v&9`%DBT!(JsM%cH_`q}gJbFQ?_vd4qI_PUyDfAa1AWIRnjlQDAS`l<8J``;Fu zym$0t`d-zBY8V=8+Ur{3X5=E+C+|%`d>ynw)^agq&uunqV z8K?He+?z)j8!Uof%qq6Fz#s^b0IcB<}ug;h3+xubzOsLlUc`i#JJv+2EN6> z$F(3g9?4gk4*+A8=P!#BG)+1 zI>5Nm>hr1`CqF}dJne~hfQNjI(3(0v!<@iA%~5?i4tx6($<+Oow7@5DS*K7>I#g^G zJOnm#w4kQp{PTjwxr(dAj2br=+#V|=cS8fA$0QxFy;WLBT(9Xt4o3Rc=d4%lS5iAa zH=6CIap;zP5oUKchev!B0H>@`@%{&csHV`L8M zKj(C08$F5hqz_`#?P-$*W&TcnyRw!2HYF~N>NvP6Z~Uf(KJ2NI%il0B$^M7o_ooNL z`Jo@4m)~uk$6kn+80o>+$=}%YbpB7@IWJ%O!Tey~!!GtcoS&K~=-OO^c$M#_I=&x_ zc1a$~khu(V7vg3;pDANQWz5cV+_wCX*#Ry|-mgfq7t-4N!%IpA^A#iHwC8uFOGa3& zLAc_xCq-*9dRWk>FtdQ)-lb^YLXn+u#rD_wxRs)4{%1ZR}UvMqF~j zKA94ii`~_~)YYS_X~`LAnl(!Ne|<-1gY3C_O(s8bT^qU7E_}s0*u0Kk_J&pT&ED+r zRA}9~N$7laPSe>DI#*85Kb-ndK<88PyB2=P@aa6yh`N6l(0N|Ibo0u9&Js&*^6ALD z{;e$dmqguma({mb~9y<*kb{CzQh%l@&@+I<~=zasU=;RVpR1Po`Q`)AgZkta9b-!#s?9RUMe)vreUiLWZ@n8r zrbF(3tyI{Vl6;#|^iOKMkq7pyUy4jOlzKz%uIjp(v?T{E@0gO~a$BX&ncR}h&2s{8 z01NQ2E`$9?=COOsA;s3o_u?WhF$OwEepT}Gw!vQ5QS{L8_hn~VJq ziS3-M0g~J@HMism4YdP&rH1yP&GtEi}Fyx!YfjttRC_Fa8YF#g}Epv81HZ!4B@Qwxa z0{`V*GG{@D>8Fu>|7CvpfY#^4ap(sBG|a5KKxfH~h%e+FFY3fH-okw8x6r0zPrh`h z$U5&WMe=&k<~I6@Gq1%~^E@>L?CE?fZEh!*QH;%@USi~z%xi9wXZnI?ki(hJ3>R(S zwNLh5L7h+IVp!HPmnTj31O6SgBdJ#8dUt)=AV0jytE9{}6EC%>$Lq;cEwJ%zL(b@N)!{56eUX~W;}D7~L|N+mC<=djFGcgS3p z-+LZ1lk_DqweN$FzbtDkq8q%=)doDd{0~juljj|dNbvW={0%(&*)v-12YpDbUmN>v z=B}Vm)*OmNn5m`b7rlue~c4mHBENGTYIGd=2>HEN4D1^UXuM ziT!Vp{Whw)kS|$9BkEz7Kk+&aY-Zg>oe}pE`h*@{vitb({Cw&0n~;^=*zxUhj*fpp z{?3=i*5*qee|P8E>U8*VChxo)B_{q5`hQWrwCEkO#%3$^KJGct_V4yrcu%V5`zz%g z8P1X2^RZ9g@5uLjw_V$YM`AgyV?Q21cOLNVLaE)+wqa;9`yV=+wB7#A*1sjj-Y#S2 zAKCac^J$TXV9dq*N{#w5e&_0M>2Qu_Iq&n|F)FkH!)kE*u)qWlR%jS@n_{na=O3BF z{_^#0d=u&)cvol(@Lwyi@y^kve9r@mwY_4$K7pzG2@OX-{j8zCOS->VI6c-tO1)Bf zjZqnXUCsMDuMruS+_GHfy-H#@c&+Ugxf^VQUAwLj*se*w!(VhC8w<~a`5iGH{LOH% zKDt_Ddl@pDaf$U@YRPY6-cd5wDs_civ$)24V9bGO*Dm@Zds%bdTmt`obZ$UuI^kVE zwsRM8Fmve)c4aE&fDY{Cu6EHCd|MXh{WtDM`=1YoU<}6b$KZKvr;3}r=O+C~ELYZf zoNdf~$YcJEem4Zzz@7d}=63>n zEVdTez1M` zD777a2@faZ!+=+;T{{cy7hu212WCXqWn3APJxrEJTu_7_<}Zk2^m~HD@zivth)=5i z5Zp4@0`&F%G&+FWIw$YcR<#E$cD)C0_9pCSuFKiNZwIOGh_Pd621AP39ZzEs3nVOu-eLl8bZbcNi zt(Ggfb{%#BKI;6Gyc>8i_Cnh+e%G=8H1-0!BJVIhQits;5MyKa*y|y`dk%DG--!@D zbf~@q`MH=j;AeHQS8`YPZwlA(Z7{~L(P8Ie`qs9l+CP2Lue@)FT`Qf-`;c>prFdTY zsub3A|5xFXe3xke-+b|Dz04J={L7bqjGd~yM*CUlC2~XlXEWdGNLvQ)96gVH64@0R z(Wj0{EY{@xIYzrHG{inRQs*i(hqm|+(XSf1|5HJ&I`)4$)^;HerE7Aru%5&DvN7_2 z%Kx}7S;oi48oju2bU0b04ngRgh_Z$*=hU!knHn&3d|H*SwUSF5g|>k&)^df8!^frQ z&^WLx1vX+9edu*zzE3DRrEP`iIPm902Bp^AuPxusTACuhB9Bn16ch7+LDo>IC&`aq zy^D98lARfE2Ww${`OzJj-l$7$a=JLh^kdXH~y;TwJ~d)A|8uV9Vq zZM*WLW{a%Ftvz=AZr&U1?~J+I?c=P?4fM9;N9XYUxnj=zH8%11a2y`SfuUdY6`QMc z9mv3~;6dE<4A*!@Y_9RN%$p@%$guY0j9<~eMCu5zhaJrCiBqG*83y`SCpoNTJy-mA ziH<+fyZBmop>6e+9d4Fd5B`=ltFi1-HHiFwMc$@V{O@lPH@W?5Re#k7xK+L8cfjUdx+`|2UEu*aMrz5nkr#52-{^o1 zeD-YX9`$}q3J*uCu=GmI#hBnWK>QZxo$FF>Gy6XL_eYsOe1SD!|2+ZhFl2h zIdE;ukM^!%jk6_ncXAwjb5kc`AM52wI}d|*kgMA(gO5?wMWq-;*T!sJ!IbB z6~#x%FSL@m1o=8QnD@iipw0xIcO^_uw=agJe%5~`!f)z7$<0XZlg<_R&+L1cya2UN zR><|gcgQdJj)`s1d;~`S`-a#?ws^O~P5^Ja)LZ%AkD0IG^}nx3?38HK@a7m>_%As@ zf!F`eq8=Mrl==4*%(+BN!<;K(JFCx;w~D9!BtA>$pp%l*75D{S+5bSp$(mqrAKj_q zHr2o2XFM6qx%o~F?=zje77P22o_1yb75l2^O3VCukV<9Hs1yurd8tT!oAK<{+wxn| z3p-CIj(K)C%06}Y_~=mLO=_t7jn191F4sLQ-*bDW`Efg}ehyr>XD?Gr(BmaVY6J3H z{{0mq*LKLI*1=uFT2|Ku_}pxt#4P&VgMNSgiTAToA^AS)AanATqQpe31tC*=0hWYP8)Am8uv$mC4R}$E|mwGMaOc!D!_Mq3d1G{@6@`v2Gwf(T>%(sBl^VeYo z-w7fHgdUrr$1D31DYwgxxYQd+E|c-K|Dj$ZtXj-*>=dwId;3Ly%wGcI(AA54c&@vH z+JPR<;oE~9*qa`M?>Gotz$p94_Q-mg?03PvjEo7cUt?Ts&8z!je<6Gz7d*)O56@O^ zMdxJ=R&czLT9&SCTBY-)KZ56b?-o9?m(yM!PO%Td1L|DK$q0R+^8oh-82_f~weAIO zrVMR6yIs-WL3En>B7SLCHsN2N+X6g1qi!=hm6{F%zH!TU(%FBIHQ7J9iN7C~u~{3& zPt6!x#_as%Ftn5RRah^PwfB(JBxUxpzBeFs4&3W{F+7rQiQ=mV(O=1R4MO8m*+kY} z?zS1|gAw)y&9_OvXBn@qX1s4m`$_wt%!cO}gT0(sk4pE3J#|5t*a=>X zj*74B`&-tWf*MQgk@g+Pzt~yuuGyDoy}t(6r0T_nC8=vl^9`~-zCT7zma`@3W~3v6R~<&r3~+*f{^)E^7Hsl4ox+fT0Umz(2KA#ao#x z%YHo)YwKE;1lQ_4a2clVlIzrO>pgtFbz%)TyOj1OlWEoqrb zx0A8Jy;{4i`!s=Z@_F_yGQTGKo&>cuTZ%cUi(PxH!aE?JWA62U!~tu%Swl2J_!DG+ zTxq%pPI4~$yZG(CuiJG#xpmE2!++Pl8=jSecC|8;SJAXQTa8miZuA;6v8U^>mdyBe ztKiG~&HQ3_rp2T}|NWJtnjbUoRpk_Va__#}wGr{P6^W&BXK-qUN- z)akP>UY-?)=fY25-1K|iV;bsZ?H1Xzp_RhN5|5=?sWlzm8ZHlS-JI{bns3gf!+gtf zo{>(UEwwFt^L3uQ6JfS1m0CirF}WX}BX{LU-Uk2rYSv=Q5|i}kwoeYT*66bS>+!uE zazzuozJ15~a-%D2GZ|So?j>i&dU0}L+KtQidHB{Nw5!wm%*mWf z_)ecW`R+#b?<&t^9C;toZi9Cc<4GQGW{eli*Tv>oL7s>*5XM;9CHr<^bGyLh45{;h zukg47{Jgu6VM@-Odx@j&l$x)59$Hc&KUQ+_tlcr6m+vo1{>>(5#ymT@ z={+kH<8@VOV|*8SoI$L+hI~agaqn91fOWciz&Z^Yb$R2~YUt7Fj9aIwaVyOne2F*a z$Gq$0J(#qvzkX2LiNTuh_}wz&JAQ*!n>W~mz0ZD0$#?t)vtO+Fj^FL%Wahh%vQN~b z?7_rd#qOhrc@N<*@BH&EAnLw8G0*t7Ywt3?{=NIG`FxXdp&GRoaAY~!IhNC=)G}&i zB=?Y+Kz7{hZz92W{g$~Y#x)bvDMVz=OTO!YE!W@mYu}xXllNRmZQBBuJ(%>KsU_NA z%h}hI>lW9`vJb!q*|+V=NYZWxPR5q;@})OiXz{o7mwmax_x-$nc)NSsBDvpyEu4#P zZbet5=D(xn+kQ^OSfC=bEgDY`C%gadO8sp=a1I-P`DNBlw#`G<;`w*{Kla`QJgVyI z8{cPg=HyD4gh^&Vh!Y4yqA?^GlQ1HgK)|4&5vazBatjI;AwslhZ9UkaL9qsc6-X40N8}DSKUiki>qlv&9qB@9fQ&clJ7S=VBio_(8|z-q7?;_3X*G zuM#pGJQV;h;g054@K(Yr0q|D<{1gB`1;9@M@RM1o=a-~^=R0+{k2z;7_Bt8rL9C_P z*5{$W;_Nfd|L^nS-d5b-uV%m|eL?orgGS~<7O5@D?#xY3s?&E+f2|Wdx_66G7w4Uv z)CQVTCf^kd+n`CjS@Z>?4tp6ukI=V9;mmOB__|CZHXC+3?iJ~KyUb8Mo#IZ zIpd@IatiI=fiLBrycQKLBfcRIv7Z6!$HUYV)3m{t*zd0JmV*(i$w8jrv6nLsRbP|$ zs3C7gvG1(KoFe%y_n*~MAp7kA@(v(x+_AYofbzgMJhKo*KGSp_QM?rpQ@M+#jl5}0 zY%j}2IYoItGJ@fl(S$QGJnzUkhba1I$VH@e%4WLEWuB3FU-$UT5a#zd=ZHCKmY?^d zCxL_i+LZYNBiRHFGB+kwLslusr(jM&40ukqyNzfPWfAKSygPNb<;?=*gFP;Zb?3f_ zSVG-#FTQW)*EGj-X`0`Ye35M1|ZkZWLRIoW{4*8TZHMMLj;sIGlaF4>G;x0GPH0ZaU zdEw2Zdb$A%bO-FmVHo_;j@i~GC+&Go+I!I#BV2gEgY@75=xXzGAMt?x`8MFQTgXF^ zrowx}_i>(Nve5aF-Bugk0&G9BJKT=7{w?6EHpu4hMY;}zym%vw>GJejfSutB)!?@P z=f`~LoA#l<--^9aTY-~$=mtIud@FUEmZax19bS`qen-RV!ifG^>NXqv8vc!e@oV>% zywf~B)+Ki=;okurX=|sI1NnnGqTNk~5l=2&ygu^r1l&$W-W{R%Cb^U!a`1!kis07J zxN*xw8eeX)$M@wicw=FWLRr1g^}4r2n%?RR?^3?7&(oe$fPQ|w%x$%UuG(DrfV5Hc zXP~vA-m3%-oiO6Dh3gnxXI)mTkO%7seaU&R68YnvM2zW1w1JP>iOcae)ywwFchL0)!ccjHUH2s(Q z>Cd-8t?>>W?eT<2lWd>7N97H%&hTN(oss51OD>*|yu>}_*pvQ42fy73=@vTahHE-z z8;Lx1aGsmRw#1l8=dI1I2#K)?*Qh#-SW;bbb0GNnoiHr zeS+ZOe5XDyXgsitL|n+*unx~bm;H>1z9&4*vo$x!`V51SGi6(IPJ+I4vf7tZ9Novg z_W`&Aa0lQH*Lg4pe_0OcF9-dT(C#ALCgE6hXu}ws8~>T6dz8U;sLwrpYAfq0?fwYQ z{41k7jqkUCCc5}8Tg(QJ+z_5J?F6RdX=y#I_k>SWfv zc+<}3&=K#zcj{+)Vtq2@7Y5pqGNl_b1u#RVupRTtt9{{;snV8^BU_#sY3~nAi0#Kc z->+CFJ9Jjz35B0DutsW|UfgBq(1`FY?`#g_M@4Ud-urUS$+4G#yWd5jn+Na>y!|fk z3h*)dQTDIwbI|vdS*PNR{s~A2Kh&*=eOh?VRFn}@En~3ugMD_8>3AQ_?E{p&m6Q2x z^m+S~5BE$pIc)`8W$k6Z7M^nqec5}nGrurZ_hoHHzrKw9n1v0SEhvwD2-36fdK3M2 zwJH7far!RY5XyLfGqLZg*8Q~GSGoN(;Y43mp)gk{eRRHc0qQykN6`cvMSsWn6Bi#E zN2-W>=;(I{I58j7{V{M?r2EKca4r)4UA&Lf`T_P{V2>*A`tNHKwnbhB@&~Sm>i5Dm zgX4#%&`-TWU6FTG=ZynD@y_ip;I8qzl<+2H3fc(!tz!EzzQFz1Mi~4fokb* zGyl+Qn$#_J^-ekD=vD#fMAWO$?^Iz-;)UM%s%{(XxsbL=<=)wj%>+oHS#`pf6~a3YR0-41Az9ZaWbRJVy; zWObwdzfnG+*RS#}HyiZIdgE<)1(|{U{frCR!oEJI{c*>aIR^I~A}+>dTz6vp;GlSz z<0Wt9)(_~@YI$D{-u?(H)GPW5_Vl*lZOnG)J$M_lpp9!{5xkM9b$|!>HYRic^v5_i zVO`jt_5>drQ7?xVvL52%&_UqrIZ~MvAwptI?DE{_Szx6oo$Hcg02HKe87Pik873<9XHrt3g z&eu9QK4Ch(pN>Atwo0Zb(7B9N=+E(r)rPR051M>S1Mfw(VXcMw(5ukB+H=3@<^_Fe zH|`smEn^hW4Cu+BDUBP@_gZ<+tdn&KTT^{Fi!`{O-!5;yb>a*%`d;Y0$*O_z6Ll}@ zq?p0Ww%)|uBSVCVzS<*i5$Uo@F)!kjMf{;J+eh3>|Akwg`t|W4$jih&{_khhxw>rL zIcI?Gd7cpKSyq4A9Fo3%4>{D22SHzW_Ybgctyu} z{){@V?YeqE9R7OnWn#Q9R@Yhkao>*g>1fa2k+v`v{1L{2kX6=~0WbK5axwg0fD7Yl zEoU&chK#iynToe_Vm8MPXs;H|-??*w)?>Wu1#h=IdIjIap-urFjzFJ@H_GM= z=DRrme0>OfOaolfPe9Ln417?aWKXws1@WWlU+Yu2rzB5hwSdoXZ$I`r6a}q66L-m- zlw;hl--7w;5+jOzue{TE0`-Er+#FSR2zO`@Mzi6p?^*u-c;Wb0zvYr&FS-!k>0#P_ z`caUupu8aO&w>3by2mT-jRyVgCElQ8LtlwvzXQgx@XLI-y3WNR^&HNvTFXv{jD&vG zIYrywbL=mkq1_ARy_-(fJB)o-UZn%yH&%&fm5%p2S+3^0h$zhDX}1kQ2N^XUKH~nL8fIydG12m6DG+ z`NEu$C>Lc9!y6E8`MEvZPa*3^`t1#_ogfZ%O=}-zc3)Wd^=h6CQ+%Vs^_O|GBRr3W zJW*Hc8D|{}_YOinIQQlBof(w<7dVFn*fAHP??2HvO)oVs9F6fD*Hng8iO#^YS_+v| zmg=<+?4Jz`aZU+q5Zs#_M1Rm~{R?wxJm-~=cVE7#a(4yuw7)R%6XhAHx}`|gWw4gS zyycuA)(Egp4Ox-Tw<6HrZ7MZWW5CU=r4_mM$ZoFjV{L=wVa~?x#@c?#D86+7Hx!e+ z9Nhb0V&Gt5JratECHL>)P8Fqi)&_fhxCY@Jw0=c-4*b~hJ7xx~Z<(fT^PH9mI^>;B z(x1Q`o{Y87kF)kVLHT%}4Axv({&Ckh9U72zNAQF%kPcdf&Kcmn1kPG%F4j3oyBQ(q z$v8hKYqI(-tbS{PxZ*+!S3^ zpOKceUD;FRtTp%1pL1W+F|4g|FQW;4oM)1-=O_nz0&^_r>0X6>^5pFaA=E{9+g_;m zsfMRwzA6wyow$A{`|{fDnVk115`GAW>`v^bdKr7=J2^+u;)!~BuR8fi@3-Rk6>GAf zQ}8(E)@JN4$-=ce;CIlojdeZl6|pn_iTx&^g-XILwAj;=Y*n81_Z=V1F6=!Z7Z5 z5IzUZ0JgT4YGqXH=mlQV+d!tk5$;O_p93E#Q|=axr?>ttYyYLPMuaudz{prB*6evE zmv#^`sMHujJ!060M;QTp z^^-w;azf7hga5Sri9YnnWW~pkKheiNd7cB|1@4b4Govs5XY-H!^AqNe^;q&F?nVL6 zMde;n^Zq+x!*8$3Fek?&tU*=%r$b(1GI;Berqb`bbH-9 z;aXe9Voo2trPo$5M}zzW7S>&yJ!Q)tIxy~H0dJz* z0Ll%Z92e$EA}y>UJ-jk7Vn(J ze(KUg(9g}Eq~&8@NeFT=$h9E%9(Uj&7-{dZBYRug@itCrr2Rm9q^lV3M4i-%_U^)Y z2gu9xtxoG6?^axY(YL>#o0H!3D@hZ_@C&OlDE}YTOe!k z#uH#j#@ud8sdb@J73asK?j=iNS>;i^Z@%JhG44rIs5{o)`qv%jfpC@xdm?szcWd4f zwYB0;YDy%!;V$NB&A=WFlk5L_?5FEE&PUvqoD=oO^WTem&2;{)1M^SBZQgCbE%AuA zw~0sUhr&asH+XNagHyX7P6eMSz-K=A1o-XRiTmebdw~z^PoIT*>~;{Z1r@)b8{ydN z@8Yp9&!KQzl;h&o$K=_Awi znyse4XN3N&d(a;WJ`)%`t?C%~OGvc!yz2HlSV-h3rj z#m0#L&ZY3vcUOSNU)K2nm(;)Duj#S4D^j(w2YKSWQ0E57*_TUj@dM6wZXivTToMCZ z-XQ1^@1k9QZ)8tlCutIAGktiU4DYI)i}R1kV@?hI zHnLgfr-mWC5IlM7y%kgK^>`x$@j#3B0VjN`YVTHC_>*)*9&JtSl=ki_@sn2_TxdV& z)S6}|_!wF8bT8%_clfL)(XT+?=Nf?66CC^3GN+&@qpbzFKk43T-k}Hh zUfW=O6YiU@9}PFwZ=V}uwL0bCeh-Y*0}F3vU7YEzD;I3OZX?5ZP10HU{18xEt-U z-D%$*esfJ#w}rJ8XL~zw*1rpFfioI5VEcJNg%K;L@U0I)w!tlL>p60J9B`%GI{nyI zrUm{%volBI4x%7%H@d}*HQHYCW|Hz{XP=e_3S0d@6D z|I#|)F5F8!ILS{{=_zP%z=LVS9Hv&&K-p1XC{Clj*q2%raEq9}_KDqm=m@9YN z2g}`?^qKLUZ}{@sfZILA?I>pxc$0YS0!~{UT$0D(c6enA&2J zA>X(InD}HKw$4NFDegXe&VYQaMjtryfs(ErL4Dtu7wM7<1E26Nx$f$i8L@wU7wL$5 zmK}Mj7gN8C8KM!w;wEJ$2bbSYBAd9y~_Wl9$1F1a%f7jTX zaaYA@2{eT|Omt)h?Wn_dATvN857$K6LmMDBvP#StRRX!aVJq{~Wt9?-&PkGy0RMw<4V6lwZRB=VwJb?r;R(#VTxg-6=__1C`S3ms|8t~>Hl zW|hndChQ%>TmocnY(K`$qj&Wh#h{0|N7}}QcJ;1DpPha7k+zJ|@Kv$R*h^(+eiv)? zCt*&dt7TT+*OU+YQ(C!K8f#XqSZh~dGX}gp*BY_er=M2~867MRp{*~J^`DmR-t{W{ zxNVpB?7+AjeMVDT^x8Yk=(V@|qDQbF73JejT3-}=+J^nTesO;_gfV_BSPtIeyyB5N z(O)(BY5->~)*Ygl8#wO#p_KRA9d>yLci`aNk=$>_eBH^gpK|0s&UQ!IZ?22%-3fiC z1!r2H#ac#25!P@}$4#X;=L*?)|HjD97eK30pHivMIP4D(#Z2J618-#3hObuaC)%S; z6S?;pWhf)}^VnC+K89uNZE20P@1{=Q3LehRZC0bvCy%aG>V|II5uUqQjnZR)+2Jn;xe2)vV9rEs}_7RuFZD8N_4{o_XFWzl?Tuj{#fgF3aIIW1zJhkCsbJ#y#R=(W2u zN(G0qU+KP$eB*=dmRGmKwnpPj^kLk!!85#jEq}Nk_e}Zly)$cUq}|L0{j^46&^5Z2 zfHw&fXbJ6L9>)Dsq|?1Av%)j%AkLa-nr*+a4)Bf?c)K>`3(TiutbP*afk{)#0VB?< zmxt6e6X$kwuZzj~^xS=B_ovW~A+AdcKC6Mxog#BZ#!B6>9srqCn|G74!;fnj z7~a9Rh&H(G1pVxVXmKb8xN**LRCO>e=Qzg#S!ewSc`}b8%$;NZTcoRPR`?dyGpi11 zKo_Y0cUUfQ;m{3qbo21_`MQnHnic+{9nx)-iu$w84o!94jQ$tez3g3vcU68f$yC+fq#FFar_MMnm4OBgmX$inHn10w!kI! z=14l6i@+V*A{(#0(-$rF#89s#wK!XaySG#7;12Pgqa)<6JE6~DjKsD8&x}OhDencL zPi$qq(PmMrhHKO{M&5JR_rtMu`cxI>y)=XscZLjECf-nwm-!aI6Usz+*6XB2r|qj* z4sbo5G=a9oM7GNl)<%q(4qp%6CBL6l0~tS3^E-7Y^4iSNkr?_)$X%h|aZF2I%4$I0 ztMgr#*oM$2I;Cu(MJYF#D^_SSMUW*=#@g4ezjf}T?VnacgLJvPRLh=acC z#gwWeFBMmnn^E-H*JB)FK%QmczQrX|pj$i|Ua3OT|25j^k78(V<~6qTNSm(|@1*7f zhpo`FRpjj!j46Hrd7O-OhM2!QiL&zKZ&$QMvmS3tD?EfTvAOlf;FVi=cD|73B6jY_ zMPR@4sO_*b9D5VKT{pvM=*RG*`})kbS3RI#vmozMcO?7PfipddzXKe^}H4{=WPHusa=UJRaIw-NJG zJ)B#_xa8D{6v^OlT2L7xW{#SY?&Vopj{(dKu4Sxu6hA^KG4Q;F#mKrXzWhZxvJu~ z>dulE)$J`ZpEUkX#4nBP$;SM~jvs)JvM^rc+~7uxA8{|*GZ;(G8Y}nt>>Q6gHlhtu zCr7Hz994q-2hE^oo`FOiLcCiKV>u$6Zru{nkj+A6i>_pH#W8|15azodq)XxE3_4$BzZK<9&lx z(mUTdEcRf1JsWQt;+%aR)ERyzQ@FR%Oh$Mc@VUf`(n0MLnN%&bF~$K%XaZ??d^xFa90&hq&*W@f}$s@$oJ3UuXEe8?fHP z`RD-FOtAK{qqQW%K2R|g^tuA~$dO*5A6j$4^Jew!7$4c!?l#+SccOjd&QKe8Dr6bZ zz24bzpyVGrHXweF#GgrdKip@XOnX?+8iDi&aPJazuWEc3qfO_>=CH1uH$Y$N(etla zL2EwK;4Zl!??SMx*=C@9mZ_}8gK^S=KA7{$;P*!(_V+;dlOJnATcICATb&Z( zoDaq_kjWb`ciY-Z-8Y<{I5xq!3*%_5>$l)e%&0#Pce=C8BfAR(2BDR%#x2y7*9F82}Pja~Gs75`1VqKz;{uJ~NC++HelJgglBQIfY2J$~;qFhA_qDMRHKGCgOrb*tCt)wmBGw&z+rYfB=N$go zlW-oojye`}i^v)H4A6y7OSY3y<6?i0$6~p_>)jTV&VeGnnEbgYNlM{y`P27=ivB6 zr*8h}H13;q>&Cq!d1)Abb?q%hopt@Pbp0eOgJHiZ9aP7x#5yAU&!{759&kw=lcSS) ze`+5dP{&vM;$$XaPVDd17)NOw!ki>We@<4fi2j^G{aN8H7wFIy^k=WVKgW#jclBqilXRhOKMXH&b!g~s z6$5qXaDomE-I05GMTagqjt*@<9=^iSp_hG_4o#Vm`fZGlio26y(5JWk6uQyaio5LK z<5-V8#5J0A??ImM9%ft46&JtdQPDqYGX-`E+%#{$iSx6Lo8R=KI|$n~<$rec=hf7o zZAX8epjvQj-})ta`ILILTlfNfPXpd0mNv_)Szkyz39X47!#G0g&z&ElKeq>f`v7o{ zHCpJ-(4Wy(0klg1?SOqC*dKwt252vHSfc)nF%0%zhL0*6xUq9QoWGC7`tVeIgIv&>RsYg?G{v|~D%eIsK>C~gcp#8WW9X0sYkm%8)QU0$fKcPpn ze3S(pN$b)qpZy!$wI5VxnVhfOfjytFafcK1>15p7M}7KP%%O@tJsNsE%IBSKc>nUt z#B)(s-&kaV;@e6t9MGc;>st2ru-8$??rW!7w$ozj*fw-*d|%?~*hS!Z>e#(n$A

X%*gQDE}Gb)`B_Y9JKfTjrCF9(GDEb80v7Gg zzGTjl1@NvXnRZ1&ej0jdyIa6=wS~xK^FYhXnx%!UNB1{t>h4{X1hT(jRm=p_5+$N& z9AW{`bql$z)JZ&ppBv=G^PSwJCmah?)}a4Xy;36PH@&g?_QcnoZ4 zqv{yMIq#>AX^uQZv~zu81UAJq6c&Y~!SR)ueon{|TWZ()HsOXn3#l_WtjIq7S5&3NhUEq)%DbqYxY034YhlS* z;ph|uf-zTjPm&wuQ$sM!4)U|eX`(r$qm}ZgOCwE6XjT!es26F4i||;Yw=tjv9V`lG0vkVR zdhFJYo@OzGmWbTtzUV^>zA5Vran-y&@k2YN14Hsq;nQ*~Vxn_pbN9grPMXsPr9!=K zxEqZrLKGD$zkS@@DK|^?u1WK{f$Cp3|vJ>OCsa%Z^qYY!NMp zA?iEOh>n<;V9}Wz+gkRB9KSa)Yvn|-b@YLztOe30Uq#e`55vzAM;g6)r*#Kwv? zi6CA+kK{%*R4jrZT-2>~rC>*an!JmOH<9nD`);o6i#ZBg*j_6HByWYv0o+yMM@?s% zN;@TBBx{MasKn!r7KWds5Kbbv95ybp+0={rx|s{D=TOB*o83*KhKOc_bIofek~Tb# z1_vA}(lKf&f1UfhCd`GPg=$Q>2vz1R+p>&^!n~h*J#?P)hFVs>LH0pqLd7`oXFs&*>126p2fdtQ$zczs2OKBFH{tcX( zM?Y#f0dT2g`3Plh9dun1IsaQ2F=#qUC*(+1{h~vjb#O3e+btDiXJV5dj2TSx+Mf6b zn=APzuNCeIXLqq8CDpHcYDBN|CtIDuM^$O9&Q-v{61EbeYO}56FYMxlJ;3XysZEL8w`8knWcwRh=G}`ieA=h+62G{dNQd_ zHJP_yk_%OWfX8b0mQ`+{LBP1qw2*fJ_zO-3rpEGiA7V_{SrYqJ2wSospO0p=M{*g0 zT}yxKB+gR)93qPEqF7KXYH)!ZgyXIz<~G@$m#K>D7$!u7sT#!u!P)r?`N!V*(aFXL zq{%*-x|t1+X=CYn6$PPA!}XLIX+eSRVQERf?2qIU&ZmZ;BgvOYRm(DoEQmtuT3&UD z1T_H2%JV`z5jQS1yJyGPt@9nD5cM-lw3={>DJQb!v$JbGnl4PzcvRrz4{8Y5!+b>* zc(p=Vjl7*T`JSYG`c877;_&*87po}-qB=B$a}HP_Gjeh4#dm`!Gtgur&}umYHDW6) zXD6OYn{M`tul?9mMt{~hA|-8FUKeuBT#dj<=Dv)N9*05{dgGxU#94$Oh^4lLa{0J^ z=#_jR=AYh$JZgQHS>;d#56jTt7?`o&TI)C0RM2Nw>q$Y^H|858>>4@^L2*hM#ovbX zdaG#g4*4Aj)i>I@Hnh8Qj*x2nnht}OFpoaa;R6=ktKO*sqQH|x5x8albz~Q&$$*!K zFxC)UU7ql3ts3kcs9bbN6n#wPrxyYhhLenIkRhp+yJ{LqI0XLhZ+4{!(8*8!gbwJ260DIHlX_y;zLW*Id=sSS5)d|2Z&M(RO)}hYlWn= zBcrB0Qot?IY z#IWhDLGobm1D9@*XTbQk>KO~~Lmb+jc6sbEs?poA8ZZ*K(Rik>g89M}r=12zolDng zd9}PjyB6*g3cgZEZ(qQW0tQRbeYF-lFcAS)Af-_EG`xgz&S(b_r@RSlW`BoJGi%o4 z<76Sx;dL~V6*n+*fypg^O1DbyOG}y2*h-d^^#m%lSlCRyQ?$nM`Gt39txDKJ1wiVI zJuGWArdqYj5-~9j-WQzcafltCfZJm;pcSOe6%|(SagT#5I;-KHli(#QUCf%^Hhh@{ zi?N|_Z)>3zI1T#*<;yG!!YvjrOyFnu;~Ri2>|CK~1d4Vh=%$e+$}L-K3SQ=8X%7p) z^|8l(f5JLt;fSBq#$4ViTX}Ld<24zYaZ=uF7!W%wkX)=l-od=KQVO zxW$DawM<8(dOaB36BTk3i&Z&PcIFDe%h4!UzQ}J*)kv`^is5FZ(Oxr!;kshNoDWQJ ztAfCQB`E45sd!O0zY7HrG8D0gu~=yg{u5?dttWD+u^eB}=5#n2d8s*XQ5wyi9$p`$ zOoP%<+9Vj?Y+&(kgH5CzkwDvx`dTD0RyL90aoU_R8>kv0SFtU7${HTAX{8X8C>n(a z$QdpRNcMVZ9X7O!CUFUoOs^@jxwbxaEu%L^UYc~sLFFp^5R|l6urE7g<=Z8e4-gV@ ze67fSc70_XzC;s3mOP4HWFiLoek6L$MlZ4Ay1z&(Jw{B5yg9;|b3je;m~C>w&no!v z2|FyF?xnIBejbGv{CGBE0?KtQcEK{P*d%e~$f97ruM9y0!g4IPJ*#c&qZW)qNGZ(; zZq(rwgMl_&jjB}BD=4*<`IK!x$n~7n$_vug&XMiB3fk{jY*0I^;j)}IStN&tirE^a zG(_w6o3p(z!?HvySmn^O#Gb4!(JGZx)(*w0`|NAp6kqBXxgkpqI&*0dvoZWhoyKVp ztoVejRjX?tWEML>fav3EJB)NnFv&*L^LF^U9FObmvB&1T4h3sX9W&|S!XiL2QpV1F z92MnqsZRb@{1kT+#Gz!fSrpPD}Q2T{!+hzt0wpEakQ4iFV@aQ2RXQ$FZqZoj%2UODl|>c z6IE*$SQ9=mg70wvX%Z?iMeNvp=1_$$h%H$KsU5VN%9JgYTJaeoB3tBpXCXUM#FLzU zRF42LfU2igw3XH=Rl95nNy%}B1P0ad5p7&*jnFoA#6*#)C64d zFI6jApgQ(Pq}=&OItC@rp+*Y_ajXn+B%ae8nJtJnZV%LtSqj=u!E43GwEZ5VDKQ-U zjI&LFm?P${o6W2)8fu(KaGR~&1nRx&k`zDt$VOjL+^PM}C%mtN+bgzY54e=@v24Kp zv&+mB($Zv)whxtHiCIqUODa%91qx#bCB>vE(#6a=OIXbt12`!*W_4==274J_LYWB` z>*u4Dxm;SuO>Jf*JW{@3KhH!xf^CTkL)I&+$LM1vQhre{OU6D;o}ASY7a3l*i`;u! z`T2-yTYmv4pkE3>5lX^P_Uvj{!(uX(HX|~Iv?Pkl)_HrI+QS3!fe`DlZ)32UJ*~JD zlB$=xQFqMJ8Cw+Lio&Rm#)`7zO$1m&xv&w7I>=)THN_2sApkYbP1q;X3OiWDC?8wb z_>}AP;39g)_ys_w7(BAW4*DSmNdq9-r5kPOvTjig_z%LDr4+Cz=65<(cpl0z4y5m< z+Y4bHP;`d)5?x`V*1&+hAOpQ`L`d7&NO?t^R-&9FgW4h3*(fwhL6w;X46dT%r@P(9 zTYGz3PY*tU2&kpJzx`nA`Tlly@Zom%c<27!*4`)G&OSdr*nYatrIL^Kwzs?8N8N)D zxAs2RzOCo>w)Mo*-9e6I-6fg;7Zr z+xi%f{U;B$pB;1`f4Kd$+tuhF?*PvJfn902^R)YTk83ZVeqiINgT>y?2Ol1EAMQSW z$Q77sb8&ZfZ(uKW&$jjscDDDsXM4LJ?L6$SiQ5SG0h@%)$2$if?mlP6z=Kw|_4Jd$ zAMZSUc)Q!?+wHw>`zO!#w)ceq8fWZ00pxAI+_{knZl5_<*+|^{&;I|@a*~Cv)%n|=u0>S zfn15&?d|OUamNw@vw!k@s|*BsY47o5>*)jADP9^hJE`S%pX@$oxP8|B7*Lz77OJ+p zhue>I;p#{1FHbVf{_`i>13mX(cmKc;^!Rc2bo&ADia_?a_deQrV0hTuezvu`v|*HuE$2wNXOY(gc79^}4%yu;M&599YY|F*rt z7j^SLfsA(P&HagYH2GxU6^Kxie-t^44D7T;n7qfY<<9Ru}FdARjt>x1q6 zh6D_z54N9f?`=K4-R(cyez2n-AQ4E9oqh~>13IwmbEmELkPl*zZi~$nNs9_04&hlW zC`x;p6vEuWmSr<<74qv=sjqvy3w{~x;nu;HhO&R}Z!^zeZ~H0C0%jTh!Gq^}u$G?C z8<6MzbC_-CDPO~#+J3$|_;6?MVc{n}*cv?A+IjpOLJWYD!hmxZ6lzGLH0_jc$o}r5 z19)tk*r8~k+j%tDfBxXZU|r49Zrq1##rB@%N8C;p<6F`Rn_*w zm{I^j;1~EUPcT1bOjxTe-goH z+9tlSo*b-?+Uq?Qe5q(#VW!aF4Q;?pcG-LB&Zarbl>y0D;ka4W?G}vj1{{bLZsoLZ zt(xM(@$%{m8vsgpb!S9}pb0jZWvAhW-Nd%p$&kStX(dRWrKRBaEUT(L4!BsL*iPgp zIRW^_6?$_1KkZY>hSDUz0QThD1S2ybcPS+2VGt91f7nBuS=Eia6a zbkoQ58t%Qt;xv$@z$})D*Q>G}PSH}gVm+{DgSmV!NQ8&+0tu@STju~4IBvv)JfY~j zf~3%1H8M``4zg158j1Iza@b&*>Iu8fj!IHHJF0gi4pb|^=3dkFPz7^4TwJcpHfAH1 zoD=aj;-<(a@P$B<1PyYJ9UQ#z*&|%}H@OiYH`T#=8U1K!V0}2Jx+e>ONo52iYBd=FjA2{>J|@-}RkA3p;Wgqcz}C*4O7nF4Xc z1>#Onvrb03jxA(kc;FqYpL;hEUpaf_z(Q!FMO zVTv&!G?9Y^YT*QzUb;a{aWjvtWIs4XW~ngrrqp(vq(p|w&RGo+(?mlIg(0k)k%60 zY8P_AK6ou)SiBGpt8lLT?bRa`XHKsx!W9KRHBHZpJa&Lmhir+f&B?3I4X=>lF4M+QF z;-RkYL0f%Mv(nmTVX6LxDXs2@ZD#hr53uW)21gUE7XFQm$$SO zzxUCkaJrgqP@KC#ht(jdgI^UvefFN+s*Ovx`1wiRAp8-s0(4`!#E1!6)TIi0hOIzU z5bmHn22SM^t?QWy1FL-%X8s{e!jU7MwYm^ z5P>{}@U_ITCT*!K2rMmL{c>GFpi6zd}Nj8<{Z1y z00PL+k86|PxC|@}k`d^Qs)^#ltYs9k3Js7mVFIc3yUd_qw1Fy>#dWRI7OgmUe zQ;2Z9BObg4!45HI>4KdxGTV>umu7%!`fabUWm}kpJ6^S_%puiyuC}#IU_rvOaYu6N zi}EXY=K(MFh!Ra;rU0$J6nCxn(dW=3l5~lq%NX*)WRS>Kl24TXjh4;q!UWT4Bbu3geE1aQ2Ks7peHQnYT@1R}s)#~V+Ca$1@Bd2&z7aWYynXiB0})1dzy>cb08fbsiyFhxyvLP2PeCQjDd2VK zB<0S!F%W+OerkpiF7M+fw4W^j?*kR!&3;Rc_+sG2@&{^(3x(oSfcw_HE#tWLBBEM4 zE~uw(6C8_ds0kMd26HemeY6E}VM}ywl`wgMADIWrpaw6u5V2T^u&fllCkC}Tl+Xk( z#`M`i1i`y9?f5Y{RG+Qs2y7&7`5L@f@t(b>o5(=xEm=9^3)>S}P|PUfZE!h$MOErs zIJK03@E(_pLY!fD)Hjc3!(eSG1sQbiPD=$RaAG@g1u>9j zH~%fuD(@jFB)ToyuF$logtQer$ULD$dltfxl%qaDx2u+?KRnR5X{8$lon2w+ zcu{N^1z^|F74%k@|BRDz`_|z3b_M>~!$&{>3zU;ONp7||!JgX8mN~7=gkr(=Jxm=t z)1jlK*88EreFK7+$Q>w?YvpO-M02U+hw(L>iG0FIh!=#a$f;y%D^_cvT}m>4NW!EH z?kHqf706lY*;yRewU~h23~jt!Q9;<)5ZuJ_!UtxB9!NoPU&5(cgPR1UkRO2ihCR+a zPn$AB)=*OB9S?2~taF4_-qc9H9$Ruc{{-*XnXHI7co4se5bN^ z<$#LmIq8z>U>HCze|5+r}_JmW#RZA?pt)gplws zM7;8p!WC+V$d+j))On)-E1J(!kkG7P_ZX&5lEehq8;Z#)1k4FpllL+R^XPywBXhsb zTPnj;u;I4S52W!4Bcx@D--}6mbViUEp$nParYv9E$b@&qTGuDtA*oou~DT<9txw-iefC*2c+D z_Iq$f6I~WF@aiC0jV&NLlxmS~=R{jdgE^*4=Z8YJLSgm>t!dDnyAzh7SdYc@!ZQ6zozc$5*T! zup9^yebU`~`|T}+Bf+SaU^YYi~3bpT#` zP=uK17m~pqvC#+U-NpQe>r7-f<;@rM(VgIz?Pi3tfpUmF?ax6F_hEws$--{7X=?yd z0#S`}uWe%xbt30Lu|hdUy=Js(s~P!#?;Du>U|^WZ28SgzU3{9Mss&3wn%Sb!{X33a z@eOoP1tru$38bpOm?s%hY;8PUM8A?d8YeI=nGoGfA)Meko7p)<+e@|^>0#`j?}5x- zR{=r7uFSZ9wgS0KRNBd`2;LVbo{Cprl`?xX?&gVcruox|gwQaQ{43{&8~76Fkw z+LLl=n|%?(5}?*nlVMG`8x03K(xhGEwlxN@DI}y@xkJFR_hBAtJ1hWT@=0W$v^JCg1olnhfm6;xQMzlTa+n2fZ z7s94TGI45O4NSS1(oR?mA7WSGZ*4w7E!NGQX3|KFR9p-{H$51%1UFq-HV>M+L{$?I z_ES=3;M9YUQfsWb?u+Wy*$<=x6u0o~DGE@z9J9)0Hmsu4vh#7`(|SQSdxd=zRG2(7DPxjRdQ@MxY14PGq-=zYZ1U2?W>xp2ZCJ11B@9 znZ_xM_6E!=XJ5U16@k7~g~M7h|b5 zG@jl4nfH{rTC-^Y24P^Gxlm(Dxut3gNIzuiDP2f{5~8VC*#TW2l(d&p&Foe;Oi+vR zWczRo#!k@Xbj*^81VshJRh{MCaxCG ztTq#M`Lt~m$v=hDh-RBz%t3r6P!-?cYCOz`rHt9m_O#~WVyTyeBr36&_rrBmD-&@if2}wcZmfrH)GWi}+_w0c=5JlqQI4*Ijx@YAr))_*>qChH;p)@chO;`c-B!pl{ z;$B8s_1CPALKx=N9R{ZGJ=72b&ZFmId;)fG{Aguu7yYr44;oC{GjPI^J0(<@Qy>G> zCR@#1#G!;}!(g6KXP%L=m>_w^fzQ$aBVP^!iC)# zq$UKD7+3ZR2J$0QA#a^mo?%&-Z6@V~G-PSSK3=zm+`&X4?uz*-k~+;TN=pE@z*Y`R zU~?>72@xX}9zY6B6-cQ&J{PhQO$2d?#L_9sm1M3s#gqJ!u+@U-iZE8^E_It^`~GNY z`_ghv^Ueg2sRsuvhAI#f{d43~2UvQZ58F_ELpZJW*4CeoKj$vn2mvh5ocpzA#uc@~ ziC!v8S#Y+~U{4QBIV(hhVzcv>7WWd3HYAyQUE4GfZ-f+!37?3F(5yKrriC?K%1SmR zyVsq_UbW_R%nNp8Zr62dSGmT^e5NH;xJtvR34zCIG0aibHY};dKNiPA7DZFLs&nSs zI-<_-B|lqV2PGd-g`a))5LxM-79Uyg)O>aWHpst(R*g*|9)BYCBY7m^FqX!_{lT== z&Mr&`pLo@Hs36(cb}0z}5MybflGw6KSu0e*tsu{PVhkQPlp2#_nJs6Bms#pI{z*zO zhn!L`i!%$%`iZ2AytMsDf4d#eNimN_x1IUeQh5<9M}?LWjh4QTRVH3cUP(ti*&IA1 zL5%*pVTZOU_ngh`I68YF7_4J`QfBGu02znNo`4stIgL%Gd&+cv!3VCAR%O$Yl(J)@ zIjDrgXP@6?9AfyFr)ICm~5tk*CON3v?|Ng2*P*?ONzT zl(1Pg3%RT3>R1SP?1Yv3m5K-KNw!Q>L|i~(I-PrYkE(PD6S#6)J;mvs3dWCjhAssG zo}Cpzo}bsIxv_^#DB~|kOd`b^?Z|^cbxCcVjYLgGJ7KI)EhhtYT~$F)+sdY`QNjoA zSopvevtjeo6YzD4nA|X0p|~{4O<9y3ZxnKW-C6lTWmd2h~yqx`) z%991DW&jM2>YL6^=>Yp@9>`~Z^nz-Cp z7HQN-}zpIX6?15$g+h11SVM2EBaAXB6@2rv=FSzXoc=} zNgJA1QzsrgTB-#x<_M{~1OSX;N)UQ*G*b42y<@!>2!~py3g49IU#bEqpU{X#h4aDY zMej!wP`YqfUR>C+FlVVHuw_OX14Skxb5~G-`~(C#k`&O+RxkjUs0g14Q8t1@VSy4w zZ`GXx!o)^89Gwlh6mxoVyW~S8nvL*9zoXfEM!%XzNFW8Ki(71Da-zbi+(ih~yDNBm zL31~&G)cgVwhvPERS3u!3IaReH>bv1QAU;~!b~5;?iVaZw$#>It!lFYo731L&G11G zH+D?HtPyus)B#0ICJwT4Z91_CsY?8FPNQm5Wb z_*z(8{Kfxe+%=<>pq(+NMHx^M#G-Y561-|UL_(gHii&27YTOio0xdCMWed6GSCcUz zS{Pmxvtn$NwO$EvX&3%ji6lcxFEXsYZlfEydjsb^@j~G{XFA5r`LMfXd=hl$G3zim zWUCDZxB7^%CfK$@;t^zcB_Tt}m!U%ShvW{`zmC|-6W-^`2_VHmj{;;;WnQk3auarp zhmDhCMHJ4iakdf7hNn=;YbhMLL3M>h>8j(-8O-9izgJu}_SQu~W6bvEU-36QQ+(6o zJwF5@G!3nM`f=FIa9S?Y?yJP2RZL;K%C-6Cn{V3v9}jjPKlU^6el~kmj>R#Vyxe}RYqPXPMfP}HJQ>v4 z-y|MNqVWMDYEOlm)$Fblk4;6w>VY8j9nx7ULw~khwwNDC8_AWD zkV-J*VR@aFMI!kHf^Y5&)h?AgL;I;jzcW-9=|VDQQpv(2Q(`$=Qhri@!xd9vIYrRy z75-Yg)Ebus@qT{O&&aSe6jH$nA|c%2=0k<)qOcQ>fPO@A?J9+IzN?npOeU*qXs2x3W%_8lPvKMA+JDVrv)W{z?2e6`#nmWUn%o-(1v zs3`_%1YN&vIck4UN=DW}BHPt)Vg)a@0;~h-d$?@gs)1irRziL`^lA zGweQ(b9!$5d6W#_b!rX>3#+VxT~o(d!E+H_JZ7yhnk^%ZyJyab1j>yzRy%m?JwaUx zUbuo_ov#8NR>tynb689A;3X=xC!swC%Wzu>D-uG|eGNDgiBTLBHc)MFC*+fJ&E=!k zRtHGUULn9SZ(vBlUDVQQmf0$=(%NT}D*)T{!6&y>GKVHc+0+mKGHp@xL(hy_$F$N( zmJq|@rJ@X?nid|ZC4H8bWp~3QscD=UZhYG6D&$D|33>WvtBVR9Vx%2vTtbpo8@Rp~ zIone;WuI-lW0p##*7QP`cetuYLCaQ&A*mQeUC~Lz+%&(G$xYLP?0y4u8RAU`XOVwx zfSwnBuZ1ZKI5UJKUiQ9nrN@FxH8bBWod}6r=ub3W$IP8UWkS=!Y<8!EOOTW@z>r4? z*-8WS0>JAUpsNe^qvr=|)w8!vD;=GM^kzD>t(Qjy9;q&Af3-=Sab-9wik0flL2qD~ ze3eR7=XPzB6uE9Rq?+^SXWOZF&cMrYao3*jeKl@A~5iUVfu=aRNC(xv9HKy7qxObM+l`&?{ z3f01V6ECI%Bv{ZkSydgicqX#sqe`~hRYnCQYQ#Kl(}TfRQ%>2Ww@X=KvDP?Zbh3CW z0%^nx*VCV*M*GCU3DMwvO0n3LBLI(cqZ}X z@k+4>_JXR%z=@{Ce8;u5lpAK7XV}c9i7KpwoN-cYHkOJ=_Vps?c1iZow}U~}@k)uq zlpc*Y5#oD{P$EmK7DO1>KPH^6%F!|=2 zO)N=<$x^N&3b}jSD|zW%0ys?rJ-^VU&XH3xs}o~U&4YOEn0Wq6-6e&$h?jJXGF8Av zMmF?<1z28#_etAg?vBob`fX0XY8Bj3Z^v$xwhm!1;09Q7R@%hb}-5>KK?Td^9}yI{Hp$b^`{&EaN~{3#l}}OLYgE^aZaQblW{KPUG4gBvP z&VHo-og4}}REGpY^EfKZW{dp64-6iVMbBi6U8dl$W^P;e{=vbI=8I#Pbo1=}yZllo zTHVrbTPaTgC1-!EU}|2<{de-+F{7=)UdCT5Nn>Sp5{H`8r5GKRhW2 z{#F6JhhQFAL2exFkodsrMU(y+S6Bp+AR3F0d@1}|pimt-eP2dgi`w-9bRnd2?0JE) z)07nze+{U8a|N|7j0WJSNKSIu`AH*Hh7%#w>LCJc_Psz$&Ath-CSnM_tPK_w9LZmIIyTC?CFsB1_{v}SV zNs8g%8^QCbPcIR{wqO;aFBR2-5ine29}SQ1__6dV8`cY&zeI}PT-laF@!!zST+KMw zp8N7&mbD*%un@TM&g?CDDbGT7{z6vJ>2BjEU?kr@rqpK zjtVZl-$PJDK)|aUd|j*?k_UrqY@eWrI+VZ7p2ZUkqrJ}lk$@`#qMb6atxlbd@)ma2 z+jSr_#Ln$hb>x3k@Z<5$08t~^DOXlrU;J{SaGe;egM113_q`Qr2rKEE(1P%+Bu62K z9~Ag{#q=Ty#hHb^P-dou3W$=O zA$oboB!OHyIDPOOEiQ{?{PQs1en=JPdm9NUfP3)K}nLBVKRZO$MX@RFsOl|Q<@3M3AXNN%Cyi2AOcNtjZq@?lRBWYGPb*2;^X;F zCD%^zv5S2AD`M#fH_*{T-4warZd_F(u=#y@2aisMa>h(VAOpCb zOZF4Aii1WD+sk_;*L1$)xWcI7_c+DjM~!WSlnx9IuPG_Z|5SA1(U`oE(N7U9gNdMe zi#DHeaeX-or%^!=E?;R))M}T#|M2mT($9rt-hX)TBiFZ&_O}ln?>^ubj}JcGc}gzp z>DJ@eioyF2AO5H=0QeHo{;Kmw?weuU9CS*uRG%Q59DobP@HEsizfq~N5v+ZiAUTH~ z3d8v}dMMB{VzP@u;fJBX_2v-U=s2M*oDeZFl~|B3YKTD38%RFUI_6oAnERhR*rFn7 zFQ@7wX6uB7*|^1oc@0^o8W3tg5qTK9wk#XcR(xy2lT`I^0Nr&@MRGmkM>6UL%CF&W-Q@pyOsdu4P8Trh7p@HR`gM3%A`2)zJP(n7L2QejyJEvas6CO`gOJ^Q4MNzd zXi`*%vcS)4n7PJ;+J|1Zf(mC@$`aKz$D&lk(=K$qt-}ey?@Sl_Nr`BTh4{B^y;5yl10+zd&&SA(lPL=TaD^ScfEjjW%l76UfV7 z)<%^9^EcK+{gWF^3%T^M^kSmEd-FbEe*Aj3!Aw*Ep!*Fm;$+#y#l~(;hJ~m{+ySs1 zDi9(H;Mle9#4lo`HqwIO3Wf1=a)?3$zcOR|ln7MWs|B|i87xubD<#uP>wKB3anMLu$0 z_Yv)B%oUz+MkvuI-Fr%q-%kJ8WX{vfT|>`n)WCFN=m1{s!S6;(`%A|8#xG z^$mi7SM#$bAh_{g7vLKN=Tj>LZeJ)Xh{aG8C=>h)L;k(~GPlW%*(=v`IuecS-e{{A$z*?Rv0+o@~g_$8XaigcH?Ob(c zGdL7dn2{5&0YJ3GNIeYG zyo1ojrK0_sN`Ji&98g37rt9l~-qHbWs}#xf(zt+=OdcfAL7*I`LS%tF#Bdqyb{!52 zUB&h~D<4!lTujHYaJwd`B=CosDsfDf>Y&5f^mCLDo7WiV;&;;fdO1&+(r-_fG6}`= z&(&=m|H@z@lYVDqnOMM)+3Rs_g(69Vaib#-x=o{G{A@@+w1tin2q0aC2Q9oIVK zDlzu6P(NF2{0bbgm2kYIT^X_{4=N1)YIH`6b7WQl-kZ*;uG+$!s3a%l)R-JLoDFFYC^cHa zcXaIUe6V$Y?;sIk7Y}irj0|wAjz~l(WKoNw=xB9(E=p;ri;d+rmyt?Jr4)Rm_iz;v znB3t6Qa{vNK=I|b{NG)c`oB3moEQ1?cgtefUV;dT5b0`5dWpGsVR|l&?#V$pOcF^> zsbLbJxaw6mw-^QenO;sQde^6Zm%R9EbP66g1EgjN)T}6~Bp1O&TOIfY zP|O=6oboA^!MO*w^z0leB;-vyTzXVl6502wvd>#}a5g7$Kn0M|@q6sU73`j5KkvBU z(k6N8-R{H%Ig!N?Obu`)ey6Z3d|LQT7+)2pKm5U$T_#oKuZiKfz$Xzr-Y~n zfm9+EXs2xw7_|$b;B<1@XcfX>-cCyO2;F3LBv(LAIV+ys#>c1 zsAvJlH?3I1!zy&Ys6YgxG_b5&{+7{?N&c2r)9<0gNH&IW;jo6ihAo!|o$8D|PxgbktCa@W#%j#$PjZv1e7CkFeGd(!eQ4%B*5M8>by`<29xTt{nd z>d{o$VAcy6{IT)DTNT_8Fwqjb**XQQsRUgG3nedOSzEnkam~o5!|`MtsgUf3yD8TK zjngBXzp6W0G=*-R$1Hd5#rBwaBr;W&6e#M94h{oPrn!|q3+TzBc(GeQfzcQ^KWxUz zc;StCitYPb45}V!_`FRaWE}@p8emZs#N2SBE^)5%*-0%!6zhv^ zYc^Jmpl{8u=XZm`yg2jUTWowE<0`}?V0dqSJtIBYeOrGEBS)X3BR^eq-*#2`DXOw( zHqDDQ{CHy=D02>^IUMyRjQ3TAnB4e+ zMrKsc27uwsz*iMi?KTlrYU6CHHyaPMkRFgN9UBIf>W5J{+f9r)#;!4Z+6#fGU&u_T z*fG_X%l*adg{=n0*PPO5i^s=}sb9wd|%7Bx<&0J;RRn5`QN&NeZjlYlPE&;d{ z$clGx)^_?bRl`?@M?@_mF8>>M$^XuK+hlQ$EuhFtg158q)2sL0kN-HbXuIs!C^cp~YBlcmdR;?|_FVUQGD zOt4TlbGrb-js)4LbA?#|8L(4QRM4EUq?=p-TM1AcKVOmy=95~0c_O^3)Ed$CBm<36_HB$j|lf3Pw8wu>RwSB?>{Q;%M7JP z{%zMfTy zwod0~g;)O;cQ183kW+N6nETJy5uIbMq+kCQbL%koUo19$+wy@`OMJ8WJy^FO@o!bd z->QmVo2vMWFQUCSaE&g=ebFd0jN`9X!w1z7Lb*JZg1DG=iZQ*!c8acA?%S&p)I1hRY%I}G6cLF$Og6ezGST-j&=kA9C%#1@+5G$QdadraGCk2+yAW652j znK}=yM+VC-^roxH6k~)AzzSD_E2t?~g#wsb%VK_&V9}r;O~KPTVN(#9@XJV1c3l<` z&KMulU{|9uhRVum(?)ZzQg$NuvMDsMQ7ju4u~d+U`=XECU*U?L9%Yx1tIh_HLwxZi zR-Q4Dw>5Mr$t=NhyLuwd-BUl)U~(5YO5-{<)d~@c1A5Qa>0tIk9bg@XtXQ)i=#)Yd zP}T*)>HV#GN8j+JhJW-=790O&zgpQH_6YF$v)#A(H+}VQB24DG4U1a0luBQ_TPEy> z+C%;b)$ECtY*~~Y;t;{*IBM@`*J^nZ&?B{FNQo~Zeu~+);n{q-rXZmviZ4n2p=gsfc6dXau4|!`N(j34&;mz=tqZ;QjTnwMe9`38Gw5de3aG)|Af?0% z(l_wxw?GY3JH$_)Kh85fw*1@@-_KeA8S^cphfrB9lUuh3OcYXXEo^p}4$4 z2f{7}Od)@rD>|_TfXTppwX-Z99JnV>YIr~6v5VpS^Ch8!R>zZ6T|k@u$wSLG4oxDl zBqhl3z+-ml!%K7#2NAjS(+mN&igu^xO`=Tql|vOc!jziW!646TNl%tb>Q(bjRgBob zDErLmDbX{jD5P+prrKUZ7JUiCWTKE7jtvg^$=zMDjNLQxoWnya-0eOfO(6S)EAn)a zbJ+pyRN>J1#fmTia92?Mg-yDBi=1{Jbsj=(LWe~xx6NMu$Hj)EWRZ&88`T6)odZ(} z{}vs`bzf$Yz%@?SUq1^UQISf*229V*8q}4g&aMt0)&iLq@B%X;J|SJDEbID*>KbM} zs%x9`+;hJ#Gz}jR1>azu>3(+g86+VJf=zJO@l5>nriLKQYJ^PKtf}1w4z&`_Bo-}8 zh7uq(!^8W;--8ZB*|>^RV#O1RQQd_j${eysVsq!!>} z36bcji+76!EGde)WN0`twRq8l)ZD4%i98hTgy3L_-nAiM$9BZR@O52o0DARDkiJ?1 zuyMS1p%zmBMo%TIpfZ_TtuN?sRdHNLjD2QS(F8B*xPtMY&9x3qEWoH==)rJYi>%-< zY}YHKm*vlOnTNe+jzf@YsLTpau^Q8MYV&Jex~0a7>6AD{wYz|9siS#$0c~uNzFu(& z-K|?wpFe<}DnXCnmjbT&_2lRb(Ux6c40DzQhz!K6ad2Q9?h7Q9PYY4M)R&!Cflk&; z>nI8x$+$aOI9Hw!7E{iWQl1KbQMn(>mBadP#l`<#=lBkF6d{s(!Qsm|0&CIkqs zdjPIrw53WV42Kh>HGF}IJUMlP->Jb!VI34=ko78|q$V+r!=i1B)S3?9YpF6Cdz!Fl z1WI+ed>K8%*24Red~s(l8da@*ZGSj?w-+4H;J%+&{n$@7p+*!rLtezsgxzg zW_7>HPeqoO{#FCn{H{Ij)f4~AV&i)~vs&L_3UuE#hAQP0<>O?vl=j~U7J8N9e&fF{ z(-*o~PZABbuxOB}t4CZWi_1^@JlYUM^JAH5g@a&G6*F7D$gRFU{jM3Lz`u&VZzlR) zg_H8XEjE5P91@}ERrhWCC$Tda(i_R^S`t5l@0RgJ$bp*j*b2WyZ3x_jIK>^eiXcnU zAs=PCl;JFWrR$<(bw>_0?(Qy<5_padFIW5sw!#5v%x065b?_u?QtQz>Hb7zT(t=g~ zY#D%1k1u6`sf0l#E_GA^X1;wBSuy3uhkO)(-WpqY#`6XhWBH6r81IHwht`^;9P%ms ztXqH6Z|I?dhh>YcK|-C**PCowY_RA)Z5DZ;M5_u#eUv*9>c=(_U(rBoL0ChG)?y_$ zGV!QdwCb%(a2iFZ=t9#*5h{zJ6R&rFuPAZNrN{Dkg~ABo0K`PuiOH^Vw+p9)GsAy5 z>s?3Wbq(i83PvE;qCi?Hb&sAC;XqSI%8l4E%XASPaLQz_1G>$`H@ZK>j#{ua&cQOr zYqc1JCiBfVR4^p`;Db@?h=f!siZEAl=?d@gnwNB_)XF>Ah94px($^(SvTlF809S^X zUDIx6lQ%HbRk!WdEFb@pTrhQ#{4STR!mJ_fIwBQKsfq_AsdcdU>-kjMZSBszX60dYB`6eYsNy5hYr0n3MK4Jpx|&#(uz+>V z8E0DCgGc^o0K4<_+1@VKj_hX*OEYsL;g__3kZ+Ms0N~?o?d*QKy#8^XGf-c{imh0X znkvbbm!{Ri3aNM~)4#Nih-*2p0oM@)s{)O=_;I6mnMjSpV>WY6HC>NVL0b!7KlWA^ zhzq>5Msb9=l9?^o=g%$^Tpc@Y!PGE1Cn!}C&^da!3uQEeH)Y@Zon316Zd6ZcXs|qgg7LWwyAyueUk!J>V2Ha7?A;I$0)78#*|LWTDx1 z*qbm*_mhXaPq*X!W^W5AvdP%JPTN*yt^(WRD?1KqRBwmrLd4c>=)=|9=R(BkciizP zD~4Vok?CsjIkKS%AQj`aF3+Pn>wy1dtIoezTZ3uoQl=jFyqu^o-~Xhk|GEh)F@{`b zyK=MVFV*Fht@v2?OAF^&uBv;7pwmyj&r$^0IEEi|ZL5KiXHkgpd|#h6--e(-`eQ1VZ;Yx;PKLT^4Nl zo4RkSrs&ro@i0RF_lVB8rBiHnV?yem&E!jq^jnoP-u-Ucq+j_T3XBJm8|||5t_4W# zrp+pmW9wRzH|g~ju2`G4r_>=c%aW4QVFi)|s)v%yp|m6>bhDyB*x7;#)+>%`Jo2xA z8fuuZc80c$)lEo}=AE|@8C{Q~ntbzqd$dp}$6_FMeA0|$sgmwH@2FzCVuQ}gSWsO5 zTt0d&t^A*hjo()!Zyl|?``u+~c|+7<0xn7AtM%AzpH!NzFDCo!@QpNniEoDlFPW~) znH0c#`|aDD(z}p`2XSt;qD^u1WNJ>^MqwJoE?`Ych6#nZ5N$4{ihWnNS1lCXZyQYC zPPA@<<#iU^<|0$*Gk@HyLCVgQ;`V5x&E}sFje|)0gR(xE^xw7>ETUA68 zS~S)fZ*zDF)snabTo3^!rHYDFb$_AZ9<#mJZ4S&h(sHn!ryrH3aJdO9K3iji=Lw~{ zdb8kVy#wel!**0KRF?t^OZC1naj}jKWW@^YqR(}R_%+G5Ur5=R6ev>nuT9teKZ}ij zxfif9ZLlo2zFByyQF1jf)t6il$yz06D^7a)=T*nOh6cWbitC~1Z-|EbXN!%0b(KPH z&}}AiQn$VF{}%3jfbZcU0H~wL9HP8dHG+4$b9c8ga^Y!$2KYo*h*r0E%6RUZEW4@% z=82u*Sz+z*Y6Mf3EbKalOGzX6%RBP1K6NdgG>TsL6F(_!ND>?yq@gzCikM2SW8y7J ztd^naXj+AEU3qAf`d)j~QLR9wY7n(0=)?zpMZ3OGVs?saWXA;$P4U!`%G3lVeO1c= zBnwAGGR;g(kJJcxp%*i6-Lu_QrPPEKO;!6<4Be6eHM63qlF0}uh6<_D#jq<;2Qv^d@0U z4-VB)9LqgS@suHSeVoYmcm9jzDz`q^Dh+m$cn~Mpivmp|b z2*~I1_esF7BNy2gt|r{dudOq@+umHCoFs9nIVuMI4mF~YSb@i-ANr)>09kQ zT6krC^91Un0-*R4F09k`Czk2m31l2BvmG;t$a<2pj#{Jn01Fi>6R(rWzNJfYT$(Gt zXe8?j;6k`{ts*rGb7b#KzqCIePJ5-I-+#exPt>P}cs5Aw0?O~GANF*-+ zl5orm&CmzEXmEvOB`mBkp^%w2ZsJUzanqtaAW(zQ_T%Fh#;)+)k;P5_6RxL(U3z-9Xjj|r@r)u$SL{$&F=i%qMOPBI-`ACq1X-tMyx66X ziR3kdtXM>~S0R9la(b3;-j7!Bs<^?-q7-<)t1!}fIRJzx_Q0*;8TJbF6{(--URj6C zlT2&Io1W-qm0YvOsm<=IlvH^I2bi)rE+ku&fCn5M#+Z|&c#Uk^0$dq^S0Gl`f(5JL za&oun888#%Ugv3S64PFXY_6kfzO;mSHTx+PLwMaXL<17_LR}O}MF86`)h=#KNqzUK zcZQ8yom2OYF$kvB)h-8HY`N>ev!HW%|I;R{AA%`*S}c1hc2ghW_!L$ZNhN1)A(e32 z{1(^dnuP`x$!iikoZ+&|Y+G&{&g4E><_V?TcT%skW@$*)jr0bLzB zWCL*#iceLku8pm8*jA>zuHLcCG-vqyE{V8hjIDm)(8ayKCVHY&v#g~z4C=hJ5g7ZPga~FfOI)nzbk#a>e#&Lp{_wMyZ41vh(_v7WU*{XT?b%U z#66(qx--60wRc2zV}n`}v`Ay!A4>}4$jtaGTU1vRWDD-s5(5U*mx-d?5YQ@{{|idT zx(=5{SqWG_Kj_h)R13R7OGz!peY8F)=?V3RnxD0eCF^*@@UVIc*RzFrAmE;9DX=;UCp}jEZx!Ic|KNill zrq>7?$s!u;t7lms*t#{?;f-ILz@r@(7=Kj;6mR{U&YYju*Cqan=V6j<32aXOVo>Nz zk~}LtA$+588UYNOOo{czp$v!A=2_M>4wktNEV{p>c=kqPTT}n#)iZvHKwB#~({yUf z*6P;w=-(8IDjyAjYwOnv<F(35v(tS} z`&^{atg{fR5}-(mP=rvmRH~9xDhs3_Aw{wkvH^-qQXyOd6p#Q*QV_C%!2UtlKOm`s z-|xA;-{o|-X2zbi(pzg=ea?5@?|q;5xnF^cF2Qnx?@4bdK_IFa-s1FCaV9b65n`g< zm(fUw<0>Xo@P=~D@+$i8z)A+uVNxt(M0u393lebxINge#n-uxTPM0cN^d^xE!PsUl zw$+Eohp)hRodV8PBXQs6xJy|KBOe?GcULJMz^<8J$M1LRo?Ay z!b>VC-o9<;hG+gt9JtC2ap>0UH(kKR*=hQl@Wxt(cNIn|Ap^`+RS`5>Wc!~$LgqTI zWF>1jQdBOUF#k=Wm6I9j6fqafdU(C?i}6}f!QCBM;o4@oo2Rm<$kVlG;UUJcUulg6 zjtt*+;Yu^%g4~WB6U7qd-D+wF>3kN#H9^sqy}numtZ4b$G$??&4p;0(*D1=l z6cun)$mCE)!WI{(+hlrq;%kaBxQ5^dE|2Y+K^3bCr?0_W;&|n5Wq-UM=wNpB^S4;6 zN1x$i87XII>f1^1@;ZE9xG(y%Sk=~e8JC&^6MrGP!NBWLph6snD5hkAw|VNCRSm0& z)O;y7Q`Ghq28nKi9J9WNZ%DjG7Xi$!_7p*B@V- zc7D8>7T>*~pHY5({Ttf-o}$+qJQckyh`HL{0u0y73BRx4I7O+&e-_X`nX*~K)l+S= zP1~#i>DP$5`D4@0?IvC^?dkntmXscf)$GdY$9`!ZR!Oc;Tb76XJ_9hq`%==xa-zk7 z$01o*yHuJWL@;C*Tum+`oIs7N518EQOV9O0UyeL>52$Ielm=7cgMEh~nTF_LG$xTy9ZV(b}O$TXi*unPu107F2cDQxkTnpv=n$$wei9T&Diz z5kb-P!AU#pTJKd~ ze?q3>^nX8TA=i(Ie~UBU_UiW1bAKr|a+DQL(h2={v%;VqG-fxwhr|#eeX%Es3{R~O zj?Fz?UPyhfc{ktvybuEG1I`O6;a?Ej2kpZ;iU>}S7*g(1y8cm}`fxHsR*s>Jb3J4k z9XL&#`5yx9C`b(N`GgBr$9XXe1bq?B-(M2vE&mJN094()gKS+&%2-WZxDzBIBbsL6 z2>T1vg9rlKBtNz)D@Z`(-~n(*Sn}B~vQ6oxoNH22qWP~Vs*p1tjceJp)$WTQxw?ty zxK$=-QsZjFt`x2=T9J3nNzjOwjUFRu2RpXqAu46mVv$DZ?XdFzawtSw*!wlIC$Peh zli~ps@E(GZeq5A1=!741hp9R_E9A+|{v_?hh~ zKeq1y{GE3XwR-TjW?AVE;9K5C`cD1vSh{OsrzHbXz-c3`3)`J#OpFL%WyVG|v^<`4 z*`UPdjNqrJ!^4Aw7@ExG>ta_kbotu>eIo>N;eu^10hz%}o?S7~sD;;Q_g{krP#^{u zV$RgJI7WCnLWcGj6AbzwuyO7~3ctl)5)F6Ze~|0dF$i|o)5l;$2)(i$vtUsS3t)XX z(P~R^D)$}~l1QKjH6-|Y&z zNl$tu($|KSQC|ibvCa7qv8Kl;5pKsMkOLXpB?`$Kum%sWf8mYG^1tfy(bd$5#|U=$ zW&@cEZ%B&j^-Gs7;qe2o+1zt?X^AD#wv10L(&aqf3GyR9@-3(+hR^>UB;rNNX71QH zgh6VPk8SE>&T6{dqbT+~fo$|Tn08*T24A==SL=5#$p1J2a{^iS0-$@bi*rUP?Z@QC zY#4&G_p{exx9g}SgE*$n!!u$@PEgtD?hR*JC9(t)2aZaU(6>O4P z#XGOxoWiz=FR@4Eb%8i`IoNG6c&vs=s(pB(p|4rOU7Cf0EgGuH-7n{znwcVZ7m;gRIqtCs^=A{_T!pjBc?RVEbz6D9hO z`>1^{kj^gK#jb!{g;o%+eDd?n5 zu9O`$2E)S{h(U`;0a~PS?LjCDtP7Qb#~K~7WFPEj3u(m^?4fQQj7CF<^XYb8MN`C< z1bf(smNp3%sBU~ibw}6Y7|A^Y-#0Y6>lLs5XCig}X5W1T3${ znJl25kUARY9#1>V4IzQVVchSeCvv3%KfnkwCJK*02n*kJyNuTc3dPUqONZb(}(;S^ob?ky=X4vV=%Y^tpcx7 zZx?-A_+8;hHnwR=Fa(&L1>j^cBc&d&Do!s^PR0=GNKFO_ZUm1vD1@+O4`<_QsC*ji zYUZo)v|O*KR`6AYZxupEdzD1DMU~UsYOc~w)hZ_$f?)R-9>s?l(WOn`>Vf$$ynNY* zQRTX`Ne|^)1RAV&j>$tO5y|EieF5j4j$uk+%91xou^tNKshS7(1h38Yuuq+nDJzQH zSAIkp#TRgs9I7h1h}NB!mAv63doVO(%aL*U{#*Ap@2+jGe06oh(UI~>NYKCh(#q|7 z{-xl*n2G4=zCWv|oQ|pTjH98`a6#)8KNU(9 z6pB$R!chP^0Y$)w^~<@BdEf)MZw}C1Q_Ov0yt1cqjGEq^6o&Q2AY5r&r}w~jP%*F$ zsG&3#-x7<;+F-#s6z$wu@sVG$HFNWjpTOHBJ%V%*?~);hQ}jY$vC^G7Pl?0VZa(xE ziV@+pb!3QNhZqU}*$aE%bdN4Qq!$dJF{|Ter=73Q6)4nR@a(n=56YQGVAJkuGs(io z_?05sMYN`(vq_lSwDW?ToV6^U;A_>O5vl1Sr}Nii*J~%&YovcCn-qazIg=BKY*ZX; z@&O@*7DcDQ+p$H3YiKx}BSX6uh7qZR;y#yl#S5qm7O*peK?@vA?2lUrA597S-!tvJ z(SUu;;yX&F*W|dc@Br%Hi(NWUN$r8u%I|&uBtd@78#0=q=8da!0LN+$OPHm`QV3rm z1cP#XvveH`;5@2ds)|JRA4ZbAv%x#X=++g*Kw1#I;ZlWty=dJ1DZu^v(AMo}a0ftN z#4F#$w7Mv!>HL2I0P?Yr4DwJ28t;F`AIjNqcFH+NzXTY8o#W+WZC=2;Xg|D-p8ocd z3-V)ruD`aIsl=ZhqR}Z`h63CT@I31zIZG8!D&yyUV+N;@XMYh2_HOgooU zza$@c7p9ohuL)Opmv5+AJOE3{80feVKyFU@Y4ZFFvF9el`CtR;Ai`$#o2?vBtC*Oz zd*K3yC_RTv1Ww}>&|%h=s6WBk@wdiiU8{-VI0R|- zM78Q-!BmtL7he6ss{u=*9rc8AyYbVbao}H>c0T`fIG`r6ysAWpk19GGmc?vm-i%$; zu|b}T0|W``&-FA&kxeuQNJugOw)a4brQ_);p>jVbBucP4lli4GT&KAzz46vSatAOT zh;9RTGRP(E;^jK32dJ3vHOkL(8c2>4Moz+iblSQ86xW9x1(n-RcU&FX1l?i%wzBA5+Ke!?kCS_-c zs_C(C%RnKkvpn-woU4YK94k#Jsgf^DX1ovW6~$!i3k@$#rcY3UgSA!nQ2rmQDpulK z*SQy5vP*U!sB4`%l zY_0d#Dr+itNMmZLfV4tCR@GDMhsIb$z|^3;a18Kj>&alZp z0stXNhXq^=BD1nK9-gtCCTd49jwn zfeE>w*Zj0QeXCJgXOU1cL6(Z1E_;&6=)0Fw+<6;lc<`4@yMk3JR$_lRn(aLV<70y& zoZ}%zn$zo2Wo#wYJ7`=g9qwa_7fISTC-$01?7Dc&LoevwX_%Ul(_N@7jGU+DB`$V9 z)xEOVy&&chK;UmMw8Zt3PuK3*l9vj@KG7x>P!9VQhf}wWVaTLdPc1KR2ANwvTrKFURlAf&D*Tme6` zBa&*@W16gb*d3DC1DLIhqUG|URyRZIbKrm!8jGWlVb|=y8kCjCY4SnosSso;;iJLR zjBYfrZL7}J-z&nuR3x%1y%%-})NEtjzyeT6_SU5swliA)LbWvb3awWxL7B`q(&S+T1WxT}o z4d@Kl8A{B(vJO`DK{-nIi{00*HJ?yfwXopN1b&@N&?Aq8w#nk+VsmMWC{xOf%=22? zz#EsF+m3kX0wKFInsK%=9F%y^LnJl;adD$VWYkS29K{4wI_M!ocS4Q%25?%YXCr-f z@(|&z*>71yw0}StR%pIiiXehIs6_W%uMnN!pC(}i8FnjDW>t8n^+%-+;z=NU%ZBgOO=e) z7AARv8G;sBBw&r7c|!zd7;x%Xk)ldssB=~n8-Uj&bsw#0{nrsk;M#497BCZfsYnJP zF*xV+FZRl#5b!cB_s;#c0L^{v+6H7T-5|n5xZ_g2!oQVgxA9+E9!J*U0}mQ;9-VJYWZ9(KWIx_7P)1q4nAfDAGlMqqkfZ4ph}v1vev zV#Y6zzOeruNpLBKKQ1?*fP}xoW$~Hc9jk2s(0YXGqrUI(tJP^hJqGUKw7aU_1TQg6 z0gv7ULJ~{byjv0?Q6P}W`sjijyS1&p`ctn(ganEYIAeoE7dXS=P<5ewYmeuCQMW9? zq_M?ZTo19RmaLpNU2bOlfaXBDJKDWilJG)26Ml{$Ansfi%J+_NYgG6Q%aKk;-{jw% zc78-Di7N-`N$d{5XE-cOn-_W$ussBijmXaa{dj$G!TOBftTP1FuiX8;HD>p=rJd1Z z6h&Y~i%roB6-z3x^+`TsrxtDokd8p3_%BIOB6OfJ@!tR&lbgY(kT7^c-QeX7q~_d# z(s%Vr_sXZg@cL)JaP?~U%I80S<)?-(S0#Xo=wgemaq#@Ttu59+>DUgDWkk)L-V@<9 z$l-mP()tUQ9lbt-7GRm}nh1G^dVzjYyg@#|2K_7h`B(ZdG%7#ecsQ$la%((@PXHHx zaSwX1{4%M%RKDgNZ4wz{Rnq@;H{MnFCW%F!5!3|`SAvn zetd>Cd(%C@})#mef+gcVnPc`eNi(Y(Sw*qq<_t3vWX4 zLusBSW206~GM|Df{k6)ZNTi%@X2P*l2z39KGHBAmR!F6m8Su-~&Ob(Vl4;P)AnZ;L zx)+j`3-SGuF_q1+vz6!0#WgluLCr{i6&%dsa!VbS2m7^>IaC;MTDg8#Nd98_81sIm zELJ$SYX)ZF*(2!$iB0mpNa@TQK$b1m5w5O3P_6};FGsOOY`U_PQ;tWYgIr4VD{m@! z^|M$Snl@u8%wV*WRD6~ULKW8?$|js3KCQ`vKX7MYmvQxqzchUd1(8qaRky;7J#8FI z$$qi3OZ`ju?=*1R&K_MN^PomOin~QS(mc!22=*(}&f*aW=H7h;c!6%u_mF}bn3iwb zpg9%5(e|@535?KU-iGUTD4{bso@G^v-xQvZ0VA#eocdWFKg3LdJgEGTmNHdB`=OwN zKacN#Vkl8jvKwPg0cMorkvr7lBkjG2r{H6=;|D|bIGy$pjnTqhhErr46KeF>F?J30 z#{)J@fP^N9SK`auBcH04nJgU+E?;#SE?iNVO!?`8Ams!;+r&yriA;ad+bXBkC8IhS7v!sIH%yX* zy;hPK^K>mJ$x|55`&HB@n}z-kFi!tD?VKaV?Vh6CDaw8DDEALwhVAZ;z=MEs=j308 za1R~fI=?^Ye51zWj0b}_z=5EDfB`;4IrVsJw2yMDxg4)x90kW~{1oI@;d!x*BdO@Q zv+Y!Lo>cTO$mo0RR3B-(C_U5u_v%f3|FrXc()2o+-bahS4H4sb&m|wNBO?8jr@~f5 zToL6u6}CUysf-yupThRJA36^CUhGZ8a}q4$+{c3h9dB0HZ%r|PU*G*aRQJ$Y;t2}s zv>Y)O^|B9%CYcV+V4-9zsItPs^bzbzxoM`|L5-2z1+rkRN~Er@fkv7yma~yxQ&lXS zK4wp_F^!v=^2E*~rWBi+DO~t4T$}LoXuhFYA&B2&{o)uqJFt+%1Vn|sY*igZt|g7O zei~_rmaWPR9!4LK&n%WIj+9I3ul*wY_mZv9Vs{MtkD;N7g+ffTi$GR*R^w>V^dlOeGNC|9xV*0CQul%7MA3^b#dy@P zW#_(VLIvruNLOK^1wbErXgkf9sOMurHtqqcAZ^?(qz+Pi$zFUs0(=%MxRSnoz`n}W z>U~UxN*I10nA&u3xQ_&M8qWvJ13(olCe)tLdv^Ik_XaI}G4p#~JPb8|vOOMbxy|Y^ zXz12HOsnczLvTebC++{>$^+|G&GAPoM4!;L{1N%f&Fl-8tE(F3RziV{kZpPij3s#R zJ#I6~g0%?V&s3N7SfawY5q(0e6_l?~?k;>%pSsw6Ie_pAY`#hNmC4H&<+!Mw>g~_^ zi`_T6uhm}6n3Ewit>nh5?7P;D{Lbp8YtGB28ukLZ2@OVS%{=!b)6Odjy$j$S)j&MQ z7$bfKh)E-gvv38#N!)|;)peOO4+7seYFcMq*yC4w^mBQj_$cE#7Bx)p-#RWlg?*h% z-KiL&V#>c4^EwyQI|(~mjPMV}yl&oEDHA{0t~#IN#a#89Ih%2gsu;9;QQsF`9u8c; z7|Xf6Tx#`2-6Yy@75St1(H4A;3>J#C0k;KEePCad*$K-+E2SNDo)Z)x3v+q_#V1h9 zw~}~Zr(j05%*>FMr>rS8fmas*d+<@9s2@Yu)VGq0lBZxTd?oKCCc3NP5WaKO$imkU zADKgrzK=Gx4c}X3x8gsc`^U4E35x9zIzbJFtSBJrdpeeF1>`mC7cL)@q`XMqmY!0t z%X*+;|40vTRO68_*#llJHYMO+qwxR<(s_KGOr(@J07diUQ|~PGkOL;LoWZlz@@jxg zOPDA)b2<3qU`0xn=?zrb^e1p+RnCd`9gf(GrZ_y_v#>G3Ct(8Qf^uKbD*{yP72r1n zQ=n4c!k5*JKx@TmA{>dEIjfO)Et2sWFp5!|$3g^JbgJTuhk=ZJgN% zU2CIeWSR*;b+#q6QMYVLle6%IskyE`haxcIzit|xz;3?LMCKpn z@Vj_3_u2?X?bJ}QJxWRkXCLeUa&BbIFXRHE+3cm36-;BD1wDQ z95_d(o>$u3KZiz^KPbJ%7B2XS7rP7m1as5F7d=Y#?Nh~NVaxs_%HJZNkUP{vB9<{7 zc^zLoUJnAsqDbw>E%89w&rhnvU>QCZV9d~HZ!CXF%t-xe{-)k^v|C4*To?PZ0<|5) zWhPTZ#~7%hs|-oTTLbhgdSV69K7xYH?5@E{hOkSn({?JXEukLt)I|YkqCr#oSAo9| zx0UAIX>dS;bVa%o!T_Uz(@E#QiCt8k&3L_x5^$^<`3!+NlnoQ%K6d>G!3G0a?z&5y zjkUsaTi&#cMdr>~;e`7dZB0gOG(l+jZ{^~BC$V#AkP?y2*Bu9>$s8ahawfZ9i}y4j zG#@#AkAa+u28h?v&Af&7#>!D*?V$K9Bf8=>_zjTH#b?9dT(tuB=B7@Jz`3HA9|Ow+ zlS{IUm%LX5es|8@yeI~tM6w`|Q4{GneCE~I-BC9b*ylS8uolU&9#H4IVpTOoThW>U zJpQMO-_3y=GSC()STjNfG*;Kwx;H-mdB}%V1cpZ_mnd8@j{MyPglP|vKSSm>YyJ=JWUSH*GoMm z$B}r{Pg5dXL19h1%_olsD68tnP3BouG#t_jWR}@C&mnKDWib;!5hp|m zZ0^7}#e{s^KZc!4EQ-fOVbJ#5$m>8f%v=NZd&UvBtnpm}0Db zE{CrI0|18GM)!vcG!+%gA9nU*986Z`e#w2vltPP*q3lVSQwoqer@oCh`ga zb~9wmlISnUhg_aRW6yCM$5pD0xkrHu3Y@D~0!UiL37Su0G6-~>ynzt2(aiRx#~h#Y z4!YQ4Fch15kRB~z;h%A}@WKU8t`joTWmi$y+Mb9p#!w z(GQB)ggG3uQRR-Jauj^c7>kyg7GOZ9Kbv$UF>YZbJfBpy8&N82BI^-%R~WDf)-K@s?7FVlF~fox~(8$=s`wuS@aXW;z0 zY9Yqt-CVLbOkHMpizB;5EV#TsK`|8bFewA5K<6I8t+lm2TDnS4g?*VH0G6TMWx(*? z##Zo`^lsq0E08H9T+Vg0-`WYWgN0i#4I`DW0GJqq-M8*O=kP9(l5wkGGr1QYTdBU1B^#hnM5L~xycPrmQkl3J>@-A| zNs*EQFzKSZ<31EGOX#5%VJz*Pp@(uhWzGlcGHt0&CTkK!SfIv>3eD?{;%H+GL;kw_;C3jvDhWe&9C{_-&mB^hzal)kP{)j98S)d4(+IyrI54 zE_XGvsTKMa&j=Sas5&)@%MAIBz_dm!Xpn4e9Byt@y?XE+QI@E_Nd=Q>SlkR;L?iHN zVvchzJ<1U{pNo@+W5?*w&Lmy%N301oj8Uq%Ax zk?6rJILy-8iT(gD((ypC<6718HPhKhH>&i%dhe|$2lOk|6`cPNWcZbPLdxVd*saLw z@@pf1V+j+0V2RA&!^K<{xfpiKHcJL3f^_GsqQe+4qVoOfPDmezYKF~tD zx+p4(aK&pby-d&*Zy;?#U`$6c=m|)a>yi+78wNsC7r=SozQi?m-`Lo=4grcp-AjNV z{zyzpdu~M&$q4}!I$W{!UQd`>{##A6>AvCbu61<^?g~ugzw`bmGr9-Y zQmp6Xc2l%A(yf&rO4s!-l5_0L4tvP;=CZGSW3l#l?5<0&@1YNo6G(S~OC0e$(15FM zBP0B3ZM)1TX>6Gv?s~#G(zxNNL%#U~neOun1P#`@j&2qlzc|{fzn4EoBP9ecx(ip@ zzN{ybyH^T5tr~L|tJe@9s4pSCuHw4F(hFhrqTe`jGlH+c=*tTzi@LYA4`PBq=gYCI z`0GFe)Njq*i-L;*qzRDUFcUHsKQ?}YM9lseS-r5enKS$5`pv}imOU!Hnf>|4r=3r! zAXTo}L*Tvg_togGLLaB_J5xD0U-tZAT*ZqpNMUfD;kr+ z19cSwst0o}1c%&ZWV-icwEcF!@WKe+)(=(4KyJw5)CKrk6FmfhJPQzG9-4m|z_Ynp zHQ1`VORM@}yg^VAOLh9HDZjqIqNf-@E!LH^%1!vnzO+vtk&7IgPx=BRnSFFYG_6Zt z0#7jj0oOP<3wpto{gQOY^cwc^ZK|+ObQLJvwHFv_#0la)@F1QRHG=(pi%kbJAynIS zX^&~Z(^m;e>IG;20DNsjEA^7{?L|98u@=Bdha<-d34oBQ)sn+`p=^0W1Ja?`+OBxD zO1Yc2uYV-p_QuVP>$d#nEw)) z)0HnEjP&$vS7I-MTZuBq$Z7uC%QtSUtmoQagZp4dT)Pa%Kv!dl3)l|z#B2QAN@ z`?sf^PgA4R4>%#oDb1}VleXFe?vU>N?tva>K!i`5sg8>KMrsexr3ZH??W@bXqtWFp zwn?LXCAx!Fw4aXMX4k@@@rp8{DR&p=z=qZl zL>B0Y%{$>#P=XBHm_nNi3TJ{hT0%uMZikNs zjLR9fk1!f6Qb;jxPu**7jyw4!`vJ7KuiOH56gQ2anUz|m|% zXSNv9M))PbQ;NlRdHdP3XF9q_a@ zU)1(PT)HDrfC@+i+l!oE?p!_jUBu(wuDDPFUz&vG_3#SD0nC?RE^0_YPGGEqkR;$y zjzW!$YMRab9WZPS`xpZNx*-#UAivy!`n`ZCnk7{n8u%g2>hhxyItJ&KO=f>LV`%KX z=6>X(z@KkXrB&IXksgp^f>;mvTd{SgPh-gvN+#TS_FS$NA={8=-P8>|M<%tx9p zhB#(ai>@-?mD><4hp`LeCiJ2~D!CT*53mqnoMP0{&~@v;1Fa-SiB=e~C@OlF*IbOO z1Gr(AsL`G7W16yPufZ)sGC@YpWIiLe&LHwk;@Krt97Rj~RlD&~y5-Ey?e6W5wtD-y zL1$a4J(_VrMVst{5x87qT#^j8j|O-^9g4ULBd{OkJEc7@+bKG3`Nm`v%$0e22>wT%o*fm@ zC=%@~AsU4E@({c~D$>?=n^?jWP~CKHgP)gh0kSS^n36<~hGi#OI<0gm=1*XZw?;U& z_cmR@m3;v+WC7x?fQJA|6ne=*FJdDYHqim*fQxJ7LSdup=cb&RG+~8$hA{{U8QGQr z%A105wmV}iG@tgyqsIlynH@fsCc$18kNWQ^+5N)iSXuA;ZZb zA%QyA$`dG!r+0*V)z2#4j}{K49~Hj#UD(H=h?Eded}-m2|Y4p9L2B^k8Bo6{Us}z{5|CI#nUVL=w-8b1OhC2eZ_SL`zK; zGP}RWh_L!{dWcqfr5@W(RSN=zq^oH`r5FXr#4XaK1py7lz;xdQ@28L^$Gog^x5#V7 zauW>Q0~7G|>^}XzoVTe6WR{v;sHkY>Zp&36nK?%tm?+{(A|iycRT~V=9Pw>SZol8CI%xdC+H}q zYA7gf-`Kpd@wIy^4h`B?xYr`DHqi=5tv^}-{vo;pT;x8{h)fu$9@ZBE27)j&cew=7 z!E7JZNB~xDFb@ls27)S=Bev^HSJph>p|lbBt%Tu^eI=hu#LP3?+ef=PSjOtaqiseb zQ|9v!FqK#96f>mjk{Qv)mea6|N}S_mdI`y+<$A#h??zB`OKyDA>yZ$irKl8gW@b(` z0a_&*mvubB2EZGrp8_OPbftqZa5XVtA$3dPD1+omD089>*J86?qFx(xjw2CvbO**3 zg(J%_*LK8YyF!+%btJEqZxx1xJWqJo$-Fc>7Hq5jw>3PX(=GpR|n&3gT9eoqVUjMsk=ZBOF%D|=y=Zv(g=eOY=b9g;> zFLr>d2VFQKc_xrZq41|gi-ORB_WSR6HHeUoO`C~TyRO?Y6@g8A^_-wIvFspj;`f6| zt2*DDayI!EqD>1NCADvZ*6ghun%esDr@G8C-fMZf<>OicO|1`NauPu>hZlIdm<<*P zhYllJqtyaB%T;Hi#NiQeN+3B};QC3}P^b{XprqKQ@d~o)$+hl}$Vg#b<4f{>z;+e& zGl+1J>~lG;fmk29x=v|#1K1$89hjY9CbL5c)~Ux37&d}dD_rGLcO6a96l=?7X}K&& zx6(7MTeM1xryZjeq=wT=1#-FerlS4DgdQ13%TNBY;wJD z&^$niBg7dsVM_cZ`8~8{vu>m1sGPSjKHS$&NeACL^Kc;HwG_V-#P*vB1s zD%2P=;SGc+J9ByknmLUBe&1K{|F$vd@ADfFw(S=Xj?XhM#ue9Tz#K!wG4KE7YZaZm zf+Av_3VsV|xPt41Aev7(>|`!&D4yriM<6`Df+|OK4iV@#DBAaT=rzyd)Qiz{U;slSieaNdnW3~z~<==@8t;@Dw#8y-e_y6}35$ZTl= zo?6xn2*pen3$YfJ`c1s9^iw+fo6(t++YrY$HXbe>+aB=P-Qd3wj-=6$0dqdjH1AD1 z{~f01ej#=osuskeIRM&!>)Ms;UcUdTlSo1gC7dbAB+XpI`lzK7#fyI#;MLh_mnD8< zh1R9;#o6EfLF`@p|A>-s3Mty2!6C{@y~Dm_2ub0U&3GQ6qned1U$#{j89_s52Ub*D zqpe&QVik^R`rugcjO%1Yt5=qqz7S$oPUztlMy(7RODI@%uA?lblOT5DUcwS9h+JA= zk$YeslQ@)cJ_eC;pM13~ooyVXohc_0EDnx5FCY(lqzD^UM-C4$$=Tgx9B@m4()8M*$Snn-w zd};H}@>d->BbXqCn79jI1T#i*DFwuFxeCSeUcmOo+-i)w%Xd~b*4A#X-F?gU(VAdD zloAgfjwW7wG+H9Z64MC4)q)WTF37MTQRuvtfe=aV%DT8{QjO_-L1mUp-gd)Q3{kBm zSoR^Z3^+n>Bv!RtKG6^tA(O-BuYGrIjcPO`xWdq`KX z`AgqkTT8ch_YPD1BqpnP0aRN5+MV00cYk8tQA&rU8l&+1Z6xDpB1z-4g|l^YU01=A$X`O?UZ9~Kl_WIwTz z15s4eyNLgvvquDg?8XJ$C9@`ld9{g1V2hH)SuJAWRHt)Lu+9NOgGf`CAQg8rJXkK-y!5J9 z{p_b&Ew<9DKHf`L#ObvQ)^PmI_x814Z8IYaXZ3h5Et=Cye?~6-^YhKAh7TCbFqr)( zg8|l=J=t!X5BNQMfaQk(cg4f1S$?WCr|QRqf)B?3i33GKvgQe19~x5&;S|x=U>ssQs8H3QlmE8PYD)2o?;v$iJpi{E-q8rZ9?Ok> z`efV)F;HwLnb9){iEo{RJ1HDO9G&^~aeZ}F>}2A|@A&;mBwwY8Dc^};WE{Dw6~@QM z;v9NAwPcPp^e#vO94sZ^DX=pI2uu7GsOA z5t4IR6Cfd+9AZz#gZ3y^jfON`@8`g@c_i9O^ARNLN=PObjMxl*&!=p2l{k=Y0zZ^( zTD|WsDw5E_nEhqB*<3M>rP9PhCEo4|D`z_dN!@f%K&o z6R25}j@4JjW5(OKTZv;>Zw!1O0LqQl`$yRWoBZ5sXBgN+!H_h;htguPLKbnfY#^6r zb$md!Y?syIRqZ@}zJvc`k-^zNfbO-!{Y~ry)h)VT*-q3`;=?@@wYzqAej(mTJPXjf z?^hmne53mpn<_931^nKp=>V9;d~JJcEX#y%$0Wm|tOSbJ6qpm65D#~O7Xq;IPzK}d zRUsvL*q&55%~;7DuU0H!B(&(!()RiUaK;r0nju0S-6^XeQCEea1p2R{l?@~BQX(NC zc&R1kzpUkuZtx;dbeBa;RWwHl2-_O&BaXY*8`@k24`0=K7D@0)qr6aetR;7XIJ*M@ z#k64_Zi#(@Fl_-b$03UIK`Ej)Ik61^gy-#{z`fT;EaMY^JAd(#-= zrkC#6K1Csyv;!S6!t}1(9{TZE(`XR9#$9j`iUs;7k9N0@kg~KCK%zfhVF4P(#VdsD zpt0}$&bd=*Z-F`WVk|IlD*UE5-Ws6M(RhHjZ$AW+Eql(qi719_+?Pdln3Rxur7Di9 zJ=PFhT-3fC61)`S`Tpohf=mVe5`lLHo#hhwxAcYx95xJ=IvgXXhyDFS(s?e4 zlFuFK4gds__66t!wcQu~4NxQK?lq*uD(iY;u$PL`TuJGrd>fW@(v)k%-w0%(2c@6T zngFq#6%n;qD+1eVXfqi3K8z6OUp#U1rEz@Js%Yhlr`jMahvG~T5Nt5TfeqMEwLrxI z1Pc_!si;Q7E%QCzt_Z!~(7Rud##{CvNUMeUU`_xQs&tEkSoqrjrxF4$^-f^Masx_v zxav`vDA7Es2QlNM*a3TeBYaCEn){Z%5{^hEf?h5G8wO4(Zhmgsx!xvjv|To+SpQ)( z;MKAk&DHZ3!CmU(lmjlCemGHZgV!j{;_wXRmy4tjSimV3`ZFT|kEr_Nq$hngBDDiEpC!vSFYj*kdGlJh~{~2JcyTYJ7AdDC>6BwhMWWlAJcbA zge^ZJj%qqbY?C0&4T>t+CvXH%kwZZif{(yFNfm|%s?q`fz3W{i_aVaGw~0W$BR{@i zkBFLwy+hzAX{X0dHKx&Fx*l#2@Dz4iIyU&Ba|Q%d_Gf)^dw$C4Az28Adq!t?h;Pi>D0dIPsn z;)3jft#;5}R629{eH7fq|& zuWZw+4Z4*hsgmV8%SB5uEeQaPLJd$S3pbncYE%^QsC5W=8LV4ney1-4EdON<0eT0m*1 zX)FcAeU64wQBNvf!AeX(FZh54Ad)ZQg*5Qfu^o zc{Ukeo*?6?e_1zv`IXz3U%C5**3Z!a;m*qX`tn;V>v)FC<_op>xAvKfj9bz~g&A{t zo8(D2WVOjh_7^lnNRF^T_d#`SqJw4~O`afg`5je*scbnvJ>a;y?Gdtu1WFtDf!bB< zTS694T@Wqc`(q?a0ThC3xMMUCu#50f@2}i;1S7%ejQ|oh|3)ZFINGFtsk%Dfel(%b zJOY&p({<|y5+CP05WK=as!Q6$Iq4|i)b2mPCh$+Nr7_rbU}|koT#of)i$+3ip2l(CrX6&n^yhF^X>MK z<_5M_yV3x7p~cl+SHQpcBprs|w|?pOp604uL&3!RR|`1+Gkh$iF1U zv%)54i~&;B71KIbo4oq1987MUjmlryuYS8w!d_bLiRK1Ae`yhIr||BRU3GO;=Z@aQ zhlt6`wIeg>635%XC&u%PLAzGuFsiMp4O+0L(sU7HnTvCy)gLG>2oiBes(^oeQ$B#M zVjUB|eo4^`IwWL{KJ<-mIDM;-O*lY438GftRJ8xEy>2e<$!*jIt(*YhrYLdy1C(q) zAK}b_+K~Y7>i!5HLftPS`rts!R@&cCBARno(8}gh>it#MG{gY+f=8tK760OYx{^-) z%69eJlb@1$J@tS*qkUqw)UT~$zEVA=Y8ECh9G!qjz#z$?rDq&HJtHHZ6aqQq`iE-& zb@ql!fqP9^Wo_wP$h18#keK3*cX6=~#167wy<$76?pX|+Dak$uHPTE)XJYl3=&vgZ zNz2_ADnej_|D)VRO@V{%D)P|$X-}euubJLZs;uRnG#sjKy`jWI^%Lc50G(A_y>s$g=CPPDX@Ub-Q&Y&TsjjZi~Vu)Qx-J z9lWE6-=@7C|Im9@<*GYZ+o|z73UC+6uJ(+EyMsL#+>ZTvC2aeG3vp)u*4+oy7u@LH zmhqA@&J?SL#{qaP#Re2n9<`y;>dTvvQO@sLtX*kL9+ ztqHA`>(rmPE=P773K6s8P5xD7DLs)GbOsd}Zjlx)Y8o^=KxTENZNvsRyNs1d8H&++ zjRJ(>S0TGFxYWO-s43E*aG^l`K>PFHA+#}8X*2@3^mU2G~ zYXS?T&8GI%6|<6@v4jBD7;e+Wn@EnAs8`F+Sn!} zi#HDzXE(YrRku|Bh^87XUv{d#)cp!aN>m^A0IYgH{82(&Y1I3itIo8$-!r{1<8BS@ zDPUcAJ4hd@)CPNUV%WT~ys>$E?Z)!$=o`48ehArwG}*518Q9066>z1}vpccQZ;Qle zb=6|5At9Wn0>iZ9VRcph#s3fpA_@vX;*?TY z#5dcz%Tf8)ie9`Ozo{C--XPuJ|53rz-<>Y8)pnu_N{Qh++u>L&l}dainA$ddUKN|8 zW->kO?Fa#aqLE%N#giIi*~cd!z1{A;we{7n8sH|iJ;mWS@VXK?9rxULcfiZV7_YF( zM8%qUdbPn#Ap71;7crD166QLpOBp6=?7bDJxEnuJv#POgH=R0;!JB2bSR0-`a+^cS}+?tLA2Rds20Du+2 zxIY@bjp9A&@q-?K)!*|k{-;f8Y>c(ksF=JG(=$zSbQfrPqfnkI>%nA?@iY{>Y${}% z^D76KrD;oivHECrXAGA%cEArWUjU?F_(&sR87A=<<7oB(zzdg~OVlP^*8ZH^d@${g zuaJYno2;=QNw<;i1Gwj7158c>MYt>hUMqH%A#j{Jm#-#sFbx8&{HQ-b;x~$K<%j6K zzW!GAm$;D2uFy?qbR)+a!cBN42HmL-gd0wTMXuw&w_Ow-=zJzl1W}5YfDAIqV?J3> zxrKSy>{T3A0!~p$IJAjJgX0wGR=KX^kZ5B@VFLJNip&!40c8RvN7gANf-!9k_Hw<@ z&{gQ7xAGqPE$?4Mkla@z$-p*dk`NXZ8&y0VUQshf|)gPXAei-sA%9C+(5<9l> z&`?&Uofj%1l7fU>h@TMse{yS&sh#ATOTHwK4Hta%fH;qC5?hh|e@V03F2-CJ1G-bd4jzkl-v$4jGs zwPea@uM%7bS{dx)MUVPRs6zLa5M;vm$P(b6rtzgWO-aWw5#f`h#%%k zw`K7ZK9Qyt_26pm)fVHk5><$e0y&wdCfIgU!6!!(A(C69RHSoWq?@3q{45cqj9}t> z$%n(svRSEwQ1mX$JoV6pNUahE`C2;wAjpR$h>08|h!n;hW7dm`y|5lw0ep zO>oj`O^3Kd;CKy+sCiJb7|0GHqQffBJ$D#H*mQW0c_cysQpQ(AE~iX{6Ir1wr@y_W ztjbtUVnvfHeqwyMeHnU*{y;n9p8ff0=eMg0qkZwEV}p_cg@AQjN0XG|FJ&tFE8d1@ zsXo8G1=O+bkDWgrX9osx)80H09AP>eD<*Hx;C&pAz}W#bxfb)q;STXLNe0AK#!`}N za;-~>m!3OdF3^a@wIHn-RR7n82Vh0@uj6$`!Rb7Ll@AR(|0YIzTf<;ff)uR{aMG+W zdM1wgXCMQ$_bg7D<@`8kCjCDX2mQrq=li4qMqX^Sg#CHU`-oKQ&i@j~cN89LyJ;9G zhz5u#5@c?4L6qnm@e4juG{r|6v6PIXxk$P*y&p+*ZHx`1Aj^;NRCnRsMc5u!E?@0l z=q@0Zfe$VW7Q3HvT_{U=YqUB#rW>IVP@gJV74C)*qmvnIoI^_2MCnqUt62L{N zUMKscodD&u{lJl+o3;zZ^`W z!FpssaH*sr^_6o4MX!cPgZT!d017YzCYT_0F_towk6OOiTB@dj>-3E8s3>-RLfvnc zO}4SU?!uKzpINl;b6KeL%D1jwiaN9Swkgy~&F=r4r`h3cDZ&0>fyV#cuKWQO|L|eP z-#=bIgkI$YbLL7QT!UcL&M`~Pke9V7FdbP+2$KhiKr>AAjwKM<*h9$^2AoY6VX0$u zGy*6ZgFTeVt!^eYHOo7NR!O5(TyHsz-Dme{_2n2@C@ydh~akQFVS-P`E^Y-5+DJS)8hn-0YbuT`lFua0iN$*c^|DU(L3` zu?m;Ja<>bj7Qjh)Fc?ji4mF?3#d*B9DUn~YpQyX#`5&BiencJh`X-b{$co(yu`~pg z?2L2R^q8aSG5@J}-TY(1|M;Pv^M{}AoG)$f!dHx`FOU5)&z_reN=;{hPnD6Z-UNkC zD0VWpCkmC0zb!f!V{LfDk+?4obGGZDmx2yvxQ*fcXH6Hx(>K3~eMz9<)mQ1Desle% zIPajFAskc$H_#azp)YL@u85|dRXPKi#j=Y955-P z`^hhMe|BN@t-EjD|A}woKdW~)zI|``{vG_ay1sFL^&aJFxf-Li^Z)PLt9NhTxc_ae z$N#=@|L*N?uYPsyZZlHcMrYjAC^of*9E*)>_1Dk)-G2OTVdch`*1paE;>&L=-(98`l_dvfkY}K#h&gmjh%iz zI03gbIw66R_1SQ3{aW|QXyz5pAR!~#;su}{j0f%QB&Ih3QR(6T{6&N{wMqZs6jQqG z+~A)Ghg8MHnI8jNd5#|1#qI?EAk~?z)ZwQ|I}c&2M5$b1P^)b zn&zdTJ{P+0Qfk{+YX=@wMzK5-K||q!>XjW@EL>mb1xRvsl^qScQX>=-yzVFv*M!%n zr=5klxQ1L1o)t3`-<| zNu2TK^5u}?*Dt*;DnY!U$*O8}wEP|}@!a-9q#?)s{oQz5W20cGVp2;y9308i4X|sL zW00jsJ~I@HSVy%1Rv0r+NLgR9%u1la-GdVV{p`YfSC|=JfZKOTJs)+ zCbpKl%DqcIxo;b(^z`Kl!B~l$t}D4%l8XR*B90F3vwXR7tOu%ofXH^x|010!EfNCBAXgKEelVHH9BATQYZe(1uewUFQDUt@T`+ z5ER%UAc1lb?2ny4kR!6QB%^NRJDqYff5}@LU%R)GYt~3J63G;S);Cwzzq}D6fxrUP z)%(qFBAs;mZ5Yji>8r0UN=|UDN#rK|6t~^N?3f3QGE<+*volh5NDStBUZ{`Akiq-(L%OXZ?LfbC2QoA$$I2|{rwx+IXxs=LW;`nKlm1tNK5Dd{#debU>NgmS6N zlp$(WzQ}{oz+paJGG&1s-5<91ihStpAyX3sQbfPF9YBrA9+(V=UP3q?!IlRqfE1@` z3bu~`CYO<*H#O0=HtqbDHqnNha|jUF8vLI^&Vfc<&Yk<$<2@u5MPamAd+ePcQ@bk! zhEcf@uFz1s7>mUfbiy1|pef=}K1v%FThRrdd^TV5-Jt9eyu+TA=ShxFQ8NTP( zBX_D|8icY$mak2CgA}!P-+P8S|vY?9ZC+t z(ZI&o&x$uMre zRD`;gmms{mT#N~&kPvhyRpq>r4%94uMcpQA*l?4%qC5u@ka`b!Ry&7Mk{*nR-#E2# zYE`SE7L!jSH5NGoaG~akxR0XehUo}Othfg_o3mhNw9m`}A{Jh9kz{5%MXqK)#Jk44 zW>!r|68dZ?(g~@+`D|R{MWISG^^&$UOuW-pF_n`msccHU+9rIWU%9(^e}#_S8yhP( zT}mDFw#TEkR~)I400eyJvC2qny(tK)7S5NaolEUvU^bw~NpA5XT6Z@{{x$ z#^KlM{Ox#6)s8N-oc<6N&hC;hX2MQAJLVH&gKSetVkKyyFpCaUQPY3VSHO7tva}8k zL@*Bqv|s$Biz|nQExRFb^Bv``VlTcG+rd$QdIA2N!N}C!C)3WyZmr&4>0Z$7Mm*#D z9blWN#6i_m5&Zt2V=p{{B2?q)1}1}5LoXQMN`Y$bR;d2i6_1GRIW$;j#e!*(YCxwb zG-Ug_!S;Y*NGa_Cpc)V;wLEMh*2KSSlRQrhwSRIR)Xt%WJv1Xv0Q{u_Qst|i9JpQD zKD>ETtzLEgp?l-rgD!hnf=Z0O@JTEvUxhR85lkVe+<*oJ3Ksd!axtsgV3nDJ8vFCR zDYlX8D$VKB3v!&z>EgoD70(!K0n&5QejS-cVpPeVAELrT|G}!k=l|)zs~2oZPBKM; zmV@fdpNbs-sbCWLHMu`l7>uQOWoB2Dx-{ft_k+vf;z$533y^+ zLj=kByYgBU5rmqoctnmd=Lp{^)WCig-Y0U@ai8`Cx(|W>Sv;tyCKQN$0%H%NR=1`B z{=t)704Wj|5USvr(;Fzb&-=Q8Kh&nv)<+#0{6o1tg@4KY-xvJ<*nBO0-rzUTLQ=x1 zP+GYzu6e`~o(-Y&Cr(0<)Z&W4%cofItYN{QelL6>M^nA{M?$lJzz$)ND)uD$35xQS zt~WUBCfnn|Au@s0NTdOX&l*e*6Jg#&e~W;-=uLLH4&T@L1!>MV-cxzKQWwh)XL;# z<4Th>vPgEfwFLB6h?!FS8*7 zm(uou8uHFy0{tDnj&etpsUNw)s-FNq<{&V@f1eHha8e2IGsJa(j+%ododX1zm^amj z7v(+368KgdJE{-Grw7?hpve{Eiio`01hEC_v~&QM@fd|E1WvA(*9qVE1ZB~O50P;$ zZgnOfv(kT&h6S&L##tM}mMz*fD>>~?+Z+4&*~xJ_ECww-H;9-TieMd)a&vHAqxOui zHh940v?DMxleGH&d|>Ab@nJhBwzELfT0>iB~PZGJQyWOL?sM z`4Rvjw&LdT(?xgK{>hyz%`AxsKL$fWJY#Prt=#cSIu&GS0ot0?h_WP}U_g>bfn4tU zd8!TEeptN;S_%Jh#-4PC9M2AUorq^31xL)@V)^2qUt%v}l28m9pf>q)#1}*V#4U$Ez(7n!6$wiwv~ebIia{_H^~Hvr+`^|x>{EH6vlaB` z@(Qk>Je;_V5V@qhTb9Jo@H#;%%pls|CM=rHfoh!V&!OT6)F$YJS9%Z&ZgR@ZBK`CC z#=8W%0YQ0CPn+p5rTd$Uh&SAH8}U7v$1&Elt9@TV_P{FM$?-gv-8VfmlPXE+1|u|c zZ+_NRPBAwX?F2lw2AT$!h4X4qDkQO`mRlw8hn~dp?@v1)M^0$>LcF1Ru`p|7iH{sk zpZ{vC8UQTN7Ed~%6*uj@i`Sn_@BkqRGNbN;?r%aKO2p_FotUWk&7i^k84wKt4OMK3 z>+C4I(|?2+FL)XL4c3G}11F~KT51v7*%nK+Z%_gykwJnD;$J|(w{U$mp$m~xQ7B9lvAo0`S@67YTbQs`*z%8u1qyX`iF5-K?p=t zpq(^cZ{IFRpPO+_shSi#MWO|=P1unb^7+x%U;9Q0BA3628F)qlMF4>y6Lp}kTkmr1 z07~`?Hskp&wbPbq+j|&*EBttSh60uY<|+^iG}r!Od!>yaPXRpuWCsG6r%Nc&!+FEE zh2#!V1L*FSX>c@g{C4WVw4~ZGm@q(M=goz8h>6{OD`*uU;NA?E3*zBs&@@a00<$do@AS86Wud9(v{=QtM?k%W zNhzjS$nh^lEXYcyUH~821)T!r^Tzt-8<*vuI1h8sF#r)+_*C{}q;2s7r}hn?bz9X}p$Hn3 zovj;-!NK=XM`PNJ0yEcFIE#uV#5F-2n4xB%ukn}3?C=n1(!;P?80(tjjtouUNfkQ9 zMc@LQ@M?PsdYM9R!_`QgTybI7C>A8Yw4q2agHX?cy)EaECZ&N;Fub5c%?6ISZb4;_ zx0>vzoSa(Obi^T>KqVfN=%hr=9Az+58nOJgOx3~{eq;elqT5pUirolWHO_~ORSe46 zt$*xzP9v_U#Ny2aF{QbGR7n z#V;@WOtr?Wt+okZb$SPq42H+6aS=EVuEBF@bAmCYN zHNw1fXmLuC{U)SbBUGJREFL%?!TyqSrSI^rHLs5>F1=E}uE#xUKj~oC4bdlm2ww;F z6#<^*<>wyEl)yPnf)`o=>}e>JLup@3#X@~xR%;_>daffkP`_X)2WZ7EeHqw1it>nD zP#@W`0d^!htcazWOS^`pXTbo|xj@d})VJLRQKJ6w`f^DVK$)s8Nx{U~=XKY;jBuco z(rNA0t8!IIzl`z`ti>H2rSRnWGz}GGeUuyPJ!(Ejd#{XOoA(Xiyr=L0YM9s8Zu~@^ z?N%);dBm%9Gi{fvuJ+}dH}7NpE z-rD`bXD>L$xm;pFnuR3?Dma)yj%L(oY;g}!zmGfK>iUhfyLW2`6T)m^xOj`RFcSyu zj7A~1v3h6a=GueWQP?>DfJwL*$OChD3nr=bUnm6w;|emrd_JhS>-#IW9;~lqBD^5K zaV_eKuu)Lu3cdgbkhs8)c<1~#-CfyufLATw_)-;0!~T^03Ebw@k$}y6VU;krKGbc* zXI}l|Uknz;}zSp1rHkW9=$KzeoQQd?QR4ZOPf zH50(a_R_eN@TWbOVBFx&j-XP=uIlAD*$P>p;tou|oO4m!8*oMZ#Z;meCK!>cAc8UL zIO6((Oxh}oiF1Fk|ElK=Xzy_nk|+HJ$L2lcqUOeiOhiQk{a#`Z%3KfVJTL`;Q!41m zr+|^7J510U4>wl&B_geWy3O2#2=3LhZ1~PH5h6ELWJKUS> zCk%hP-u~RjV(&nrHcMWp-DW<(B?WB?T9uqd3eLfE@!Ic|cbRS`X zm6h&~edhJc@{iAb=JkSPn;2L$WPbktns$D`Co-U8{b)uBhEkuX{(}odPaO`8Q}hD< z=B1pXSIxOoqSyZekC}}(fyKK&0@(q%e9lSWR=9OeaqASfl6xM>y3Tc`o!?ZMD5JrY zf{9h@YtN#Iwu{KlzY_#JM?{jW@q==%j0sF=dhjrthslK~Wvv zXhHLbyEqQSBBAY`V7QC@xHjCyKW!iGLWB2-bUc|?*jJ#Sgd5>6ER>j|Y3Mh!V+$e} z13WoVb-b1R4unRhlz}#c+$PV#2%C_zq9Cd-LYhow^ReB=dMgU ziyq)L+kzWLWZH^v?L*lWG7_sFvqdz%3B#lFEP&*5)6T1YOjU@h5Yf*JAoT)Jfk%?c zvjC6Z2Iq&vqtxcAP$|DL*vu=-gbOKi8va<|>Kr1Xn#W&wo}YSjd_$_M388uU{0NC) zv8X5u_Xltr4Us>}!NCkWeYv-fu2$+(=|RoI6gVW-*OC>)HDYh>7m4KXUu%~S)F#!fMSxtvup8(%w<~L(%bj=JM~HBsoN{J~-p))uV_cIL7zv)o(m)2Fp2_niVS4PX>!Qg8L_Hv{0Kf z|M%5Y?cCQ}c~-bp&&y7OS9Lzjt!}kZnEU8-qd{38>P83i@uBMK0UkU#j69~7elhSM zWEl{`(=Pa^yY$_w-eu(k!&e-K&RjwyX)t*Rq=uBw?x0ly%tghO7Z5_!tcYOoVSmr?h)A|z-m#>SBgPLPAXrLI-7OZQagrZ$oiw%#$UYpDDb&6FJ!}cn0{LPl9Vndc z-2BWEwO00YmLhWk_U6iZeu(AQ5yC;Gv8X}%=X!Vt`|(OP7E4Lu7uQ59W&TtHNr`*VI1zi~`xzXQ*lK}bo>Btj^djww+_L}vL}ZZ}$h?dQ@8M2K82R#G2)FPk-;%c&*R0oDr)jIt0H`OZxbPH_dRc_p(m#6TM-5EO z`(t}pQaoA=puB0+{hTCfOSU~Udr!sJC;9+LSsvi&hqi72UpJ4?V09w^=|;kz)TWWl zg6AbHgmBT(kzlBuwz_RxkSybe@<2FY3sCP!*Zi_NRxTc>TwUhs)#BiuHiO{j{vi@+ z`epTuyzSEE*OorNy!4a3CD>x}$wdR;r(Z7@nvSLzN7n7GXiqaQWB~qD@vg~4=wX$5 zK$J-)SQ;pjH2w4_^0+V_Ln?rMu|$t>_rn{6+s^EXI7U=uEV6mKpm)%lTq;v%$?D42 z7PxrjidM4uRuTY`ALARl*uC;;0i{7%+B0+KyJVadTs{us19>TedF>C@6+0CiMs;#9~gV>S@XRb&Ss z`6Sn4e?7Ue7Hnuko+!)5Ho=tGpP6|GeH6mS*2u0Bl+2K8Wj(oxtPx`pE?5bQtB~nn z)kvXbK*gP4hTs;{HNtjMqpx_3%BS{IOyaz^xk&1GZo!+#!blo_o zX6x^xCY$w;de@pp8`jo-$vXwtjNC=kO5w_Wm6F_ZZuW` z#$Nao3y3f-1BDtrlo6jUxGO7_d53|Xxg6eR{YI}`UbuPz)lHZct%Icb#}d%&u)DDI zF8(Mog4(){HmR)U%KzC`d$z@6k3FSXdkQ;L>74uBZ3cGJw-3l=nEKDeEuR4EigTdOMl9uAn!8AdAInAl!pXSE zIbuaHkyEs2F<+i3wD^xs!bk-v-oKLXH00qEL-L|?j?2z@dcNq_-G!3rP@~^w;%Dt+ zqq3)-`2dvsU*pjDuTFv(3X7Dm?^VhFH4clv{J$tGNSF zyZ8{J8mT+6LvG<>))U{}G`RSgGwO3q4qyj{TBJaLBUl1tRJ)j?knBDuuOUPnecL41 z;EQmuBC-(B36O>xavpP4Ds+|T`2iy?U5+4T2!#zNF|dX)oXKoU`_!{E(>i;@xt+au zi!B8iEW?$KRs+!gtWRIQ*`t!uWJWF#zHu#9hiR!{R-77_mRHMQxkgKHX$T-njjwgq zmnAAEv%%c}MIg(dxQQ65C1t66^B(MF_~cPQoM&n3o8{QGbH9uMViw4d6Bcf2@J2Rg zcEjVB;}|94;|WKBKzkfwg4SXC2#-Vvr#F$+>{%q37IW0l)-KkzmKfS(2ls@KGnc_@ zaP`&#TvB5c5BbGrq}SlBsNvP8#hTA$(JqvEE5L4MA#}IKBeX7E+QF;g%Rb^4tg7{A*OeDG=m9Clwjx(16#?f5%Q4h0-Sxjqic$erC(5*Jf zi>=uA2^GmtnT&AYqY$Q;pLXt7P|&M!5Cwhr0{^$b8Zb=WNd&;2`+<1fMoDcF9<5_Z z6-QV(eux3Tu;EAm7N)Al*^M%_;iE*Akoh2rr#3@Wpts*?cflEi^z4tFcK5co8AIzM z`2w-Ni`~U9_`jNuXF!=?TukrKXK z8&KCsR*wf|Ln(dS5R>hQ4ed^fV!t}=ScJ7iv7+4|V&xTtW5~OZ(5;M0pRt`pb$cP9 z3rMwz>mpA{BJ9Gg>jOY|34Ij$+zLJU(IG(^Ln1POZ|wOdi~N>Ig)fwu^w(R8-|UYkUOa@eokYW;q@STcUC{wF1UCCV^ zBiaAKe98XO&Ng5%1%q?x{=&}%PzeGRD)E$)4H|{eYo;lnA&!N&F#D&biP<9dCSOBR z6u^xI2VxDO1;Q4okKWwFn`0^W->+z)hXYJi;4L8<9238XP<-?zMB*X)K-i+SS%=n# z#I4aDC4q1@P-`3-85al0XjEm~GoWM@HWATnK_DQB4oIpS^E6vqK9{oh6uo7DQ9Ip* zai8OPz!(6qo+Zb!Y5q3aK_jVzjdCPQw+y9M5VIYip>|nn24eDH>3kz4C2WV<3{B0} za0KvcVYVQ!x=8MyK0uI|3a=zHTePiAs&02X&eQnfs)>B9krPDPRp z5NmB%pp^9%B0HgA=tWC6&HF9JHam(9=&g>&%2Iz0C{T2~^iU=g;AP&6v9`K}vAAPJ z7~IH>jj!EXv8yh;c=`R>?c2*6@kIX6uhM^8e6xnGX3O`#=HC<$6%4~$?>@M*a)0%P zKOy`tUdh&O_6^R`n8Om!Er6~W<7lfZ%SxzXAvQj+1?)0%yDBH z0R(WW<}sMA4bKvGhT9%K8jMFnHUwfixoX=aC0RsPPga~d`w`qT2o*wOGz1@sjzfl1 zlF~dKYz_7YusA6}^2nBUFHPkgH>Fv>0;&FlBtxwj}c+x?`oo&uvBIVkA|k2XCp0sDb6b3t0)z* zS4l&zXFvjTp@u)gloNRq<^@vn!oHl1)wO2VLvCGWQ3p=aamD%x4stVRmgQ%1_j=+w zWb|oKU=E(*LO(L?e4}}xEth$v<+H0uTZh@Y$03MP*UbAy;B(Hke;;auHsMylIl*;Y zAME@W0nHH6M1iXlyeie>P z3X4eXg%U0aoy1zniLWZrN&IS5=AxPdyf*_0=;bJBvD2p6sWtL*IYYdk^n2s&hh>VN zGjgT@PgHhtyISEc7hc~=s!B?`kkJ^`ksAyXJmBMSchqu_^7pQd&nqi$W9MqO5&j5Z z%G4v}>V6j8v04Fm1$B!=p%n7p^Ah8Sq;Wnwz&zGeuPlmn9wXlzLaTHK3yN_sXkkV6B5J^#M=*7T zkHBqFR1Wx%5F$UNx>2$8D73A&2%SAN>jwQGDst#M&+THWEUOQTFSCs#FqSnE?HIMH zb&{MvrGtZXiWQX@acVKbzhtIm(zz6tGXG|UZE)JCiJ5W=YbUJ}&> z2&ykr$CGid`g`=PgjP}-hpH+1p2$MzN@ih}G&EMTcE5=7G?kdbt9<@Prk#IOcO*9s zu~z)`8W2z$xu9bUR^E+Z+?hNnu`jrT-6S7%2$iT;9}7@(<0bTjQMiRpb*9`rqD80kmc`F(w3Vo~x_A9#;FTfCSi@mp+sReKnvnjbB_2TK;bI9=6N3UR_dXTO$m0r! zh$Y3nd+0SkB~I#O)v}|MWiuFB9#Kud~7KI z-5eAVrUfHdK#&DDK=awofW5MFv5wrz4Q=(I_zqx|;?7ZduH_YNZ~c;3>@+#45Hb7- zOxxc=U9W`_Kp%ANN$ny^WZTwgbMW!7fEIiAT&w!o?0{e78M+Hs(T8X0vnQTCoF}{s z#a$6X7rey#cf<$^TKcqqM69Sh4;1n5;_<8YNuII=qbJiHAhp@fuTMKaEC!O}kQzz_ z>WI(*G+-I*xP9lSekQx!7i`R*Dz?{dA6M1?lwUv*P(;G&)I6^EJFZPTYag(AT(Ghi z5Vjfay#6`A3EK$VT_}M_awZsA6zUSy}$vbZ;A@N-m@7XN*2g z`bqw_Zqut<6=l_+k+6bZCc<4u(KKA*AieHquF|s4^P;EObN~kaPgI)mkpg~0~WfxogrcLIoH zuVrv-G*B2Yah1rC*nRcICP(1#d><~~QbSbcm=c#yR(xH$aCU{^p#riwz7wVpzF}$+ zozAEun#{JhB@&s4;cgLQ3bYVmCQ34raRk3Q%5e?@?M7yY2tHb`_?!dj#nvqqJ*&<4 z9mz(Z7{b&ne2z)S4Wz1-M2r4qmH%ao1((RdKr~?!V0h0SYRo5j)Z=Jib=vt9EGeeQ zIO=PewBB_L3AmtFeW3{!O^66M00nD?}+0T8`?Xk_5VwdOhPC%lLlq}&1tx3Ni@ zfjYY+_Z3{oZOg{5cpEeue6}0U<#(=?O4y|5GzdS*J8u$bF;U_CJ&bv^uDJo2pvht% z6`u^=9+gO^w7GKqls4TKKc&s<9~^CdW!iaJ`!^S)sUK7*(|aqP9i!YF=NDVEDuHvxN%0$hFnK#%e-fTX6Q}l5F*VWwgW_QqQNW99o z_%~KjE*FjL;82>^a5l-im$juW8&GH;gQV5lQ^ky27v5;CsF1#$-foTV7rJd=z!V^< zzLddJ);v`|&6Sb43K+DU0f(yp=^X)N{b?6O&FTiv%?cc;5=yZiREwB@8oB`&ChTFOOmrBXl& zLK!DG6~e=WM|g)23={+?LrA$oASR(w0jhYU04Mx@|Mz#!x!o;|W_EV1)W*~5KIc2% z_y7Ly=ONV4Lh-0Ms-Hz?PdM~L?eC(}oW3+L`Ar=tE~R+575ox$B|2x|bi;pJn-|rM zNNM8T(|tiS=p!ns3e?JM_sx~<%6U8F9rsXqSMKBhq)hzinXL1IWZ#2Ep44?k*!YrXYI8s{TpRgZAl=|*eV({ zf$9geJL{{3W{=)2peuQ*f^hrcCXY z2B4B1$5oWU!>uVHE&Kv2a-00yCWZ)~DQ-}@VDVUh(4d7kzWmZx98|u&S6@K_bbnpj zBKQTqkSmGHb>Lg&6F=^sP)Ba}{N|RDq_Ae`NavF*w#2N2vp^F0BL-kQy$Lt4A)u8)FNp{g&rr*SHq{;TDi=f$~ zbRbpNo)`g(IwXQ5Od}SdGE!muRU8nd2#8r zJolw*Z`}COTT6ECXU|`}Sjq}S8;}qY7#{K=DU-|zy>PE6VL*OAb8p2nTV!z$Bji{T zNeDt80{Qu7!xt}o7&dH-Z8!_5-SB7Mewe^r-hBsf_4IMJA%~;1Fo#tXJz+YoWDr7F z`2*m@{5>Hal@X{OR#r{ay(7bHsd(LkQ?7VkG#-I8rnNc0bod3`e5mJbkqM$P5qaQj z01R@!iKlg+FOmQ-YD52DLvk%ChiLP5%;Opp`EB*|#rV`ofhr?sIYJc5B>~^{NAS5=c_v=o))(BcFX6+qr(@NJr%8b+8dH ztp;?L6H5<>xAZ>x`h|;cUAS@K%?JMKZB#}Di)GJqjX-b`x=ODQA&ESoA8Kb1B8|wx ztHRyl4H6m(_ZihF;i#N1up9Yb2dYFJ%>uHGh4A#P!H#r-X5} z@Azcp-KbtX{@=zyDdvJ2R9f&!gEi}lp+6%Vn}SYUXV7=gNX*fNw7^41Mx|LSP3{$? z!4g@8sHgg*AjClJ^(j6i5)f>5x{3IR{LZRya-j%WS_MeW{_;0cothpu6gSWV*}$8mW|pyknmDuII$8)laIu-!Ya8Wt1Wf&d|Co!s)bnS3w&CE zdrDTvra9z$2E3?Ye+uv+MDe*AR!3OKRXzu}(56^z&Pj^+g{? z$MV^hfJ3e{4A^qPJRw$)+RP$99B0D!q6k(hl^qjaip&m zRZ_v(=8!-WC-f&Abt2(wpr2HLoiXXMqP%@*b4&PE7teSn(ndwD9{&fOFBll7I$uky z5ha%r3fhB-6F~nSK@EM`!O{V-#;f#5om^G#CT5}Z{N&*{rcZb(22D5pO@FR&ngS*I z`pI&n@riSYyq+E-0{f#VllsvucUT!5J&^kRxtCvg>80nLeY1$ty=h4D-0&X*pg^2X$Q$m~eGtj(bIz36wn29IHz7~Kd z^5k7-|MlRkz$7BGj&5+k6h`X}USdT&4sMCtH}_NNI}giV!|G6fb{w;Tm6k4|2_b_1 zw@c-sKoE~8n9&9Z!B|A7x7P6=`CEuIGbNjJ4(wmg7g^5WNx9h-qz+Smifjzt+2IVK zbwc7Boz#k>-|O$4k1pNcy@m|kTZ1Ko+IQq{0t7BEpE8x%og~NpVw^Vgv~ugJUpp_4 zYxhMI!XmB>&8I~k3HT?gYa7ePmdVACCmPI@cmT9iZ;M_eSkXIvmFibnrk5f%8B-k~ zvnW@X$RiQ}{}BI({3EW~W=@vZ>Gc#Nu=~Bdl;jXs(M)(~eG6wc2M13zyAh0(b_o2J z9Kr?kdDRly$V_u9<{g1O>Y0Poehk)C#ih3gDlSw#seB{JH2w*NSMI;hEb1tWC;yT8 z=zEKvr7S_ODe}^uu^TR2AyZr{qJTcQy_f^xdH7NxJ#aI^9gU?l$fZ}7(2-&{_x`Hk zM^4iz=d`w8>I_p}gz|h)X)PYg(g4L*!4v8z_~z?6bfWcmDlR3vAFRax<9a+%z)ssZ5*e?2_9M;Zk4LXgdbN@nMaskCU%RHR%jed8m-GV~= z*osO_)RHVPD5xy?5&uze9PC$C^rO}WZwd^5A~5Non}1?`XWNp||EccopT$KYaTR^2 za{p<^FWf(0L7Wi%PFMqT(8r(Jn#@-nc>i4Y^WX-nn}9`r{n%Rzpr_@jT7_a(r~=RA zL)fc8B&n~_(rAq;#F~}M)4&e})~7}#Q`UX?9T21Poc2`gKAvK9$}|_fS;}={7;wE} zeqGA7eM-l!4si~~sbs~4q7Z4D;M5v15nZB&_9zVV1AV6bv>wfH;$J`;pUYRSUc#gy z*|H0C-VH9V+|B6I0mp@yfj3y7_W;Q8FLjaQIo&`@T)(kk3khS@>b$gAf`$OS86r>w z;YnfR2~jWGofaExayyXJxkW0QdFpcK1M`u)SY5@Gv-` ztmt4Hewf{@3$u+hi#HrVAOu>`MU8z zDkFHS@>gQ`z{@G^3Z)DcMvpv`>z!c1 zE&?^sBA0K&$yDNG*EqlGR!0vv6qn5u(9mn%$YOlJJHTn z(2m!?p5UFJ$^(y?u5o*U_J)wH^o4Zr4s@G?Vcqb2;nOep5eaIU5i3ZTAHZ%X3?UYIBTHk0twF*I3rUSN*@x-YGK`oBNha8@%q~%2EyjNgfxQb=#eb#Y2AP z;2;m}TG8b-T?dJ7&Lfog7v8#HY=WNUHd@-Q#+icuM8wPmQxy7nk&>+^0set&%e4ii zcz@E~ON?%~%F&KR=@<3L@BLc;_xF6QO0lT!Mn54F4Uc^z4$-+-d8~J}A{@kCK^ z3zw`!YbY}KLsD_E^&#@6YerJS8|7NDtbGarsw0b$*m_Sy_2h}l0@)T_^JsAE*%hEg z)K7ugfi$-)xEqckZqAOCACsss%I?rA0Ua{&o^2Fo_Bfckf3SH)%q2%%69 zOhIV@B=JTIP7hr&O~?cZD&(P#;TF|B)4l$P6ZK)gw!Cq$OJJd1bdQDdtaEIv84@FsLXL2 zXxnKME75a)l3eRC8>HGbVQ*g#p1}G}zc;bH=sQoTs6M!>xPW;>n z4~n5_mA?6~Mh3<9}A2NMi>9rp2uKn(PG1jIvu_~VCRC8So& zCptC_cDn@OTpvv1}QEvacX(7)ObMnjE$|Hpt`;XZyt8Bg%%D} zg_dCkQ#MAJboY>wu>4Y|t%)^&3YEtborI;Q7!lK(MjxiEXvgv517`S(3W&tL`G`mf z*`M}%p&)?gnO45E-G>S!GF(q)x+$JHEb9S+V8=w`YxB`>ryB0Sss2ER(Al$R!@%RK z7@=TZp{KtQ0BLTKeF(&9llN$5j+_mG9<~H609AQ67=C2q9B3JP>@gjy@(=8EgJVxt z_UM)~B~;F>8?DiR{!Vrw26)Y>u(N69L3 z4YHS3>>s9U#S%C0b-^p!1<$VTL-}og?}2|Q;m5x-{5ZC+ic0P$tiMB`2reCd%YciY z~}~E)P>A0Cl-2QNrH774PDi(!xtP} zRHONCIW$&5>6cwt9-6u*QJZB~=o>jHG{IL5Inb@F327vG=;rj)G|uDOmJyVDG%I_$ zxj9*#;!C*N%z2L2R+cpvE)TAjcx2M$g2A}hBT7+Td-+PSTyJ1Qx!+=+6#kb`7d(XF zBI(%%1|bEy+Hh$IS@E~1!;$i1kVft*boDM4II`Qn%`EtDlCv#n?mr zD!FDGg+XW^IyeuC%x+Y4+6*N@RYXv7mz_5W@7dm*b$a-KriUZLfxm9}P25hdbO8Eu zf3$2R(Y3dhf3R#NSH;I62D_)BY|Ee%S5yM=KJ(6<^tWUVxngghJPTpP^f7pYu#Ex* z@(%OfSxWq=- zGdR0pSF&OV=cL!&`ojU49Z$F5F`4b3@kjIYLrEv?0a~0_``WXS_$h&3Baeg4p==3~ zKR*_CE?iaNEOaG+=3?!~MBOod10}$L#HeE~I=lzv+q`#Xv*wOe$v~u0K(!^qQ1na! z^jtRBT=J*tTQKKQ_zX#6=jmV zFG|aKXasGfx7~?y+dhYt+IT6uOgxq+116?NO5<{?55Vzq}yj5h%)g&yg zckhowzA?>hh1_<+UV6j;N8=cBieBpgWZrBIgdDBnC>h`_nDbJu0Zu|FTT zvIH;%`ojOKCPkGL57`@n0wEW2!grC5gu&8CS@@Rsgak~<@eJ7s-cscPE)u|YSmR-l zMkoOiS~I;PS2JS;&xw^&xp3dhSX?bj_w8zd&m$a=qhE_12#3e#i@IQ^zzaU*Au5_w z^1w-fzew7R?jNdXjre9U6&o63UYph_duM4#4=S$~2!}#&L6a6-#`O$sa|YlgVwKc2 zqglZnd{n2&C#&njtm#7Z)R)n@xbaG%AAk~G{Vmo7CX1T(IOq^j9(s&WB;cv7DLfAZ z--e-qf)B!HlN$5A*&Zd10!dVjxC|t2#l%WdlAIS-kW0tnDlt8(zTn_!g}XXZS~Wy+ zL>%0LpqzkQz$*$vUUP2Hzo9BdX{ckjNqx?7!kjfK>qPhEz2jK99PiW6O;7HG(ysDxw7IF7vj0#}_ zB$m`l8g3M-28#C{!B{GPP z!_$dct$M3njJsM}J64fh%16+BVSSIxUcz6OlRe#$Xa43k%E59k&DUCbVYtM}zmP{Ur>}lY(&sQOqPS zBNz1YL`(QITE+se!?(f)8RFg4fP{Me4p~qn6-y=A>WbJXA5Pn8m%|-$%n~1=^I8Nx zj)$aEH1k+eM@omjxPqPcedL*!BLEK9<5>UI%rZh4g$xhSx|Qma$v zLeWtOt-an{((hTWa$Illf?(m%IVTzve(I=K11-H=7xvb@t&k^=eNXJQ!%BNho;ZGp zV%7?pSlNV$abc%hri5;w*n}3HMN)*7y>(&fvrZ-jiz5J;%aYeI(_ZdD$iCqi#Um-d z7bpA>*LQ=GPmz}xx@5~#9i~Q~I@sZzytB$F038>HgcLY9b|iSJ9F?L}o2`ry?vsh! z#&{9AwI)z`G>GYq*$i5cv7AkZk+r)Ji9tS(@9c>GRR_|53*Njl*#PImAK(+s6#=WA zJ%uw;crYzT>Q3OC;tJqi82Xk6JB&~Ko(JF)#~I)aF7ZHu`$ zhXWaMQ77lQF6Hzm?VMTjE!qpR44BlmF{Zp3j8_6)~3{s?`7Q)5a^g{y$Uw0vj<)9sNz zwVyZLhhrI6@zg)MD8cOR_PLh=j_DXW7>?(nh)LmeB=Ee6^gc{06le3sy@-c%1I#t_ zt1+w;g9a*kKmgB-Pw|e>e(0r>{&=20!Qb6GU3M(nghzRTf#2{Q3U-rDtaGaeU)4W8d{r=y0jbOpdvsUV zFJ5{LA}I;*gTJdkGL(j`@wOaJ0*ANF>x59Jfpcucy90(kU{*Ss5Aci684tg#J5wgHyq(*5aRQSqz8 zqJqlJ=DB1QkM3mr!QIJU9O629BguCj-N*-cBmdoDEbRCirhGaF8>O+*M!9Ov#qd=lWQw?;8%A1dHj#Xjkov%p#-0xlWaU_@4ATAcwmqqjYP~1cuiR8ySq!Lu}NvmZDk3m zia|Z3TT(cS{@R^P@YY<&{p#EBsOdwcFER5=wVw{1GR%sQAzui6NLq+FeIiJoOPVld zm62~k6G;%4(0X&odN7 z6f0!lb3qL*K=D<#QcOWK{;*!Ov$q_ZENh%C)8L2fg?~60zAQ z6OLru>>l!0B@th}sRt~1Jx_39YkcL!>y}~U-7Cwh@nm1EYSUx>Pt<0$Y;YLsa zD$JbJk5`{Iz=HpkJP3KzP{><|tGb5(3u0tj=mVtA{lFz@I1G}GeRif7>fLI2Rb;98$!C~$-!D?Sa_tKjuI9E6`;1KZ+T8}{65lkEey7`rKwv%wI)_hCJ}EyUV~|NO zx$FeZdK7~gsbO6PDmgg#Ld&8wwIDfDiM@9j%v+akyqW8YoU%X>!dbW#61XgytC3$T z)(X;EB18T?)g}$40GUWVz=!Kw*dnl6{ta0-+eb%^@d=Rn*Y;M|!8d`*{PSdO4g3SO zgBn=8k}WAcIAeP}N%%+)=Xdc1uE02=yae+_<_`h1Gq`1e$0O zB16gK049L}1jr9a;wN)p`*opX7VJ(Ww?e5AEZ{N-*3zQ#b4V~U45^}0J8Kq7>+I@% zCf-I5c>3}A=!bixD}<#~EUlZ1c7PuFLB_|vD~|dGe~%_(0v@FHun)V%`uVfveE7sg z=EmB*5YW(Mb9;UdAiRkfia|igPjcf7{#BYf za{#KQ=cjIQMXSL!rNNVyLap4H09|MpiM7U7>1YkW%ygIarl;B4~& z@H@;+I^lujv{oIxSrc?VX^$9%+kS`Aky&Hd#U(aN0GI)kT8jVBpyhEXZb10Dy(W<$iu? z=`|zT^DpA(Tr&tgi-9V2f7b%)envqLr8bnI&j(Nj37n0}sN68w9<09#Y|fHHVv9E<92w zSW%idM&85&S^gUaKB5gP?gM$oQgAvRUlSJ=11B6>P3+$&=CjG#+?R8}2`g-+Q-w=i zd_tS0h+draIt6rwVa8sxDiDzc>QkY4WL`i&Jn@&M5FiPgp@k1oO9g56~%qP9d9x zQN1x}2WWJ73hp4}DnhJ}Y|z%7M4xQQ%CMg%3lG+(hCOzmvyi+kE9MYToIGyFWb zr4t4qugUKIU5Yh8u?bmlYate?yC7HRE9?S3xMdpimv4RM($eMPD)RpjVsgAn+wqyV>`vEJD_8Wm^KS~jQ-+1--U^Rrp)vBS{Xn6_#cY>EK%@! zc*akVv}7?|d3hRDrO=Xxfr$&|CCklDf8xfIBPS+6mg^xh)e27beO#4T3m>Z^iI}W5KF`7I{u=Gj28J+y} z3-4)kGC!GZ;Ri=2>mx4bf-8WWh)8WANI5|_?pe@?Gi+HP#f$)*aQkR8FTupDJk4D># zsRB6MEg##}=^H>o8_zjC~P6k{N=1hz{Vif`N0` z%Yqd_M-z?^U|x@8J&1R-x}xnx-ke{8);b9c)XK)onq&$Y3fB)xMs-Y%i7X7tNiarN zRpt>4UUG7u)(1f?WhMjCsAvzrOGgBBNBRf%0Q@;dA$A;u?V61x?Wp3a2iB4boN~@(j9EmXI9t3&YDz+5Ljcnt~0K#My&v#sgZReQ&Awr5CBf-+uxP=cr#;jhR( zEsEth05BkT{5~a0^J7-{39`l^JP>w#ZFRco&Z1*CW3{D1Qd2~|1npAAG+v@q4M(=5 z0+hZ&*2ylGQ}}sGHx(RF815-7L7V&@z|dO=(2*zo)ia$XZ3S8}&2xAU6JkN6>_-C~ zvwlwFC@0V{tv$U>$kO@1wi6^W#(Err`VR#nc! zzlP`-VmI<}iZ_0l65FJVyR=nGgPox$x3t0#ZJxC>2=`LN&uc=W$@{^xG}?Y{7VnSG zW6|x`Zl8Zq{tG2e!T{iH6nBzGf>`T)1um z&~vl&7HRSw5||}@O`68$$`arru&9@+>r^F$!~<~9Y)gzKrJ06L!`a~De#!PD>*}Vbx-t8Td(((ql0Z^5>9DW~FUkq&| zvU;AwIbS5l*_9^IHT3^e-IOYX%MjE>s@Tr>lUrptd*3j{lLg$xTErWps7bDdkizO;^juf z3yp^1#2p)Jfy;(TWv$jacPiIWz1W?$bY*U_#YM7^?nE=dnMKjh&B0lY{gX1~dw@@s=o+bW`^Skf4p7eFHX9dvE~JU5(k zLtVtvR48Ddq|@!~vMG)ya@(ejmxK+SizyrsE$`O^ydT9p2OH$wlL=}ZYJ(r8c*a)h zkprCGN2rwPRTSifrwK3Qqm;$(>xbGs2QAJ0uz_`(<&?*6dIA4e*_u+$c5d}W^K;UM}IRG zZNrlCEci+K51FYUsXqv{u*W@hGL80E%^;RjMcKY)eu45wj6q~TR2&5%FPtLO=TyiD zOyAO1mebq{kZ1e70`Pdp}>#v$Ug@fv1sut@AwskwkNQ`raFkBPk(#jg#hz$pIO_#~Wo1NMhYCT3oY6LsMM{OnMJa1KF&DCH@3!gsB)RDig|bIbk;XmC5IQ z9r)u`c4j496!>_sLh}bZImMvAJ2Qlh8d^J+W_U6uM~zN@jR7X5MyyWS!y##$6g5U1 zJ*4)kwtkqY5mJCiob> z487q~h%Y7Jl>tgzEocZZSsO#6h?J3I*;8J0&Eq*31fV&5yyU)-v zi&b4UrQ=JT((YE2YgI3XUM#&L09T$b0|>(7cJFQ8hN+LI?z5OB5Zp`EP_(vB&z0%y zXf^pA>bKJEI1$6_nXTQzFi@6vNBe+d-xr5#K^9Ls3Ix-_mgzRaO~cL-Y#f4hEStKl zq8)$>cOuXrioA=Tf}1lf8s3<}^66GWU^B~Y)7FO%@jyt2xP+bm*~M^{9*=rj=a-ND zYyhM^fIKS>G$+-rVn1XIDHl<$6^sNl2a8#J@dy%tu?C%sc>^ihf6dS|HYdPGkuE$^ zcwT!u3pE7GkjSugu>|z#y+U$JY+9~2m-GI^XsIT?-OHD5UH`(R8<($Mf7=jC3hBiM z2G+V?p8Nc@i=78yv**gT1sev59o$7kp}c@p`dblw&zPNe2W0P66FRP3Sa@@q6C3 zGRqH&dHjnDm#=eFOQD7tCBdou%*ItQJ zb%Yu6uf$?g$gu#*^`zjUi~M^7vPl3;tA7K%9Ml+;025dD!6FCh*<#X|e2guBC@b}r%2o*n@v$R$|(zNiGNTa#a=3}s&VC)pL#5H>wFVuS&$_ih8a(-b3 zAH$I0(EF<6^FKv2m=Oi}l&e2&CTLZL`Jw4 z<+iWiFuY1Vsyv-5(v;?VQfIULt^(p)OECVW0|n!gYik>OyLX(@kNw^_dn*sE0Zh|y z#hSA~?G%VKJ_9gO1o>Ad8>sDUOBl3HjLrykuJqr_vAn~el z5)jP;xJLSXwmJG=UvTs%*5Hee3Y=pnVplB+Gbu*704!`xk%FBKF$UX;>E~kABcTK3 zbf9zkp#m;w+!~7tKTupBz&S6{yhF>K#bnxFxgPCKR^ zXj4`q5RcKKRc@`1i76k!!aG@Yhk*NkT>$Qn3b+G#0?~dc_E8XxHxrKrJl%PD5T5Z( zdm$E1q4++c+5ffR+|Tgbs94y_(Pw`-cGezQp6Z>pgMe6wiM7%cn5I_cpUDoGGUW&b zs~=s-q+mk1s4M}L;n(r{9uiUEH3L*B%uo@EZkDk_I?|HS_A$pFitrmR>CE1N{ zf>eCYQye^z6p6FBvZPb3%(k`hq$SEk*rRMr+A^U-%kCX6z6*g$YRZMm@XG>$!hYSm zp-H=~((Y}V%nQP%7ckk7s7nIJX9$!|(->_;z=AZHj3z=UcGD-1C)Rhi%{TpFw51l z;ZogI1XJy%xsbd&#XJiFnIR>Wrnew+!`LDs>Reb6>-ZB~>-ty3j$m2jPF1h3y# zw`Q<@N&jN&uozFW2LUMJ8hVK3s-@LTL8jBNkl33WF%g^yel|A>xK?|z=J+<;Hc;6h z7El>RQlURw(B=n$rRf8J)0|iylGwS1xMJlxbo&NIIkpfv--;uFI_Gy1p8VTBD$9Wz zQU`h>04YWaj_S^MT|BXYeP*yF^}ObS;lq+_=qt=9Qh& zgl1Z$wbPs1?8=6 z3V8q<+`uBSF#x*IE#kz6O1G0v^muPoghfBMtoS3lt=#hsK)>{niv$do2Jw{)m9 zvc{N>-Gm}WEJZ>&J2|V_Raa{>WfAsx6JioAL3iOw0c!^nL+XJR{PP@$`U5+l74X44 zagacSS*4S*$T;M@Rn7XD=jNjyYAIq(uw{J8k-)K9lpy@_)lWEHAN!TK+!n7Fi8Q?J zF!a3a4yqR^Q6&?b_3cb0HJZW$^$-qF*-#=k1cUl~ge3e3ipQ~8<@+V^B2mORsrS`+ z4+t`T<>mY!oDax1Kw~|`@ZchD%1s`QUlKQa9@Y1!s7?ifFH7%b{L}eICt<>=N#W!I`I3Y0w?wU@O<>UTJGK< z-uu;oo#;DCu(;+z1%BdKAm0+0c4|YR5R{9fvyM(U@zFt4(oZ64+X8JjmX^-&^s-Sc zTG`l>rZ>_z?r$Rd|hy;`*g#3ELGJ@lI{i$M@=F7If{7kqb4 z+)86ADRN11m@r@mT~3x?nV|=s8D_CTiL;=A1(Y4Ae(XQ*o_61i=})V-5d)&fH?Isa%H?@#cg0hRS1yK<>>zk-rGZ=K7X4 zRN+oHxMx&Mv4l!i5)Dzxzu9`5{;2`<>Bb4Tldc$MbA1E4w~3*C2alwLn$u zT5DFieX?x!Vyp@y5cDFT;l(1_0RJ|uFJzr??;^sjXMuz$Jh70Un81lhUokJ&};?4KsiciViQwA3%!yW>{7w->*Ptt+0AJL=HUyT#CJ0h}{ z=+QpmG5CPG^1dkT>i48_rQ4#L#8iBmexKByDe&*GlX8$Bc@Xl|c zarws-Sbc>1_1M%B=r;*q|G|Sl`|nfq=OLk7h1jPTLrl>tHK30!0+bV2`UDi?T14yV zL9yI``;QZH9!w9)Rmpi(aO(&5O=xx%p>~`6?tnIw%T~2Wz2u9up?2W`l_(b#8JQb& z=h6e|M7e6K92J^3oota##Es`FA$YyXa$iTxheN8rSH+0H5U1#UYDNx3$;p=Y zDQT0=LpL&T4y#5jqK!|e2NAkq-ixSv62@{{L6-tH!vT-xHmaM3PQ@V#sY~TLg+KZQ z-LF%LdD%2c*oFtApScHe51Mt^cWSF7ST1G2m_){FDeKOq06*Kz+KmFSsx z%%xQ7I-wRVlv4TZ{pv+QVR58n;2#3Tr~>nI4+P97si1Go9EQh!Bo5luk2r`}H6$_C zN`H-R%MKGNpqW^#FTPSGk`yZFr!j$Qu)UR9NJ5ESPe0yehAaX_(^VhQ%(-Mf19)A!Ha&Brr!Z)}^v4v~J^gC9woP^s&-!*k zFF#15uLsCGh*$3)b3G%Oi6@0)Vh_sf7(}~z{f*CEx%Qb`7+ZYl#hX}?_9ncW0z!60vXiY@AjG%c6MU;Qc(uDDWE z33=fKweF!k!3q#J-;pu^OFPnM(8=U!Z%66@n5XpHSw8x$bDVXAA|F>cVKeztJ!)QFkp^eVU?tqUg&AOc9Bv;A=f{G* zo?*#x`-~5aR&ZXsjq?L=yP>vPFf*652_NjQHMtw@xbRzPabzk@XZtVOuaW51`3uM- zm-ez*d|FrI^b~m3;P^z>ZT0sCZ{kAYCDK30?hD7UZR)H9c{Znu$Un(U6qcMcb|Fur z-yG-?u9e2TJHHir)F2+bjTwI2L7-&W$_V(GWNL?qg1sJtI?jnF+P*ex7Ds!MmCq7n4H+z+m|^4P&V3PP8lnOXwn&kr<|YHs0DdI77CweR2P*9);9g* z_~ZKTuP=--iSXHk#~zv<*oRIVQ4L#I5&ZaIi2Nc<05qSGT5?I(@tr}2X6YV=JePi(j3`*JM z%vbF+?Bg_ZL-gb`m6{*NK@8;ZQf<0*V&l?|83n5$Rs-6#%w9w86+Wjnk~$nX%7h#Lt+~ z$+OAQxvVq|nPF^MvfWh4^Req&45T<1)lXvGawBQ40T;a`2x_cCBu)CpRH8X`3&>QY zr6W(5EfjPn2izJGFx63DE&35Q5MFh|n3ADPO=e^mBvo(HRwZGRymjmHg_}#aF28xf z2(7od%lXJviUeT zVMyjjtdKK`AF;yi*B-IL>lZJ*MtSmx6@E*z!aqD8eV;itVkn9K06ysYHQ8Wj*N@oX zBR2R*BLqdb;q!P~>aj$KZU5D^WtAGzOC>eJVe|0%5sRVRm?kJ(Tf(;6q#6+sNk- ztmi42rM-^!2MAQ4ypNZGF8+siBOl=X0gbrg-KPmarrHxSMj zPM=}iNoIOsH^>f^2HM@;3%Q=5f+Qu-)dK8+|4M}u5$qNqtg))_Al;q-QT6=jNwl|y zUWrYT`P$9|ju>&*@d_;j1~{#7Ud_T;3oXudkQ;ByS}Z9P2dT?XKG(Z_l~sH}@Taqu zqkR=$+gN7R+9~5b^+YkqK1G~TGD5s;kkSoJt(=s!NQ${t3DZbCqU(^mP#|Gfkuxv9 zUbsFe3a{mYwZg)vdertK4h5+)wxG`>zSvx~a>#`(B7)l3p z$!h^!l&LjkHM`>k-!9-M$dI2h(lO_=o)EbG)vuPSkr!S79B;4+10SC>CU|bq5zv%*`)Y$hHiaEOhEmB zkN||Q>dogaEm@XWgXFArtr6nC^d)b2(!D)Lh`g4*O4$?v|C{sCX-1>RrvT|_e?SzI zmPQa*JjdXmIVkQ3qX&Ib-u+*xJ<#`wT`JJfBNTwNNg5Jnk&iA{~q zBOoKgi}F_|PTV~?K0iKj@8tM*jQR5?`SVG#iG1k%i+nNvT@$AS`W}&&(04H^M4Snp zO&D^Q8($Q8ftdfW85{rp5sVE~pFX<(Z`1q#3x^p<)2%R&jy)oN&(HBu=+tGub&3DK zxKE=FqF@$d8XkWlxFtkElgPwi`ktuu%q!T0iq7W!QChV+eb+MrVhPnwkz7g1K10Jk zvRIZ83iCzB`k9DR{|hDaHWCZg)UJ`L2y?VV*=1Q<#FVVm{lXdhuzm2PrQ2e$4-x5KKn{!ahV@2H>;xi+}WQjM`L$g976wuC0wWj^yn3m_nMUjc1rsu_aqCJ^Hhmp<`bQc%R zGaiUtj-j({&9M+;2h?k%>!52PUJyxTEW9`7=R+Tj-6>ow^ndJ^p5ZGqfDR5+ev6JG z{1-=64U=C`e=2_~su2s3(xG?mCFp?SAkQ7{qQ3=xCvS9~{zP$9)iYy2gtx2VHju$P zDfJwF4|-xQ4%aF6Y@Qr(4XW-fpoXt~0K{m0f(yWO;Ih&A1S6NB#MQ{EWt9F-e`c>7(=ld6HvpQsJsEVRUP3QH2WngcXCO%K7+l!2 zb>My1k7=T=Cx8MJ^6NYSegn`V8U>pPE@90h2U5y-zv;@D%?RPGg!>j)2E$EW2S9fw zpUg!<**Jgk>TA!I-(OsMO)Y^p-@JA0^H;B4ecdx=pFMx^VsW8eSx1Z6gGj3y5ZzU8 zUiyM*;0LU_%h4Cpxf|mFxq}vd^NqvTJp#)BjHTE~m;pinAUB&Glc0*wlXR$Qqdnd8 zE`4$7@O=#t>p^GDS#t*fahPZiT6XEeXO6JfKGoJWUnEw!)NM3dpbV*-00m=U6mJEc zf=GX0y&LQ$rc`0bovgzKMbx@4Zxn7uTZSo}v9YLt`RPX8`s;1uak;-bQq^0RvNQql zBd{F?lgO`cPY`>Y4hKt?@OR-Ii*QzsC9%sgv&bmZcDFWTSR7x=jiKN9Mx|PWu^{d8 z??kFyvTA;PIS<(?O&T@EBJh+(nFy0%!kZaa^uXz923s)B)y+o6h+2IpLKJ*{x z7s`u^*1mM(#`PP+SbE6f_02`AzkT7xH55QU(E9DWi@tE>+U4sHw0wE7uDzJv5U7LG z4Prszt)iXoJPdqclUvL*mKeuvbXUR%B)fuI3Ruuh9BrSljYEij9)%xD977~zYXMVk zUA^>$OD;zkj@1TTK(6lM2%07db&|zMIphuutcslXClOqGcLFDlBr{;qY0+_->JE>) zL4FF>iK+w?#Q!=hBllIo?5?K#E1XV9MhMZwmEci=VAQUA#ck|J`y@u`&Kt<7VL)XPSjf@QJ`NV zPF5Q>lD+Hkm7ewEhBbZ#P)8Dq+Aa<-Lr{Hd!iuHk9n@k?rQ0@sM=sP}WCL*sld_hA zf9TqTHAMLtt}1K`mk?ik@jCMe-kL!q-JWhh`51p@4|<*|jCh0NSMyuh3mRH8E1|Wo zII(F5^tGze>!$Wg{KD{-vP9TC+{$#7T!ZCa|D3~*Ai_ZHoL2-~t*#`SB- zGU`54s|q1d_OMjWT+%F23%)r6*V%<1Srl)xsmiUeBz~8M~$-@!&a~RH|Haof=EeWqrk>qZNu|o zcmRrc%voFe0Li=kjnZ=aJ5Y1BXxM@yZ6)T0J-!mhYKZ|Ub84L8R&`*Yr6{OYBRLLc zrpbb4C2udo0@>M$MU_L@Z}oX4ofgMJyeMtogDD4DgngD#1jMFX*WV}7wH-bbV=iBV zxpMu@3rlZ&HgRnU4|^^})tcx6X!a-!tAA34|0E4qVKLZ)zJ5bbFLO%`R^%8gKE3Vb zwUEF3JBJXE&S6?CZvcYiaCulX&>=n1WE7}?f&s;w6Y8K;XKC9lgXx;n0jKmbRQYxx z+2Gh$)V|eiNVJHlWUc58|w&R`&7L6}Ux0233l8!{KEI^;Mnym02snR+i)uISf8 z>Abbesz~k;COOWf=p+ZxxfGZMkK@E>L$L(%@KNGu#0?+}ZgX?Geg||CRmqsO0IuyD z-9Eef3O}<4!@L?D3-K*J7n~t_hdyH< zHiqzj%ttTNYvgG;hKnr~E6yo5kZX0CeqxQ;Sng>1t{;!@5)}#w)^JrSR7U6146!1(QqLSQk#QMp`9R@lj^y+WR|`2l5Kn9__1_eWE$pz zqB|DbEUYoGVt9Qdl?;n!KG>iVH{eXUdEw2sUPHuN{@XFsuT$9o%}nlsmbpvGVdKSE zo}GyDA()=0r6o)5AFylmBbm@33PVEH`#8%!RE}3*qs)E_PA=-SGwp_?*=$(l zIzFL;S&zSTyil*#9 z&Y0hZ<`SUjpOxZW(_e{qKv7^4$iL~Qm}`X}LY6dIBA=ICDvbEC(m0Y^Dv$`6NjmCe z|MZx7oft8P$4CoDg5A!?4+7p0N{J+MydvQk{BVm)*l!mG<-`?wUBCzw{9QRgO&`{) z$)$Sfy&cdDAj$rL2Td$F;CKgLf9?*jKMJbbwcX!+Egy6Y5WHUc0mo7NQdOWH$Y{2l z0{H6}GK|obZVx|9MUM7@E2AO>NG>;YluimaUn(6N*zeVdh%arx2Y5f!bAExZ)UG}mf_U5G2rCxXOaR>oXs-zS zfiEKWIEF{V_k`W4&<4+gG91B*+&^K7y+RiR* zf_wwY9nME2;)HQ77pYR*i=&7+`uY`Pm$MS=fg$77H*USL^rg2hInvX} zTc3dgRqGEJvb8>J$|Xhw8VULhk*2T;I(M+Tx#-34xF=dd)i;bwqPUc(Y#1j#^g$1t zVFeM;{m=uXmVS#m3}}cAiK<6*?a!4YZxL+O4?M`^(S>s*anw3{l*ft5qt;-Jn_4h; zG$)NV119;#VRJ{rOXdD+nH%{3&PS(!?%|^%F_PJ}PAcw_9vviX>XBF&cNML@ilN|J{ZDjHiHX=+xp-ok``9vw}IxWsq2~zB!#XZ9r3uv;#}Bj+CglU z+RLg^5-5s?X9QsftyoFGTjQ61`jvA~3&qv6h3TO(Ro3oy5KG_EzOx`@e%DMTMM@o! zN^s1n`sl6|oJN?wYo4%*dJ(-)x$_mLWSP2Z?1nf4N`jlYojjX)8Ad{Vga-`n58of+ zAXVO*9Vf4aqJ&(z!SY1@2SxX1AA3-Ae@@VS1p!`U4q6%IW8WPcZc#o`hSb_O{UqJ7 zoL_}3GbWTdrvbLbVya^5w=BRAf%NF9A`F;xFHCqkI}?h5z2Xs(!uyu|al(9ohgJ{> zL241`YO?idwzLg^(K^14<#>{tazuLlPf&4fiQubWb*BsDah{c1K_B*+s_Z~~ml`M4 zUqx@Da|Ub&<;eHUjvba&az-s^<_oDtPBXVBsrl zE=_$9Vz{lW?nebGzkT+Z`RKBi@aoQn`7D1+OuIFm-HLm~(2aV{g%=+O2YAP^^7!!p zZiU58xE6dtJ2JH@0@-?q7IX=#KSi(VXyi|I^f;ghHcQEmpOEYbSa!$cy-vfQX%68b^_mxMjJ$zuWD-A{H5EFF2lxzxz$;`Qj78HUv8nC!>T zE1Rh3Ab)+KDCf4{@s*3zQ;0Ekwu2$&uc^6C>0+VyMF-_YbasB`oHP0w!x-3t(n_HX5~wyTiKuvN(NWn&Si}xL4oy{oyR{V80 z8QL$BZ?*hqECi|Uj^=KZP4gqrR(3a*k=M4pJK0;Ed6$L_SeoJj#cSdkKxh#eOSod~ z;!ygTxP_*y$-!RW_|0N{1%8KfzqlW;L7+^J`@Q7+>Vsi;1Uo1JCaz`P;$piuw7on< z2MJ9T=rYJWPM=fu;Lv0vMR zttcsu3NwGj$-cJS0Egl!)f??u*>yw(Scw>5VEG;?Ae_Y`M5{)*Y_0Ra8y4b`tTq^~ zaA(IRMJ3bM36TV9+CaSR`<9cLcq6!rO*n4cpJ;sj!bR^}-j|G)RsqP$8fXyt6fVmHeZ*kXK~;WzVrxANO0S^+WT~_Y?hje4JjhX5wo|$n*R= ziZe-K3{)Qda(tyH&U!e_S;XiNC;AM+_D~kKc|0@cBdQrIMjI*fwwl2rz|fx^x{9!= zn?fREIr~(Cqz{P3iujTF=!JG(eD&e5e-wr@z=w18>{%!7C)N;Ni@CbTJ|24~N*Md& z6HdpD;O<&hBR2ehW~17%cx{;;K zUkYe-ZXh1*T?Ka6i-Zl_T`U}2szG4@og^%tajAYOoMM$jj{nNR#}~Yd zfxD0WC$TI~9Y~f47!x=RMTBo?xJSvUpd49>xEx|pMaxQZ>Qi$aP#i98Pcvt&{2sD` z3c|H~LST0O(wncrC^`Qk{woCvVmr5a@n9G-=)A0S#Zw^|zy+*%sKCJR29G?Y<{+NW^1wz#twB_1jEqhFN1$i?k zE0aL0#yPo5ZoM?X-xGNe^y+0K`RJ>c z;|bLVW)DtT363DYD&g*zJyg}IC`Kf!2b>c5?1iI&?nxq0nHB%H<76#IjnU=FZwhm1 zBZ|sE_y(0DlTNX&dm?*+9b87KapBbWVbUy)f}qcqJ9IX5Q~|Y5_F_iEXXm58SN;tT z;(kv`m1*(<&cLrg#b1x}ci|e(-mj>ND~YA^ZaxIaSfO`)KKfo*N%Mn&r??n$?%1D= zgAryyXesAnQnBkolnEVNRDoa^;3h#$Qs+A=>^@Y2kJ;C8{;1J;=#sCYwPE^tK+!kA z+AB(YVLtl%m9;xr$u#UC_Wm*9QOUFqC#rn*zKQC?&EYEqzjAa4K7sDb%h9jyv0n?s z>MBKeXuP*gmM!dA+RqvrpWXf%d^1qK*5JB?+e7(xcFP8Nm&__sRq3j|x;#e)JH-JU zmQ2GugzBK|xrT-(W4o--WttoI;M<8fAy4Q&Wlhe6H#s||_0Cg!*)HR2=wMqa1#wQb zw>!D%6v=pY1p@k2eGKk=5%!x$u%04QxG3eTo#wXHiwwZhZhY= z^fKhQ)7=#v+X{?;n}NNv%aP=HwJ$W&pvgVbmWfb;)G?xW?fWJQaqoHd0hUunls}6J zw6AE~km%Uy{Y#vNcoN`rwah2=kMq$-g^ogE2O}C(Gzo)v)WPq_LOfb%hnD+FP{o@c z0@+@n`p$cX>T&jl4_NNUk(Y46fx$7TIN-24F;6+{aN@#kvA%)2Z2ynMEDbdyTdl}Z zSRq0}+jH})9fUkjEqOHYPhM-1Bcuh86xB=Zo^lhh@SOomb^wDq;6AZiWqw=< z#D=gY+_iJfgY$r`&c_6;o_O8Hj|#s8b>eBuI5?f_(HOM0L9q7eqxK^y#Qdx*2ZxVa zijZ9q12Ys`5&^1QFgX3HOA5U_$KTdek3}gR<@CHk^dFg_SrM~9WI-aSgg;E(RAy;{wA@g+=kO2o(g!5N zTq;u7T|Uw(viiHY?aID_o(f)tsO{m(&`t(dkht*<`rT_`gM@N%0UnruqK94ubiGG@ zx56MV#0okLN626t?G<>Ij}P(&WrKYUIzA#+&-uh!v67ypn( zLWqczZ{4j(JkuNOZ13%$HjCcXlEeUOaP^F&EaRJ36up{42CZ*l6Z}K$pOR+KmD7Z3c*t&IhjyPfBE* zVF&ik8M^BaM}DXBi*I6v=d<_bqo1gLC}$iV5u~FaM+WrN2Q_LSKTKG>`Uw{okNv4Y zh*lNH?UV$8p$k-{NqwQ7)Pve3yhs)v%i@7f&ZXfalnhowsiU5+5ecaIQ~N+B#yvLB z1Ix;lN+PFZaDX~CNhRXkBXdI3biEtVWnmaw6lFzVb}gXsKYq6^h#O=cTLB(@h2UJn>>bsh>Nu~lHG!8iQf#rY7i z7>o8497;v%#SF743)5X3Artx`W`;JhHo--v^M2%p{zDIkP-w5--F6~*JUx66>tNr0 z3#xj!kU{HA_+14L)i4opCJuQ;pgcZpRl1`EU!nN3`wY|zHgpuh&6?nC@Zzn~#H2B- z_?1XU=zfR9L`2d?+I&>W%%aBlYxj-ZnU0O;WF~fofSf{~Om)EEH7fu$g$#MU>yz=h z7hfK0snRau4<|{$=W@9dFcvmI8~BUyi?%nAACp@~{T|iEnq{OIdrG$Jk18xpdt=dH z=Xf?$o>h>b;+Mxe%ZQg)4NaA%z8=jWwiV|>sCq#`ELe!y`CT{-kzEO_L@6GzXMEz^ z%V&S$^!P{pL){MW#uZ*V`xCB;;_ef40uJx9=8e3VBcnj^Rr|Vm)!DiSAe;j&RD`!Gp*?{=lgY~KDzN+~g z3RD+K^rTX$Dok~w`BhUHaUu zo0pd2mh>3%>o-1sBYqvWr~Kv8wTtnW8Z3*^jo1$kqk$(m!E6nXL8_D_QplYnDUo?b zQ1c0kZUdPBtw2d*DahfIGNJ}{vEOTAMrECv_sr7cl&^?q==fC(L)dpmUVT__8ndJJw)DLY?5x#&)D)A74F)tTAa z89B18gsULt6Ot7##Nb=?AQj7AGN~*#DXt1wWD_*a+uqwn^iL-6qUa7b4nJsKMMVRo zS)s9tUG)$Q2aw*HKj<7*n0nZVwwl4G%+GyWOL2h^l7h$2@_@O^Bnsr3ZNN}Q{A|L2 zDLggo|HuF9En6&A50$!b*3hhx;S%VUP^TVfZv?Y9`K>(6A#CE0%||C|EdR7JP#E60 z1>&??8cKkk$MvMJfmv{h|0j;tA{_{!?;NWfgw<|1t2H78G+Ca)iUV=P#HvHg2Zx1g zSliHw*Iv7V(EZP%%+HHMwFGvDa&|p~+Att0W%T4^`E(D^IRs*+K*8=Dzb$*Yme6)7MXHI~7xJwg31N?7e z* zK-hcjw?Impg?|-9aYdR>19-V&Q%C>sYtaA1eDtF&dlBqEfVVuYyrsC_s~&I+kN9hpsf9cRtahzqSE7^$c(pLo}S>)lUQsi^f8tYx-zMS!36G-j{3? zx#}mkIFQPiyd*>K#$8@~Xr12&JG=Ck$jmSAOs4Dia4f(ks=r{GO&;t znp2Zb_^ABdLzzd3Kv)+xst7~G@}cy|3-uVAOh}O%y&n$wKRq9v=n)E$>;O`Eit-s3 z6LR>cVxO%X#$zgt?BeVyQ{f^H<;5;i%41#EX9FDsoBdy^|3-2btLJ1s$Zbwxz$U1K zQN*Kkb+%VDLD3O`WQONZ%CMqKD8soDkS8ZYa@*btyXqs59HgM&=-rtro^rqi`lh0_ zo*u(4-$yM|V+0*-Ji!Y-L-iUbypH>jF0{j^Gm%J9->T$-po|a{_#$tEac(G?){5+m zOFO+euZN%j(L1Qs^g|T;!HBn11qP)Xu_TyV6>p0=3O)1|D}M&VQd_Fk=<^zO2GTvH z;6>-Qk=92&V-%ax?bxrz<+n5ofifiBDrHc6F6`K-nwEI1DcX_mg4!3Bg^I1yd_{%! z;XpLHiiqP0ii`u@=bDCB9vNuRF?45 zsX-oM8do_iMt9xpXy72uAoWkt)C97?*uJ;}^smu4B%s4wigZU1R`00_I@j&*^g~w@ zT{i1B;=^Lrv^R43ifdiN11K4c*|bhFssoITT({|b<(Vpt^h(_7J2#$%ZS*3s%d$cL zqxt9u>CB+!BURK8^6VJEN5-e%xpCGEWlDDK#P-y7 zNNKeeYs!Y8_A}|{3?^r=Bjp@w*e0D9H0tGXdn%ZG5@aqUeAtd>4#>#lL7q38hH8jk zk+(4xm^`n)mM%1LX9-~@XsU4pSlRNf`OAOBI-s8g-8*^WR*V#A$KttlYk82c!reo0 zVJ{^f*_zXdt8q}My#I)uZV)k#WLxBPL(F$bY~)!Rw2sUxk-2_PhDC zv9drfrHdmjkUAab?(T#&KoqbpHv<{ExoQ#xNQb*GTjiF#{@a{Lds|X$B5r9(CBjIK zCVzPi4PWUwE<_Mc^$XOV<}*>0s^h5u%HXrcp{$}%FDv8Z&@37d#ZBadRYggFRUUz6Z9HUW-= zoOyr|gbAvL-JlV}v9>S4G8(W4jB+*oVyO7EUlqueKlksn35Ean^U-%yD}7#j=R7Gl z8?mh8zdycBDmF&ZgiJ!bU@v_WosXK#?AltfvK@geTH~m#(o_RPfYnXy6KxHm{9cLQ zoklc_ZPKEfL2T+YdXdS^<$?=B0P57viFeW;j&DR62KVWAE`kQ4WFMRrGM}XF(=Dgg z>_a_u$kr`53|M;Epz>0IIx!8UpGafJo=sRGilNLhNZIK>!RJZ>1mk?RO`2M^qey|{ z6bS&>@ctQ@p(+Ex+QrlfCmYE{hpw7TM`CB9+U_^8GDsHSFaJ=^8H$34HM}0kLS&cl zuJZ`}-U+$vljFc}0We-9Xzs{>obhU%&<;p#PBEPxz8psd5?XV~{3D5*`Tfk&)%q{2 zJRn%esv22Ev|7ZzhE7T{iBsZb?EBj~swTW3j2Qs+gO95H)gD88LeL0|O}Sb67a#kT z!0=X7;iiU}*MmgQx(L+cXT_E12rfAxy(n4ic3~_61J(z_WzMS`1Gh*!qwh{_iR9Pl zpAHL`30Y*6EO#ojhtJ+;xJ(+Z=PKHHT?%%-6~kp!MCPG}Y+|YvD2KBIi3e)s|LouB zQNU9clx6jnH{W)B?U`)v8Vp*f0Yp&Tr2blnZ%a?12S;2r=IZR}yC~YH%ALn1M+P4x zDnfM<{22C_Qt%;51NO_B<|k>bsnAG?(#r~w_hzf&8x(|O_E;suVGzTppZ(PKE%1%X|Y`OAhWW?V$u?8csJ!se%P*XZ%aQ^=A6y=7=H@KL~eS}mar=r1yI zjM5UBKG=izHI_G}fd+ufjp5d(th{qaIv*DqNE01u5)zf}$fQ>2 z)U^AU@21x8%}(iO2L*FodniT0NEbe>C`5Zd#xJ3ivId&0n16S&&M2d!1vFBsiGfFehb7{viK8&e@pf#LS{~`d5Uu zBvwrtziZcw)54Ck*}+@tk;UC63!Tz) z7X+?N@Q!<&8(lI>~K-XsnqX>^aU^1|shJ3|c@`SX+TlTtdgS zYCC~idjFM@4a62)ZdD*V!2~CXP`Mlu9qUI|uC^0FJBHg+22-mwqrJ943E@is#MPA} zN3^awc_Azl}OyO>m`;G^-BDUQC1uWQGo{zMBhgym9Gf zLabdGIJS(0iXHgT*y{s)mL?+wqd>w4XYt~lp1yr?LdIxK9T%^1`SmNG$sO{pLMGY_ zZDrRc9cSA{OJ$-ZrbgC0B<%ZI=gg zwt`dSdj6~UP2Vrm`r7`t`RKQauMG{Mgm8_(-0SvSXmZ7%sX=f6qH>O6(17V2rY>}; zujB_}-ALQ(qg+P83wzvLK#P;Nv9mkh~D}TSfch+ut&p}@Q7$Kp&XFWvV_J0_J6pU;F}N(ZD&3m zhGqZveDwDa%chEDl4+rg-0|!?fM@sEm59{kM#HgxFSboWiJixTJ@peUZE4hiZ?4El z3pu}Rm`)h3kBg2)tp`^(S|%@l=QibCd2w&!x3f zllkNL0V?d|-*M5Pp~Zl4PnU%{a$O?6EY}vBK&>;#aaLgXswzbAeZDB{DcAGaDH=pd z;^<>W;}`xrJtI=Hv>soZ?>iQJ$FuR7iUpWZFA_G{kHwa7GX8WM?OyV4>nPHpWx?9t!cCj&6m-C~LaUpgD z)?)UEN=IZ)HT2964;?M)H$-t&xlNAG=lN+-EqE)sg*=ttFmEZef4&7007DT~fD7RA zU`Gy~o7PJ%$N+(G@7$7bE4eVf&m{{<2bOF77WP<76{UM7%>*p~ZG9ElupG!lX&r0< z`O>+l+@lK?Y{Px%{V(x;#Schkl%5a$xbq1qVnin!Q_TszK@g=7G9s6rqu9z}?K0mv{#b7(fm#ojQlHRZ$K9@Ql$n5!jp> zAPc~APwZNnsjA)P$;5!sjwNzI{S5+d0JQy5w}~@5R>h8UMX0(MXa&d1#yu;Y1U|GZ zm#!Q;Xaw1Sn?%q`5ibej1Ar=b@tQ4jvY^3;ncG&EB=1y4uD#3Di9n1bof*LWa$cCFf=7>+~d@hIjN9cM+sM1{qIArjpw!3kq`wYoj)-td|^iPZ%0E5F3TR z55iA|00{=MAKJnddGojcfa@~FD3n%M6)2K5`CA0cohe-QJ1cjfc9b4cn=`m;==jN< z5<9RY^hb(1N@o$ytCIVKrZ}$rV`-@_}_SaXSRp&NhWMG zV(YimmJ~AfNPR62szH7Ulqx8I*Ze?f1x%~IfMStQHoDEwIFV5^(vmF%a^P+-{0oc+ z2XfYuyNbv7r_3F|E3OM^KPmW0(F+avmnPe*qSpJiNF2Ey&tx#pARCap1}t+ziI)sV ze%~kyx@MK0G`du0LUX7F`)%6UnRCEt$V8@wDZ3juroxVQY2d?B%Jgt#k^TC6KusUT zMjHntzTAa>_xwZm*0DaZ0k}FIz**QeJta5RXrpI%c0Gmr;Uh%Vve!DkpT!_Z3)<9oO3JXP75Sj&9+P7z5Q_pQ zj)szEOpZx)xN2&GCTz0@G||KjB37H~8@qIw2al~~SjT;&4P$yX3=~PMU|$DHKw|u2 zwEoawTo}F`4@aLrfxRSP4l6ur!fbiizWrJd?mOnAPl`n%jS$ACc*nE`PLBoQ;N~F0 zpbClqS%`Aa;jgr)1w(w+^AE+&my-47bFP^MLLw~1QK0J4>N-5!&r!3wc-xD79B0Ka zv%h9sMrsJ%_SXgr^ial5mGuIXj9dAke6tX<2*X9qpgta2c69)`PM=E?3JhUQF+Ixt zI6Zmc2MW*fF5K$kEfI}AZ&g$3Rh}IHRCmWXI|ezcPEMh*2L`M0pxou{2?AJCoD-)~ z86mm`PAxr)lLV=vIwUpxXSs^GmZjr@{F(58jOeP0^wF}qRi69qo?FGHuRgW5Cv6km zw{rY9;)6W~SHkl8lHE!-r!MN3ET*tP*A(^U@cO0k*tP7yZOAYnwy5Kmp)J3D^P=iU z08QfwgCa;3BuLgnZm&15BJByg1ErEJu^x;XA(AM7E za7vb2RJRbcWAZF z$2<48WcBb&%Gw#^3ZWy>MV`sn0K^N{yId%H#KYl^RS;aBCT9i@yT4^Z+E4?h;XkuG;{7(GMOKA!xgU;F|7$MmQ1O zW4>zU6oTDC>J#!D{Am)Vw8SB{)}TL4X`v-%_)0&5dd9L~;m!hlR}ZkTm>4iUbHBD>XBFk7Cv3g^Ar+A9j z%#Oc}c3Qrv?c1u`;mC-UmS=l6r)6uB{*V`)kT7$!0V0`;T;pkFGSXN`R%kFG7l9v) z{>>xyLgS4*rSUlu#g&`a$8Y?kx(KQpd3CY^dr4n8k4i3^ z^3XZ9ZWgOIVKa6l>twvDQoR{fD{`A^@XP)n$jHC7xrEXI~dv#Dzd&}6G|2XLD>bcK}kWlfjOF3%65TsRPago z9+x-vXr-@CrHU`NE9HEgYIx|WCxxVUCU7>uR%lQzz-vy24f&vBDq2g1_Hi3AM>7o~Hq<_?y}7st#vVZE zxwyoq;Vs*Mh-187mjW->#2eW(QomRzd|GD3P`4S}Qe{EV31rfZj8%XPRH65ibQ3_& zRb#R_52f;~3|ij)eC|v0(Qof}8h0S-tSRX* z^Q8VfAAKjR*;~u+Zr{1LdyAz2(Yo*0cLmHKoSggO;e6E3;a426g{&w+){Icd2cYc5 z%404DrBMUF7F2^JjyqeLiJ{GN7P6>rNt%^MH-0(*5Er&Ba&d@>%>I|10FOyLWpInO_p1qchLDATPG{ zF{=d1(H#rn-=_CMTt?j^H=7e%h3OMweL%H=h9mRrG^5b-2@$KjGli+*^;5Z~9wAP~ z%tmo<;f?`VzZuQ<*_~}z%~!K}NdQE`$z^%Us) zK-(ti{kiqP*kB9K5?{BN5E2Yw^a}w2;z=CY9`j=#of&9a=4?^J5+FpZMdTQBU}Ds9 zAH)*}h!Xt{E##wK%a7&xZo!TW?QisN9C%21_J}97Y#N-e)t$TL8X$zNwdp$g6)kXb zJybyKbpY{E0wP&@m?j@x0Ec|^Kro;o5tw==+WeN_{68D8Eu8;2xk7;TD+R2$SNyMQ z_O9`HgKaOgi03`LLKb+UWh3{tDN0X@*@$o+6bcB#TIfi>FN-F2=qf_yB;EOY@U3?+ zR6Ib9$Jm2P$|og%XofTi@a|v(zGt3khMIr$ikPn7*(if^XUH<$q-`hjMX^CVxwnf6 zZV*_MBez-Z86=P0Giee3VN?VACcCa_dogU`AXdokC&NpRuvCj`YhqP4STP{(KOjUM>|}o}qugzZ`q%9XK97{Ny}C zo<4yUi+>=u{D(WIru>FnnL}Rs1wZyZv8DFB`dI&@Td3qmD3xVjdQqm;X|qL%)%E6L z@2w!USekuFkc#iwHmJVKKSA$QysR=tl}>+#|3!Dm;(mw~)BxZ=Ss)F!{|*`l@t;}{ z2)4HSPUMM3t1EafR^CYlF}`$nvVsu5)jG&$SsJcp93Fnr z;KV&H+}t(8^4(%=)GT7lqg4EfR0wFcnHB^ddmZ1G#@kHNu%K3VKhdp6VyB3q!g%04 z!0En){wZ=Lk^EoB^YqE-**&`sGid(keDpE@6@wwTV~-0xNi^GEbrgFd!L$SrCRwCb z9Q@tRf$gGr09x`MLc9{sHs7MP-NaSpQF$-k#EglAyhkrdLEb&*Zt_@goW^6t2s#c{UnpH))nE_X~7q8azw- zr4s_kp!e}bh(e8bV|p7Y;rE!|?QR7ze*=(G2_sqo?wkjJ$W;G9lZ;l=6+}be=6XAc z!V^8dH(%FlHWrabwX=aiEZfMT@$%k$2A?Yx5tc%L$(Lv~yNb?SQOITRE%;huKLnFO z8$e!%_!mcYQzgOJvXH;tv)&}{M6OX%Nb3}PSv()YuH=#20)CU#V*ubCHi6-e4zj;` z(X;`0^4c}UPYAP<*n_`X%ejIAOZaT|L{uU&G8Qw_lI}pHt1qXFuD2_z%)!Ex=5(8Oo$0;9oJo(cuI8=Y72hIqR0_)~~ z5c$x*!SN2VW>%Q9@9+7|_yj>NX|pVBCPxWg&KF!)5PG8oX0|Yt_sC`;5~6L1)gZ*G zTnHIAQ0pZHl)RddRZ$@1CfXn_Mez*f%UkQo3V6FR!!Q?wmEx=LuS%`t11^Ip3HUK* zNoV|uSHn$JKpc3;^CSJ?|7Y*bV(d!u`>(taT#_}cy0_-mEfzU)kOT&t1&9LM3bM#R0Q(_`03!*U2M2x-kN^e( zB#0jjWU&(jLW00>9%2V@;yfhz{r>xR&bd{^?w--enZZa?tUBjA-}m45PbTD{w+$(3 z3VVLSzKBnlgU{L(@ZbHNZD35xGWi^wms;AkLQda8BpZL@G$bi`!ny}6B;xbbxwGl6 z(=8U~*jRw#YZ8S5L?Kp-VZ?7Dem1>_d8DlWkY-S>z%jKN1xtMzzZp*+?qGx|E$Ref z#OoBv*DkLw-&p%)Lo#-tktXKgN`D;~Ay!UvYw3b=wspw^!r)9|L$AtITnhwf zoXkMtJgFWiS7M5Gcg)^DSfNdLIu#YV4uytpglJeU;#9)U(P2(j_5SE<;O54I>=Q!egL_ zEu{PsO+s{s?ru!PY*O%JRjw@2X-JmwQ7#%WLEv9&U|d@-}wKFV#@dIosgwaa|dWXRBSG< ztvlrR#v4*ObbD>I%t=;Pr~Ln1`@GqNU^PUKr*#S%Q%EumL-{_;h1+YFVWOhWk%ky{ zi@x={P@P8{gd+6F0S6d##_J37%;K=nrWcB9<~oNC=~0moFUjNx*WhjAWPpNqr>eDy zu>#r@&A)Ds(VYmPrljMJPws(Sr{Lw9PEciSH=V&!2um@^R@q~|cjpM7F`(wM8j$HO ziDatnc%4hk<2{=*CCfZ0I8>GO7yDrmwz|`8==>GU{2FD%~9RL>! zOUPMh)PUL^G~|8$O-Y23n{7xJsDh8^wuWx~Bn$Lr$Jn0?Gv|9Jsje4IgRT z@}t?tzQ`W|f^B0RfDyHk>k&@I(Xl({1o-{rew>i!q6lPr541`U$;sg4C;dQ}@CVy( z51sIHRP_^H2QV1$Ka`{bV0@7WL@zF`Z$)?qKDeU4R)BCbGPk{_j!xbVcptn&N=?MU zK}70rdL$&Jf2f-gAy&UHmr;oJhGMAr;FAp==2L*TEX$jO8gu=%R?Pc+vK1UK#v$Uk zAU*LT`7sys`e`o&HPZ?m(Sj?|XyTZr9M!YE3ZACDWkp-~ExXGlPC>tUmxW%Sh-y1S z{^x2c3URfmoF)xSxewH~x2BskXygkQWy6#0_9-ky^ZP93^ziRI%&TW_jBekbwa>l(8iA4k;a~ZGqstmR zp=FMH7DWt<0^5Ql{>f2`XiTu>Rv5Z$5i3iJ!LV@qI<5!wds`CG!iQo4IYs!_K_#_w zQ=|pSr^^cDup3YoYnTD>=9{B8-hB6sciw&L=SIud)<^4c%*CNE!&E(!oA?P1FO8fa20~UP<3{5L`;3c6O9bS zwhI5n6IE(G#fb*?@}wu?SHA}74aRtf5SCLxY{)P9$G3VwerF+gcnq$>g*G60(6*j* z^ZGmQzWzG?8+^EUnepc6jo07(^6R=ENaHowqafrD_y_(83*oOYg}Lv=NVi2~Ka}Zk z;ZdJ2bo^!g!m0N2#+PL;Wf{jh#S8y<>@u%WH1K8lPYBv|C{>I2sI6hB4V!^pwIY3L{tga!tW%d>oKV@6l+T|E#G}u{AfmL7zNk&ClYc{9%(04D&Irn$YJ8T%lM%Nndy+Q0w zN4VI5)#KfoZ5))*!TqrEb7Em@_55F(Fa0nG2$q_LkJT)lFMU3^4sB9PpR-twA~fNy3zmF$F-iEOuIo&c%Tr zODnsu&_PSyZ>I8#hFK(Iw&{xSgcA4?+RpX1&`XD>8PwNnaDv+!axUD3Q*24$gNOrT zCXhj{NkYcO*1S;ubri;GNW^kYLzY@jYihq4I1n+Q;P1z=)ctW$le?hs&THs{7Ccnb z)CveP!Ufg3j*BDQQNbQE24r$s$fBOuA3BtVrhV2Ch=1G$RU}ErNQX3 zcq4!VUAii{jbG-dLS=@G4L7#$VOj!(_I2fQ(2u=?kU}mg|K9h5C3P~x&dvbK! z;67S*S`ACxBoPU!7b@NpEm`6e{;U{_Av*5S)D}Go(CCW zgUfu0b-~-H*OaP3a-O<}@8?k!pyk=q5s zRb$V6if9W0{&@4&f+u`d1F*n$>aka-Z)yh z=4gZU$-%w}t&=wXUqo5sv-s+rIf@s!%gIC6OK1MmvPqz~w;@^)QX(KS8cz`Ujo(=; z_gE`Uc#(XHX(|#>1nWg)Iu1z*LlX3!B&@6-!V@cII!vaMOEesES*2U0!tgAlvBAo` zWDb*F8id+yp9sQgYUEqd6mx*gMU%THskh{V^Ei6YQ^ygyxH%KvMRe z0hruq0m?#HI6IlSr6E%VEuf*bKDnYf^vB{Jg~HgJl^yR49A0<0Z5rU6<>LA+Q=tyce=e(!J{1kvU@3=DN5LGFOaiMoDAfOcfC62lpQ z5>UshWK|fVh#}0U$Iy2!9ctBb zLAK*Lp`LOShM}%5v z51>YWigtb|5#2qw!)-bYENzwoW3=X1WkGcUi7~MR_epIrP0Hk{vJEnCI%iosM+Ka) zQ{&u>(ZOy!d1ZqNcK(YZ_n+h-5 zkznqd%|f-#B}Ea7?NyYe8YgIVrjCR*vp$iiyGQs6HW>a^Js(D5!}Aftv)E9m+;05O*`do534Bq82wxk=d*sdD|r?2_Ejz*&#liBqtsv?C^=Sd04N9X#i4 zS0D@di@vJAO+@5W1a)N7hI92U%_(JVTbC4pAQs)#4*eqAnI7fnTpF8RNm&-ljhZhl z=^v2UmXzX&S79P8ff$}L!vt;bj2^$g#hS^^!yiY5FM%enn@eEim$1EPsXT>ggoW@= zM1~|b$#lBCc0u3s&Oa4LMP8oytvK4S3DZE>lRI#~9+#GkkPoqzS6oRl07F*T1!37` z#5PFfy1yfqo2J|a*9d+@T1((2SCs9=m*5J>{U%l7K;(5L4unCjkjh||>L3x4)Oc9} z@IhKJA(0z*C&mxJL>@wAi?)3%mS14zl_3Yz#%?2c+hW`W4xfm;>@&X?=V|e^P~hZI z2Lw(mhQECZER9AV;pQFW=O{{YP56b3ask^CqX|KhSS%8R3X3RDLN`ugt~A%=YIU!k z+l6k>(45n#i7VA*>eb~c@+IXM`-)!8YZ_)_TYL0i6DXH;ykCDk`cQLa28fyCr5Z9Z zH7d)Mt+P)6e8D*i00=n6TW*?saSI`y-V0M*9V)=M2KXLYafqTJU+q#JO+EK0mfBlx z1=JvrOI4M_Qe~1yENC*|$>YGZ+7Rq-!ewaQKV+hsTj$MOSd2m%!|XZr__$R=%JWr~ zyXDh8>CI|?UON;=$+ZyzK*p;V>sff#N{Mzvz-LixR0{}-%?2z$H;Hn1Hv<^0v~>I8d#BwP z2PZ}a$R#ro8`N-TaPg;9aQK27{P~5*=RJXf<5rC{hIV}{%75%w7tSmcS94fB)!dmn z#RX6c<|0WB+SPgBRC7+>#bhn=mkGLs#t6?kgf30W7m#|D$35B4p7#U6Mhno|7Yh* zzXcf{wP%FscZcy`5}yXLpGEl2{Lg(c4na^9>(3r^IT*yn(Zz}}rA_K7_5n%^SyK+h zoswsap=sZ^Au;CsK#Fe2`rEkhaXPx7Os_B4WBP@aYlSvtwBEr!%RSjAAj;IOV?|z; zoDhv=qIg~UJ>ocy-jG20k#S})6xYg`U$HTp3S3)c^!uvAh7LvUq_(ocFj=`fQ%tv- z`%9~%jIEG|h)l;(3hc-ND?&RHR9F*RKq_E@RRlw>b3&Vi z=i&BjCstr(-0!8I>fC}y^a7~ro$GC;4YfhTgE}u5usy!QLTcToYoTXT%dhve5N<;f z5zyE-__BD9JCko8?m%OwnbPo6^00gmL5K*DdcltCA7SuvH-vePu3O~Q#_2r0o^di( zsT`5%qF!>JC+Qh596K6Rz4Z3n(Jk$=T_w{%%G^Q#Nc^L&nsFrLilu7TXD1mqV1YNzJSX= za;MFkV1PX%}enWGL+UPGx zF}_&8eUF8FQ&joV&&-#8f>081=mOp>2$$lVEXq>R&But`Md z!~$@3Ie}}Nzv5RbA3uDH^A_~StbOxc>CLM0X)Q)I>)4BGByvUOg@J`QAFCiSuIju8 zEbVN$N!00f&Vh5vZ!{)t%UfBlIn@p!edIm$(RA;*@=6Dua6hHCmk{YV5|=-?v$DFp zZaA##6#(?tgSIx;(iT8FuIPdxF$if-p|(4nK0ut3qe~!1!;J3}lGBey9vz3dazFa= zJcwu8YB67WbH4O5)d45S00F6?w}ESF#wZLCtig#dxKaHwTJo#x1bcaXe%9tKOfY$AqxWbt7MJY8mm)eCe+}fsBaw zU5E$(7uhRhoE6GU%Xkbw{v^LMxr3i;o;ucHrn)X<4p#j3KHDrOm_}|ln5L2@ow&QT zRBt#Oq^M7&r1`0SG~5gEJAxew)`{g43h-YX44@B4Y4-i73riKyk&>=bD2;emv!q*e&%%#IG|Ft6yBnAs^>}n{{OY||tNku& zvsJ^iLX=lJ+BJ*`rMgp-`-d?9D!CI}N@_6^O)k{cPhivqP- zjE8_iz11l2mnuidpBjb2pMijfFwt%7M}AHPlI9NdHQkVdJf@Z==m-|K zEAFDX_pxaPi+1JOVK+z-8X|g9xq#9JtpmiKGG7wsI$=Y(I*-)UHUaNzHs_ir-?>L~ z2%;LrI2&5Ppc+h_B~nSJ<1C1S&J5$00!kJ@n197j`nUzPg<4uOq~so@Xn`Xa?xO8h z=S=GP8bR!^HYZl5sl$`iCmXw}kOXYJIa@{iZYbfnGDB{L(T$jvg3=XMbz#UG99pEZ zlwQg@m$N)bb_+^U4~ivjSf9OnZ3wk9%OX#!8#dd<55@jJuWK^?HP&ydfrLxkE>apS zyNqOn1>cY|mP!)z-Ei=2)x7kM#b|AP=~6@b@s;XPrL;v__-&CcpI(K-GLIiUb`eCA>v^I~@|4A33(pP#J{%8N}=>GmE%JW5xv`7A(U7eW6YN9^3=S#m=Eii0S{VKI}J51Ph zyS``s8>{I_maOcz8x@!SjS!5(-1&c41`f9%}(2n7Q(?`)090{Wb!#_HnrM zTy-WxRyo{F6_;O?X_^b)k3wMfe@tu3rKg%87_lMTXr{xDWS$Q--l0sM_Bst448fk~ z+4d#YFp4dZ8yo{FzPrR&nteh+ri_me9)iuqsE?2bu+F-3(9e~%YooVbeN*Q|$^8u& zsk@JF#oyj4i?7JmsorPklNF~HmeR*J*H(V5JQdP;9pnmx;g%OvzM_|9I>HzL0G?!= zFefzdrwk+y89I4xz*UVb-Y_XC-^M&5lP5Mlfc+?>T`d%>kY67klp5STM4$58G|E1f5&X<)M`l|LhDM^VTE~O$DmWyokuURvNKl@EK41w0;k7dBc zRfH?#CZkTCqL7*9F(^^Hur}@!WuHsytRbmVo5;;a%tFOAEgjoiFaWr(10*r+HrLYg zKufb`SyS+$vMH_9mnBT9FCln!ucg|+UN-qY=USYDYw!bfy!-)%#5b*x$um8MMR~e3#AmCU2^6_4K{O#G%M=R#^Fu_cn1OiN|mD zHXiNl9qz$d#FQXjKN;W3bv29kt`X0T%fI%~&9!wN_xkc`3KNxn4ona&yWT<1uSpo; z)%!B#qU`$$gu2aAe8m#3VF1m5@&>iZB9nlclKoo~TBp0IKd_c$R!P%n)%8r3KR z1nA-meFRfQ3^`SZJElR(O^m{s$f!3HHUSVaqR?W+1W~+U&^&~ zi?fPJ7WNrS<8nKLcL0g%H*sweUX50^e7Xk=)5M?0rC*DkUkZ*!Ml$%+krCh;h3r6O zG|G9JLmmg{7s(7ceDkGm&zArLO#b9eIuZQTY3aFTU!`FLq@r*Y7F(`{eTuc1FIW!@RQij%Jd@l{Qf$g zu-G}eoKc8#TM=nO1Hvk?I>b<O~y&z4qI= zPrRztdz(tV1S`Y8qN7XgVIkrmBUMn=#-B?XR;bZ=0?0ism@LuKS-zJ?hqORQ6UvpL zPmG`C4K0I2WeLlRens>#Fsd%7`-7@joI=%yYnuaQdZsB}f?xT z7@Q=50f{k--Ng^HWpfYLozTlRSC!z(-En8gJoVDj3@b--YwC)VxF+le*Wd$Po?MeN*s_b+sHic~fbW)_qviQ%wlfC_ zLq6wv{o~0E0+-&PvmusV;<*zZ3WF72+#YYiQ3Z+737}(RQw=*_O`lR;@FyR^SbphW zm@ob0_VkdBmVx{?ev=Ud0tA3_bB}`jd3aZjv#n z72y1GJO+OR9AjOX`RYMMgqvpA;XT|mz!QsK21SK8c^Rd=s=}|{ZOJj9h1Z~uS}m#m z5rA1Of4KLQtjNycYED^GqHsK=?l(7xHy4hV862;PZ67=GVctxJ!+t@g3fe6KAZAP^ zg$&>qfk~iP=fk}8=OgeH7qZe_+Cwc_2C|?1r8rZAOns_{OZRd#oBU@KP)p`v8C z#RbhkgG|JvTx)%V^k?-@|=A@^rtqFP{StnH zhy#*0{*31W-TM9faw7n^L1`0Ld5mHX+%rf6u2>FAPgxKZm+|GAf^<;j!47(G<%S&k z9)OqJp@S*}+cJQ+Mdds$Gf9sU86-Nomj-q#DwqG749<` ze-*h>q~e;JOO;e+EBQc`2}6G)@M9(u z{2TT)J7#2a#r~7FZDB3BdA2oWx0@A2)MOdE|wAjVxI_`&}5ZIxyVaOKT_$Y!6;N94SU+L0AHKc7jLB(9G#`c2U>gSnsT==YGq@avU88dPSC)ZIM*uCEG zP5H0(MGBSC`^}xAC&`%KoiF`Dl`#>^t@IZpV8^)7gWh6Y`5#a?FzQC!>BTdb1FUKpJlv;p_N(lkZ;I zogGxUw(ho5!%eh+%$4KH2cun#4@5D7?YC56q?xxE7)j=J+Fq7X(GDzS*me>E|xX@BxY2f zkxz+bt})oD5T-vZBb1`16mVPS$zb)A4AFcF=VbQdV7m2SJb(2*!XSV^bfW#0!A1sG z)CnuPQu?m|)L#thYE2%;I4P?rQd3e`gjD}WQWo_4;Ue}ANJ|8JYevF8_1~ZNh=&uD zlh95AYC&DdgqrdjaFyaxa`_y_JDAyEF#>b;keURX=@FOjphG(D^3kdP5E9-lu4O=h0 zHsb&XQ#-sLPY@HJA&-O6cyE8MR*J0~omU#o?di!B5K@Mqga^=cASqBQS5NNn5^dz> z3`LHHJT8Kwk_L7`u5gzM`h`xeemrZdb+d1{PQW)i{6NPf;VCf#xX`e{avyqZY*QDD z+7T9L$+Z2uhrD==w_{0toM!H&G~GJr)O>(bZIe?IVkd~y|9HN1fu_^w!UdruSD+V! zisD~JJsvz`>z6Z8_HH~~M?XV`IanD!9-0~ED>&>#{|Wx&MuJCMFd`8uFEt_rtsA8L zStFTUG7v5}nJ*Il@gJ-3Ipi?y}m@3T~h9&?w3{=LYbEB{sr2TX; z^Q7U^sy?jQ$>*4CN3tK$VmO66G<|8D>eyXXiuq~WPJDBXm0er7rVH|(u>)%>1yN&p z=bckC^52**T{}G^J7X)1!cGPqJBxFyJ9<6c3V5lO&K7eCk2w4KmN|+@J$11P@C3Uu zTy4b!v;;={Nk(>gS)la5kVJ%U@C>eJq9T*9n*R=0Ea>m7sIVHXt3KQniy&fWECr_o zac~q1$<-&}_4^(eA=(-vmB!EK7L_b{Z=0Pq@lt8THmgU*NR?)96oZnw(+D0Uf*)0( zrEldoi$@ztOA!WES%&4T7@67x83YA%yr%wl+bf!_Wairgcji_HPF>BvL zYy#v7g7UQqMqPGemo<#|rvUcD)!WdEu=$x@9YT-dP|4b9R$IQA4wC^oIPEs?Ay7>u z@FZ@4D&2G*nZw6*7d|2+3Dg54CKCk9STqixFurujfJ}74q|CJ;EHrB9<15WYH(+u| zB2!C)XFMi4unt~A?cfGNLWCH_Fp@!s7GtNV2KP&L)49tzP9qBbU0@^kisC_m57iQ* zVX$wwck*oo5tS&Q$Y0|y0vIBVgisFd0L9jjthxs-gjN7BcoP>x{TD}~{RBHk`i7n( zhBP5Wb7PsP1XW<<2tU44#Y04p+Hi;&vH}9zPnVC4jiii`1DK>$?f&C^7EKuOI&RN1 z$X8jAfJcOcRRl&CI{X0QpP9Kr=XNqej@qGtO&&9pA_G=pdp^b`yM*=uJi#6LP@(1w zlZ})LzX8itSbdP1n&^^L*@J@ipzXOi#JGf$Db6;>_cxFeo4u=b!aFl0s}ujw@>2}A z(<9{G&6Of4+g)S07Eo$v!*xQa(duT9L=!_wNhmH2&(%CCYDTo|_WIZFT)lbg*7DVL zXLtlUviy{59fRmx+c0jZmI`4;AspL31+YS_Ak8B= z)U3HZmX6TTlj;?H2N0Da9=DNfC6_@Ir=StMb^-ml+q(hhnZsHcX5u4BY7=|TcZi7u4K3V3JMrl!zJwu zETP1Snr|rWU+LWQVSwzAhD8&xR?8a58}p>Q9r+*~=0=ojNf_V*==RxFYE970w1et; zNFw`;BZ`!5ZdFk-9rN1MsaqlotMRh z_o#2UttfaDQ!LkXd&q?hRSGoC5U`z8TPqH5)ASNORuT4b@=9@G=Pd*#PXlhT=4*gf zgB=dB+;T@2-8QZ#>Zt zd9Je))qcI$d zhcG>$bh?y|ld}O%`##9N{(uR$w$QN%9(fLlfSGt_W}0BY%hqgX6OJU|D1VKekgJi} z-A9o8TO8K3QTPD7A?_y`tFM!Q?)573!cIv=(x?%MJ zN;S|EK{tvJurCOY%Q@uqh`Z+=pa&onM6L{+aC9UIw(aS=MN9|`Q%nh3P4DKqif1iK zE{R%4zl$G~yUIoHR5S=`N%6k!15e^1J6qCj8VXMU41&GF!W=YQCJk~%1{7iBvU3f| z>Mri?ZulO+P+o}vFVlgpr8gczmDVG)Pry@@pz21k8uaKxCkf3^zb2BdrauP6n(&(M z#AO?BoQG+=7NOv>cTj%FG!-YDNgP&O29H=cn%giFy*e0E8h_q z(TfX;r=ghZ;i_xI{NNvX$1P(1@S9jkPFGxNe8eWoD1`+0a)nB|LqJJ2Q%HeQg-x>9 zDZj1SootiqDm=2q2|?eU1i{Gj4l{(bNEkc`+G%XGnJ=QAmWG78X}K97eKH8;R&JX8 z1E|ac<pjg-wi`b22Ows; z-G!W5t=v%Ie9UX62tA$#JU4#i8x#XG!91iw46sUz)+;J92E`k6G_-+=MlLMWvXrp9 zwnxY(_vbdF)rG2>+GH`PZrPgD2sYmn_r1I5z%GAd=tIBxYDp9W4Qqv|OAErrUZWNZ zOu?Yq6=EedLI^D0eR^feeX5$%KlJ*jyliN}vxDE1h7**`Q}+n-GYC@UDt1pg{UgF;ee!uN#4 z^^}Rm5M)y%L8YZ!mFgFsuzyZejd2}5HMBZ_)XFHJcbzO&gE_nk!lgKQfTeZ#yv*&@ zE!41|CIa_yMGDHVb8o?O$18k0g1FnxDiG;fo4mlw5e^CeU5yp=_&$W4xDdi%CD*u> znah6Z(gKnm95i;4SU+a)AVf}!%R!w79k9yPqP8-^zcpX_X4fiLb9}%y4+Gduc6h|R zbWtHQxv7P)%Q^_ECsO4t2UNsvKp(Bf z1qfro{vy5ittUw1qSV-$A<$$wGqPrg<(kOG?=m`1lMK(ZW}q^qg(oGcs;-|$CP4x2 z6y|F7h(9AVkHd@4nDJ_qb>H2AglDe+qd@Fkx;H@;A95z5@A?aK;IbOx7+8J}SHuFQ z)r6PeC3@KOOYWER3uCytl>NvXFshh)WhNZ$NXBuZ{xS|kxl9f&@`-Aa1pzD;lJ)R( zV;D0Q)NCqgH?8u^2M34fNW0fZWVW<5?!ej0!l$$lpkW_F62$)C^SG~q zHzgTFDk`K~z8hrAQ&kz*?d0$*&ldC9$p|mEFZ_*GU7T=Ax3z@UU?X~Uk!`J5X#{w9Mr}>Z&?2IEP5%FNpA%zLT z9^@|yd3+re6o5&S1>lKMa)*=f04VuAcyA;nBDj>BBX%+1i3vr85c;Vimt8!6{5m6b z71bUcs$g~VQhRSnCo8M#w=Rx;<@UeCXH< z$ZyO6V`!Lh?Jg(n#S_#L7~jG^RWlDonB2t!DGAFF#1C(+-tcvW_$3rd*Ku1^E5R#b zNPO6>EljmSg$I+K4|im?O9fd3#t|&R$z~%TWL891s{{}=*-?86pN}uE zt=++x7>AK|;zB|o3t(hm%A09%|L|k6(P0ShV(dHg;6cxw>aI&Y26s*-fexLG&<8`| z>KnS(Ds+eh?_t2tG_XPH&e#-l6GewI%=fIECR=M2?8)#W>$HNkNq<^tMF5qS2 z0~9x(zDKSt;YD8*zyL)dU;=jlE;9SLVyjVAA_X+^3BG0@kRTr|c#S+?3a43xSoxNP zrfY8vzgf1R0I>lDfmzj(dI63rW>qY$X}i3 z)o@e?KU#+@e1-K3prlk@!`dmrS*|aHiIk%hx_IWDN|{ayL%X6J1gjDM&wh z2L=cG6Ez#KkC4p}^jK&yT3)@mzIOBKFXzz-)O_){FX{J>UHaA@Svc0{3#_JkUxd~l&X;~3t3ph(q#xp1 zeO&&NTsXSG|4%8t^#IJudl-|c-P2_m-+oTOT-t~o5bP<^q7ge~ca>&tH{#lIGefQ5 zozkE6@Oj2KS@b1*UZyfZK|pTLm)cz1-wzw_S#0x;TX}WMS%%kc8P6Omf%|)A?E&Da zCkQ}{PCm*RX|Xud-TXi7ZC=#K423W|^N1-t^K`Z4MoR-J)5@lmwp5dk}`IkmxqQsesQ#%C#k_ zcf{#D2sLrv$k{_@Fmy-D+2?@93n58E{Ct6u6n(bv3b)1K+aOe3!!!4F$Hy-UR^$JkQq7fveV9l0La)m^K z4iz$z&cJwyl#omMmpcLZc%TobruFUr9c+F3A=9P;9cl%1sWU9>&^qKSd0F{I+<5fg z#>WQXB@cgQ5R)>)+6O9o0xVVP55q1t*Z?sk^(TYf%g>>!kQC)R0IdzcO|>4V3~&sP z{iWEE)7DW)X7=BxxBi*P}_zrkFXy)0fqs7f<2##w54`|^@7%fzT9diS-t$v1UYK_ zlOwD4j|z{S`P%`@mInFIfH-25v%_{C=%jOjk#eyfkcS*RJUhwiJT@|d;*<^8+N6)D zu4L#*#y7}}Cw@j4Td|+(s`%g_S%5|dVMqXtIWHZ`OS?_T$V>R4AGU!b#HuE_J0x^k%DKMtpaiWHhj;T7Mq(Ma=I0p(za%$OGxz6?cFkXirL2=k zc#%febJADrw?MC+O_-t}7{A6n0T8=`dHQv1#NIRifXEbbqO%0sj@I0w10}9QWCTEu z#r~J(OTX;8mmyxDJQV>HlVY zqQxGiZC>^|cnGrC1G(}?6J!3L&Sf)NL<(+)(FbVobRCnw07oG z^S#*DT(;6-mO}u4jnnKTZr>eXU@4P6tw<0NSI?RY9!nIjBa00lLa2o)s?h~PXS%D=6(If?IK#;QhhcGo6|ZWfk7 z4CN&=CL#mN4d+-y|;qOT_uX-j}6OOe)1*8K3q`uQRj-Ng?9nTkvQ+-KBcRejT*2H?!IHsF z9*3m&f(b@u?JNcYRRc#GFyFW3qA@g9ygGihmQxTTdK6o=c~#l8d^)W|3y-_v_LJm> zVa@GyM`UA8u?DOMj;g%e>;;R$<;Jk5T-9E5@7OcuE>NXE3SV|d8}<_}8Hqr?5>Kus zC#w`ijKtgvf;NZ=6?-HDUL-4+(VQ!ykaD10Qv7@QtO>{FR!^=U=#8wh`SQdCA7G%h zZ*Dc~B()tY>ApH&`g%yZ@0Qx*@T-|t7}!wgr+y*eamxoZdb)^*_Pc%brHJJK_Y$HF zN|1l`SRD&!H9zpNN7<@onP${yyZidWF}i3ScvK`<5C$;UH9Y!!ihQ)kokh^aaW#hS z5dHdcS&iuP`^Meo8 zme=oGSy^A>HIHw zqK*I?ODJ6bU=42~>V|jWOgw`k!gRT1Ox8HK}?5WSkj!&i2^?e7URvnXDAtPAmWS2REgJan?y0fsg zMm6)u_h(<=eHWsbWZpv)+ZP`klTXc)*5{=7hu1pcHYehZq+*x5O0HDNOuv;oYz~ze zNV2mm)OUmE;*!Q5*+q~?3aNAsBTe_*x1J`j>R6$8;dG7f63JbkFMWxT{RJfV_FE0n ziDW!vo2`@F(-|vlNe*0r9y2NjlY#OY)BpKF*s6OH$6@ugl zZ3H4Q6f21Ip%65&DW5x0a;{7ekAp9j)k6axm2x@BC2~#;NECH0N~lki>%Db*?ed4q zch;7#FQ~NnCHCd=T&`N|@IyrWeq4uV%U=Cx<@&W-aZOyOi03l2JuWYWa0-Bq&gu9g zsZXNg!dXa|^urcB$@_2YvLF@_Q_VHBVX#8C9vcBHxp_vp6`a{nC?%ph6S=CB_b8%r zl@*G-dhrmKJ+xcPrP=o-IrqmfYauUW(h+F}*Rl=)WgVYy^dQsKUa~qfiZBo6K(AG2 zjLr(Rv{qH0k7RUiW-vFKQl)!cp%gg{y5rHA5<8 zZNBtVJ(+j3knxkD;(*E0NleiQGDjN0}h07z%-w9aTTi84cN+~;SR=XP&TuN zEP2dwh$c>91vl6+5UgL|H0n36hX z1AH-#!4z`AmZkd~juyMUEYiD$hA!ki-;*!m?|DYN%K$obTwJ_invf!rOanT{3~+EK zN1q{00E;Gf)yMfu$gblap!sV%lkSAqmw#iv^k<;IJMp3V8v!6fi>9OrAZ(o3gG9c_ zZZm$D{?|Cl0P^|dr$s&_jzB`Z7hO>6|FFU3x~8dGn`20cg?xXp+g9k&Vb_S!1ulJI|> z9Mh>N|AeD|D^gAaRKwcBgNzYA{X22KNQ<>}bIO9fd*~6{hotua1uO;5bc@w7HDiMp ze?Z6+WNAbI9a4)ZUNXALM5TL_kRn#)m-|K?Q{UbmE%@T@g*kWh&PyDizg(s9<*<@$_Dh>tC0i>!!)H=^%4-_3vR zAtkBm6d9i<)7rF;mv7z3-;uJsILp_d$xMpyeEu4W6K{*BR!? zQ6p44Om`hoy64pyxj)~->8Qz{ZlY@eid;2vt(~K%(#Z0=K5I9nw=d&z)X(7)2p;4A z&zHRZmi{G6im8}gbUpjmV+$=u+jE~(4|p?yb264=X&%w9MbB;>sf8&O0+0l)<3NIzYk8?FefY@i! zVA2&g3Kn0fT6=)c;epPA%x1(5o98HG#fBBwqa_g=p=|G`e=q@WjUVB>h_tZLevf)x zb|S{IMlXq7_A!Eg9-`>C*pVF*^biYBfC|R(Ga1e$`W!~u z!?8IG@}vDl+_weVWfA|_cY=Q;RL>wUNXfE#B4vCdc+kEU`K+?^mF{K46m;hNNo9kS zz$&CPl7;U>#`aq3T6LH5A7rk(Lf5aW4{-q+{gF5Hdcra=)gnL!8%k;sQ&!~ER0{fq za;#7;HyBM*kP(%L9buM%Mz#6?GVtld1%!CeI;JPbi$)x|EkVH0CrMhW4_#X-u4?dy zS`52#iaSmW<`>0gkZ@6&<$!6EC;<=B{9}Adw|=a-UDk%(X?FJ$1re8zZ`AwaCjUOZ zb#wjZ&5zd;Fd`^u`2k&pr`_0=HjV>K_f9RX4uqV%@Oq(?So}1i5ckG@NH1UQ9&iqR zmSy!v+ttO<|8pPPl)iCgd1MJjr zI^2hW36a2sSn$gqC>vw~kRF%v8Sz#9LoVY1Wnmf_|M3m@;_^dI3FuxKB@>Tw*~q69 zJoiC4pFRMoxHyi4R-6MCJSSDnP#y&6zyQORr1jcM6mg*3H*Ha=K?WvqG+xlgxVpdQ z_|b_bc_cqBU?rX;N`N=&JzxYHN4vLCNiA6hx>esel2V5XLAgM)@w&O!$k^_pxF36z zr-={oBxL-u7?k2^!T^p_1Ykk=xg7T>9M(WoA;&`%a>09L@S6h1I-PPoE0h{;5cb#z zKbjl&AIM?cZjHbHQO(<8D8^W;WmB;AxBmYREk$_?(04@o< zpj=dEVEb$&&=>Bsz>r+D*~EcWc!Ej&Db~M$g*hr85S8b0LF|c_`666A#C8>L9Vq~C z3N}^tU>Ta?ycY!|iO=Yt^E-=3P1o>QN^p{x3v#)ZBtF^NJ!DU3Eei;Sh@&gb>eu_= z7ghya^{KEwd5qj=h|8b>S_(o83822#Y5O~Hll9MQ9GU6_0NJn6c{2QEz3H4>dGER0}v2XAaGVE_}-l z8c_`-dB}6ThN-e~`NigpI!fn~f}C+H2f&KfEq*w+iYgZ5z2lm>pgU5jj6CMzP;11P zY+1Tqf%$k+eT-ZYJ7Ilcl4+(g`{39_F+Gx9qa!3=#-ne3(Fb64AoRKnOn@9j=EH_GmXHqA*OdxZT4 z@|JuMPLpyPOe7XBI3WZWe#gFsLLCRV%m|7A0HPWkXj&x(77m^Jh@4Hp51exMP~vS;)N1NL`AGNSeB{VyhIF@_ z(Tr8qc`nh;danj05huo2QL7LRiZx{`1F|oVlAn|Ot1>6v0~QpG=Tr!ZdWD6d?)%Wj zE5Ym2=Y@7+_X3Gx)}ug#(>75=W7jUYW)NbIiOjT0 zD4_14o2o=Wg=ujh+9VimX78%7h6H|G_&{&CP<108M}rVEej5+UdOXf9gzF(!<3B(% z_fW(JF~E7khzKE2CdNi^EvsKaEZ|$#SK;D3sNY0PUvqyBceTOb+|{Q0mvQI$57pGV z=(;jaidW8Z?%wq7_C^i@$@JAaStaPxAMCM$s*^@P16uGhw^2JIbN%Wxf1Vt70HRYE z&KZaPDe&ai`%^^E8}5Vdu=GXnfN!89gBJv%`Y4Uvd@_*-~)Wx+SqyGwOj)%N@vj_7+MkwKb|Z4E;NbLqetS`F#`pQ z>P7W)a<{ouNpkV#IglInhd{z~^I*CQF+IAAo4wfD3=`n3SHC>EvR13rR&?O*MVenC zrBG75vx`0v7~p=5h6t&3(%r9<{6BDmsE}H0r!S;l1O{Fslub(g?tJN7%X;u8;AE8F z33*=tW4J@Xq7D?=yFan?8}U6NJ7iYr2?|YGr4mn78!Q_YMkde-h0N`S8^cfQ1||WykMpUKOb_k zjs37}QQq%>?F)c}WQPY9;!*a58Ug0b39(vYeN4SEDC8 zfFq--5wC&nJE#yub`IEIjmRO*C2Y9lfs??>39+&7Rxdxpl%8^SzHIjpJ*f%10JwU- z5~x$SOP3$i?1n)55-6T&X=u(vj@2z(93jigiw6f_9lz(cUI2|m5$Mi+ymp=#4usBBEe0Tsxt$Slm?QVwx?a zDQ2pQP|8;V-E7=kt_WxvJDpZOTDgWR+PfN=vQ(7Px&*arDLUys`yoU*=?fZKPT;2n zUdgWYadsuQFb^#Ll!tOQjCg;uS7FW07S*)3=y#<5N~?@7X`F2^ zP->3nd3IfCbWZ#tpj&F@LDid>`I~e3WDf{_`LHlp-91oQmtA_SoYi5D(e_~KB? z?Y<&B2gM{~!$Oq1MiV?bB|ERgYHFHeP><*Vt%%`pIM^G4o?dj$;Cwj0!`c>CFWGqm zipa&ACCsF-u`V=S0&BN!uim--tJiHcAAEe}=FRmx>nk^w{r6>IF_UjzzgGWFIjx9Y zUH)402a+jINHa=j->LuKU2yPJ5TtavImVeYEGV67@L=$r#=qg$kAZ}4d1ZBF{SGpo zSC`k^kajp+zxm0c-zSVgq;c;#G^0m$%RnUB5pb&QsRd%_dJu4{BiHx#e z(VUybJCdC}#86U?qALIZ$E^KTdNO1I;@0|YdB0q4;j7%A-J5e9_|2MA>gOqP>A~ZS zF%}-WmGc#FgDAYb4khq*)s`ph47qMjyaE{^z(H=KlF~f@WY#0CM>9F#Yr6aj!zR@LdB{b75)eFe=vGAt=uM=6;-g1Qf z2osxjKzNK~LM5V)h!xR(!6qZ|lB*4#TE}+E1(Qn0eg8oW1my&d=%I+nMfErLFrzbp zeY`>YH|9$}sv)>W1Jgh3TDYvkpvFxD_o?5CPq#W3n};Uh4M}nqxruG?zyw|KvYgdp zS%Gb#TD3gIzi;#fU`)%F%bBqSF(FoJKRY^PtIC@l`|lJcYFeeTKoG49Aw6@~C=Hj; zZP7gLCpAiANtJQApc|#UUcEr)oLisrOQ9(@H>=qTutEeQc9aVqe`I6k?}^!n3uu3F zkaRnS<7uo(rEN-J4}v`N=i?n}M>U$v4k{hOLh{I&%g`^O~=vTef z)!%SUpx_`Io9s&Z%BKi^5Pd9?GBkb0YP-@y$iP!8?u5*7db@t(`$luuO?~fmH}3lT z@c{wIP4%@m8#<2$slj8twA%SVA>g8wJHp}^9iGb`6K@h3 z^#dJFx%4qy7cARN_Q!UKCqYibU0Yr4;PM~6aLseCZK zi`+0wBK|Fun8Z0^NmQ1h5Olt?xr;6=@r8w;8LfI`Q9}r-_+2;F8FqQ3ZJw7`*^*wP zV}ED9bg>7bN23e!a0f{Iou{BQ3xd4_H2!jIy@3Wc`&|ad05l9jX%?Io9Di>J914vF z7!Dx^hgx`#sCX?abmql?$2zcFV8W*yD51~jPz;RzY~6eg0Wu3F7H@qxRM-l%i@OyF zDAO~057~a`OiprXl4ni~q5XtC?xXetyJc3@ptwMu0n0K@CYRn>qW5=dSnSU+X}h{egJb4CJS(;N#e;o%lY zx)s97ft1U3pcR`tHL(7{eCfxA7?wVD4}5IPe`oq}FKMepZ#=@yV_>bmG zKQRPCt~CI}X8^<_2j7|hVFCCUHUd|S4&am;!~z9_{0pnZFSiF+~9M_M{D+ zY}p1&tc%rGO8&WjtG!c;R9ar(K%(D9%>wKwq`{Fb*cV#*$ns4ewxl(p5HNC`COgxR zww!EVn%=!6MF4;RASnY9l#L;pyb>=DYq!bN+tlYWVvZ%dczLt39j1UxVzB>MVyO&UOREL6*Q6;rwNNe9&`g$9HM>{@J0{y)_9)*CQ^-5 ztf1Ia%J!iCMZ)5@H3bi_M}aPjH#RmsqNZZ%7L9+6}69uMtD6tj26k^vy2Dg z73p6D-*LzzBzUsH=va&#vAA0?@`a@yMxH~zAChBWWP$OW!wE(bJQznRZ|U#F)*8ip zv=xs!*~X@U!DEu>fyh`mkK4g))LjvKJ6k(!oagr35`-|wqo)tvlhjX8((-%-*Yk_P zMO7=0IvFqh2XUSbpaA}pL6lM;2uPSte_!vmjnBAAtbAF6K7-VokdiHbacOBO4UBr~ zFNA>+#PLIZ+CX|7yDG?HxXFU?^vUN34-`pAlC^j8xItgvXH@c|eRw^?Qh5i_OaES+ zfs_%)FqGp-2anJ@UqxZ=JxiAmFz{?3>f#~Fv!|BwETurwnawn4(}oFd*XOZ?Ds=UD+W4&x^cugh zH$o%|y2U@cySs7E`y8?M29xF<;40K^2+E!ov@$- zCpHT7MAYVUOtG<48w-lvpnoaPM|yL;+*A@26tUgH6GnfVxNsV36I-!XInrS>gv6$| zoXdFtJ{Fu~#W{4wiUYYj?`kB}>(S09;7QE};^T=pUy0ML+8Fb}1EGLec`+#oOt^o4 zV-utB2tf|`2Xz#qK;2kw7MtG#%9x3!fnk?#0)o@Kce4|ML~eWk@cPXwcTn(r^VWJ# zqFRiXL&zD~hmaT4)d(S7R_1x}zuvWj&)>FTI6JVs^Y|EuGo1n}+v5!`hx%a`=@p-> zpXMnpUAko7hv_bpFo_t#1ceF>10-mWPr;ZpEe3&I1hbd@R5s%iwaOTjLAK&TxJy~s z6^q{khm~!L&4-*cjAUNFfnE*P%L0UGPXdUCs1<3FK2J;b4VF@qDtR5yi41e|7u1#{ zsFcINg+9d$<aRFOXA6$>bd1}xOCJt6@V-d%z0!YyYU%HLyIvf)zaVw15TKt&t=7EDWJ~3MuKxxph?s^5z6d{FyN+s5L1EKHhk1qj6+~#^va9 z%=PpT4wafOPT{tE14D^Xah{6B%o6*Y(eG)Om) zh;zZ9Ooq85Vh7ZvxxGdNDTnEoL}9bP6iLzXLnOOy-J2Q#1z?mw zz@@G#jG?kP)+qybD2J4A3D zVw*x6o^ZFo`SxVz5eyt01$Wbe_txvFchnARO=o>dB-kb10&@PM7~H(@T}?*13LY+cjvL6E0iOO> z;?zWIo;2<~2r!Chfx$>H!hXT91>&9PzAo_m0NWX@9q#Sb(z2itPM;FKBx}`|QKo;7 zHqauDHGwVVVP26ObdZOMDR6!G9$kFn-sfKU_7sqm4%O-;7y`nj1P?F6+c1 z`2{&7T2XkoasoRpX+Htw_JWJd42~%Wt4N>_K2m<`Fvn<^Cvs<`*<+Z)4y#Wvt_6B_ zwRFO(`3>ChuHzlqeaKU9-Jeom;>5ae@1_m^MD~zMJE2@_lzC4L)&av4S0nYPz)}v!x`OM>?Huev94j zO?6@HP_5K`U4wyW;T6b^*q{yAgQhlTo2}7M?%dwXnL4Kn=U z#V7COvN;wQCD0rLDK^H%Cg(v!>#UVa+YrUEt~U765_rUxU%}9C>Kg#|wgE0c?EKBQKocme7o*5;lVy+##uzW2qgmq1iLb1W zqd-UGP^@@7(tdHi^wWK$MKuUwtsmTD4Zd z5}@(>JNs%o3*bhcA*@69P1R#o21WvPZlmXr4Vn{>Fxc-t?{H`IP5XxRKK0n%qIZX+ zznJhoTA~_>8vBMpia#32)o+pG2n`^f7HvK-n|j^oWMAyE?8^dc8srhel#2++35@lT zU2U9EzNF*b&p|s_FmgVBd|v!T8|4Hl!MD3p;1_+|j6EADpJ?zCN!4+9xdI++kKx|j z5^Y4ZXF_hnuq5fqKg`-y`0y`suTl5UvdUkkyBZ|zJT zP8lB@f|(L0U|NO<%%*f3bZpD3qsxu|M-GvOaSjbU?a7lZF69uG9HBCKs%fLCfnzFB!`^ zI|690zlTG3Ml!#7=?&sL0)j|Z`IQmPWDuO!opRkJT*L`($dQlbY99Ksd-~K{{)}dW zoMI>~i8Q!0vsXAEeYz)}$~|ziQePPKKhrTSS(BBO^3s_~wF|&!#eI0jQ-h1Rac8l@rY*nq0wSd-0pTE^6ixe&ItX83|1&#yq0% z{5@qex6PLR?O2hgHU`mWoVG(UNRpO3DV;j-?I{x6Rh(_?9JtZ;o;v!mw8xfAP?5D4 z)hWe0LkAQIVK&n0eCb6Vkb6Dc^YcA(4>CIr_m5+>*5pRI$HHlIWF%o(Gc3p^PfL!K z2vL}B4jZiRfPvIIz<__^6=`Z)$T9%XFskc-S%S=0Mnun_jLmsyCZ6&35{|^dL_8L8 zb+o5?OAR$8c?ak+swGQL59Fe$1H#ClcpFAbA(OCHF>*605hbdt-i*LXvNb8hjUM5u+N09Sg5~bgbD$cXzfN zHh9+OD1lXSOFH=tDpvP;0M!j^5{L%LL;KTerVyK5f9(%zhp}I3fJ^7k~-GvW)zH6Eh#wW;f4D|2n#W|E=>|Wkz@z z3HSVcnKl$I?sFf-G1fzj+B3a#*sCBZu#yN-wdx`tLC1@v3Rw}$TJlL~dNTIQ2|UlQ zrluDceTLv!S5p)OY|X}I7Mil+@a8DL@i4b@cPEpQXPbK_vBIgu0m6 z@_VHi9l&rydUG6G2=m&{0dbk=7K>i2g8OV?Mr{G=Z^lO;4R|{AjT!5JNWj+DPy+PJ zlf(Ia)B=C=o20+X67J8%t|BD^B{v&=tVa1BH21~P$IJ?R`CYuv$-$z`;YzF_5r>~K z43=nb>pn|)MDkb_F)+O`&5`0n!>p>=KwyD;5~1Z_{jP6mmMd4*gqDvpeCnCy~FaXhLe8w`X%0{%HwX;L&D1Ig zY)Oa-50a^a!@G?b4AiH1Qn|P6!Far%i*3x1Xv5BSK(KpE$~Vv)jDD|ZJ#k4!1Li^i z#2D*+nIf$M%lOb>HkutGU{g}C#npdi#h8fB_fG8XuB)^n}n z)2drdBSpk72ooX>B#Y|&?|_84)l-D(@8gJR?1swf(1;Se*G_b_NT{!bn+(tG2#hv7 z&h0hF=_y#PlFc<;;FMEh;5KJusMfbhM0=dDt@dX!Q z?ub59o6&-LTRE4!*m0Yf@sYF~$pR5sP0i&IL)QVZbW2nUz|oB(b%jr8V?yu zni`?m7%are5W(9nOWb&-$<)}1-Ccky2~kN^*g-lN!AE&ati+lWmXRP-V`U^jiiwFq zJ`sk)`-U?_(NW^126<~~V7sW|f#oY`h8V51Zf*f@5@8GIjL7h<f7O+i>(HQuB|Q(Y)< z_wJa@%|gQlbF8KB3pi{rqGmynDXO8dZN}NLn>@1v2qBsXhw~eOb?h(^Y+O&v0~QPS zN9zd0$eX!oknvSrC>CHCy_JEv-Y|I_yatfl`oW~O9!zmjFz)8!O#F5^tLPB?8bQ-B z49LR?rao;P&{NnOGpDn2z*aO?dSkb!pF{RrYEp!3@g4&5aITBF)!1edxYz^J(*A>3 zME-<|g|Op23ruX1rqybolMW=~Yk6d`w{=F0P?mg5h^$yKd7P8X7L+vEykGmD7hOiC z$#Ukc`20E`+X8*SW+BYQ)K95M#3BjHgs-abSkaerJIU2(5V0y=09Mc;5l&OFVzi{S zL)po?#!}|gZq=6t=CB;0ms&^?Y9R`os`-rZdT!V)fuK+m9D>BtL;mr6=}!)r5w5We z9nYIK3yoFc!ZDys^h-l#K|poDStss+!{wn2QfkjQpaKVOp^*3hW?_-|Bh@0z2!Lge z9ue3jm(}%z#_^OvOvI)jj~B&GQ2@wDt#WM8*9R-Bo>h`mACQI*<;o~VU`ZyE{9q-7 z50MZToIV(%(s>tZ2)qTyOw*$caBzZUon={;1Jh~E3Wk&On%aef=ea(}2h%KZTZ@o$ z6N02UqxCB!i!A+EUR}TSbptFlL?u&U4eAThTbtg6Y7n1wP(njI;Oql<#2y`o4hkbu zr8qOJ1)T{4&t=ddBenn|>r|G?OK#8(uf@aMZ6A$PS+EHq;;RDQLCzW%{XyUf{m=nD zgy_<50GytO!(Z`r1Y6^i@rb;UyQK_1X$PVNuuJtjmBtHU$@@;nxw40EeHKFr;Ciq* zw%P7Z6hn&-NkUXIPxuV&4rH<%Ee&F%C5)tOG`BfK+NMGP(4I3vSmn0`Z!iw}t?QTl zj8xBj$$IjnoHLFa_=^3Uq-p*|$U9*I+9Z1yN0+azuiRYa-J%cy^QGtYZx|WzIXB>E zA@u%9d}W<9Ct>w?$|>CuN$zYt)8>WbL97+)iZUjJ8=q)AWPX%a%ZcW$Lu{|WP_tJ2 zM&IXk32>_zB$D=M)?`T*y#{Is-q^O5OyV8%yOULpuBp)lS+(Don>ZOJq9RpN^BhZ!usQ}1x8fB>+s)xqQ zOu-UJjq~X!^74E#BUO4X=F~}JgWY;WEVaJ)VZ2TgyZ7me>>}fDAWe`V>55abFIIy9 zF)bA=WOD4doskkDNV)pGaWP9Q42D_(c6woN=6Exftj06CI-kC1<*>s=7DqCi8% z8_y+G41}3coS#!gwN)>O!nKUE0eGlL9Mp-j{B}NHXo>gPUtk7@u{T zYu2%`z^(=7dB%oC)23up!dyKKSg}HeEi3um!-?J;O4TGQ^(3wp7U?IdfGsf8dV{rF zS2JNGk?Z62n{B(Q6m9|PtJUJBgmcp%3{`;Ucy(;YQ9OB)RQ;FdOV<`k)r%t&swi-? zn{>5q+-0Y@LQRO|+52-C6)t#}{_EIC$L6yNM4=r8>>=#1sE_xjITPz2m7}&TQYHtL77o4*WBi=(j!p8? zY7G0w=x1UXB@bAuP%9w(bCl4y*u+8rqv;1X{(Dw9@m5`4v;<8Nn39jvP`Xhc2 z6y0@ZIr9SwLXXoAC@3iiH#Gf;ySr0_&p<%>1L)^j`N_#A6w(jKhaGZ1AfF^3T)Fh8 zsGwc;fQafpK}w%5{{~^PTlAreqaXQOA${Wqwfn@!lxKVXB^O}(g$QZh`Ao-x0#?V9 z=|J&Zj+cP3-6d-EXNL^-W<9EECoZ6F-ab4QRU}*Jf)`UAR%I?&=HF=Jf`1>W1I|a& z4Y*g-&ko^BxmYL#+E3hr){yd42kha3&&o^;0}60vS+Jz)hmW(rkhN;L8hdcz(Zh(7 z8ceR2xdM3{>rkO-(h(}n5*w=UkVeh5B2;w}tcFd)79G@{IT-?Oo*F7@aqLV0GKI7w zn`S&ij=kE%&U>(04|d0Q&1CbpX|{SVy5w?jYSrdUwPGs?6aXWSjN;q9!-;!^gr1nT zMptadwwR3gM6=O3P8r(7&8tUp^Hb{tH+eY*D*pa&bGNXcL&(dcI(BpQk_ z>j^I*fpGxmP!f7_WX!EYT`as18;W9tfhZ2*R6P%;ty1eghM0l&f1dYFwne39!kGiF~>Hm1F1tOoQo#&R)u~7CcZq zGA5G1c@tdSU}m?Vv=NHTp|&*F!~<0 z@`j)f8^nL7o6>uWI6QVcy)@kyOf!Dt(ov=$Q2t{q55c1Bkt=dYf~W_^gIW3lp$a3P zhWtj_>=LKb0!?mS`h>(g_O6{0{xWsc@J$qDSf+-jPsk`7tYuA!GdC#n+vWS>MhP8N zOfg8esrl}PR0v_jYnT#xj5$p7y(YP8^o<#a_2Brn#PVuYflIBDJ=RnWi&D$6SxyJ7 zYI8W)0Rc>V`83a45G=8GwgN!EsdGrMR}r+_6J?Zy2Gfq7W6G9LaFlnLM3Q1mNU7FS zEFR#R51SK(ZAuyvE^D`hby$sYL=2i+W~~8N?5hwsQ`RCGZZ=!wyPUfC`ez ziiAGJUYHV%kl|lo5Asf{%W5_dG_zRDUd#>VPVi0X0>OR|9>+A|*m7mcAc_@OqkytX zZbW|=_2#Zvg3Gccw`~AEsi)#!WT0TyxaBTVF6ewlg-v01T@yX4#0&>Bi5=4ugm@zt z?Wx;rc@15trUAsYOkK2{14!|W&T^2tt)Y=&E8wB?w;^6yi_lSkS1omP4PXR>o{O+j zyFk<|I(){+(ThVQ!FXYU%JCb!QYG%S&5uR=0aPSO)HNc5jakO9d|BZ_0#B^lksbMY z+%65c`jS=_7#5L;1D#qEjJXu*iYZy=u3j#H%dB2dU}j2m`nx~ z`6gqFbf|^aoW(k3N3=3{aPivwYLQdVZ)hj!4#t6Rb4*8$oZ5oVsf9z>ohQZ!_&g=V z{LW13uq2iTkn;+46Y!wzL%BE|UY#~7$V?arXI97qQQ8QEN%LjO9Ss(&AYB#m(Z|pN z01NIA94?W7DM!Xs78;hYgmerKpiFgXE7YvCpJx*Ib({bpu(XX7g3A8cL_!-3HJ3ar z!jrjRaywFgY7GgJS4^Z3U`3u7dMsyG%nfCcb6SCVeiWCQDNhQ!)LvoI6jdlDJYqf* z0@H?Dd{%5dkzlz?@`!%3O;5Ve>Bs>g2^nZO#P*9*bt~7`mVd>VdmvSpu%b-b=X4eu z>_^cH_I7FAn4-#1ren%}w6v8cqgCNk?-u&t=AfDWQ=Kl~Q9esp4Q`@*H?)Rk`QE&; z@?pNb&g}>ViWk#8ChVtDqI|H=E{`FST>QC#X6j?U=U{cEtcfu<@{2K$Cor*7t(e8T zVKaHY%EJpqWu$3#4`vB#r)V087%q$Dn*mc<;+SZe%u-}8&-NjzsvLH|_VI^r(p;8t zc)~F?i?Kc4LfcqA{r>v;S49`SdjI{`@bl>^-C}FIMia8EOY!T>+QcCtjTW(@v4zwia@{)b&sHXT8PK1w-BwR;`VzZUUy8M+W zIf1coKkSRiSl(x0zoOmf%<$5;13BxbATTfAhV>{BP%8k3z>p@$*2iHqp0eA_Iyz)g zr9-0thYhNnGh~3XBSej6OEE=bV{p0-XIgK~NIc2{)TC@|$M9N{3e>RghIKlAx)=MY zzZNaPW`KCOr!ERC=hor?ZtJkMjohE8YQkwG5f0G?$LE?vI}OM1Kh%yYR5Qr!xeNce zzH!blUu>UOQGKBhUsQ&aiw$r!9R_VyrzDBND`E#d*)Zzm8@%Rav0(!nOa^>=ry$Xz z8@l=N^6H%%mwzo7h!Z)J&k@7|Sy!lEU->HPZ1}l68)^LDF@~S)p}hdJJZUZQJslST zG{C#&ZP@*FSUQ#KtO8poG-hwD^^PDEv$ZipVJ9x;56F@@k&XcQ!QF3GmU!zF5g4P+cp<@O$EEj%A47T; z$%@cEDZ5=+nqJTwM2{Bn!Ca4zX6&7av<7q`Vd`gTJ_F%E9!69Jv32RJtGz{_ZkL*zph4)w$0D*>``uyHBp1l>h>9!m4$8xrYF4n;Veu>wrK=1iKqlafga0fcZ5vG`-E8Aip4iM87+*G9axhDgF7 zsH&&EkEC~A2br|I6l`$IvI(8W2G~{ijrb5zfXLk49V_~K4I>#|6D%oqG}!#fXhUF) zCTbGd_z@6*4QQ*J>O_qdNa{j)H(|6$h`50TKwn2_h7&fRlq3~T0GbF0>a;jyu8T<| z2%r|4Yed^gUzPUjLNS>9L6|E4{Mu_bq4n}pACnucY4t;l_X9zg1w49_G%3nAG_`nq zh{&-8<}XWl~A4cjq*THaL4z#yE!!O`ZavD@N<6!Q#ovCgcxGv7Lw}B*_ z#Q(r6G8{$TX10=7BDLl3ToJ?rDVzt3fCT?Yq-xil)4U}HIO`E}Pl66xW`w3>x%<5ep_DcLcXv@nw#e1q@!R!dfW6vJ4+L2`uo6;Z`?hC9~55)fav7MG!-Nh5j zV}|LSkbJ)1BpwH25Ht*+#so`K6jIG7M63m3nz`g?1T1+?=>o?CI1dGHoffWPE9qWf z^P(q9a&c_)bxV!Bn*4hS^G42huhvq8|w$N`G5xybi6 z$s-`xNDhahq$mR)G!yb`Cvi9K|27u>(LpB1HJXP1Ioh16xcV#c#g+md?~HfpfZ=cn zUghqVt-E@ASFl^s14UOp=wBtctQI#G&@M~%o1UB4%O*S>5;PP2AiyCoN?Zq z44DM;B2)@JrY4&veZZ_F%r>dcfzaAn92*qzFt8QT&?~F!cW$h#x_HB7+Aw{jCk1*#|xW6O^W5EoXSV$!{GTvq?`w-Gv-@~^@=j=n?D&+A^Pu%Bj!x%U2-Noy^J^C`X z7vN)i=VAN-(tvIlVRdyChffwwKLmU>L$o4Om+zzZ6 z`|isFj@IQ{m>4UWt^X6(J>XZess#ujCHyzETlGv%V`ql0Dzaz%+)j1`rv#gbKRrN! zjz1OGdB?61vd|nNEJT2iu2Ys4`_}wp>PbpIgEs--lPW5qIjap;M}l=0)B^@k2jD4_ z7*{@99MvuX-GQIT0V6)0UiAd_=~2+hxcz_Np*XmRTgG{L2X6e1GbrE$^S5Z5H!Mom zUZd&Hyc4K#GK3xA+Xcioz-$bRi9)93rjNm%*t+j^;8IAUWgzZx3tQ8)kL5?J616}u zwJi^1IC5Z3WL|ZM5%wV8#{c@v^m;vzz>Wfl$xihFXvx$QjIOL*17LWw9=T2%-?d7V zskDgMxtT-p>Q|k%Vd94CX2OeeqcgHDFi-qE%AL`bR<0Xsg)Z zpF^l(eh0)5GYy{lld+Z7{9+s{x=Y%IR%w*wBJ{0Q>We2NEh1iP6U%0LU3J63CGZ-q zXMuYLe0#MNgqs9~Fz*Z97u649nQ@KkP$Ek`fQ#TblOw_{XT{OV>g8+KZsDTWRnNnS z4+tC!$>lzAFEvJnqDm2j?XH5)6VPwKDYb8)$1p}Alo~1Cb@&iI=1Peq;w!PT7p*MX znQTc<=WWBezjJT??9aYh{ts8f6`di7%?)yoO^ATKRkOK>%oLW&QCW96=f$QieMHsdF zU%>zaNP7C{8Qfd{H2iEN{9eNy0}qHL{1ezB#-ym0inv@;ajZ5br7z8Onq)r@DvFTKPb(cZ!R|dWgaelRwfyi(;ir1bA6c!+r$* z$ysixRseyX0y5ILfW3AG7BtXC!~=#oWHVC)L}L#)mJd=z5DB+%ua8B$zMFuNMdXdH z3(;4BJqZ07^kDjQ1a9-sMkR2#Czty3mCTOK$eR=o*E(Q;3HIlab(v@DV4=~A(_efs03VS zs%T?}iZ%KM%JGeXg0+N$WQquE0T1Y^AtTwozWrhc`2W~@7Z|(JJU^)1FZax>GBZ6% z$O4<(9c6LZGt%D zXLrW-x#xV3|NHg*KUXRyH)HE&Z$@$NkBiW|d^`gK$K?7>Fwg!(K&>X26Iry$q4c|p z8U{!ZO2QKmjJRluP>r-z)RJBMV0Oy2W3-8xfO$NJ|M6~>a+(B&>+=4p7U9Cw$IndI zR}~Sk*_qERDqJ*$0hKx;uF7)&1!_ze3#qES=_dZcTg^f&~Th$`&&rH=?uM$@U z%BsyFEZv#(BcuYtJytUr%r!+?RLku2{x{Xvv@u}6yqeoJX;hvcJcio*>NL?DsT;N8 z0qtF9vS(h1S*I`=tqS^45NshOXRS-VSY7b%x%#tGvJ<1ZdC{9ycknEUusT^Y%L95y z`Qk$yGXuG(?QI9=6Mi|Q&8jGwzj1Hz_QHxIB%%!V{8jq_z7?#vJR{-rtl6!P;;H2n zmf_)INer$Q@J|+Vs4Fd}J7lq-bn_F88}U-efgBWH_x&6h{*DVRwAG~2u6ciSx{*Az z@nGEvJrB?->b_EUNo>JlD_CSDl0svKap)yF6PA?dhrX}ml4Fq9KRcP3gJ{D6I8SOn z9N}EuxwTzS6=LwHY{ypMvy?t1LrNf`d#DIYC-VOZDE)xRZwjda{BB|dVUqm%>?8V# z5Mb0oND#9uzH(yIv(GJJt0>mbBRZk#VGY#*LQnx$-BnU5h#*LmUk7C}P)C|mssuQ+ z@Pm&iQ3vBQg-eZ>%3kLfM{e|C`vw`r=nRv|eLYrmdLnweF`4=4n%>m0CA}%-VUog^ z9ZuvT%uIiD-LA^R;rf~jj^GoN<0;cwEiW<|EPAn`K@>sCcL~?U>v&z{0zqWgNFvI!!=qy* z(;x2j$>0*3TV;hJ*9qhb&g7>8$Bh+BWgolLBN!sYfo=1WOBydKk^w;-!(p4gBm1!r zi(ndSEJZl*V)?54x7bZB+SD1>OglbR*B=j!;-m@~qhd#iqwaMbMuyLCj(05>duuZD zQ>6eS^3LPp<8^emlYKhzL9U@l1vXLCxjd6aQ7a%0HzMpU2Tzb5*aU-1$50NX7qlod z!Z<*;kEVOQi3`ysdM~D!pS1QoiL$&bs30SjetH20l?~4t>CXu-DPw`VZHoy_C1Zj1 z09q-w=N zt>&P+C>rApb4)kdh^xa*rWwhIIwg@|dzW2nv5lTdP4w4+rb>?jB^(WEMEY@txZWacYn1q13i2ROB+LR7{IwN2C@&!9ia)uKkT*}i|~_W~6iw4J?HgSzEB zGh%6;=V{~sgL#ZCV)Qh^%kl@9&>&A023k{ze-KrU6#0ktOwXa}!Y{s#eyL-aR&lZi z)E({tf46qGCj|AkCNtmZ0JUl^;&41%GyIj-lFR~=DFU`EksNKyML=?$xPDhCywkXp zlH%44`RD$v*leu^z)R3;W!n;r1+7-Mui}ma6ipq#D2SQM){&B;FrLtV5w63E`!GaO z=9J+yS?y@QxVucg@yGfR*tw&lrMRA4VSk++-}{@&2C?B}k!rKD27z>vhvt5D%7Wq~^KQ|COf%l_|6*5z9l`1bE z1e?n_ZXPN^DpA#Jjtr5(;dDPCJdA-Z>I0ncs~?~;PId*$ys3eTnxWp+i!~6vJMKK% z;h6k0FUgXIAC5c-^RnrKF1qZEuSr+E?1{a2RD=R@ykc>eN6{o!fCs1nky{N!8xXVw z1#7!fUhV2OnWTnwx;Y1g&SmO`nMtTQHcaB4g=o~YP7KIX7GtIuPBL5pZkpOeC%o(v zB0B^C02MGSWv(#LfNmtKlt;^rKwyEmVyBxfWzkgcw?w1OkVKtrmOwU9Vyk>F`-sneKcebuZj+^Ti6w6=T& z?rf?EC9Ty@D2nPQDu&!Rdww`VJ->^|Q-3)&Um2Q;9eLG(7QAZ5#Z=3P<%K(Q7cVa5 zZD%p2%0c8=a2KQZT0~)hNIIU&L6FDH?N9ozuNR**aA@j0v#zMu#s z+qoE!m~IKZa(2+kqGHIHL41!xI>N_3f^y=X>(d)+xTBS6(a$kUDtI$G8p3L)+i2jn z+6l$T`QC*K7o0Fo5BK_80H2e_%s-3??@Dzg5KgfHP8IyErCy`;wzUfp%_15Q18EZ} z4Ct0w+&yKDPYO3gk#ts0$*4GibG8l}7h_jJEiMV;ItfNlYq+;Q+#H73F2#bZ5xB$+ zZ@vn_WSsodUHXtjIIo%FXNU6U8sifN(_doED-TIlu){(fcBlUL6T=R+csJyv^<;71 zm9gd?j+N1QR@1nE41Dx_j_pkaNG#F)u^QOjkV&DAFu8Qmk`yEz6zT=|F(}4h*e@@G z4LoT5o~V|i=jO8K=H`@oXt4R+T{}i0vQHQS@Y)eW*SVcz(+f&c+w`)xHXJ&=%=~vT)sei``?)#XacejW+nPZ3 z{itCep3w$0S_}(wz!yV!uB~L6M^{<_M`$S{))qQIUEgLrxJ*O z_(20Lxiyaa0`Si9b*sPg zAU6A(v8ba7LB34VCQD=F&6qSFfiCw4}=&Es8AT$5s33g1Qi?>#XNa>;YIrf}S`7nkh?rE{X zAV7*!j)caW#RWWV3|1TYGaVZdB^0RpAZ9!ob?6R)dQ#98)fg^0K(uc6P1vXMC-A8E zee2_&x5vj{>!0gbD-xKy_hYU9*wsXq1m?Y>U(Dvt>pm-j)$nQjf*GvMUW{g_6M14Y7KX&RC zZjG6ups~Rw!qQAD@34d01;S^SmhhiBBl42kg@!QV$qH?hU$#57a;&Dh;sfoKj;#ycWW zi{s(YGh+9{CZ@`TUZNL(e{dR{m*umx5Uc>vhW0T#|fIXWUfi7^WwQtuw`;NrBeZ@=|gc?e<;>vRKeyT3MOb7kqD z$&{99_-N5gIw->OimNme9sQQCJPF#F|_7 zBbEdDmY`zHUDzs(K_q_e%*M`!CFu3qHJ@#5i<@^`u=B}NWOdFm;hJf)N0?bIS5 zlh7hh$>gax`TSoyM8k?d;>u^{KL`PJw1#baG7!LbTJBAu2dq#6s&q@laLcNP+qk>6 zZ@EJ;$;~Z621MMFv*~sn+x-5UO@#~hZYBJe zScWXcQx;Do*3&gbTXj@U;@|4nt_MIuhRyT*VP66y$S zdB{+f;zopfI1(RZ>^Zv>wMOn*$;lc9p6Q*ji5qQ@8!%7(&c1(VzP(YHPpr1ss0w+T zZ|@Xu<7n{~jFkdBo>-7J#b>@fE?#EOzabN;3YF;}O^T0jm*nt!OapM!EkBk1ezbkLW?L7l#4{+$@=NcuuG21CU^jCT6pZSM2M;od=&ojCRPVxuQB z)eolUEn>vv{3?NA$)#iEEwI{5T;#xDGiSzwMhatkpgunl5I6(V*+A+d2d{G&=}F`p zHK;V@TqWM6Pg=g8{MEL$fe~@7a1Hhv+639(zbs4q^4Y^J@LZb~RW_UD>S)%D`Y>hl zqQA-0`k(*%sHr_bhz|v6cs}zi{>sz(B%LBT^UQx98|>tfE($qucIIGOdgkCGwP3?+J0kKbpo3b;jX;2!I^T4ZR*@JhfCu%jPCLJSl z-NCz3-Xroz+d7gFfps(+;9=_ej!Tve^PE!@>q$^QpJ-7pRHxrzhs3z9raXO0fm$&%z^dp@Xkod6j>SYq)Kf@rIz?L3icKaz@vc#J9v!Ns5HVFVv^RV1V6ADi z^?Kj0KVl{6PVd|sz01wv^p~%^(Zeub`AToDck|4pGmR;Gyggh1KwCx*Z>!1skS)9_b?a*Hl-$G~4ZO!eudwK^3Gv^)H-+ALY|08l`?xh-7&F2_f=lry;TqzyE`iAhIj!{gLAD2Tw2n zfAhmd;BTHR1drEG5QE3tA0h~kuTCC?$44g!!#^Pg67qOL40LrG16BEjQ{OuQ13k$E z!xO@w0P6{1@LCrJKLbw(O?Odc8ph@Sc>)yTVwFd@3bH6xEkY1)H-BSmdA;n3L6m3# zP<)MQ?M^p8mSn$s&8yTtaxgy!pr6loSIXY-$Mvy8nGuIbw&+Fj zzr9@4@!ZdRKZfY2Qkvp=Dw3WM>HI<$=^$~^pq!tI9d??vWoGTikZ{@owaRt)#b!?P znGY^GPtN?sfUHLSK8Q-+v7fyH6TF4fuY;{9<@4jGdL0h~Q+y)r*>G7y*-E7>Hl_u` z^PFgeflDrsiRMk*V>E|9Xcadjm^c}Yv}H*$NPC|}q45{qtIFqI(1!Ym7k+Rl%IAKB zc6+ZZ0()LM?8{!G2JA;@uV^mQY_$KmqVfJ@=9v#JPbcU&pYtIJ`tp+^=;)pZO1)5<04}KO8~FaC(~78=XObe}cmLkL&dY zJv7?Ln@rLu33{#^b#i*7ood&R*mp0vJ!3N22g?>$1J z&Y^E9`oYKx@EVHRLc7_Im%P~dwE?**J zA8v$@jA)&I-M|Hsykyb(6IH_?#kq;|-8eh~`x6;X^jpR0Of(HZn|)rN6NiDUZ(h}| zC|4trQeTjeG3qP z*cjr5M^7eE|ir<67@2BZ}!{|ZB%V@vA&)IG@*eIma||R zyk!$4UXPQ+np|;p+MI8oSi$I~G3h+YFKkjE)}%h=us=1zz{z8RoU}F=ch1e)@_LEA ztLV#;5GnVkEh0bUE<0wz2Fs~TJ~=c2JS4;(qB>)fP3gD^-Hkfyz%@^BBp9?8T&|pT zDpK|-rAiR5Xb3YIZ08qHa!UZunEeZ;ji1BiMA5ubAg=fElH}NMsJD2%fOCEw1UK*V zOBX=d6nYfImaOlROo7HN+@Vy=C{a?9V8T zq6y8lwuhVdz9ajG93R%jz_?BkSZO_XfE5^&~63jad# z>cqvd{G#egmnmJTNd(hGDsj%fPbIeZc>6MVCaAu3gulQpTg0s4I0{4&T86RDIts2k z*mZ*7HT$p=EWG`qtZKDRjp5$?mLk6qEBf9z?4Cf1;#NHxt_2tfEn^ej`%FxS-6|GGWFPlbRGS!0XavSlU3j4^zdWP2>Ag@G5ho1#wj1wv26j!281V z&UIeJiS`0|xqB>DuRF%M^w*&s2)wpf!!kfRLh#s?w5N32JRy4&JpX<3w|BANE^t1# z_3A6pLhN&YJ=Rz6300;e8n*r3%U7fml=eE;h6K9)C|^!bDh6X$;xuY>Jf80Gdk#;%6aGztvP!Tm_)g>yOSyZtfS3%=G{mdhBiXSpoI zL5VrUA`#MZZ`v<=fT+rW3b$~|^&|wY^kBoFP$zmx$lP9k1MS$hq(Lj&)8vT4TX;97 zHIw=pjIY%(V;99fu9d9QyFHo=v^~XpcfJ<;mc0R=VrLK_im#kei4^Eo4kNw0wjm&3 zuWL(-4#c>xPTXpdK3Y}f$k9Dk=m4gnxHIJh6Lgk9a0aGkY8QA4?i#}s#%0<#I93^( zx&fh7v><{fuCz-bK@CWa;D-uIN_riaS{%@pz?o{ODmE+0r9vX11QoZ*6h8lWfKZ7v zjU0BHUj@_X4FVP!Y41}w2E;-uTAW?1erq(sEde9er(@Z<6uHmF#0Xn3y0;o^bOW12 zmVX-!lH~?^l)CTZwhqWbat4UDv`wwZeR$lubc(6oIqK|UMIt|Xvydq;nc`jpUdVG5M z?34l4cJVz%Io~_C+ju4HoL&#RT~L^DW0(LJq;zcu@4X|+?fv_>aAfNYrQ41NDM|am zw7jTPY|zy~6DBD0M==Q0&w3BkjV5`xDhXF2NlzGY-qwd3Fh*dpi$K?0^o5R5-X~|C zky(<(RHjShlLif2K1cU^EU;sk19s^~K!~0OO1NFJ2%T(C~W=7fa3ZvJEc1H!D!=5u<0%c3_YnZA00}SNQ15=(LLe zeEB@KG}oKm#s94BwmvLog^uL`4N}aTpqTc;TXfIO1bs%_j${ks{mNGwB*eFQngc`) z&-H5Wt7m)v+$Z`XcQ*G%@0HGV9SZYKh;ui>F(-#QKW!XM>CvY%;9vT#4>+4Hs<*9ac1fsv=ciW;NsT;{;8ZY1#2z9;{qiR<(Y4HoF6h#0h!oXR%4Jx zzo+zhVdX;X!TOU_QAj*9chaRYr$HnKeFjvY6$;$#CA1!cEy-?X^znGPn_Pd>d8=G# zxGpDQvW^v))Ag5ed1o?jamV#@Tm99%%;yU+;L800FRqD1i~)&44 zsM|a}V{!{k#i8i8aj_#qRJO0svpCac-3fN$E(|(lGl;~|Q&Wi?Ye1e?`rnu)j?#UU>+_r0qcybh7{YOakCtXPzwaO@- z-eOWNlf2LTe=#&D%ob(uI5?c>7?K_xXw+T2Hh=*}5Yw^5r*n|H2QjQ0o6I}Kojzz2 zXIJ^a(0^yAhyw3ve#+;@M>&$Bir2Wj@>P3*G1=0$kpzw|N*5{?CUTgbNyyO%h-P-O zi$HFSv1}n&F@^?uHI|PDFN4<$X^4WUP0w!uA{r26&||oPZZKt3^fF8y`Y1*W;45*F zb%)KtzIEw44iA8Q$~#y5o!sF%)2!%htoX4zixfQ)%Wf~Qm+Q)5rcC&*tWJe~#V@3TusNGFAV~zZ|pIp`E-u3O*_#xP9huk`|^gN(^SgWL3RBfr~miMtPCJ z5epx3x(Pb4+}rHo4tu&h#*7ZVr~t=;5aj@0faJ}n4*r+3))EyHtNnw0Q6RgIX^VqF za)m%Au0YT&HD{MRcx%;I(=Dba}UETIS#O%Fcg+K;01|6(%p)o?4sIiu>=Y7NjiJaZ5QE^+FrAMil& z^IPkKM{&vOsl4=PWxDaA1r?No67JgFa%$32EnsU-;*^O5wQH2B1@cDs@cXU+naFoa zBT}MP?@SY7!@`i6p=m+e2;?>kD-kj|$8~^$l-QkgZ?H|9L<+`Y6_$&jpaFB>Dguxk zuB%7Vi!7vJrk5vg{NBqDgztURt!M}3BRp4Pb zE=#um>&eVNY2|+cHjPa?TwsEF5%&wSfGB%?ipT26+8V-8epz6^!|8G}I_@O`MAcXO#hDF>eUSK~;_L!d#lZ z#ei5+xIu@Bw7Tr=f11q9mi~_34_XwopgrAvvyp=B_l~kaXFlAlko;V7jG+9hb$W!ZNmJ7 zHddQ5;e=?ISh#S(k#d5@U)*56tUYp3RMLOgM-6kvBFc;T3Ywm_EjFd?jrURTifT1+ z?eI~67k@vTMlN2#DrpDi2IFJ1{G`i~TPoOLK}@`KjNR99iIL(Pkp~nc!3RWE96oFg z8i0%_4lE%S_u;^pR3}-e1vp084sGi_a8JS?sT3OFIiPX{@Q{EBdoyk?3&ur2LO-xr z*#A12SrP}(5<#F(zowOBA4#Ee!d3B4vJJ1mBV7*nMmzU5c91#Je;1dzzOc2~$HjEc z>(9L!%QkCEes)H8D~lk4(Aa00(AH=ZGfeJpySLtoa>bAWBN;H!?rd(i2MCIB;U*WA zFM&2ZE7~v6p9AOLIu4uaU}%VskSf_7iANM%Gj4H-*z=o8zW>XzgYV8hn!9|qH~8)x z%=t;3a+ubvshHkVCiRCa02m`34x{$|XZbFcXWLI~YYpPLZsK&_Qk# zL`~OrW#vsU^nLFKC>0LDXK^%OxKWQ!>=I66l^H=;#Y~!!z;6cpt)#htc!}BB+ykC+(Q?yK5;(!1+ zjm07sd!R=h7!lG&tU9HPTO%@@^RTpB6Gu>>EQN~D`_xow>#&4`vXh{Z!VXMUnkcc5 z!uJn(7B_#DzSZCBK0WxNf-qx3r(Os~Dy2_e9}U`-uu2gT)iG>yT1^E(E!?C5FJrqH zS$5q;-ljvH=eleIjs<^}T0X}sicf8bHiO#D(WbzV6vcfjrhnrcN#gBrX#PV~Q29YM z^-|Btx(Xllv_d7E)Td&7@>Hxp73;~fPsKVs&yOh9zj&(anDl2%^gNa7dOGl!QvHiB zPG-Jj$8T$)?hz}K=@GJeD$}3J^r^PYQ&XL_IAWteHPzuW9M@F;;^&WQ2VwGk>#2M{ znSB3)$V-$F?MJngZ^p8Rc^&fU|2FIv`iABi;RW~T!pGf4vJJi=sBn(mRE-Uew$}NJ2Na|sl6=k4_=~=Vf8}eb+{yqZytG)7M~qs>*8F&@og2e9 zc@(ZrYd50{a8EZ7heVK^QA-v#ufr*3-MH>{e{Y}h9p7qCj&K!GBPlvs#nHl!eP{Z; z+NacmB)mT16Jv2mcwzq9wS{Fvd*fU%4|ds|!CHx=QBJuz(jlWc`_UK;39LRX5G>?U zhE@Sg1XB4qBBuqO6ABTx(Q{@C?=3E`%3MwP=fj~DZ#5qNg~h; z(HvOC0!rDoaDR6WDdh{YP{}AHo$E!JQu99^Y~%h<*%k;|xd0)Ut>M}b#R^!$cyChd zrm=@xHy3YzJtx#X5URcjb^^nJm&Yo_e`K;$NxilB~!IgcBl_q_{UIdnzO?WKj=HF`>XbS_&; zL3hqfRDOWjNMk4pz!ORuVAO<6Ld=#g`G3~KfMEV{Ott+OxMcUD^$QkT6Cpn8ag1OGr5$a7rS#rL_BSs>`5=x*CNipFyu!*N1 zP_c{TOnI=jvAw%XH@O&$b#(=4mJ!SR{Uz*;RMC7qn#YE&zSA{ zob0GTTe1JfdfvOf@QwVuUQgaK0xY-X1w{*FK8i=H*uj+^D0jot{uo7xJP{WI76Ocj7v-rCV1XKnmnBfmJS$UvLdp#5+fST{ z1%BlP*bI!Kp$;HPlu45XLJ>#b@gg7B*aivUXcmr`fvH&JEurgzAaP^a0owEw;7}K(!IM2 z^VfZB<>+268*ds(urEjUw9gEkC+E($;|d#FE?bBxrO05wD{TU#wQj;`k-$vWMDO;hA$ z9qa*E$V@ZaU+2-^e?PWxMh?Y+{h8YaP3;ZsvRMFBOggeN6H-+5j{tW?T-9h*5N{Qc z!2cR*J>-$&92N_+uUe8J+5&^o%RucqH6QkmqSSR_wO^DC;{1h*k$I?FmafLyxJ6wZ zNkn0nqR?lTDpN#85{?i-YXBTo8t9T{-d6HC#XdAD8z0~e5pEnE7AEWts>zUK+DSpy zJsu4A_V&9?j1_#X3s;tv`t~Nza*C#4LE%fT*>^|DqEHpXSJq(J%)R}g9JdWY8rUUY z@b0SdPz$7USkAjXTHEKb7UeE-YLaTn!?c3(7m@hBmi{Wt?=E@E%?n||jPP2WY~$di z_jAWbftW6#6VX_vrME<4bj%j90dLYC zi$vk_iW0pMA#2nx*$R)Q9q!Z6-+5O`&>i1j_(I)`Lh;~0;#a)(^5^L4H zpy|?`yGviYyRhsbG!a9&d(w+&P%*~SmgI9^6DS_UJ>0ub+XOOOXl^_PLF<>ZWoL;? zXE~BWtav{Ub@1!d&4yXLkJD}s%iA%VXx1SOmtE9+#}AE~MUWz_!U0U2YVYhQ$O^j&>8AMWV-CNMq69d>UB5Z!gPAOKXp?*+zej9d_p7;DTD= zj(rVzHBF}mm+Qr&rFSWvDS-$qDSWMlWKwC=1yVOUp-fOt38Bg!Ah1*=CdI{Ch)Axd z++tvkigJE&$!P}#KZWT@M~7#oWpkhsAfK?10ok_%GLT5)z;#;}Mm;hjRg4HxfEFK6 znBk}+J}2=(34N4%Is)YgTLnwj@sZ9+6 zIfN{~xtzNsaZVGLp&kGt;y3!X75mSTB03>{dfQ;G@S#(xi`XBf!ag_V16Ev_v0&^z?iS4{YE4<%cb@P>Zc=;Kgc6F2cO97wVZS8?;kG6)=$ zjxP#Rh)oi3Ar+gsz~r`!gvN*LW}lilbEoa_-tz#M#rFQ_Wac_%V@MTxtn`$7=QO-W z_x)QqSID=>Xz>>8WgfIfFJk=7<9lj4=Nqk;70As^6Q-O@zX9EGXTVuRSVYRScmH3A->Ewh$b8ke~Aht*6CK2$<%= z!)6QNOy7bwSgH5v3E-JTp+@M_XlE{M*_^ToU|pdEUCYMI&5a(S@jn56NUHA z6r99Ynn*^3f`?~ReC)Alt{uzKznhZ`5`;g6AZYFvA_4Xk-Em}`*wll$CK4SOP5K8S z0uDLK0TU!;MxK7qri6AZlVWpujzyOQ)FZ(L3~^KZnqfh7h4qOTcY+B^ZpPi^yo5Be&M)0JU;gFqJ! ziX%+O#BGg`0P@Zvt^VR|a1=+qzWBB3sFz-q=iA3|0o`bvOZqhL^mcjrVai6MSpUcIg#u9zJ5Aqn7n;uC)_ht&_zvtKt8@3Z|V;@?_h5h(GHlD2b?1&JSCh7KiF zl7MOJIb1!*C0Y#cm!i4d@3R0Nd)z(jonyBe6>%U~a~3I( z5Tn$-)Mt`oNcCzsU&att$0Ky!np+g7}JeK-jZymDJ?jaf7OSJr>R(^O7Tqv9;8$H(LDwv764yY?49~ zVlbw`>qwPpT_O0*$_8|G(qJZYMS;{ZTPVd@O&Fsa?BiXu*^~x`^l;_jA0w14XenxZ3hKx=wP}MBx4~QbYXA-|C<-iv=^K(Kb&lTWis>6w8=IS zMx6I=lYJ+OvU=5;F7)n#YfdYujea_s&M^MwkQW zORPG~2BCIB=8hGsyj%g0q&>6-po41ryQs><0UA`v$P2OV-n9f-k|~f|&P&SkMl`M- zuu7*ZB-M~)B6iV^ndeI+JxbcGhsjAXo;JcMkm(a(`K%V1JbpdIxb2Y3;9;V*E#4am zYL+pIQJF%6xg^UGn+0z9LGG`EBnT&yWPhS^VWM^A^VsO+OOElG|0JesV%(GxDVRN` z<}P2V+dP#3%{7Gt!z8^L*$!UEwI+;4n~m3SB?y+-o4t&i091pQqg$V#SoPsB_*305 zctK#0-rJ8h5dKW*-+3ni1wndsKiVMpb8Ww`K5Q#o5q)`QekbUwn=2?A)V}RZC=2=G zMw^mFI*1u#qlDK=9?0<^@WHE6EB!GTZ&_E_#>ECb|E}Z1#7KL({8H)6XnVrD>cT9gLi^HE=ejfjv2t!{A9qcE|fi?N*8Kh}DOK{G;1ug0J5~P8w^1;|gtDJS>-(t6@?o@^#19WUJ$7B_Jj*1L2dTR4LO$@4MKI{i9GfzX&z_kVEczoy+o82T9mPO_FC)Kt7l|QB1i>H-ixxG&ckkiY9{OJSsXdg{^RToW3qk+|mSK5M zQB1u%^pX-oi-g;y`!&+i1%B*|e{b=+mp)q(DFI-w80xbF0BJ|saZ4a)m(6jcvw@hs zLRgxRO>;2g((_2a;5J<&45PwK6LgcKghyFpnmdoJ1Lxs2jd-A1Cax-IjgvhLZd9AmYF!sL~MEb%+skRCw)ifsps0fdLpL#M`*>YGEA(GOLVO$43Ft@K1LPpKOZN~suBmPLSneAhJa+{ zbqW}Z^8f263eh8#Up&u%|UV3ga^F{|8>kei%b?YG~ z4h02}?`(dMAb;lB*i=pRNOa>WD^R@yY4B*UrhUN-&`=wo^*!{FA$(#Og@gR4+cm`j zjW*mtD>Fp>e4yz6#bTPYRA5nHg^qA0adL313K2K$8X)N%rF|_)fK_ot9Y*@BH*r~A#SMGZpP8i-+2EK>|a}mBqIdy?@E~yjJ zgY>|-AJ66ayixByZnFYH^~O+$Bp9&@^s0Ro!NC2aVVB&=%-6zS@J@Ahzlp-xmuO$< z__@ls+#2hZtz1K&f>kyaJRG;h1@SMv6bM97CfEi$XW6CVDeJOM{U0%HEhj*g#S!Q( zEZbEwpj&S*Ot(afu42$A(gPy)r1Vgv(rDsT*I6-e@$?;NmsxWg`#HMAyx z(p*JMl$@@Zv&^*37KDoJwl3d|S}|*2m}|ghydSI<4w>wrd5@A9H7WEL5NI~PqBAY6 zP`piM*K%8U_(U)Aed#ABGhZ=JsOzrY^9bbc^MX4onR1=~e0@OY&jzr-9UsR5or04A za4DJtPCwe&oR@l4vY}v{7i4!4IGg!&ZtqMPB5Lg}+@(+H?k=<)gL;qE`I~?3WCZ#l z&fk126#BE%DD-sm(9NfGgIA}}sO>L_^i#UOYll+lX|&tm1+i{yJ^LS*Wa`G2zT<{W zsly7DO_gVAyXz)e=%vNN{?;MQ88<JVvb*Pt8+pSua|8Q*L)c-NWqi+fgCsW364C zPw*-%DwJTd?Fo8O+JI2J+NiBqZ?(T1G&Tx@LshKR5od$pP|I;gZEx0E;hSlg!-*l@ zygT=-UmOS@)U7n_kjI^K$2)6?ZX!CUD^P2;ZNN^u#+TmEc(8#ZR4kRi=G}OjY6+-M zLo^UV^r+Ou5a4q`mR0BBBXL>ynl9PRk=!$XbI9|Y0X|k>i)UqXAPmhL5HJ(!_~rIj z`$0y{!C<#dy*xKNu8v2ri-n3Ny)ASG^Nt>{)Xi#Q6RR9`_3;D^;id4ySEyUdm1EUr z80$V23TS1Gm?i;?U`~$L!2^4+?6o7R^v{wN)&l}BTc|W-A+&dCW7o(Wm3Sb`Z1jp^ z6P29~82Q^AuwVFCZ?1h_diS^}`Qp7;{Yr|4u2wsw3V=|$z#+av4~=vqjVq$}j~dW1 zr2ZIoQ?fH{bkhF6nACAZ*OqXjQVjiyODm(VW5y z(G3A)1$CgFtzeMa>HwJ#c6ZL%4H86j(ti41jm72OfAEWI<)td3 zg;WveO83Xp13+a_Diy~bI(Nawb^={sCingWfT*gP9MYK$%bkxU8B+YDA<-1PNVYQy zZvjAV^blnFu&>9bs1H+6bdHL!7j;x2N+V?G*P-)n4=|yKhggk&ml4Jtl*2)N<_&_sSb}8RUyE&LoTp%rfj1x>NkQ-G5pok-i zoOE*v9H4b}SRO&9ZoKG6(07jP)1GJ4aStj=qRFDYI+(?!MT1A|z8H#IOopj92D~&J z{}`d?G-FS)`9drt_oyj>!(yE{stG@r9RNX8gy{3Tgf#4~&{bKF>>@NOH%>5Apxjkpr~f zlqUB?sw&dxN>J5KU;=Pgj>P;7q8${5bm%JT6vW0G;!X|BS&-MF1{}^C+T6}wx;KC4 zPO(etkOTm-i{b0VDa(|NP5{nDHJH0tsiGtmXbH0@vP5_a$Iu5`8*GGD=x@dX2jkb% zZb_}TgHseZ;3^7VHlD+BRX`EXGZx3wJ?BjRhcXFRb}?}Qy=$pd?Di2w#dz>=I6~(( zv%CcoG`fi=_DYNK5nrvA#^%^5Be2eeM>uJ$?be-gMLdGPsQel9g%QszM^S(L{`>bw zTZ1!aUXuw|Vf*6zDQH;*4`aGKycv=!tz)GB)`xo!z%Gr$;j#k_h(d0mtsRW*U7YA7 zxDc_%U`TcKIwCv-STi|lqR;mjqS^P=Y6KsInpq-rSt%>c^A&uUSa9|vuk z11YgB2n<5)t2J-?17VTdsa)8Qvg}Pz4CCdk@7A4q6&V=f8{cUZg zQZ^)!A@D2Kxa}!GyUfDnGh{AWq|=JCzU#Bnv`u3iC>f}1x^!JZRC_p5y?bAB<)FC( zP&^f61c;=6f>Kf?_{#OEBnO3C3d+_d*NN!Pu{)p~l06p)C$b2>B$V}z6};{6pr#a< zfHNPCAf|+AIHz!H$J#pFWI%6%U=-G&E%YmdDALD8JwsY9A~FsqP_9)P;cm$-aJa$` z&DMD;#eDDFo36EV!dou&2DP?xkOx%=`?35<+qyH0W8fA^#V~pJv`wO`s>C{Derj zP*ygqe)i=6S&NstL%&_J!?dtp6jyfh21AKxC+6Bwmgpiu-lfx@jSI2hS6@k?k}0`Z zu$i2)Ge^QSc>^3Yx@3#`Szia26qXHhofPm^)+0Ls*CDuw zOq$oI4w%wx-snZ5e(X@6yFZFA-$wBOuID{xH2>t8cT!Ka|XI!LVF-OFpA6T$Ftk|MXP1rfg)j=@+VUEZVT-M5t ze7$bKmvwX6Y>mQ!pP7gGx^^ofrRT7Y!`xj@JNk5Q9gLX(oJy|h0(>Z|s?^FQCcrk8 z4TbeK7@GRIzWksj!`$;nyZqp@(jXc0TW;W9G_7G2ws($FiKu1KH=E5#*-Y;oN@~0W z1KL8CA2j(#V{M0Niw;zYJuMC?I=#TUFdL8-V)U=42QgrtVTKpTa z=oT#&B0)boN*-DOAw~?bCSvKs$V2(C@Li23s^u^oDseGYv22`=hz{|imE_2k(ut96 zi*;1GaxQpPa7w(rZ@Svzbh9kv##OwM!Zy1)>EQL;>{%MDaK&_u$6I24J$0?RD{^4H zR%EO7x@|F@I^`%NGVCx3sNWb525&82x6GM((Mj&K8DOHIuh|4H04(g;@+LTmuRTQD ze=>TWMQUAsAeRdnjTF_csWw(bj7WE939%@aI^#*QU*6Es$_NTzZI%R|>y8v0ZSz9- zhh$)qd>a*Yk{q-vsayQRcd^jk=uHJ&(DAJH)XReh^RAY4cfWl;lCGz`weI$T)c|*k*m5r3Y0I$^ujFAik zQlWS1N&r+fj8)j?sN|H4#LFTyRA5qB6aV>su}Qgg4h}9TOY1j5ZScuEHgde{+B|TR znaNx8*S~>_gzw&(zv(!?dj0yFxf-P@-R*tp&VZ{42Xtmp)v~ca22gN(km*$-lF?~`iCIl_N z)ZclmOA!!=(-0uj|X$IC=dc(MYf0dRFogSsOw9&SEeos8v`|KjtDuIVr4os*8w??>%uV|99vm$ zp*D#WLYaVzM3QCNWNQc{V)f|ghkyqp?g7F${B!SssgNQ;3~^+@&@Yf+S;P6?s_Z@( zDj^e(2xti^%TZnR8}_zP&CiaB9{9i-Xy}SBP4G!|2+OUog}vkC6GDs-PgruZhk%Y+c9HclVV0dw7-UPZF1~0W2A9xOk}emKF4+R z@7c zQI<>D=N|(}bii?mIy2HTlo)qdNNiu$L)7s@H;8R<{HO0seQ!y2C>@PA@tZ)2)+Oo? zkkZvl*NUL7f=wf}SZ?Dz3)V9gteU}~Y}Sp%$FQ(mZFBC#kSJNLsZH<}sCW1lV>w5? zrPU?^I>Qc>cBZ2XobRvQ0|?jRMnl@cib?#!IGRn9vqXii;-*1i8uMV0P^5DJryv=H zTn-jfRg3T`G=jVetAksSR}941j5rb$O59qGXtaWfZbP>p$bEIfDFt%mQ;?U8O8<&J zjxX3Ow;a(luaq5|OTlTSKla*#E)}&x&J!=W24Yq>E9|CMh$qV`7;`m@P-%Dw6bdR6 zB&#qmqSK?U;1MQlp>SrYf7+1E|X|uXJk&IMkdj8louh_Z^dRA=^vepUk}MkJ#HC5IWxzxjB1|J38B&<-gEA4s1!*T|3{q zZ~@0|p5tR2Okw_k>v54eQ=ZW%nZsexy?8GsTYrprCkkuL*Az!I;VF_Vd7(2d=nHBw zavK=3_|ckaj6WWm`n-i0eJI>T#lMc$Vw3-FtV!&=pakD!MN55_GQkFwA*8roDveZ& zH|`zj-eNBGm1j8^6LG=D4@Eg>!m-*?&qo+`3H8YN)vfV z+464a!qe}^0wtqF1x1CRWNR-Q(9a8hhzt|SYZ|~Me^v8QNbQu;D(59fDx#BYC1D*K zi2z`Im^?EE#oOSdJCYjycz#iNPdLIq9_qX*R^DI^&_&@7mm&s^%Zd<%3 zTuFkmQwfQ$-QVANkb9Kuk!Wu9kxiDYj$P3hjI=mG-5-+WCgPU}X9Qx(v;QObULp&X zsGTKEIBIYy39TnJM%ee#oqKoYEB_bUOw7h1Y2AB&+w0C@c8&YRB%@Wi_s46{bFUuO zq8M)4NqfbPF)i=w9->MYbg>BO#k@wAJp=SPs2;~A$d&o9OKh91?nT`1-N$`$a=9!K zh5*AZ-V2+k1??QylC~{KV?{y&7OzuaM2kj7O6!gbrJt?0M`_ z%c*lLuAH^AZbF*WfJ0y+!xBaUQwI(UH=#E%-R3?HCBQB$;{{Q)V7fw$7KSKn(Y9|T zUp0k^J%de|)6&&2$l+Rpc8+&{v0L8}vY==nPq3C#z`)`jsP!%60~lz4F$F_BcU{V4 z3Es7~-DV)c#;y7Ha+DRyh(7LifQ(2wwM~Lh9RteN?I~zpcu{w0)8SJVy0Vg$G6fM6 zAe?p@xS%~suE#k2&cfZ5ckaHG&r$Hi^Yqd!)*0DqYDp*vfk)M@$ZfXIwOf>>0tXp| z91Qn5pm2~9Nct;K)sya0khmEJy;@Nr8%5xrXyMx&&S50pm2QsAF>=QRC0;PmNdXiV z!kUf9`ubpXU)-M@)L?~*pESi;?I^>jlu+6%u$*7JM;TATK$$ssZU+D1rKT_aXZp^T zyQxPtMUr(&po7C0iQZ?g8;W{HQ?(puxCJL}uqOrRx$2GKxZ}*EY9>Ir1usp;DF0&} z$eIN3bI`y7%FwWJT%*Daj@bek7ZQwVTpnfX0Gc%40QpIjrYQf3@x(}NuSt^a*satM z^QLpoNb>5#;izf-qSs?c8$Xo5f?2&FE%Bc{iK^yDXC zYsXc^&5Y(VlnqLeL5DeLgQ(b^MwUJWq=&6L2bH>c8>WPvq)2_2mkPNOBxz-*9S}pn z2bn<#Eoid)!wnR3J6|eA_-$q-L~}*F_eUF@5E}ctU=pef8w#|i<0pEYsgz9T#flx!(w=aJjxp zzT%u0TbOL8lwikGB!+yN97!5kno}Mt_(*R z5@Jw)_KIH6%{I9|)(gIUB<-yr6iK+&l(JJjB`7oDY3wAUDg;`SQ0IX0~TssdQ7PXZZI;&o~)xC-a-fm0u&iRp|BcO6cSOZcY+E5gA zA{|i7ag;n~HLP*AH+LnC`fSU@5dsFqMCGn6=aD0mfI6l&hR7LRadZ*XN;Ppk9eh4L zy-rT&L@LXQ`z+~p;)h()^kC<%HKA4F5}NWyL}?vWLYzY1A|*$}6d)h9S4^rx+QU9;h$|74)-sa*x6)!Q z(sEQY>TEV*(I?sE{wcgcf+TwYA6C6zC5f{alxm;@)VILZgLKM4F$(Elhz}p`L&)wh zkB{T6dUAyZ0^d+*-@JD3+R8WYEI7E?!(|@_8rymd@2Q)-QEg!X}~o zk#(j-0}8VV@D`a-$jms@m)P%b00IXnDWNWrSIv@CkQ}CjL2MfX+{XhLRd$Q1W!Vq} zNylb8b(KsWIyzU_uyM3q0U0R!iNo%ZNlE)1(qb{sdQ3%Lg>Qv?-L~CRcuz z>M9GvIaf>OV5SC;Q5E3g=e zU}6j&2(69kS-@3}=mrs7oenzd;1ZRVX{fkqR4ZK6gPHH+a0kNg&@*P`Bm6vZ z!^DhaknFznk*F_Zw58`#)h*ItQLzUhYH?KHUoP$<5$f`8y>$;AFHlw$*M7+hInz3h6Pi5EUk`4`7v>~f_ z+eGgPh-MecIhsNQML>B*p3IQ*%~C_8@J$F#ceq)NQuomuY5UywJ1{L8gc~*u^WS z{_TMC3M7`pL*8+C1ZIk?7~jLS-ur@c z-#K0v;#g*e_8&NTA#|mG<7X@wx1NqzBWlHAMAe5{a3mcUS~2X!n4D3NX~n_D1{-n$ zqWK$-a)FE!q~;mK0*{C={MKaVeGwX!hFNFjJI0K_YbqbmQN|v&^Y4h2R*{HqmGhb4 zE|)GZro%@b4W(1@Uyg4LIr!R=%~B9OsxWA4}e(TL#Zy z?LMUlxHKl}5@ImlMNWdbJd|Z855=wXT29?HXd21rwj0@KZrg#n7`{01-jusov=0xz zAorMOAk}|SX_$^|iF~~!;joQLu0=8-H8HC;&rwO(+9J0BA8nSG%M(yLlYkYx-b`F9 z+c6o*3BXGLRI%zE-UetQ5QOKMPOvA0PMJ`TyabaGADmPa0jh@hEUfHc(cb#L z#GDNrh^qiP(^!-uE7Rb$ftZUIk`8yq1e@`-oNMq%PZ(o83pv&FRUbI!#$4g2TT>vP z9*0RGlwfL*nMX)?@Y~F<+JuYFc3mQ}!=UM6@+lJklk$EdVeBrCyQ4+}Q3iTg+5Ros!||cX3hdGKLfZzg(k^n)OZfAmj(kLMqm2%8DwL z$p__<3SuKXOSQ{w7vPevzyQcdBjlWcsV}S-l@!Lwe4ty+^+K3Rn@cIql66VfpXt)W z)FnYc`J5xQ;3@Yp9kOIhEkdF*|H$nsnkC@`bcDGAp9>Q!v`S!Ud0L1ZvtV+8MSV#D z*OaYr@v`WrgLXo7Py>nEr~0*%%5&P5aF!6`zSL<-olt~Ys_G!(LPdBE)gnrVpo_x7 za;WKub~09NI)Pn^a#T{X9ZVF;oQ|>#DNtGO(U@;)Vw<5Ns|HVW0sn0~ZU_vpY7iHX z^1KbYzisvyLIBSg&%;hIHD5A#ZYHS&5mY@;!9fN)qy5eMC?97tSDG6HuNr<`%eji} zy-CW*fkTwmk6i-ZlgWBj*A-pp+4_U;*Z=JK(MB?7?+tDavbQ&D-}b)JyZn0di&zTG zPJHr6k38TT4slKf1aM~UwXOn_|Fg-=n2I5LJi7i)B`oza7J2g)G<0%rJSbR(<#p4el75 z%2CZmOkSiMQ*dZFK{-@)mVu^|T`gEyLa;1BMv603wXHr>p~jW4uvy~bldeGS!u?UW z>nKM~khbfREdtpP!X8dLyc{{lZMspVoCW@lTaKIq)^*9L-9JP*at>M>MIWs$xg@4A zI1vN@t!u?iP8+}wzb~j7ajK)~SrdJQVWrf~c~yS9 zaQ?8h)H$leF{biwWBnY!z zM4iJGYk{0i0e+O){jVl7Z-v^0D$sI2)d{uwjUPMpuop!mG>IDmObNf3N1v`ic~W5+ zLgY2aHQN?@utx2y_+`|y8n_L=@+3~hzy+nLr1PPHrC<9*gI4VLQQtk6W6MN zKru0_cx$}QYcTL2K^NCaScDIW7nn;AR1Pq{Am$SjRT!0x!c-H=(?b*{Rh=jVWL(CR z4X;$mgQR8{Sf_rmzcHqsrW7x!*j}#I{UWM)y{p7d@6;46Zj&qe?F!r=BkUeOMRZ-ka{Gz;k_ z97Cnf#@&c=FB)@=w275dD(b`49w?+VUlCZsXCTO28r8{YSMo+zku2YRb8gFFCI3|Z z;2zl|gqy|92igh$b?TxW+5h@z=S%@X$vtBx>G|@w`Uv#3KL%z&X;?DAJii6!9C>N& zm&S>-gsNI4n@r=dBb&8gSWTjWRbZxk1K4h9-ttkgkoh`Ha$Z}_gU^oxdQb;LK$4Bhgm>+Mud4^ennY^^x_wT`ODA) zUv9krreIbHarRQf4}TMa2`XiOP|xuu#;Kp^&aTQK={M0MXN&2Xm1bCkuiZ?Lq*b8Pgu0E`_~u^ZN|CaQ~y#-xq?+10PH2VM|{DqAgD;qS^(g>-M@qT zqf)+kq69<=)yf0F48-}@D9+$vyvOQ}o6whW>tFCCD_$VBV%5m|u>6_`JQ)47ChcRr z-PU*?NIv^1M-RxQNh;ATFfH#rGUsCi#^Fl2~^_z%KB{;`JAGA@)&S_a!|YrBu#CO!49$BHCe8z5R6 zp>9SxJx=xI%%RWFIR6%jjTl!6VS-yX=ut4%H?pQ~tZ))%PbvurIl-+}N=3}u@UA*O zht)z>Aso;3NvcD}@aaVA$sA(WLOP=8r<#SPlWexUmI#8hHXvz9K%yM7TD_+W%x&lv z#?IBsoJ5iVh$BgUP<}|r9g21JH4N+j<7DQKDO$r9nT*^?Y5c(PwHH8^3|Yj`DdPo* zfVKPMAdFv#wbz8fd!oxA9y}2_Yy%N!(o%p_!I&{>6eU8P$Dm>$xkbJYOLmjBU<_-R zbU_in7FT&)$W#b|`*K(-ifL!Lgk38^q6C$d>s1e?YEYi)p~J(SNwlbwIJ6#y^dza8 z<74uFM(aUZ^Eh_O8+y)}WC1nz4oBDauA}Rj-ya~Lybd-FNw>BgjZ=6C0JU$5#Hmo# zMieosx&qQ#0k)xh)rXla^(FmH7&urxV5Yxx(YcP7!{o>@9u609JmYueZ$%Pd&+`DB zKuShQE<8NW2i~c*yrQPOGf*|GzC{_c+s9>%AXVkSSwJ}sQDQ^|m=k0!vY<>e9JCS? z7gB+@aK=F@4D@RZ=|r4FP`FuYRM1R9J}Zg@*d$Ld4^;>uC1)HW`UT!h1e&*#i_>Y{ zfyp^L6h|dO{L6tOkXw7r_Nh5^RIN$6*DJMG-%e?F5mK zOnp?wKXXj^saPFqTgO}d-Pa{t6`nnbh$Ff}-Y?yI|C^ZNi%u7EPZC^4-mt*uJMf-ilbl5u z1Mx0V;=&ht^)gemzyvyq#_+*k0G_ASGgvyXtMh6Ge5-M-CNg+rTU794ug3|9YJEn0V1{JtEV`F4V_^&47y|7{Dl*lCkKPw$~0W zvd-8*7dzaJ18l)vB^Xg1z%IZL|7>3B);!NiNLQc^u$lPQfRx0^v0?OD=x>QzHoXL2 zY~9V#2z{sAm8Hvne<-EPWuHte5bXisu+Zs;%O$r&RWTsH(iyjja3L6mQ8&DT=oPpK zd~CnSVUle`izz+XFp< zUTfpW8r`yZbPg!~Q3fr6*e>MUK{&L(%BDz@6&%IDzc-orL(0G)pU{&^@gi5JW4r#^+<*hnm47?NZ_CsNtmiqz0@MI0*+2C69^G_ysA1LED$#b7Wpxxieg=07 zsvv0^0Ni;{n_A$N-rp^(@aKLqP`5%_a!Zi!xXLftXGc>HC_h287I8_3RD77<#lWF= zR0*HNn6J$898hOd46CcqH0L$8Q`K(=`s}0}d{171Sqc@^v95u-F+{!Ry1424Hw0-% z$u<+G@ZZ!>GP<1_e25k2&KEBXE@(BUt`2;oz?hW=+P!lK+|JVL8Ns4%CBSoh)}@l8)z$W82)fe-T1{{^@~yAn_g+8AiiG@*RxMF zkI(8uiJqdC@G@Yzccr`H(BA&(H(36EvoQmU4Y6S|S8q1{qMyKh;DRrs2f8e>V|*n$ zP#@0$H5`>=mJUjCE6|l%Za^sM883j z-yH|fF=;@EgE1kzJwy{4$ZJWo6O33=&YO$k`+&J7~LE{k?q{JOThh z86CcHe(zCkTJBKV!&V87)cv~D>P()znIAF`pxO^uKmbVbB@G=oJqL^ztE0j{61et7hWNGN`_lMg{Qh5`FBql)GhoyTRQ zsrSOddyC5}x&QeDs`;oj$s)B1%3nvt%Og~(byA3qd+X-n?XTy!D_#4Li~s_I((Qre zF9>8|rwBdlb&BJ6QCK&Jh=Qh?1pC_B9cfOfA3)ngR#%aBdxBVa;DfkB5T*^8rHFY5 z6X?X^TTAr~YNa25VE57DJsSS7;X5etZLL!{uW?kStQ+m$C%E}Zn%nIIVJ0@SyxPhU za&bskz=YMg%vz!LOR((>5VU3|2EB%s(pwP}E$t!B^=PNv`P;>_ijmt(%XhBj(8Ndq z!iXM82W+cn8jq-o;RK4b6JmsHNlKhP+1;fZ%k^9>DmL&WS+5Rg?(1C0!a}JaMZ|d3 z`Wy>Ga=3EY3|UxvCJ?({f>kUmKZ|JUiqvQ+nYct3oJQ#tPZE&;$}>*9WD-FbPF{?O z8$*DLi!8@DMt91_rORLXnaRvgYkWc-1rDy)e^crs`_DhT#^W@U)dZTx)z!1fw?t;B zE537x!b*T!#SpsLGrY!mDQ%0$4v15P_<&tg7-U2&k!}-GkLu81CRQ4n4JPA>OrlIy z{3fVkUM;zBz27kqRx!`Iuq;k}Q0}RU4EwI(LB_5T*pU2Ay}I%;CdBASYp^i+2WQH4 zYMI`9@*h+*v1YFzry!z5*L;Q*hqw`=u?zxs3SNX<3E=f)9)YMqp_pBqXgVxDASs+? zpl-^Pm&OZsk-9`(D=@I7jW0Vl-afiV%UZ!J+pG2(Q6VKZUqzs+bA9b8gcGgxC{dGS z0_+NU%AH6R82H!PoGDWEDnnmDS=lcFUdl)dzYU4}iCo{iKnbo(2*r7c@7wrcd!@L^n*}Jj6l0*55Z_DKml;8ufRlj#* z@y3$gS>qOtV2Z>OgDC)ASeP-XB~k)f?$P}syL*p-K-@r_eu1)y|1*UTR@joSOZH&d zZV|bYMrH!4PG#!#FYubv=u?t0qh7o^XVww`SeHHyR4`tdTctelz$LiJ)Vh9B*o~ea z(jF92zty%Fb5v0wgsBeLG8OYpoymw*BV4iusO^u#R1|y6h@)XDl7v)-$m)-4iFN4x zWDl5_GML#DsY%g-2~y%P%dxHEb6Cm!9Eb`9n50!B@hDTl&_T?ysofiTRo7DxTOg#( zpG~Fu@=25`JiwjNx~tUZzZdhA42w6W@~htlE_(}B?c~rH8=)V_Aj-eIwgQ%WpjB=$5y^E>69-Y?a_Wr(g`;}9de01i=$~z!78ESPnE+)0kBXWf`T_i zG%vC5GFC^HiJn8e%#+BrDKd!;jqom3i%>;z9IJLMKAJ!@DM;vW z^D!y*j*NZbxrD4e@Q!w->_p2mb`i6*XcKbP@&gx+7un`96?L*l0zTQtErY*do?Moxykkf0`G30CRb7E41pzBj^Kpt z-S$9UO%FscOyS@kMKjLw+HkSqkpR)459KGR2~&u{;KwMS&v9^V9U9U3E;+8vXI1Bl zFU@y2I9@g?#2vi!>#^&SmkLMxn5=~DC}=@nF=Qt#!;Hy_BAkzrzN2l%Fti>ymN5`R zdxbk+Zouf6HS^$^LI~v8BxGer@!&*|Hdv5;OL-j{$;(NPYDwnL5xa(PYGlmf7`}VC z2cIbmm!ycu8mo zdv3%j**$QNRl0$oClMv$7_TV#iy_UqSS_9d%B;#oE_RBYp66X|+69z>Qj6X)s(=#? zEHFbDmm#i|a*ab~CfLkY&Bc`YoQr979v-HN0uy;1`U|=mwR6aEV8<%jd15b@NLF_> zFZ-u>x7ZWdRUoNrU%I#O-n9txY;5&6v9@<`7_DRi@i-d_iaH#C*+6BW9aNQ!mxuv? z7WWWtCsKpmpOJ>wsGT~EhS$SUtF`r)-tJ)hGO|JZJMTaDk7LJxX6RAA;;unNHn3cg zS_~xXF%m3)bv$}7*qK`&9e}vx*7$aLDn7tI1wr~wT#F>QBG;H!egA!pKd_gaqDCy#Cf{BMgyDvP2@r(`ee1tt^S#H&WcOB4Sj8(<6Yp{_=I`d-CT{UGiaad$Xf`urr zMUEtYWh?1Uh7LVaD z(#|jplyi8$Si@=ldlcusIBJ(%O)Cp71K15zDtNvJ<^|Q~l0vFRBh42G1d9>hnaunF z#dmMAjpJY<>-alD#R{v*%=6pq%Hi_#^zX)c!hp0*@-<($d;+1UeF=>48gBO)+&eu+ zS=biz^yXlyz=sLArEW78kNdlJsK(}{ zCFIs))_r-S2{BH&0~WNibb-qg%L$_rXTGEyO_8d5Gu(@IUOo4HH%$XTdQ+pX)H|wx2zT!NLJL~?sh#ih6Vuij!=)l%M8HYj72lX ziK-$|0eG<8A9D=ILco~;kW%D8ivwgUV#T8YzXBuH%9x+#u(_f+lrqVnVl`pBgFakK zIA{qp?6lDThk=1L7K(u|FZCp)Cza8c(EP^p~P=4=dWDT12j$6#&j4dMPxcm?z-gvqWh+PjAtUf{7!%IRS_ye4>97(91aag2+4xpS09Z11|s-q5+ci=fj4C^3#t``wHfZIi< zOVU;BePhPLyJ-F(UbGcClUu1ar5yzHtcNmR6oUcabDQBOekCRhRCQ8`5E--v_CfHN zVq z!c7=Kpq#fOb`*hogH8J7m=$g~k$^u@%g$@D_4F4)pM}W^I$`>XX9t~e`;$H(sh{Z1 z6#KTzZMJ;S2z95KB%*|Vd3F7U)sA50bk$+UAkEg3x1jhC8eorOj0#thz>ZW+?i`g` z};+FY^`Zc`@l1?Np}TywxaG3{e<0i?^=bqmXXTx{3*8Gt(E=!2!lAG+ z*e{R#ELJ1z%_LW01?lhhNF}e z2u3R)d{;3Hb&9FM1Ngn&+jF9?V zQi!5UTQaAp`I;gT?jKH~4z`6;b6lfFU#f6Y+>ET80X8TU0Isex-?Jjb98o+Di(d;B zRCfFu28V^xn&xRoa+-!}WICzrfx{(B$iY9X)rvTx`iHDD%Hd?YloHim_%Ew0qF{jy zrwLp-A2>J4xSzPmqQi19WMbgiNdY{ER1FsodkPow41YEK1xTq=ye@g&@w2!b|!n|qU)&#*Q_VD660 zb8vp5i2aMd8p8!;P*B)f@TSYTP-~hhq}jtV8XECX4bt~0LUOR9P__{x_h!YEA8l+P zOr&wkvlN)^$9^;yepfa=nd9veZUUl2M$-9JZH{^h_zBr*9iPUztWS#7&?h6(8Jgfs zy$mJW{ydisg>JdgqB#p`R_koUWL(=qc*9DIm~-rszA}etG7H^q;?%ENk1VL8Uj? zaJcOt(8s>f3sN=dZ!xRN^hrImYk7v_D@UaT+A-@UuI;y#i1xw+or>xK6guGyBIpmb8eS(4{?ie$O46orD? zmNZ;)IG}kK`vv&*+`$fb&`%=xStW6jG;YlfJDb{+y9>a#qd(8~2uV5S*>OUbhwo_G zup5QkzRs@OJl!P+P$Ex=q$}kbT%2-wXeQu^L_2Z_Isp}~k3`%T*I~%6#ZopSy7;hI z?8^OpM2<*Bq&FP%9FX>ST?x(%WpzABT01uPafl4eWAO%+Q4FK!DrFyXvPmQYIYX)o z?DU^E`rw?lY!YyR$$}1wl?L6aP${AphtVZ@98IDTwQ>Io9AAvOgv0!B%#wAM-=4pl zyc6-nTi~iYcNf1gACwreh>&>2u(4Q({Gjy{1>V~eHsTcG$obriK|$~c=<#>0xg8HS zLEc`Yp(hF9*6=0i4}oA}V$HtkPY`3|wWT6%=|I4J>a*t}yZFY|->>X%ON^{nbe(-)QTs6iyZFoYwj{Ek=3c%boONgj&)+!q}j4w)`ZNaBa5s@Y0p!L@a#?K(YCWa0shFB@ArG2;C>NeNd+u zm`Z-&f)P5y8R{Ml1V-izHUL4&Z$cIhM(IPgq+b_>UCq!IXtW~V4@)tQvyxJbs1ig* zAlIZKybw9w=b{iW9R6qjyGBYB3~(vBfuT+vI6XqvW9nToQ0=7PCKen}xCr&O&b zR6}EuyOvx6Y!(0>IES#wO(@@QiY3unQ7Ig}U&F1wM4g@3x7DjkvM(z3M-Djhp`2^~`*oj#jYM7I&3}QK5D7Gai7N&M> ze(ZzqyWB0%0(z4)mz+t57)H4~xPlzSn{x0`F}P;aU>q0S>>*U8uer6Lg_02306P-V z7zAA+aLQKzPasxp^a|S!Nf!QWNt$MwPrF#P=94dbh0GYci3b^ceA_r=^R&r;AE*h*llQ$SHV3F3bssLgS zp<=3|s|^7Zq_F_I73QOGQ!PT+f_i^vf3J`Apa;>Ii%D_VGVIVNzo2w_MLS(P)YQB5 zqUZvFUN77=kJ9+tF*B_r3-0N&=jxMfALs9UL=q3P?85O2pi3@QW-s8APRc0^%6 z5cyaZPG?9+6(Hghw}wiOipdWy*ZTQO$oQ~TU0?V{$EsA$()Y)bI9yNQAo`7o9tMHk zK{3s7*d%nqI%k2!=#wx%%YV3nqTzaisXB&lKZ++uhjKP1Gq<&|j)C(3XYWm8G`+Gs zuPB%8a#eNrEZtSJA@R0{rqWr?bb2XwRoPWTI5X^uZf8c48SX06Oh-k=k{RvDh^UA+ zoSEG-0x=5$iAAhp6#~S-Fd8u<5X%SP%M214dc^ht=nu>w#3F$PF=+TS@cWFr%(LpbOkN%?$Xu39WhTfL&xrXqY zDUnOnkiOZr)eZ!Zzy8`rb_YkuBHv$q<$^-MA>kY3P$ZvAZr*c|YCF4ma&ovOT`$hS z27!KU-lI^itT2butuFwH%PZ_$c;%h90Kw(K;Pb2l(Y-z=TgQ7gkPA*Gwt!_9R#5JZ zFc(XN+h2bz@dju4<6TLCdS3p*-D5h(_CLAcXJLhk4hnDrAZjn0D2VY{z(JP9kMT|m zJo*hst`=DLVD%Qrq6j-KaO}Lhva$B|+c#I%H{M!Zzd7OJ*@9ggQ?T`vdEr;)j0THf6dbcSgfUZunH6==Le{S zJTC;4+WqwXPFkQ>P^hMk@d`r^XWhHgrRz_Gbw&E~%=vq>uqL(~M z989`~j$=EU5*X=PR$l0m$PJQ>bd}_kf>C@c%JdY9I&vk=65o@V3-BG^8EU!%t4Tjyu-1KayNKz<7B@UA05e(7fEr6uOdGVtJ#%?2>xbDs>TN;J>|c zFiHjDX`nm?uH z--^`y>(eEI3Wq3yLCpS-8CrhEo(3tmY{5?jvkaV0q<<=y-P%rZ|H%sGe_*=wqH0A_ z9tT19k7mgFi`{QV-1OK>t>b6^u6EEg^V-*g&#PVLgX37=$e>p6)c)>mEY}FEsd}?5 zP0ehBPp~v_m*&F|rzB0W6x3=3H8{e|6wp-;sSVZnWl>Fs_3BMx zd-wob%4OUfDy;=~Ee(~4_Ui6eIbShP?()PMKXX!d^&gM4@D6~6F&*v7oCGn^ITJNC z%ufO&(g(cJOEhMgPj@Xu#Vh6>z^`kzj}&MeO5($KzMh-wO$?mR!|s*7UJ&8?<2)`-KIZBSv6zKVT6&rm9DdQZa(Y&#OiwrW|1gOu4F zyM4o)@20QSj+xbZPN5*98Jk=r=$X2{$S+b$3eSW z>z8L~h^&GfW+nC)qe6(_$+np%is`@5C{*jRIp?9}qZ%+g;}Gc$mpSDx4%TwIwOE~g z6J&m4a1gWNw&V`fkezS}Lh10$_R33TftcVX=3b2(cjOD!d^OnVfxTr=ltQ@dVH-C#sNGygpiG$Vzmih*IW;~ zig7HSJ?sa-sqI+|R?BR6puc@eaR&MlAxiIlK0jhtg4PGV6Dj9#8xtCrR^q!TUG2)+ zwRhi-U3nn|k50H_D^;_QiQ1u4G)M@(maL_OC}R^o+}n&X_YSwF6am_fk4au5s^MO; z2lUdA_t`tz8ou;z_IID;@oZr3VaMT49?CVXjk&92C1G2Cu$Lxr_=n1r9xoZ^=El`` zE^l02zL^aIXJTI#MzN@BgOPlhNS8zP+`LU-m&>kcNCCU8T0%kA(RfOUXvE+vbhZuA z+|+^w21gYZ-0!Dq>tY^nky}Fo0J*%dYGtrd6KGDuy$aNViJA-3c0iYM#TLAX@^D+N zV$=Z-lILRtSY%*GC^l|ow%Bx%5OlE4fj)inW?J9rB6k{5#OS?ul z7zIG7&W);T@wR0#T}cj;-f&u`m4sX^CRN!TI8k|s$gICOcw9rVc_P)1uR1nTf~@hx zfImFGU2r;INX(Y+9t_1TNrI(-8%;W}@F?vuuU>s`efh0-S8C|TM?oIIHD!%9ix?^7 z$OASM*lmYGg7VK$W;$eW%Jho1e64$1rv!y;d8r_QGAjxrLW&r#28;{{BG{}EgcgLh z-VUEo7asIPC7#m@2vlCWo|Y+hb9S*cItfk_3x( zq~JSaYbuvRohBZ-7d7U96)Xd`ThUokfNJ>nRv&FMsJA@j(rcX`{CS%{`-E7C!KMt3 zD9{R!+Ox2gMmeIsZ2k)4SVY#fwP8H{Y<}&*={C5a$ZEQp@gbyhHX;WJ1!sdc;xsXT z#E@tUt|E4`i!6QRBfxs|{cE%aUb{vXTFr3=#F8aqKF-s5`QJ&k8Z5+H;aVAmcesCv z%ru-UcB7hAfwz_E1yqt-J8%}cImF;$vHK!o?rLgRN(e^LtHIwh-BeP+qn zJ!`M7E?>Ly?%L(SwIwk5xD0}ufK;7xRBOXgpM>5(H#Sf%zteNUJ>1St2Au`mwYBB- z)vJ$oDy5lB$Dy*j0_pMqAhS-7yiyTw$iWC?mUHLBB>XeF#Uq1#W%b4n4|%o}m$l-E z9l~FrYas?=|B0pf8E-6HMOd4hxiwZvm^7nCep6Koq~WLEmX2uAsXIWdHKnQ30^9na zgL5)W&9Ja#9fB z(jfVoQ#BURNoaSIBtN-bJOJI2fGU#>jKmL5R`5!#-sY6ew$07Ta8U`v~3&#<-0(uV^3 z>|_ZV^AF*r<=Sw0hRmyldNa0rqAZUFg$W3um^DOZw}vtpI!j-KR0ZiWHh9Y$In}nh zUh9h(OU=MqZfQR@pt8RU8CGBr8`;1+}WF-7%)*(rCA$C?TCu102ls9U5zn`iwNJ+R`A~yjo?R`C@`~ z+VU6Lg)$)`4A<6dKOn_vU?rj>8b?nVHuPKX-F&|WZhSIR%$$-^N?E-vFk%kjJuVM3 zLRuhk=RS9;^S}>d+&Vo`x3qIB@?JV|neQgH;w$v7I<{T`c@E&*>Kt>*+390`X>sZN zX0(8Cw9VrD%G3*C2X`%Cu{&vltB%gVLW!7w)tHtfL z3j50qCZ+OHv!pJ>*|yfE}C?HimtDTp?}Dj=3?q2X-S#p;}nJ)ey*({ zT@yX-xEO`=Od`5!S)+x05s1ft@ZxQs-n|P6SY*^Y!s2u-P6CS4wqq5)pCs4c<3z`Ug1Uw*E5yk7?HB?Il9b}@$$S>+ z7dtiVJ~yQP^glFR`cCMNA(XC}M;zUqKmm1CZ2a^Up(biigaCTx+LaEp@DgA9s@lv#kc z&_hO0?JKk5aEo>{mgd@44B~+vOIcINb3eBHIFyk0tRs5Wpy_DmPttJW6!57YFr3#m*BCJg{mlz*Oz$_nXS>+SL67IiJSCP2kLl6%d%OcV1yIv^k&;4w zyioi$*zZhWQZ#E{LfZU*%BWRXutx1SoNlkM8D2`N-8mX}ag0aFeJYwdusv=4mLOKz zO<|#N%aS!!Uo!sq$EQm_>GT^5o-Y0F9fBDXZo&rN8z|wlQlZ#=t}u$&FM7N=w!(JM zohcoCxZUprIBSB1|H-MsifhFHI)MF`NPuW-E31$Qn9V=aP?z$^3kO7*y!sIUTes`M z9NmDL%smVdzmfw$2`w?cd=Ew51;8AdXKp|@w{Lhj(laAH4;r!>U{WXwiV$*L5a3~S z@h?r6z8a0Rx1Gp0%sqJC5m(vVzP36ul&;fy%slhw8w`2wd2wX!HZsnVM%ZxM9 z)Tj?e29iN;nw$FohGA0-2ookl$GH!4ZZwV#EhUO zh7l#TRH#R`1W6$-Im@M6EO!h;?UVVFVYau}zdl|1#+g-Z*+aR+SJkCf2p83rNLOUz0^3XGAh(SnZByqb`iaaqLB5u(OF=jZ!UFev0heR7TV_AAB#kC0x z$umgKfNN;0c_Iq*FxD>1I zm@-Wwhwz*-OVEC#JgVkD>vMq=lpPoCjXqsTQxg!sMEXQ+oJ0(!E3u!gtTl;64d>Yf zgf$)P`McZFYj&9qKCsX>x}Gm)Qw--2fqQ(7V?ft68QBk+A9S=xg*AAgHQYY!!wf{V z(!vek8rS$?(PLxzQPJTU#3O3}O0&Lg_6$Go{aQ2D!es+u?A-Y&vo;U;>{h- z+D_CTXScKHk(%b~B|AkTo??2nx34IYHOY-IUpYQLK9n+;#Cy!8qvb$s3~0u zgP=p$c;vuEPP?a130Z_#klMncphe}a(WK)`2WA#|ykd>Fc39gU@1xU)(C9r+lbz`n z(I$`bxvc8*1>V+&x4ge4w%`e_Ha{{qQM>a*Zgu+*(yBq z49$FcxP|V?wzn*QVZ!%UqDh;lHey8zTd43TH$-%f)bxx^+T14>-k1*<#FTcbY2`6j z0l>!U*?_h>H3BMRINTpx8OY_65M10s$I8aG@R1xX5w&JN{S*IJrKwW8gX zyvx%=i!q0z!~C5f%CZC8J$dntB?uI3^Rn`}eAC>Wf4=$b!gcq#=p3Aa@H1~Wcv282 zZw+sw@qZ~U>psV@tJBGyR#)pSZx&nu+S!#ZW{0D*@!CKot!lT6!DX-|5z3sYz0D)O zZ^E$&aU9+zPYvv&1%#03#<1QJlnpVPd(o5V;@y@0^7Pi|N}b|#S4u-wTTOd1zY(*h z(0}-dSIB&!uKUSNdg`a!JmZ@L6C{#ypQKFd5;ok!;htKwRc-9%Gcirtv>U|&*o~C8 zb5~RR_G>G@4w+e6vR%tM-eg7$=-mNbsv1jE^iao!RBEJ8QKM+n`MJ>PvMC3n6_Z(i zP@Lo<%9M$690oyqXpWU+V@~QZRl5!UaEkj+8}sMY&}J(oRekoYHr6~q&_Rn(aI7~PS2?`4;eC%F*rzmPd$G>)*NN+@-z z>e^lS-FY|V^n1r`)2BL=;{8+z`5(p5IkhEPpXm4W12&{QENgYuV(kE9L-&N z4c%$>GSGM2>;(p;%Daa-ykBt)IQsMYYnieGMA?zqDPoW=tWQma73fHknk1I{pt&2e ziOp%>J-E>TYkQcvgKTgY)z}`$%k2IuU`y;gO8(ZoX?<3UJ~48Tqn}7_a>xqmZkCmj zf?^EGf}!vAc#^!v(Ind!%t*2#0od{bVMH=p{K z+Z0-79AxoQGGDWw;jBbwx{* zzbnyot`t`l)gcOdoo&(F)@^xwEm=S&wSR06)(``hwP+^BPE&^^9R?ck2HNE1=aooj_=AZ$_eYR1RampXz{WLP4XhrusPFEWZ`p3BrK@DVkCsUU6KfQ`srqfX z_m!#Q8t&NQfBWg3ztN7K&^teSKzUmj-%mZ&P+!E&?@6YOu2T#t0!L=DE^Tv@45@Z) z^V*9-rY?KbKJ`fi{AS01H>2n0NS5Z}1obVZF~k)6VQ}@6DW$+LIrqGIO%5(7f9Ar8 zk_Rbn@N?|>?3ks|2MrC|f`adehfWW-8SL8fH9sOp2YjK8IKJ&t6>d`ti8sTbnr`0a zSk@UJ2*k=d%Yd4k9odD}`j|6~yjh5I?O?LHva(XJ{ruNn3nhi}TrrfZB35%W#IV_Q zhGNm}%-l8L$&P%4ylgf)^LcD83>&ekyuscXf5_SMg0t@k_TNsIekUG}maC+7cm2Pf zW}~Y8*QeAJwmx!qvaD7TlpAwUfso$}*G)_$a( zk~%!u-`Q!|Ezeb)6$MIx>HSk>FUV*(p(;do$hjtuKBlH9ogUT(n>!z|5K0!~%+i5? z++TKQiuqqpPH%IeCL&sjl7YmDfqsa6nQCL59DQzw&FYXbq|WImkEgWt$Wm~+^uw8f z@9wFq%b+2yw`ih8I^lQ&#rv_CCE~yh##KUSChnge2}^ubYds*MZR=3qrxr{M^DyA- zk*u}*gfgnOclNEJOpTX%{p7r>fid;Y_J)!y6Yp%0Kpy}ab2gj`@OyTvLYIJN=vj2a z&ucp;1%~<=p%EtpbJOqd>>@;BHB&EcYJopZu(v~1PQ70Q4Ug`Epdeu4`L7r|k z=tgz2#1wn?_*6v-QmpXIlKZi_ADIgvY2ig}resB`m=G(<%cb#MW$Lk_F?0p6a~R7U zb)l!NgaK9WXItiqq(Tv-p7yyI25Q5?+`t6)H0JI7!&~r63(}ZA6z&=+V?{_zsz`{_ zD^6;)RghoV-8qk91h+scw(t6lz|MTWkQ-ex=my)d(=KzeJ+ZvmAa~z)EoXWMM^+yi z3B1~}l#~}VXL=@n4B|MlC|R34+8V)HX=KK*9{8r>BP~=6vK(E7oE@7Hv&0pQWr$1T zwKr?Lp6$knV`08hNwOpW&_Q?^$@`+NS%q* z%N!fyL>ZoUFn{4ii6YPdOHzL0WMmoaE^+4$xgJJ$Y?QPL_^cVBuU^D$ovaH;(k>BB zv4l>XwSGy76HeT1!wabq=BXj}=+R!}eFhQc;PWt2t()UlWC&ABLOOqa!C+o5p`6YIbQKbaxXSI5?PIIm%xqtTWZiLyfaK1H5 z$_UWv*=`za`CQb+@L&hE`4)0=;7Zkj)F{~xE-#vO>p@-Uqa)`7r}xm-Gbdg=LPgOL z>iG2DASrRcBiQcdjN9sm495-Of;!-_0g|0n7o(bkXQvalqn5y$xYxa`9Ifuz&!#Gc*eikn($#z1n{tWb!5c!mQ76Wdu>)I*XX zm^n2gd(?q})s@SWuYK(s-f~s*Tk6dA-u;<;Vs$&<(mcB^A@^VEsyQVm_#$7DcXIOe zuf5h~ke(@#f96@LwURwsUCD!?Yz`i6viVac%{+zy!+*-8pE4sNEF8!X;F?uxhipCcUB~8=#@x{sW)1@yrkv@Zx6zm;Fnq>d+ z#mEbf$wE&b>`w0`^Zew0)o2vvN&TM}=1fNQNut^L-UsgNa26SPF_MVs8iB{F4Q|$f zp4{Sk^yZ6k>r5JXI7l*=nkJEVmGFpx5ta_cM<@ttpjcy?7Ac>f;!81ttVi#@K=g^A z{~@-Mz1MjIb29b2>K@m?k{j|(k?+v*v1ML_T6 zURb-KZf7Xsq>H4-yk>H?uQ&xbnpt z0zxEEqgGHPdK-X{8=i(>YOk$gD;hz{i2bhG|KbGCBY&-5$M@1M;!u_B$}Z zbbn9Lf*8^~Qz#Kt>j6Vry-NiTBMRf;$Qbr4$hJpXY(!eawOhOA`I(W5H6ld{DFgJ* z(e=XBUj7bkOoJ(BR%;P1=-h5$=f4h%wuj_;?CtK?({Gd=VzX3 z)|)c|y!lOdN&6LEUc0uwdhNZHSWI{C-o4FzYt^VwDA(qgfa1u4Dv@!8hMVha4M~po z{1C!C>Y;=*#y>MMj_y;PT+M4Hx{rQw_4>xm_ug8+v6286UH7AeuxabI)3?UC(snhn zEG;Y29k@H4xM#?d5B99cTxWeQtT1BZnoD0-keR#JU9-s(4?5~#GYW*8wv^Sk-d(-+ zP9BN_5kIp8ue^&{I;@5qN@<2fqxwe#aW>$1VJZ}%CCnr?TR`u-ds?jy$(zFkO^bTTshUT?oN7&K!{nZ$cKYS z=a}QXw8(xM&;G678iQO?G?9Gwr~pG{R5uRESrLK+U(!|)VEMWmk}gRdAd!62YuhYk z1HtZP5{i?blA{Bh9#XHN5NwLed$#V}ipfq96n2z$*(gE=Nhmc6Wx%u@hAMkmFhHLa zytWb$_+d2HvHTIWqfnkq4QNu|RO=R<$))yK&0s>SuC+T@YNS9^i}04IKT_>Vf$M~ei8s5`-hYRh-K}+vrD=podycD?@FW?s6kfSoJd$>xFhZXl6IhIVVZQ^u^`2@wl256Hl)nL z9|`?c;jhK(%!sgz%p%C#2AH@O@-WI%Ukeokm}OS0J(K)?e!8@B7Wr{y{NoYeb95b~ zq0Rl4`0?~}Z3U9evBr2Q*O_}{0;>8WiVgjP1@E_^B_g5MUGD30UL=L^L=~=7>Z|bA zxL(7h?1xa_avFDAm?l^?m|NgkV3B3mCQa|2a77Be6lb!*qA8p;<+BYXpY^ux^{GK+ z&ZnUad7Copt%B5GMP{dt0@yB8RIA|#Q|W^puWM%oHQ)(3HXIIdsKr7V!_X}F4AxiX zU}XYf;)ud!4jO?~#9|+pk!4G!`o>nvcLo!j*6y#J8_6;z4?0}VY=oBQex!MH@>3ob zma5}t@8nzGnq>3JPWdz?L@tS-kX)>N)Gesx$1SVe(r^FMp)VAinxkj-fEdlioWK5H zf^mVg-<_OGlBcNW=iInq9o7y?mk-1vtu3D&a2@Nx+zkec?iRSNj05v$$ki7KaujjW z25>8U5rchFw<-2WX=g4cT{ITqI7>P7a~#OW_sAJ+v?!CN>t4oK8dc0@b*a5kIm+9$ z74w4V^ZR>vlBWA{7JhrW^hPg?oY!77*I#xm_H7<(MSMW8-_KAg%ntUdqswPM-?oj%rG0R)2(QqJTlx%FD}80qp{(WaKPloW?=l zu^vH;0Vi>jHX5GXBbNU`mljk6uoyG|W@E%hQ&RxH;y0&rs#@gkTn>SyaT$<%SMZ7J;>08o~7k=hLf3<_^ zjX5}w2{G2sers~!A1UHJ%<&lfE4+xkBpOU=LE!{Nlm>#y*)tQuI>We6Df?k9VL`CogeHL_?>)CcAYDo*T|umFqj%eB^U`^`oUV_d`i)Dw&qhNv2!tJmmE6 zqA0scFO&7g%ls($TWQ!}NmBqLKjk8-&c#x4JLx21(Ern3HbbM^ACj47y+5P|aGIb$ zkf7!a>?eiNzwB@k6nClMq&l_-(BcwN@FDfV6i(S9?H|qdFO0ijc$X$uT!WRAU`DEu z2|rwgFPGn0X}*ryT+@m2g2J)oiQKwS3|pmtMNx%dLWNHS4uA0rpQATCxqBx4s zd2?k!KiLq^^E~PD1O`xcw~oLTjxy6E&quo5(wi4cRauOka;syXXk@$+)TnCr@7;PX0e&ygOZbpr>4E##5UaQeS?LrhayWa%%MsT=Mbfb zb7<1TIV9=f9E$X?L(t8#+{V^wUD&#toP@48#+tK;XNB-nI&dw(X1!E+S$^y4+O>y~ zkzSd@jej|Z9e+HBAAdZDA%8rFBY)gsDJfTwe7##o(77IeWBl>UmliyKdBO8;p%OW> z$nLS*b2%b^dZ#1U0a_Ok>@RE{oN`R`oZiAng8|;Wa-~!A9256frUx-lemLe$&;Qo<8P43QPgZraKvh?sr#;pOnv3&LV)!4ont?3gXi(uWJ zzI#GQ+oR*VXrbCML?yPU`8AZL%hV3w91$voA5@?p_-9z#s_EgJmJ~wiSU0^pkZ{_p0&>C`FkfvGA%U%2Z&N^lr*TFzc;HFg# z>LiW%5E46l04=k|9f+Wh*q7Resewa>7@V_M?=_rmA0?PdD1?d3Yg-v0+48BwQmNAr z|Hk_(%L~$`w15HgDSO80-~n-oKqIg0@5tdmJbN>PA9GBw-1{Vp=RWd|57+XLV-z$G z*3;`KPv9JNev!Y!d^F=HDM-3-3tztyNqW+qUC0^d>c_l{vkulrgT5EKbBET)485s! zqv!0yVPAfCedEgNJFDyIpPB=Hh9OwXSa&+_SwmX~k36)PT5)U>wkE7io+%9%Ve*Y{ z=mc26EpiFgAT9=hK}sebTraj{j8r&1|7ahL)nfggF3OwvyoREu!c518QR}f9igCsqM%n?Ug_`*co%2Z(wJrA@_RRKY}|bR>RW4>Fg!vj z7Lp0=#J8%6eX<%HWj=4`z7C;UJLB)MyBkt4HaufE5gjh`l)CM#QtO8OZq!lXuSKj} zyZru{3vmPR@(td@NGz~)Hay7%y7sj7;0Y`+wF<{Zf}SWdmL+|Z$_8`b(fO4<;?gZV z?%}t$tdsW+_U?b<>!XO1wS8sf@+vnvY+PT19u_N*PZC8C_XbTs_Q<~6utqPrq>n@e zTFm-)*513heC^83jhic(#`!T5v=*brQYRdjCmSa_G`2L?EB&=RcpKj6$0KPi=A9dB z?_DRK@{`+?+sIB`>GwpIaDDCO>iX(0t!&&}eJ8X2KjyulOEaON4|g`yZs3V5;@ZkP z%b)NfvgOi~TZ9Dt+uYXjP?g|GGPwl(E|HgwZSMD*o;;D=_{10Sq!(~~9oT^9UwLXz$T>Iqr z;^2wz#kC*7UK~96y?~@RB+x-_eo`leNmsOwlP7dee9{YG6FB2O@&cNQ+1!XaEPe!H zO2@^M7gfHPPhMR4YM!{r(sF(TVoR%e@}kQZ^P}0Dk3ob5seQ6yEa>b>NP>X?;8^+O z7xJ-*v_~*;-8*n&`O50|E`MkFV{{KZF}pVsS4z^_V0gMw{VldOoGobq+C z=HPa-CPlBU{no=_dKMlPhuucCbwL~pb8IAv24N|q4fhOJ28LCJMVsgFjm>Rt&D`zs zq-}2f-124KQwWz7=oqaNRD zYfpan=E$lb8r?m`U6jhrVmEaKa7*$T(3XC;1TgwHD^q#&-bxEifBfh>qkLP1reg`z zEk#;c4{9Z(8g}dZ0ufQmM>TS6`p(_L7i;F0%01nT%cdtytE0Kc#?4Nv82<`Ka+TE?D$3U&QlVESB<}FI3fm+PeSD)#=htfLOsnKE=-6u1M~4 z2b8jJzxMSk-+Ag!v{}~&oZ7W31ao5#nb^M}p@7dl~9xhwId+7JN1 z%X=u4eiZ>ow=0`LRE;)^F>jlbOONBwE|R}R%W!rP6%vu8rqR{esD|@&WG`8wamcMH zH;4d`qu;nNpetN8@@_YFJx4tn3TKq0y*FL@16*o{fvy}Wu8^?&hRJ;}wD-%e{$VQE ztPLT|HC_6Akod-NBl17lfYVllR^F*09_Jne(5PC+q4H4|3OMBIDT6VQQ zzjdm1c_F6MJ*rj1`Y%xm>sEm?U!U9IZ7ObwF1T@<@l1y_qBqHC`!!ciJ1b3RbzgNH zY08n08jU0dm&(-AY%Zs|zuI3Q)XVvC22h+nl)r0dl z8aP9Nuj~*y5;%&SP)`}{)mJv!EgU+#bj6lep6^V>bAez{P#c)L3uvuy`~g%T>3po> zI7sP^(p~G(!VLiLkgIF7tJgn#4XvFslQHu~atbNiT=O{n3Et!ykm*Z%2O&MSXhEH8 z5p056MX#|ux={;7Cn+wL{>e7_BcbT!*B7J6WYv?v(W9*MSEozAON$afTBl7O3)M3k z`5sJCqGsYt1B} zflP{a!BE>+Jh)n=sZB|o2gXlLbQE1h1VGYR0qT$&M)5;<5iV+Y!D0;z_+7T^0nIuE zP=SWjeb3awTtAs?qha3J4{HL(?kj+Mm>gK;heCFxi)(0`g*e3Z)10;RRE_%bV zrd5(H5CJ*74K0qRwwmO zW^p3v(A(Uj8;XiF8LRfO>TrFyLB|Edu?|^Jm*;?h__G?=4WI4=d8`s%l}K-_+_qfOz%)Q#e-UH zjBzT-Y}&&Ko;@`*;~aW$utgTA9EaOwC+lpUm5EwQla}F*yt)_aLP6K+-*j=_&=r$(Ag}pk<@(Bvl49n~;Ph4JjZQLA<(zWD z2`#cB9G+HUTEXPtf|3sEW~>us>&W>t7p%svy{a;33P_c z98#|BMjm48Mp1`fS#AwTi3l@$#C^ds`crGd3?6jtN~`z-={Wlsn5yN-4&F7QJD)^# zcAYAF>Ksc2`IfpUx+}uFJnF~nf#03@fu$PLY%~N`tEw5Joi?wd`OMj+KvyK;Qn@g^6!M64g zI&wCR&gs__Dpk`QU6>4pomVpO-7%_cFU2D8*_p`@45jFV>2BGr9(EOD2}1qm4VR0I z=P~j5m_B6D-#10h0hHOeI=+yXtxZwy@NN*lJGMorW&ofhymwBZ*&It;+Iz3;^W0PfWEScF%$nR zg3=+dxz)E|%?3kO7zoI&R&f|`HK>pnLx`dSm*K~BUtRjIiz-T2pD}^uhcraQkd$!_ zxq9o)>A?pZhO;E_#tD5ZU<|Q?ogH;<>@bX{7&Xv_5H`I5uAzFy0Xm%1W`n2HL3Sgq zR?W%j*c%%d-O7B>p`mJF>sZmo*a~duLeE3R%0Dt)`nfa33T8U;?bmkj&D$-r=keJm z04fJezWK~wZzMk4iKKN?E6^E<_0)@F(t~tATf;QPor&q-9PEeg6U&!z#J@~eBcS>~ z0zq;^=(Sef@x{QY5QIfzX;lkxSmKvHcc{xoHgC)6ZMvMW&kA=1BhNHotf8Q@#hiKX z;moo1PvHhv&x)hzXZNmG!a#(Ya`4^ z0GlY-FMF*qkZdtEQ&vb)7sxk}?+AJf=K2>Now;UDZG&xiYX5s}9l}Ig{!qfycPUqF zMdK$F=wg@KuydGer`G~b8%GgCb^+vvN}zxBv60l|&(`75gCvyC{GPUs*>ij1XCans z?WN9VIe9UFj;!o{219KyQ+CXlGB39AjtBuEVV{Jg2yMk8y1&hifPZVc^x7FL`r^dp zek@c?WadtU#=FAirQDLGKi{zUuC9U_QmS5U%qPp)4TF$=_lT zc>uy-A>8Iomo~%VUN$rH`OYO-HiI)FkefYP4F1I^&d}{UU&o%=p@)o?6z}a%*MrkTU*1ryB)Zn zEDgn`O2EygWHfdP7uePKb2*SmCaGw36v)oNDcS#f$t&?kZ7j8qAE^C^TZBC5+ zI99v1rn|zYKT*;`w^&*<*Juj#B7ggUk!-X@mV3Jx%{}l#78D+Xokv!@xlcEp?Cx_y z=fHE9xnTwy`Bn>{(60QO6T&$1$agW68Z7t0Pd#YPuK(%Q?+%B@G28y@haF#^MGGWb zA*SBoUmF7{_;dr0?#`S^xJTV&MMQPYkohhT*XU^kE3g9CqGb`ak!ECD&Y1(`h8By) z2a)Q%;A|XVbsYNBSO={dVo5x7Bt!IY2ALHEl?;pkXk*sTH&X;B} zKC}`4yN0aw#WrFh&OS|qfcNx}EyPzFJi|s(HiWlDsE|QEf>*SXSVoOFE<_#!mw)B4 zarwIvm-3s?yr5IRzSRI5Dwn-8EA-=ZVY!D=qu2p(whKic#q6mW&4^E^*rtxdiWW&Z zQ8;Dxy9Acd2ZC-*5H4^Y4P{eXcu+xGb!gCjP@1;vTZ}h$ID%Y&@$qBq)&Kf1@zQ*a zKb?EzX9rc#zg9}}GY#IwyQB}a7tZjKK2lr!_RKk_VlAN|zq?GLmln-U_a+fIYh&MF z$&KA;*qxjkr|uUf7uw50>8XTd9TMfgI zJqBiX4O#%tYjd9?p?L2wqJDp4y7bBdb$EF74B7Ks_BO?cyLjfu4A>xl05m7d{uR7@muS zJhGxC4d+{O@FNXyad7_Xp0gKpSOl6HWMjgp>8BVKhyzWB4 z9UHC|R|*;fo0o)%IWRcxrX#Hs~SV*iAUTY67grJeVc9Gj_k zG06NzDCdsuMX#jS0s)ent#_C)h zO>bhm9ew+cy!n#-ccP?g+aQ*Fx$_52&9t!!7jo|$eDEEv(6Z&t32kYdNj2ZzaVXC>Cx_>N(t~-8TKp7m$?QoW*lj>XK*81 z$>?)>_gE()U|YrFFUiia`-?elm2jn+3uA7r&KbNYxqwcR?s(i<^-D(DY$jbaM!Ozf zs6Rbu^PO~8t3umoY9U{PJ;&(C^Ws;&w|aBEKzR!p9N1smxO`(}c|Gwj0q5JYwz2ZP z%h|;kX14Mgm+XSkC7PjXb6=?G&Q8bOVrb~?G}98urxY_MAkIp1Y~KUTBIe`c)1yGN zQbKPNlj<&#-cBQ4^(Qc9Yn!xq=Bsb7zPnOb zUk7bjj^OvSs!R#VC@hi!!RouQ^fpC4dv{M;DKmy?-w}9iei_81P(I@d0c)#`t9Tg;TI%+>2OH`hvK{-Rdz54bVM~q+~ zv2Va=OlJ6TIs&N@Se!tM*L!2_?VGh;ZHf&5(^$tNC`$ePH@7diQY@(u1h4tU)Xi#H z+Zdpt)6^4!}(wt+KIyI6&)kfIT8fk|(_cN)( zP@$tJIIFKnqA--a`bubBTO>+&(xgin_Yh{A9VFE-8^NL6kf7OEd;4v!u-tfy+ZJ^F z_v^1E0u0pCgDtym*uT(*=FjQbaILkVEoo_Tgt>$MEZ5W*FEuzs6`DCBq!VP>r>aIw zt%H~Rk{2P6lln;ie&ttQe>MCm%wz6x;n@p3XX5x5?B)2#6m{6rg%)E|-HiwK7+H%( zo%$mj+!-03nt?f)x-0c@T)IN}knL%L-t;8j26Prac>n?9)1;Acx5;g5N6d7j%NDnT zo1yDTKf>f)O3e4jz7;os+c1jfgV3z3uCm?pIDvoFoX+^SRmPhq6J0CpQeRKhMui5; zwF$MY1e{NH?rt8@4noH$S3KZLP<{*5b#54`tR*<1r;N=;(~3T8;Vcdc zB#Kb*VRDjRkl8>58%c3{`^H*ta zY)2%!cXo6gY?5B{?2<$*;VI6t?5%yv)x;r%N}x3Iq&ok6w}Y@2E9#Elk9WE^is+>A zvJ+u};au9;yxT!K@4)-q?pC`Q{!2eQUAo9=hwIBqaL$VibQ*YmifM7btQ$VIcc^yP ze`o{Z0hVV4&FinFx&PEr1A30S=K?F;LPQk0yLozgOi6PX#YKK?(A0IXqwsf{Ee&hO z#nvXgbWEIekeLV|%?Jy;M$Kj}qy*Ylfk|X-NLoL1I%&l#0=-GO8fQk_X;l|@E;?IH zKJsnyJ8Cs`w2 zA$6X{+Y&*3;Q?()VY0XtXZiYS@YCzB+1X{ww=k$-BM~u>#x+=oP~QOE=gxQ~P9H+q z=YD9){weM827)n|DOxx@{pT8FLkidHB3*yo*jo0D9$7jNa6$nwNWZN z(Ri`R=HL<8QrmrkPjP3vkq&sitEv@Vp%~m0T=v&;NVU(02=-$JCuR6_dW1hP%&VZS zDOWjm>At36h!MTchuaO!$?u=`;a=g+V2*LTa)xynbOl`X2SCblK6#>a7&dpk;HIOq zrqC=~>R-jIwWs0%MXW}xRytZp*fRl@-eC_S#PLh(fo#@+8dP9*KQ~7kOS?+@ z)<`fKj!B%M_v$ivhZ)6i(Rrlq;U*3Q@_m#8ny2Q&9ji5~I-i2&*SPcX?7lsNXw*x@ z?)=z44}YNOLBSmJ=Yun-Z<5VGy)t_D(MFG7~VMA;rk z1QgL*H%YKgc~+nYtgO}>=jek?8b5gW&A>AqPJSzE{uTg2MlQ*@@)NLXKt>9(8wx&E zHHfa&QZY@$oSYnsL!xkdgR46m1J7~9kZ4(v;T-(a4JFp!OY2XLJ96_L#ue5nXH=nD z*FI3vqiqQ6;9$d9h%w0ddc=n0kG|f1p+kg2Z{4matRq?Jdz0KUNTZPv%L8ld*8^au zy}UOZB$1ofQRh04R?8e=005nLVlR?0th9HOH#*OSCR4r*#+-(-8GSsdzs^{0Wr?6T zRkDm_gy?Gd8ug5ocgty1rzU&~Ju!u50x=wk@yw3IZj1I#{!sOHQdX0GbIrP7;9&J^ z3w*U=nd0Q!4PTz6;-zw7F4klnokkZj=$vF=qQ=Z+X-=c@kj0sF*4SZ~9p}RB#mS`? z-ViPd{+aB!-U#4&cbVM?AwQJ254!^%1QN5*ap}jlpO}GxZumi3_<%5xHRnULf%Z$^Lh(%@XDwud%%Pw@+OnzUmRzg)ML zy@L-`Flx5GwTJ-X-M#x#Xm-@uC4ggN%{*axnNbJ$(`!Av8(>7sM33IOBA+98_~-~X zES^Ttg(0R{Q8T<1VBb(9v@<(aVwCh&dz{gFSr`WGP&x~PoE>9Gy!PI^@0#kuFTIwmLM!(YDi zhdbtKM0p*L5=Sq#y;Q955X8=>mt}6vIXkB$9+ZCj%r5qgh{U6SoI7IGrdH7bDK}OT ztkAJlx(Fd5%mhOrWo~8z32}9(qZlO}3f`xG`1a-joqE;4kL|YJr;1zB+j&PN<~-Z% zSZ4EddWiW)^AAhCRG)kgFoBBZDmbC=l31B_a8#2|`3Ay`P~h$F?b3V~pKK6Tjwj$k zV^d})^JCltq3!(KnjG8R&PmlX1c_kADazbNZ%pu-9LsVD$dYA!=^fH#6wd z9(a7}g0i5;NFK_GyW0w4CyK`wH)q%-5z52rN-CrO6b7GMo@HIL2{M`pJ!t=;u+WZ|Jya);H9l|0H z<}AEaj3&xyugEvA8TY}?_VZ_qmOC;zH~Z2b9YVg?#*Cgp z;sBEYaEKo)Id-TIgthEkX2v;3zjx44>PjKLF;xZH6apr2#XKKCE9(|r@g{Dmd54!NeJDyZeGa^w9{vo zSpS$98$Fq=-In^xc@no&Fq1`ZuCIUlrR|*$Uot-AAH?jpKG?x4#RW@#6chraTuPyG zifhIyM*yw>C7ggjr0nq?4jy*WCgFh?KXg6)4&QCon6B`~tbU%DxY2M*Nmj%8M;W$8 z)cEFswQPQ04g;@i<85tr&5gGC``^E8ZCzN`3hMG#zJfm==t+w1^$WYUV`mb5Tumh~ z>eN~gqZ$Yjv#!Kgme`T#|K<_h*&5p(oif{pmTkSMSb(RTjBQ$-uHE_QES$6?KOqW7 zEuIcDCd*9&8$D9f5ilI+S5>3uin$h4s(B49gNeJBl)a)IMU2aMHth~e9rauv_Sa7! zUC%xjJ7S7N@iBzWzh&x>MR!A`@UV&IS4i7D@F?VpnuiQ%zKB{*l-Xxi`M8g5k&i(F zl;+e6yL!VC63Jt`s+2iTE*jt&+5~~;83d_TD3>%RMpx;b530&HHDzi zuS{;z8Gd{7xU)o7j*n$qMPzi~_USDyaduj1PMO5gbBPN;ZAob1KxV}qdDS?>YIwGx!Wt~!iu8J65L79V zmfbr!+*kNkt66|a6RM`V+Tb-V*vHnjz`z2Scfbqxl7FR-7V>>Y!#HD}NvPMZth~GYe!+h>Zd~AY=OeoSgo_U4 zZsr0Vua&DtBSOQKDl@U{Fz#k@`myt{8@BEAd{=sTgmMa)~ac=*$$~1;}W}Zr6oCiZ3cnnLD8@&OVqMUToY9me)Pz^(j{9^Z&%AUwy*-N ztrZ5e3|~-xY%9ov%k`YBVPbhAa%~WqEBG{Y49P!dC(YPgc5-NY@i@q@VLS$1>kZ4Els3l17RuAt z{JXYu(A~i;@@(;J=t-?=lv<1wYpl_CaQL9LDK%IrKq6On&?u*!w3fk?HIz{7g3Mmi z%0hFVMPVmU}URFo6;mtGtg0}tx2GCdqT$SB!5kgPIgYW4>Nj8 z4e{n4&J_=;Y3D&*8_zR+%5UFvW{MgW8BeKme$yYgz;M5bwm@$G8f;{olYJQ!HH`hc zx6(99maVyJUO~qinLU=XY_?%yg5cMwhfV5J&S0U2!my+rm*_w8r39Rbu=75%$I?s( zGGVFc$x%tl5jbja0Tf~L{W9EI?8QNogG;p$p)e831{N+&#QTq6+dCKzhZ1~BUMk-h zan6C%yt2KEP0d=pAtd}HpzJfQEjW82_UZ{q)CeITfi98tuyH()TqKOX@0V?m)?LU)J!-C!YOz;4FWmh~nUGzcX zS_Yi`#L;{)UF=~OAkEpfLk(bSg6h3JHt%g!0zw0X~W z@=W~Pa(6VB#Y8nvhA19UGDX>Q1AP9Rd4~OoL*^H!OTVI1Bqg+;FXuOHE{Yy?rHBc( z?5yGP#HG~X32BWIZ!BN%;o5P zFq_`8MVx(lS9kU5^inWfaAZ~q`_&R zz4J?RZ4gc;1I`C|!^5d@MbiLa(^&mOC!Skc5s6B9zCoLYotc@5lc8uw#J^w^7z%3H z)mT>ie|>o)+W^?59GE+5io&N0Z;jSMbNV=5<0wmc?W%6|>1mfwAs-ti3aSy5v}O5F z^A*KyNS$EaP{xZRRFz`G{F+nb2ApNyf=;3}ITpk)Pn$VPlAsndJ)ByDRwFy~g+z^4 z*^_n9`Jf=SF(NX>z_vPY2JPG?vr6g`>kI!PC4-WbrVkq)YuWwoH_6fyOqizLjnF`d z(F$BpjzNK)P{ZC+w6h=E*(?*LSaw@1`des@oRhcqZWF$(apJmYSh6!g&e$Xq&$Ti0 z!sgo-o!As-cp2%BhwBb8f0Ku9S!4826v|G;oIOP-bI@i=!sE(SvVI zFHM!#O5-wTCAdd1$qvI!fO4E^o4o0Y^PHR+vlD1~L})Sgp)V^E=WsJ= zQ6oB3LGmDXM!*8?3W;?y-Y~}I$nxf99I9SG-AS7;dgKYl=rC6z+v~BNL}Z}D8YMBW zaw{+=cXoGGN5n?YW6RQz7>7zY7h@l~W_Wx*0>4X0`6VXbAT8+0HN4F zT#9Nosx(aj)bPkI5*gZ42ikz93|EuEmcr#Dx?MkmzWA#1A=KE2aR1yHVr(s(^reJ1 zSZ^&68nCzlWJvS-%oR!Ky%(P2joN4pme z9oSR9*FYvQ;%T}XCB;Yv3}OJg-=WVr#)~`)gb64!MJ!3pl9&n+RwKq>(nt7{>MmVH zL40mTLP`}ASZyAYnT`2sJPsJt6SrCGlri_0$sfta1&nS7uSLYk>x~1K5J|2x`b=vz zj_l`;pAVAqYH)RbkZAU++W>bSsza%es7z!hSnG*)I?jk9s~$R_+g(WXT6YKg`Vb*| z2RKTknTB@SNyau@n-7N%43(O0H)!M3n^^j2`*zLsAUo#KaC2`CH-!z)!OSg<4a1kA z6Tv%j#BQg2_5(wTW8tKR>yQ)4?L{TyNC^cf2NYInIMLa|(G!khb`mtQOHILc+As#s zqqLE!_uC0&G~`fC>~%%a+I27T>7^bZ-h!+lF}a)Z{e+1FuY?P$t+@uQw_!UgF`iNpTYL}t^Wq_;YarZMB6<=F8-8@Ne9m?*!llV)Mf~5Ho{)(`2BBPx69Q zUAe=x5+*3PaiN2!Ms>VsML=owRg?31g--4Ezzh=;G)$WYw1Zgy;v$A8?`#zP2m+2d zHwW}xhWWa4BVJ|89O3RmaJbHg)qqhJ;j7$gsh}FrzbUt+G6Fle*LcS+qP3SB)NO0i z^mW8VltqV;#^%_v&`O7`%<&$&x57(zOc5WQMTLs%Yd2TFH+lKerB^S$+&LfTxn_9J z!#_A(deN_MI1gI-=1*6$`n(w>0I)VjGOn+ zhOas{P*$am`1_fHVJ_VnP-+&a7qr%&K$$`k*O@hBB!&rt?&F)G zoQ@*hc~W^6WD?RbH`zZrUHX07ho`Dm)=p7~=KrugL8kgY6{b17_j0?m_A`~t;-t~` z->{E{7pr->SyI0FDMf8~NA~@>ogd$DDDLG;lXLe|sJJbMx~4QFj04{d-r}9fc{=V< zx%u*?7xMK&NXejC*hSz{$F0BR33QzvC`)x}6V#%5$E~~{?4(XWulr`Jp)SAvIBLk- z5WVzi4_}F=1?t&*_fHc@i|xAKZPl~3RV|a?)4eiRBYRPN^vUnlzeuPQ#>Mr(Ry|{X zv1PVX`%U0}%2m{(&Nt!bm=E8JYB5y#qQsD<=D02u1^n1PIjH5N@D*pJtY4$ zEzg{J{fzX#?F=hs7FiT4e@YfV{$%mzNO$QZLnu)FlOU0y{CI}bXLCD+{u6tZY3@oHAXeu?8|2Ocg`Vqe=C@bvXnRcSssFS2tv1Z*EYKLz!s7Qv+XKsouA6; zFv@Cawu6Q2bO~a?{1n~6asxvGl!%Z zxWHO-Rx5avIf4ml^Wf$0oclG3t6VKAVG071u7)pB-oNjWjky>&Az){p-VWX2XEN3DS1<-6LmZc_H|q){g~1sf)zF2bx3ktE zqiJkszN>OPy$}}}*~(b2tS3clybRG1_0YES9ijOb3keu=hpI4IS$bxduv>SOq%AH3 zW_Gi{=m!1{*X0xp@Q(8soZd;Sbp-~`CwsDIFfTndD17{O!$=J~ylTW7jgBEodpB?n z$O}`@CrBPcQeM1}T1n^b*wH$>!B-vi9v!e!oz6`}F^|OGGn3OlXWU#@ zfqeFr2GY=d#R^9cs8MNPg{bt2#y5y*Iz3!kxrMs_Qtu& zmYQs}NPw_M!z-Bpi=J=W+eE!PDJ{~YFm`;zVce`96_6O zX%y`uFMY8J*&&_#n;P_}gy>9yr{z@I9i1XFgEF?5%-Ix`UI1qk&7jZj5fQKB zNuqUdwbbAalbGK;)f{u(;vdGR|H*Xe^~c~-QTYg`KI;W3sat;Lt8G1!M7bp9*-hGS z*y$3Hyo9?itFgul)^ex~h@xN@CmIJbcvibao{ygb`Op=ibpCmqsYY_RNSz^M7H~-! ztH6mUw@%cS8l=>3q1t0k9T_x_ZYW2l4RYGZy$*XuWX_1V$a8*&27#!*h@-R*MCH<6 zoLszkG2Q21bo^WQ@!W)G{>!$~>jD>siL+AfARQ}ql;i>dG2++yFP_@iwx4TT=4}kx zpiNZjIAwj}>Z$spHay|Ew!4otmr%LA_u<|NCt=J}BL$8Ta?wAZ@sMpMjSm!rxa6Ws zN(TS0+rpa&i9vhS!mJ+?(Jx8w|$2+%GF{sp0<9pZrtlq}#%et7r_;fQ7}r4bWW596uLI zPrA$y;YFAi_(e`7?ZkSyC(})Je$2cyJ5TJKcyaQ<-u_|yc^8!^{=B`He-`*V(=Fcy zL>rRUZ_^#`T3;W%snQke+Rb77ZAB0!S9SLsO~zOp3ne_wf8MjTc0WZk!8fm5iJnAP z*1!FxhWN_lE0f8~d@}og_5(jH{PF{R@yf5fj5A-@eqmy3=h-j%P%mHll~?uCrAzqk zHI-!rNvE2$1qfEgc;%9R!v6|s{O77aV2sxnetcW+a7Ne1LNm|aX&--M;m1?|__g{N zn$ySY?cmC34_4=BIKYF%OUw?h!*T3dp|9pK- z+)tDLJ?-mP7Vp{v|N86o^@BtE`u+O)wYj-p6506+{O{Ob16>)FSnZ3E>+rUzy71Mj zaLIoiK_Biqbg53v zR7nzzBRjOym8t3T^qJV8D88|4uk08|pU|Tt`@fkk{k)?My@Gxst`zJVsuIO+$xIHE zE~bV8tpL}rI{e&G#AGwa_N4`wwZ-a;jTGHbeQ)K-8s$QUas7RIccA&SQBjhhH+9f* z<2^KzNWf|O5I+WDJ*ndiMyvDb?G9CLQ7G*OzJ;2yIT&~*|T z0wW!uN8Bf#**;i7L5e^^rNYdUn=*DQ94kmD_KgKV+32hvS8BV@(J2qiSny>kMUT5; zp6Zg(m2rw@yO|_#dWA zf4ex(!Ap@RJ&fcn6wFP^o0guI2*mGjG(AzgJ;mH-{;{@9Xj?$d*~@1;fWnp8*$2@A zYPQLYJAkaxA#EiGC0VB-&V?)3YoMXw$rQ07Qk4j0y2^!?sPrNlet-24wxqXtks(DI zNXU>H(U#daS!pgUKDFjkvkTA{CSMy()@Yviry6~{NJ@^>)qVJsNo+hJSxkvyauu6O z>H;YCAr@cMG;;c3yV1lsHv6wmm%gaY7Bsj92y^>JQ%)R1ZnSHGKJ$EoaJ?NW?X5Yy zYh7dMctcPdfw!^ox^3+oMH4iX0J~SwnOP;JYA%>})p6_{UntumGWOEtUn9ye6W#Gb z$as?naTTon#NQDCsLz_59v<1oiG$RWN5}X7HeGtY!#CVzd<9_5zXv3#PCQLn#8%_2 zKi(iScmTuO#?fReSYTK0$42Pp*TUmn?98aa1(nLVvUEex+qb0TtlZo>M$N&b6p8cm zu61C8P}j&*)X{D9*WJ*|JIa09k@+44)(uX_I;=wmb|5}=2G7qo58NRYq8S+wZsm6s zUL3V(&l$e8C5W05|j8g(OLYyPxHxgSYpPK}I%Kmd+p(SUnRo znz%T))e8jlTHY(4W)>ZKzv1oy1#Map~V^3+x!He{+nvlr;*{ zD{FKf1#Q?`cTW^-U2~v|CZdJ4fHT?cus`zJ|Hj#C|D4tiwI@9<{hJNE;i`G(;p?5> zZn1P5F>o>PQz`LGgy4>*h!42>H%6h4yzakAUV-g-?(*-9mCkSUpJ@QjExEn?@U?3Z zwf;g^8~l^l?l04QVz}&QS@zDshq=E`|7L?{uvlK1TcYPe>)vDC0vnw^ECP!LByWe7 z%!hl&hX)c|wKr-&WcZML_d_})4WcAtK=|gkkz(`i(YI~8tB20F?B7NZ{#7)#GQFaK z@6jI*2unb1t4)?mrU6h0NkFm8<4{aSfT~fUnVn;lRTb?7X{H7t8Ca`@VvqMW36px% zUqw|Gk1~jAJj^su0f6~B2jwi{BorOV3*BE`3P7yUX^lYB*w!&%i~m?l<4~0fF883u z3Y(GI&IUwn?HD9f$9snY>FYC|K^^|t&Iq}Zck4I}Og8P-ZKDj2{~B#3;YoXPT*>|} zP_Z2L)qw)UwJU;nm3}#Mm`vTxBDj&l@2IHZQn(D3NS})~c5Ri%wfxEeZ^l`ocLKzAu!JOMjI|MULz8{Bgq4Y{@(U<8iL?JFO$x;@5ar5yt< z){@w3D}^!U&1>i_bZVq;K^Hn0ac2zPaO;k3@$gz~>me!p>(2R`zeM+Pzk);yvH~q` zdEgfh&_=m;jbJ;l@x8U{E7vw|uDy5T@=DMeyyCxU$l*_ccE8F-YLFPtjjLMrLlo;T z{{&_FuG=|5=GpKZ6n=CM5td@|vwx~VJ5)2RD9TSPBXz!^|A+IUmq3XD`EW&#LesG&E_MdyLnJ+k_{MxUO1 z63y8U;BAjyC<)<|>I>64Rdx*3)_5TT!)e8<_gbO{_>RuF(Zz-$4%$hoUu5Xt9OpB-jNOK^#WKV$z4sN-J>wcnbJBJ=CM;T9AX>75PNsQZq4tvG z8xAffXVuO^OL^@NdzX4~4d+dT=t}V6o}v~H5J~Exj6a2%BE^CH+*K#FV^$}b>GT8w zi%oiO1_dcx7j|THVbldL(`$HN?FEo7Ci1)!!=8`ruPdq}QLnSHz%wJSMYS&|VD;5S z)8^tzX)+E>zG3;jD@Cr8qkFW}dZ^#z8GB8o8DIYC>C)dZbZi=pOwQv|9y0`F*`1^a zc&dsG*L&5D8hpn++SX%Mhb~CwBfA~B2DKFyM6MWh1Zoyb zcL8z{n9tKs!)JogY+p8qoG0}rX|!%OpLvPJ75FN!iVKi%b*ZZ4ZHVjf?m-h2+(njl zdhiqg=ooeHqx33q}ZD3OXrhJ=;fgy1K~ggrJyyr`SkRU_Z<$!|bs(IIgb**SZ= zaUH7pE5&(n?6`x|S-FFSfdi3U{JZ!R{1>aGffP~ukG>lR*KA!4oSo5(qq%tK7HG!AkdNrHi0>DjP5KhcDH>nFJqn+f4kTD%Rftv#^AKnZ1ale;4pLwB8q@C!< z$X=VHBS9K2LxYccUMcgEauUolLq}4(n(SGa7+X19xlhfUYf>--yo%miw_2=cY@)cWx&r$@H!G zseY~WXs4X&blPf;m;RRqhMh%;VsDb|=M{GpMx8Dd!19JnxYHFmyC-!t+dN_o25w#$ zZb-OKn&l#KWKx@RK+XEyY*}C=_{QDqj`T7ZWH_>=v01yObjx@AeC^5Os3Iq=)spMVGd9#ry(Zv1;vcVbIl zhb`>iWNzM@^W{IweFW1D(yo8Xwzhe0Q!QFr;Q72)uKy&q#xu~t84mr1l14mDpp}^^ zwt7sDereA`4hEXdVRiMH+|Z-$TaSi1`9bWnhO|H3);5so_R_=A)#PA9l^Mxx%qEw+ z#MSh=K8b)pj{ve5#j0Sa~`)Fl&7!!omYs?>E8J^axJc4i% z(BI5EKBZZb!4l=?R+T`3?qn5-0zrv7kVoq9W~S0Na)EtXh({q@@-Un_i(9Aje4!^| z9JYNWo{?`2CHqZ`|J$V$Z@_&{YV);tNkf)gU8fK9W^46aytB*khVy({j|YhuD;9Tq zaG>$DDd;-;XD+z>TeKkW%iI$4O;kO@8c54rI8Xn5tg^i4oVUBWystIxpHsa?kF0Uw z;Ad7>w%taR^4tQm<|X!G!7#%r4$FHI{ht=*{kRq8^<{?-sR~ydswn5lSLO{Qv5u>c zsWk7)+-maXV{`0+0=;K`u(S1Hi_&s!{FLlQGJM`^!%K!Vj^(4@qo&<_EhUfwlB)Qo@k6 z3UR?>3n{#XWq#|j7HPmAnYDzfhq0EwlL+n24jC0QtkvQEQ`Vw|)?+!!hOBk^F|pR| zkHA{`HYs7qTGXz7Kn&!^T?UN1?>~k3?fsVbb}G?0~V@b_|>snZkgZo{8hRL$1lIelC)} zTFc_`Ga_EI_{niLH*Qcf8X*!p?x?9o6Y(ruf18?gGV;t6Z%81Ehb2uCI$ITk^aCpI zk|Dhs%PP`Q2*~ZpssQM#Z}rk{5~JV=4K+H}@qR0G2L(SM#UH1ZMRW%@pz4?`;O6Sr z=ryY&6rVXTnyW9N5LYWG7Pqt2WHs92SvA}VnQ17wIo=rd(SXezRztR%u$AZvZVqq# zs_HR;gd8~Xqlo<3tQLIV)73Sq_Od+J8YD*53QiAD_UI*GHRrY&7I%~X?_`tKye8Zo z2)(WdH$FPDj5}~m(^bUDdJND#q&0x?d)+ifM-YiLEJ`KTMgC_&r`LP(V3qmLpou(i zw2sLKI}fb3#Aj6|#!Sjv+-S1sCO<{_>^@qXH}00R`_pWlwguIxp9BtY0w$u$sMqmi zzbLU}X1$HHt%;Mm2a_^ll`{q?BW=Pbwf=1q8yV(w@8k|Kyy-n%aIdXSBv^7u?6<{F z??xvvwwu%g03!_DZO^rqWVKC2o4^BnRpUfU2u|eET*NeIQe_8xFU;PVmAQ>}n1Ug=rndgrV;fWIc z`Q)&5_{7bIIB;h21d$B1`0}DTt0`zj6y`=w6Nnxwg&OGNHeIPL+dT`!kkz#(-~T=p zN0bI>d%1qHk<=J@2*4Ji_D~d47jG8ACd;>!4=hxg&~SNYrd4En z*WIKHp!Zs=)wG(v1iIja9O91MnyT&1Q+D<3Q`4nZwalM+@1W)4{=)c9Y9UW zrur7=QD4@OSxN)E)CYqSWY*-3B&|edxSL)yi}-VbEa>gRiil6+e+b$s7e{Q<;yL;?YFw2%F&l(vY-fdFyueZ631m?(C zKt7B~QO;FC-}ig7bk5*XvUrb02}b%qEW6guUwiADlXZ$34v#6}L#;9mt--AxXQ|b& zec>3KGqKs8S6``4i4H06clX1i+H3Ix*JAW*nKvlizmGW)cRM@Nnmybx093E+sURBh zmv=$g=6(+yL3`cKr(M9+^3d3ODmS4@gzSlcL8-I%L@%7kg7ei^F2IT$`dl7u+Ci71 zJf)!P_MJy3p?{^xzV4>-=bQsd1TOWolgd-Rlsw-y#;(>zT3bP*YF|`iFThK_9a7P5 zT34gV600+w6S&9>ThHQF;hKgD0qEguH}-0%fv?$KpY>CADW@9kHXX^ZgKf}9$K9VI zz!^E7feWiKI-h|9a$jjo5cD^x$8I7en|}Uy^B#KE)}2<{{Y>rW8ikm?M#r7MIEQhl z&4o>Lz@2<>@c*;-t|6AE>3vxBT=p)>iY)DnlGw+JwOieup4rQIEbYuL>FMs-t!z(K z(^azmW1M%1QHTraDoYjdibFzd@CQLY z2=c+?LP7!#2_%I4e!u7T{x7GhTD!Bm?52@AINX`*aujC$gA@ z9o7Vx-Geg8Oj>_{(scT-fL9oE(@#yQab;B9Y3bx*PIE9t%;fWfN zyUfFG&O){@M zxK7V-h#3vQ&@tgDkBF*2iD{Zfu>jB}tvyBx#xylUOK85V6VNEh z!d=BVM3ikB!D`B8-`4mw5SMcs+mYm;GlQUk>O6KX2s=su&o=s`X?(-Apwk14CME|L zZPX{7{4LI&IK^ksp5}$5k(& zAT?ay^e4}4)mvzsDJ!4Cln$&DJ~zOPAkqs9g>qOEU+2~m!6$~*X?#HAgxz}-0^(rC zWoNu^z-DVGe^tl>!7(ZsaVXkPAO_U5+GW#*BkPHPL-j#HoQ~8}fYvMI26k^4JwSMU zws)N?L?js;+%J`?;fT*Zk8wfn3xY=sZP~z>7?wc9^wHX>hRg7BU(mfNZt{bN*lw9l zU=^?nt}X*1LbTAm@#%PewnlZ4qIxeJK)dMW101B@<-t1QI238KAzZU{caUjxfFYaa zua5}|_+QFNQ$nj9P@iUmvLGWixJOIZ?d$Q~XH17;wE-qs>_&wXER12jb7{C8@5Xjc zR^1%yM_a5Z+(VT*XT6#W29^ZF3Qo}NgAanWu+Hhc($3X=u+ zYe2?kL$0DTg5CBhx~eacseS`0m^V@8x6~YA&x*b1Ha8#2_*+8RM7^VX>ue;gyA!2YA=vD)Tg)}eq+=`Q<;no9JtuaG zi`8wU+7a%70km;{ePjLYI~zB@9;@FwME7INiLNiH)Vtz;Y~nz53n{H!LW~=VsBx# z#ZG?7QE~g58}Hq@)#3sOlO<*W5D!$BgR}HKi4JIzIJ6fJwwn(PshkU=WEAS?Ar3uO zfSS3j5WYu)#u#A(O*go|)=2_&s)=I1M4;l~^6wOc?5I*2lWjsqLY%=g$vy^iP2G>s zZ=9QbG)3U#kP?DQn_*ULp0fy?^??|ozgvoi)tmpoSV61z5szvEJ+Z7&f!eJVMQ2OMyB2L z90pR2SLZ~>Hva}J0H&M$a5>RoXO_cTh+~!=sl$CDT)L0Fo@@>FGa(Lr%bjprnQF)i zc1KhcxHqc@`@vh!;RRys)(gD}sWz?xxA#%-(2;J|e5Ak{A-geD*flh7-?;ToA#aO9 zj%F=M8rG^?wyl0Ay>8Q#fOZn$mr>i$2dyYGNO^~crH1KRg*ES0LcYpvHz0UupJct$~*B@#7z@Vi>zzg8Kh?F+z&TU*c zHQ!ZGa;dfnd~AePlr)^};OrE(gqLz{<0848M{HCBaR+XesDbas7#{<=uw)|jT5DiL zc0Mz1aIdAg(Ct_Tzy#g{<1O^K7qgQSZa(El%+XdSAci1?imi&0-p#eIZf&KB5!7)Y z9fLF3^wmQKnMabh%omuP&S?>Z|6ocG6rzA6CK>JnQoqk5+AFmXTKAszinQ7M1j0c! z;VYu#BV8+1eS;=Q?IQs9o!J9QG~%e?KU%w+#u{J4XUY;ArD;6m0~pk8r9_{i*1vJ% zPOTK`y{kX9x6a+RfvvX0;w}0XO^BnlM~z5eRfuJWE<75}FgS0ymny?vu|Vcv=L{G9 z_<(#R_C-`#BPI!h45;z2IWe}{NX+U&T@xuY!4S zcxVArh1?*3kPpEX9@lxC6wyKn3tUis6lP8XD2E8czPfBl|&uF<{8TeEzQi~ z7wFW36|Z#m!)Ro_WG}-Z;wFXAZ#S1K*2V6JPbm%&_(lec_t6KPSaiNt7%#h_%5hDg zAbN$q7TgkcQ-g}PrR@Qc(fgs;cO;G4DS7yh7Av0tfotcn-{;&M*SRZ>(0~skzxu+T zOSrpK&F8oP&#p3K%}~GhWi?Kop-xQgIZS`GxsWgudEJrNkh&3ePIfS&u!jjqj1Gl4 zrzPJPHmm@kUI){W+38tG_Boj;`Qp4hpVoQOHXz>XsNT#`ViIoYws#<0m&4g}w;J;p zs0gZ|(FB>C7zMYgij~Ntc|d|;t2xw@;lF}af7;)uYh`lQa-q&n43nF?QG+%{E1g97 z4)UudU2RXs7G=z9!9;)wA&k!f8iW;*p8HBs0g-4HYlilS2W)@ zUwVTK195=H2oV#*=)KrWtuo!4?`aT*euD2-F9x%P)yrqVVvJ9hcnv~-gWm!<$!8QZ zKqB?E%g)p7J!*Zw<0(Wcol2mm8B(z$=h+}Ln26jfozfTunRFP}2uQa&A=bF6C&2vg z!5HX3dq0U;_K=KfK)%CbSnyh{dqg~uc+jWW5*U96tx|5S-J4uXd@fdg#`srM2FZoy zLLc{~)gjp{V?9CgsX#%9Sh{D`ONUtLtfv2XA)uG8FS6~3D|Qb;Gb0N4IxB07sG)4x zt&OxvO>J6%5ean$)t_V}M89mbRgi~B*4^S6JC7NVtx#pHTFd`@Sly)z|VGQ0G9-V3OcVcTQPoJNDv;Wnak%3>+^PV} zII8_o1A%qI37(yu0>vo%@VjJ4^|2JYNiFk&Qm6)sWrVNS8f}`yx;IboAhXm4sD(?dEI7Q}4 zQR*)`V%9k4z-5s}hU5TajM6&wa$jWF)p%(ukugCR498j_QAS$i|AoJAC*ziwE;Vc@ zI*3vxHQ>F=T>x+am}lLDs_{jcF~X#8jcbVm#EUIj!E-%kqe6m3zi{>$pEE$s)D6}= zMtN)M(kLRwDG-t&q#;;Z6G6dh}oh8wvG&$B%Nj%GepXHK(Lg$C%vXfPB>ifH(sTj}FlXGJ@NTvFr&; z$(qq2R>9LXr0X+$*LJ5@Iob87FPrW*7dRLP1!7{&5dw2p8&CntDi3Rz%K$Z=?XA8k z!wWkutC(%XP;{dslMT@)yNS`9oz`V&bQMwi32ursg`vEIo(3S$DCsnUzGmF`PQpus zq__7A=sZ}fKu23C1Y-f;)!;x5#1*rg6jhWTK0L7o`wx)>Wg&p2O~36NX}5#@ede51 zKS+bC#_LI+mKc?3#yEL;l?MiKFVx|5w0>uJoK#}tT9;*G_wTcAXSOq0h1rja6nm7k zMH=q0`9NkddQ0R@zRbJZdm_cf)iq!3muZIG={?hk9m^cAe!e#+#ol0Eewo9r8q8NG zPp~Fii~R;W1F-c^tMXk4IY55Q7f%6u;9{~G3uhZ<)_9&f1mvU-@z$tB>2IuwJ%S;F!F0}>fWC{ zd0#$QQ~zj!sA(d^g8_b)2?ll4$;k%+1JD>n=wAW=3{4oWcj0hE~ap*{j1kgB!i_fQhpH$ai~P1__-8 zKZIE{2T(N6i8Ka9d)f3wus?11hH9QpCH={I#=y+3Nz1e?=g;wo0)tAWrA#fViPj>3z385BWyQ@ zRq?mZR`jWxv7BaS5;^PHOJM{>PD%S`sO!R6Nl*cX<#ci*si%C|&B*Y9=tr}9gXvZJ zesWT*Xo2r2dVXBe|T=X^vPOlsdOR0~WrvkyYh5 zLl*fsT&vg4vfut6E>^xkr9%y)WT!EV8}J86cI-^98L{~x31X(7_@sIZL-zUhe00Mj zd?t1y?N~6PPI7Apsj=OAwUUs>4`=Y6y;%o{FqdT{eX?){FoL%)ar+V(*^ScXjj+0^ z&_OOxLDPH86n7U>O|*l({Yh zcIaU;X-Mr`BN}|9$2>$}P4Cj^Pg-aoBC~R~b_&C4jckXbA_VC5GCb;rS1CIH%?D)u z(eY(w+Z>4A)S#dooK9Sf76TyG$dX%z7`S?zD9Ip$f^ZaLxt&YqjdP?-OVzCMd+k2b zFc~EvJ9Kc>Z*vm60Y9F|2E=}kmECXgV9FA<8e732tP!?xxBmu;MEqjIwatym&8rel zRF9`;CydZw16pd0V;&au(pdz?sFb7HnQxil*ao!38lJ4Le3s7%m2aL1T%@a(XKJ8rU>B(S}ixEg(0iUu%&- z{z$isRs$Y7VRJ1nsc1)vmDWPjN9I>6V^-n_(UxV=F~{ZMEzXgM1j zI2~kdt>QohZ%3n0^?=3w>r={99gDLE+mSKrxLruqr;U2RkSVQUd)a@HLZ{FSvG-Ln$ z>-CM>>s$Wy7y<{0%l@sC(bDC@izmA>@_&UrWG0aL2w*UzWf1-(y@unEU*dzLTGQ4L z2S<0(arnqD<=$*j61wTOGjtb#Ak$=h>&|apij(}|Xm-w*;CPXTjCj(>tMW|*rfF&M zCFR>iRLzq*Gn`qmgqU{ECM*{0+buc)8@kVRnR*9B%BmoLpbAyg9Os=h;i-lSkA-xC zQYm>Cs>KQur$Zy)T+(0}1P$hRcV6e515Sy+k|GP?WWAO60|(2>n)~oxOk_dylrj}1 zGzS5jK1JmsAWagk@Bzfu@V;KsL;C+?EF%v9O#5LRnY%=_R=ZswkbPA?P()E=E;-;a zhC_=6tZ!^>;y_%{7ME`%cLG1w^k`?woXNgjK6D*1tI^l{#K=6)19k``NxB-bfz2l4 zo|4igtoc?bw+6HGGhs60GS)a#IAmu%#D)T1i3^h+>(CjK8ZWJRx3xL%=oxAcq;!tG z5N`>i;=HgQKq|u{FK(c!fRXI0m@ua*NScpn*X4fQ+*n_)N52j0-40fPBH`ZffQ|BL zgYBtza?BB74Nr+CE$u64=?g2oYtYc(uwT*E6Kd2`cn}qcFhl8KSkuJ7Jf)19wKxB(;&vFf|_D|78cf4B7 z-#=Qce2!jvkgT^ZXkx)0zcL?PvOPjsu;zC@Ui5peVI2I9& zgeY}EmMR-CIo22tcjH+0gjqK3pPN5x`XRmc6gM??H0Ut5bC|2~<8ty{#ZUEWQX$NB z?u9P{d%@UOD2zS`8cJb29sg3$w(pr!Sv-31$RqAdaGNpGVxVtiol)dCGHi zXm;o-knFHt{EuEjd$iI_6t{X2VnOmA-2}r7j#1lM_{XX$kXx|Lk}(|1Y*KqD6oxTQ zY=T))eUKXvLt%UEoPqP>s}o8`JnSh&oX+TmI3VV@fugY%X|7cZ1+eTnFEK?mE77i_ zpR~m!ZkuJCyElFFPD4RJn%wqqDJcxFQCyZ3a0yUAiONX6D!I4V6ZgAspwt^O=vb7+ zRY%YJ(OJgV0Jcc^gU`_?;3wJw#TdM}?`Kw4R;)k&k1 zYy2;@?9L-FHT281;_c8aAEj=Lq+VsgZ(*YOd&bIa9VZ^fJSRS}wty11K2VhC z#1v!nY-9jE(UjEH@dqKLI#W`_DqfEEBejaqK^Slh_HlWNIm3aI4%%t8!y!wF38UFq zPZ21XAE2TdZU!^j9+P5Z2}g(v5Q+Au2sb^EmCdt|@OpL>U>Fh$k0Fl12AhmilRP*e z;qrCeyZu%4QQ3U&ZAY@(Vq=S*%y4cHDgzcm7rxl7F>>K_jWX?~Y#`QfJf#Msg@n^> zMf|X4cF@I-N907gSl*gzXjTWfp`}7cXsySn*r~y^skV;nT1@3gh_pQ#v9X{)2>Sk5 zKZM2QJ8Ea=YjmQM5zQixhSSd30;{y-Mi^*I@J}GmL2JRG=wj3a10vXR2Maf7b@pcj zr-8~FupJtMY;@1rFUjbZ1F4HaZ-<04L_S$z>qvvIhIh!vORF&$W1HleYK z2|;K0>xlK=KgK-4`I9vLjD%YU(<~)0DngSiE-f<~^&)EJ-%161e#m0zmL_$Azfjs) zpV8g;G3rr-Mfl2oOv;1F2|=fZM#Ai-mhm;u)B>{v$? z!bHUCSJ8`DXx4QRHQa+K&RMY+*tbQvO0_n$%Wh?%l7J$3GP`H6&Le-0z`nGf7thzQ z9?q;)urR>#5DA?&=uL!#rjvVANGFqJfQO!=D*y+4ae|)iWOMlZ~ zqQ|G;ac~R*$dIT}&Xzwrk$Qr?@4drE1rkDuf8^guP`Z|tqlQ5bH_f}a@u&#$K5DGV zqZ2uj$rt>|u(S1R};TW6=4^+;8|rc5kyVAH1r*K5bPD5*F#+GRL`Hd{wGZ-vMqVmt<}E(VevzjQTHl9zMyv>#pxzsY z6f=k%et@ojjqEaU4A0P(V3V{76H5LON%N-kqEf}U<^<6P9wA(g{Yl@Xsp8d-NR7lZ277+bRwHIzyTFUxcx$vF3R;Ym!dwC zxU~aV3kgd`=`c8saM47f?bX1!Rn({aSnX8%a#(F(0Ba+~nVUl6BAtlLVQCo`hV!GT zNAW`dI_@theH;i_pQH+`UZ-Y^Nnl&3e{q}r60}A^R+QU8KFtY6t1uk?*K6+Ba-N~H zmd43DBZ-0;OUMNpV+UPQZ7G?ZZEt0})QFxa?U@uU;;rM9Wk~J7Y?Kl=dzkKERtuN^ zVd+Rf5(&i~U04dtcXjtEGI7?Opnwe98hhW*>UXg5OohqdI2@@m3Y~z^aW2RNBwNBk z;#0zh0P0l-56Wv9BE<7f4=nACadXTV>J=3vqpWOLe%qEUqCWUZ6uj85!{=8SCuW)2 zIg^<{#$`*>Sx5SIbxc!ufFO2V54HSIRW8YNO1 z-#Cma`>{3K$m>Js!Y*viRjAP594k$B5Jb*qYoQ@s#slyj7h0`p!?x2~JSDw-{Os0S z;jqJ>VPst1daHphWyVb?%Dc|+v~`9dZILPS)&#s&K|g{b5Lv*{12)8W_qZl@KY46N z-MzJY(`M4)1N$Dqdkh}c8UsQ}N3$jrl`@V#P5>|{=ni+h1-L!tpw#M{pn@jVs26yh z*yu)2EOBHDP>tJqt)_d7lt+!AE)bZmuzG#}QnEOo3%^G1WbrdC1H|);=Ir@%Buvgw zrDedH#GCipJPnG49VZ+05Jnx21}joS5=xk;(j2B5wr55ow67DH-UUgntKf8AU`$+??QS z0sRR|!5T5G`KVdalmC>zp>UuM39A|10m~Gdkq_fB=F6<2pgpSqDW$T22Cg?1&+2f` z=!PXb$)`l+_$b7*_>o*iG??j4*x3phZ%<(Z@<^xt%@!#;?Sf9 zzxa&0O=RS4*{%mEyF(3PhN_)+CJ7eE#5u}^NF1&||l-A%kw z-88{I)j5)m96vQdI^i6ru!S;G*1N4OpnsFOBP3pd%fao2Kkh4kLEpLS)xi zeXNhvz173k7?hYCTUkAqi@gErhnG4#{*pzxt$FuNu=N96#f6LkctfcIuQ~d{tGMQ_ z4c3S%mtZP)nf>g^ee{+|5XjFn83fIVzt4{81s7~2WXOs+|6`WWh3JflLO)UxK$M{d zq}}0lP$O%S5OM(wte$et8{?4}5{$tZjlI<={f{Ra&H=H(p2*|JC}t@B5r6vcmiVeA z=XQ|xI^l@a73m%z)~S&0DU=HnNe28_Nn(w^YJRwP?jL-;NaH&^y(P(L=?B9 zZ9-^G@cIcxDA~bqJiZWDV-<*D>QqDlMS}4A01-onchCMzh*|iioZz4tC=(KvER1pY z(C2MNhvbgaCb|hD4mE8^r)gejCD~ z?=LS_w&>JSj=X~9bS#RHJ1Hyj31^M8Jt*NiQYB1Gv~^=;3r?hjd9qUNxwj}Ali(;P zfjySQs@7gIPSwS@`#9>nY72)e`v|D?p|9(e#mb+yYj&9?VTt4nwE_OkE>03C-iMPf zD<82hacyXVy7&Es^^1#@U)npIfyEk7?TZeiFY3D%(8KR9pkG?7ysC==hnQ`@?g0JC z<`*E_G*p89hsiji1&{y*idiU07!Ygr8W@Y`X3Pj;l-mro9K)psL~q-I0({1|NL{;~ zn)M^JZRsAfr1M7<~ zQw3OWX9_SXU3#~EDn#Gy{gn-1q;PG9wMT(mpy9;eD5Dw>^ z@70L9$`(RGC#i@{$kH+HfO@2cQT7MIz;2xh!{hq(>oO>6SipstL@op?`zE$YwV0|y zRUiy%cODh)Fx7oeWnS%$UtHwAb7zfopUregGVsh32|XPFF(VOw{uW^{6Ii$+>MZL8 zL;+lT*5hMEUxUvGbC@oDEqr9xxko|luw{6$j!8>&bR0vqE(@oJ#ci&Uxo=~H3%6*5 z6ftRMB0zv#F^(3&Mi_xn90x4cbahe;F)?0AD`suRG+Mzt@B$a=VQt(Bj}Y=ojU z#6a#vB0z&i$xACit+tC)&7Ksf!*<>v5C!8o3&|2Ebg?*lP!qZ_nO`)6$zV#-(qG{8 z1JtTvk#>!PNrKjgNK2ja1J)|Dw0qNSIrNxoY=1=$U^Ak`bGx*0hl?rL%y&7Ry#GF| zF;9u1R!=gURE|Qu4_L^B%y}YN1g}e5X&zD1w!)iXV;&n109FrPoxUo*F|{Q@z;h&Y zbg&6S60=L)c%HqbQp8*2$w7EwT0`G(WyZ6|*99yE-TUu@-o4o;7Dm)yCkg=pCJhn( z*nkeo01MztK?16GIbx@+i-~KnWMU~dY)ABDkVt+2G3OE*qSZ3;g7Z!KFEz@@swBER zc`286flN3Z3}hEH1eg+dIUEh0l8^mFAa^Ux5*~gNpxyLPI(S_FpeqeX5fRz~Hl?4X zjDL8#(zItndtGVBQ9X8L?vBO?{2~Pjc?_XrdFf0ZzfC^oABBfG7?jCpI)*qO!r}#0 zxT3ykR4&S)9*hT{t&u_@O9&jF?~hGlw|VWj5)atex{k)=xt+>-`@PLFS#eKMOoHb4 z)M1L`4dEJJM2as3P}-U}vXZk4_>Tw2Sb75*r9c5+n&wLFCwbGm1}9Pvh2sEKiB=9q zv*$=%R{TsnO)FBQP3>`u=CvA2z{qR@q_{o^pg^kFMLdZUP6UJ^qQGl}x-LkVcX`(B z_Pckt8qD(hCzIQ^zqPixRnu-^wtxpAQzE)cW_BY`Xg)q(myJbHit}rYYV3U*2P6eV zayYO-Rd4YT-B4n_yrT!iqvIIkT)NYNcQqas9DlW}snKAnhtw~?EF|bxK4n5e> zU{}x&j;hyEx$ly!L+uD-*KQ`1++V(;^^MKDRjaR@buGn|upsxH8KXr{bEpX*Im<&q z1t!-8Thn!?sY&Q*>p8>gZ_U{BwYWTh?n9X*-{}-+rM+VaxwrAoW=%wVq;hqX9~^(c zbMx8l3*)wA2N#bg4z_Xa>@lntVzb6GP?jU_Teoh1qn_sM^sZ9ZjghgiFtS~gF@Pmn zG&Fi%!#OK9pya#?F?5dUbOIG$TdZ^%8@giZmWMjKG{Fnp@R4^?U-^4E8P^zA0v|~k z68V$pbZ?Ry8C_hKV?<-0J$?bHylA8L50DwPmg=r?xoTP#N||-$lezG$?AvW52Zr~=Qu9bE}SfVxE4uUYyz0OEpedn#d zsL~|Jl@24;TeBF&1kGD~O5Sb1-UHE2)Y~2sEBw7lqpL_)RSj5Ut)e%@GvP23QOXE78T|omyQ(hFf!P z`*$%X#|D?U!MQ0w2P>&#tcSdhI$So5*aa5ruW@3toTS30sEbpEWco28DekBF^fyNC zCV7E{hzwVQPNz?TOs{-7r}bI&bI>Pk5r&tB2@H_jeCJ`1(wp2d9At&Cjy6#w8%EF? z(Yy}7meuXi`Nusn5WWk6?x4Qi3aZIZCbO%4g4y|m+hgl+X&Dl>-5M-n!aJ0q@_-}c zrriIv(g!-z*$<*d#Z#M45$!vgd;_!2*;ynSBh`{dHUeva5cZtSZ=l^5qiu2Az(n_<O;TY<(*-ofDAX%P14?c-Ya6F>x}ppVd&1CzDK}d#R>PeH}dy#aLxxFhtA)HQ@`6q<)yPtl98f;6vf^H(+DzeE;>rcq$C-P?H z3ZzO?Y=A0)pK8+F6A(`~?fv4Mx#mz=O~YA~Brxj*^^l@%(t4KlEtFg6>rUP}Tqet& zb)q4%GF#izGm*U*p_zvUxxa^07225Zd6 z<#$__3~w|C?#G=$x7l1!bL`}T>D)eP*Bkvco8EJsbib{+V`pM%bf{^PQbi*(YU84i zKd;$yF9>Uj^2M;7fSOw35TMZmI=Ak89IV z*)Vj7$D<~=zw$9EAcZ6PxtPU;J+KC_z%UWG*tY0`^FKt$1=LCJqyQuBMO*a?%P~F{ zPd-7Pl21RqpdRBz8BxKm?Rb+4j%*uPXeYl6X zbq=V^BgSDYTLEhwPi9gIOIG0Bn$@H+=O*ncyfd*;Gm;0KHBlI%O?dEpTk7}5ZK;oF zv^1uEEKu<;j#&qWh%^s=ED-UpUZn3nIzNGW+{u2-&wn+^kz5{p5`19~ha0`~aJqxa zF7mf|fK)^BET|daA4XgZK{7nlh-c8g91ey1*b^U_7WfZOr>ECv^Xmu}Zy%o`2!Vef zI#Do5*T|B)kaH%+5>8aw0t^a41I1YQ+qXAwf9vkO0F?vT59=H4_jU(?DhX;pd+^T9 z`|sY~+`RGCY9h-M#QV6d+4E_UAxftUzO=f84M6miN(T4fa9M}W(`Qmkaqm}89dW0y z{5d08h}bV zGP*;!j7gL?(&}&?~ zj?M1Nv+9$zx8I%ISo>Dgs~0pwS>5Rd{ONzar@(?^A}0SLqQ8d^Qh`+pky~Uq(i1`8 z$*EW{am>JwqDzbt+wVE${1m0xrRUBqiK9*hG%??)6Re`~Swa~}1$97c-3e?&3zAA{Xn{&{jf zUX1OFb^gO7@J6KOJ+~hc=R}W^4~1*~%f-si6xS?a7!x7K#VYgK_8iJ*(hG&??Z&P7LCPAlTg8O25 z-j#4vOGNu#N$B+T-No=05wM$a@XoR+EwHr^EKlt{=v-vwE?!bIM&xObCyjIY)=wpj zFP2bBO%|Mh&T~9y4nv)(*@tsQ4%cdDW%a886z);2!O|EMR&5D!r5oZE3LWBAorUxk zat42{h*8F#S7e)ko!MaJ3~kJKnkJ^bKQ>>a;MKeBI-?^6s5wLmZYgWkIWL)3{5$CQ z#D0kFoPchWCNvgKi(zqwf4o%l5ntB>Uq!V7d*=woF{#jrFN!(xmL$*bbsw&=6X^uu z9Ad+Ty-o+(LzHSVrVD$TI|KiuMzr0>!U47x?@DSh7p$_sR7h%OAHJR);t;%jAK)NZ z7&aM7XKHXFGoNu|4tF!5x(nEgLCS58gSgF)YU5JYTt$huFm%V`B{rJrlr_cQb=5^s z63%z;VCUL2_}DIEhmgZW!2~3L;UvqGDG@Ainm0kNmg`x|FV3>VnRE;hul4E+QZ%c? z$cOHidPgLco$$!S6zz|Io*I!W+&{!A(?hkz(2;)wt`ooZXgu26D3sr1q>+^77=pgg zI^swY_AH9{?-wh-jWIJ9j~`JhV;z_6K@i-vkeueftzHY6a5b*M?Y)6+YSa^}*Fb6c zjNJ_orDXQk)o@rpPSKAE-*`PV$a8-_kwc0|3(KAg5(^qsnXUJ8AR0Q4_aDxI+j=Tt zk4rW+6Ep=fNf87_3+b+!W(XZ#=X6@*ox`|qyzXGQfJn$q@z}}oCNMlE45_l%iI^;{ z7d%_f6$3H=kM8HDRytl;0XQ})TkR`lWPTO|Rny|YBr~t?#N5p|AvEeb^*3IJOm*>r zI#(!Lgp-OAi@`T7VD2KhD-cttL^%w6Nyheg0z}!J>@KSeNda^&=1XW6JQs1s|FBs3 zz4JMP3w~DK;5*OG9SrA`z5U#+Ty~c;_5%G$Ta-c!7BZGcrKTHSEYU!k0o$TtE=LQl z!O7M1V$OJ`Tf9NhgHFp~k@Y$|mf`BXCNM+X;UYbWzoW~YD}&MDs3*z9N#@J`;?&b62N@P-6D425PM=+G^umX@eFsTht?_q%tU zSt#14mO-?1)dDmM^fp)9SmY8s!xc{F+=A(DbHvO}B5)1)r1u!d!qI6GZHXCr$g;2-WF5R zR(>o+Nrj6$LhMKdXaxnss(=)DXR7}_nW4hT9R>S5pwWbJ;}~5Uj?WMcFeBk}DwW*4 z`L)}(?r&^;?e;xw%&-2czUHY)zkY9eIDY66<7LweuCz~=rmUhz1_*BhPtCJ2-q1`* zvl@9E^FpaCc{}8h$1O~4&vr}-;~_tSc(7Ar%VNdkDNR7j&y;YueaNPu;YiGp#QINg8tbQ)XeOm zlKyGX^7DWB0$N7HM#Nn_J9_i(d&&)R1Sqc4N1_5`{ zx?R&`_U+CItl9;89eRMqns+PygpQX-+b1<-$7XI{Pahl}Ug>oUca$7cIc$wqM+=~@ z5w*c=Y8pnEO<)4H`v5N65XMCrRM5fej7>B`DJ4VH%hsy1#h^0R%yr9{FAbJRj58=! zt5XmYGWRTVRdy1es<1hghn+xwc`34h(^ix&Zw<=DjOYi*HR=**1N2b`cgrr{bwdr?xQaDg4VNNh$m54>(=wPKfwUI%xYmV4 z$qpUMr=}lTyA1H12=F4#-e+_da>yNB5K3}X3BH;L9vz~QK9^ei(ZX|UW9k-^we5=(e31=(ux)N*z zbv#%e77#~lRzRRpj;SF6E$)NVT#1x#Qn77YaB1=g_wr0}^({xV;&3z>r9+g6pfL5c z?&tq(Q)h{uN^@99G`&&TDzlxL$5Ly>4?}ulvn7)rvMCiu&~(*Rt2@f zegLa6P=tKJ!QR1+u`$!t`?#`r&H^w+P{@!qSg^St5T`A|h^-n<_&7_Y^av_*;0u`S z=g_t^nJg1-++X;wvz|MG>>kv%2{93B`3i0hHJ)~iFYi8= z<94$rsYYC=RKac-ln3ibAMySh{6Q_3w=Rx|jY-C%Rgn_cxgXw~x>$i6tu1*0%#4)= z$_2H@cY$9Fh6BN8$b{BQiNR4bL1*mQPT6_I2;-UQ*A207pLd@zrn=me{-O7r6TPD* zI;9XJFNigOf9$H?gvu(Qm(wYT$AWHw`U9u*b8(0Ozsa%NO!?3o)#5!ZbH(S z2q0?8fyL#nU%Nvt+gg)rxuw-)L*0S9ynCqUNU z*;ROwD4Lp~CkgYow923y+90V!nBiJ^_#w`oDWlfpAjhMpTXU2h`SI6H<1fHgn^^v! z4fW32JCQW(#bMuJ2OTzRv=1AdZjy|#+5q>OW@?RnUNhFG#9My&F$;_-GJW^}a& zY~T#>B>s~loMsns0LERdTw?%v=0_U8TdwVSsiBvQ>H$;dV47|Tf4+E7FK zn((CQ0WS>?ei}-K@?R0H<6heKDrpkL<0m*adIvKfall1s@N%{C{3lr5TG>yk-EcSKRN z2x|~fO*llcE^~ZfNVxQg8MKq#Ndq~{VtAvL+gn}eIz&7qxFlh-4W1#-pK@bJEz9(q z&w=`8HX*fY&{oS~!*CCWj_rXS3X3MUb)87sjF(Y>iqKA$CMTc4iWJ z5zkh2A+9lblPsv!7|rxszZNG1f}#Xgv`4kjtJ%qVuNs^8m|LR70&eVd#C(|Hq`iBj zD*L+oGD2B?8gw~n*Zi^B`OO7(-0EWGjmB1nhvy;iFp@8f!hg~*_*9qBdHDUs3ynE6 zjD!=pJwJf8OKS=}>rO-hX*(n5cW@M$Tx8JO)dweUO?Mt1+wHMkdqvR1ChCeAvsC($ z2bLG&JV)r0kO-R`9HHjy0Krgz#xyEw;LXWdMaXJ)f^fOz1>pCvLvb9E1_`R;J&K>u z+o^`L>Ne}7jc4eJ5f+4FE%L_Jo$X<=*8O;kxt=(abmQ2R_Xl3%mT0_f;9 zcyNLmZy9V63oO^eSJq=CUup5i8G7VX4qk!2EQU?_&8rq=f#}u(yRxp{4Ax~Z+k~O+ zhY+b~a%{FY#Rh=;NQ4n_vj>L<>I=`MivX9cA7!i>*Qz44;$7r*ap(nG&Rg5|*jNy| z%p22DNr)inc+@X%xFY33M_-?vj4~$>_LKOi_Ob{p=*u(9B2!Be2dy+R zgD!KNeEy9NRNh+D&KCf>;b1vdvp^dU6+aL&C}U<6oJA77v14sDrf^`1hygT)TdDHS z5`O*jzY(Y|3=4px1C&Xl^=yNI9t4JSGAj{)A8l5ZU12AdPWgqHvOw?8&Gu5~tX(sY z(BS+2`J7$X(G9b<7l2}&ERBayM)4O69jpFY z#u%^$(2-?2CrCC|v+S&E*2deqnV~s7fR^O7qZ5!V^yg@n>V^kU%!uu5kDKYm8;g~H z@(hJw`)tj7`VfcUxE;u9NhJLG7ts*)kB|I*jlBsZ)b3|F$a8-sfgVJnfyHhks#8PK z{VbHA0n*ZWM`E^?S&{WQLR0;!h?kUkW1=qMEjPhzm4Qk{e=;;J^?orNH)S}j6-yA| zu3+95w$@mY=})?f?r@2~^ZRC;^qnO;1YAIth!>*x{unnVXq??lLp~~*z+2T}-ZckR z6%m}v=S|wr~MQ z5^IN@)usi|X&7z>%GZHVdM}}&t!#h-S~WV>lHJdHo}dCAP6SvsM@<-2uYnj6Pk9~8 zcxwkP8GCZVvzUdel6_o~NYGA_YUHR{5c;KQL_&02ukYxqM5wbLbbB`xi(ZuApwx{^eeDu%Sm{s`QQ<2AoBPXp;ygOqUV+E<*XA-yDT7+j zT0w$OpwO%2Nb+4Dp@TZEGy!>);VI0(SxwG_@G|%){V~}M z5Et|pj~0O1n$a$?wqQX@I$lKpi~=3UVCQT)->y~dB~Y4ydoF-2Rgbtur|%p)Z%znR z^WGuufrm*Y@QCq3v(qI)3KrvOXUBuEZ4aAc*EDevh+s?#bJPSG(|G^=+q#6qTFfRX zoZ=$5v_w>;paPFm+%{<+L}hjpW!r3{jnN50$N%vZom%6%D^UPo^>_wCN#rrR<+4B| zku44uJUYqR_VQ~RE(6x?p=gU2K}t?I;S@2J&=D0-WQmF3G{;EB}r`NcW&|OmCdzZ%cPp)LMpS+E(L&LDxX5%VnB_XXN&!p^ z({3jX;D8*&BQ&}l3F#|ClPnZWc&5PQZi$ZeU_qlD3zdqYt)59CLVB>%=y*ncl%}nhY1dj;_0u>-0r#;RXJ=PTyHtHo)R-TqY4;1-+QngIQ*f@|2heY8Bj) z!Yv!u^%w1IQj)pu%$wCIH{hoRg?Wlt8nzlBZ9P0=kTz`?sERUkYYO8b{_PAzV@o{^ z8j%CX#fc6aytWA*o~(-Z>OG`bXtfi2WsqDAs?-(MLANqZ;}_ej#_=h;4nFPJzWE!_ z!^+**CYlTggVBsdEFS@Df4q>i?a?9Z;IfqL4H!9$Er2j&B-lh_zyQBaZH?9;Tj3g1 zu|Vr&dSD*dgc{QD0Fi704;9h>IfV$M`I329QX>J@*>ba_=-7iO#ke5><i2!ucpH9^l4fhz-k3_>D5nsCbH)hM?mFIH!P!7hL-(LZAM<8pEzJOgBH1 z?Q?gIfDT*mE+e=!)zqvA+cO4tP@w&mrE_jUU~_3y;{KR}y~YY6j42v=3PGYmJd2IY z>L(k%lLe67Qz$4?NwTqNybqK>@sx40=`n7F>8teYa>y40P{#Z1iYO$<~MHK=?*$#G~~VjXAU+lgssIg z<%mBSgTfOLP^)2S?Bh~N1Q7Pyd#Lh(VKC>DNVL7i?suowHsPhOFIIky5los|IB212 z&dIe9r}a&Gne|nscfO1|RQuCB>7;$SGe3CHG4pY8miZ>PwinxzjT0)q zQNG@4c_9>nghr`-tJhy3R(1*rq1-Zdwa?+L5yfK|5T+nMC@L<#JSA8wvJWAtN4{_tpMSm+`?9d~zQ&m7}dBr)N?()m%@ zho*)0#!H1Gz3uIb%#Wp(kW6eApDQ6zUo1@}o|2)rYJU)CTo5 z#n?K(E9r?Xfe8TB1d~S*VoF->P%~5WF_CMNu5WB@ZrtqM$lEtAJL{zU)bi}xxC8)T^4nq@DS&h!YerK;$n#t zh?>=P`gc4AFcjAwxy^WLjV4LbCLmQqgOvL2Xs96e_U-q2m-{O2tNoiE&(8KAno6db zY-t6*QaIc9FUK1Q=jO)xdM)$YFoW%$L>M)UWYG?!+`foT?ylYK5J|owo1q4C0_cUR z*r@NpeD-Kcmub!N0RRF>(I0&hqH*04nC*nYg-K-KI zI43=ZBOWc2Ytls&DBXMag3)JZb6)K##fdl>s=~n6l#hg!=7HxFPN!&WgOo77Z*QPt z{S$Q>x`cv`_vXmd+F?#@51j}uL!o6YwoNt+4Y*IyfOFb>$QzJey0=*QoO004+18HJ z7z2o~gGT%ZZYhM5ES#{*kcT_oJv!Zwgw2)fxkD*&yH?CkHt4YE`7+z{?ZwI$+wFm5 zU}1{|(SZ7gyYtC4R|+FLgpAtp?qU`j^y2fmLDJ_|L7_)N288o938Rvl3TZvLBz&fvl#hkw0shm_ zb_P4Xjnoe0;#Pp#bdm~trG}3gZJ7hhTHGI~pysJJ8xnV%^v!>4+;k4nVOjwgK`T_q zcQ}Ea3Krnsv9ADV&9He{N1<1hNZBO&vTr3SvY@>oBnCxIHxSHbe|V^e_D{rmG=yFM zi_P4{0(ooee2(NO1!E0qX%24Sk>wn9?H}~`5d^Y<2$3MOnPZ~YC6Y=MX2@T|=%B2H zsb1sZ7ZztSs%q6ov3;oUbrMo+%X)<#EXq=Gr@W0jdetZ(!4h%DwOy@ixd50dnZ#jZ zApkO7>Aog#h1jsWq$CKVvNeFG5WC1b};f*%Z!EZI&RNO~J+w#>lW+ z`9}j9BQMfo0OXwnMAn%u*t;xW44B1M{fEe1X@PrMb%#;Bvjcu32Fa4!+==#DrB;S!?rgBRaD?MuR3pN`US^eoHo87VBbLT&Sorf8@z>${N`WHWw80=a#LGb?kfaqbg zRZmcV$lV%5J#o@5$2HD9+v#485w8G|v@eu>;1NAqFf4$C>ouQ(NAL~YqCMmy@u9|K zqP9a=2|Xy721Sg|@X!t%C0b%UiDd zxW2(yo4?4sQ-IOj!~6~<7|6N<1oPQyyk&66a8S6^!j_j_VGP`%r(6oRAATzrle*pdHX0EhZl?v2rs>YfNS_ zazLnETjm%X3i@>QyTI5!u`^>>elzTJenh_s8zhGeSYyPe1V$;XO;q(*o-r`Qe^HRn zR}soUe>7sYlK4icXnMpe7A&;Tu$xzTP-hWIqW2g$>~T64T)2y1Zqy+Q>cHEu=m}^u zphpQ=m3oT9D)1c}C0EPX%Iz!BH4sHekJI zb^O~DcPU6}=s*zWGc~uqwmDhdezZOL_T8)Pa6zKx4%L((3`36@aug+yLz8~UGCNUC@~!pF8mn0oM%d&@3LY12LVf@f&0ZHoibGy#?^1#bk{v1p4ATk?hRC)N z*q!aOW-g`FaBP^94^ZGTGDX5X-0RNO;V=C*uH3YpHsgwrr^4+T`iPU^!ry~TVLo5I z^}FFQJZF1V%?9?t6esL+?2-xghFT)GrwU{g!&1Z)W_7(%W=Z+h(Ww(W!A>P6#_i0H zPP1yJTt246PW>}X*+HCkH)@Iw2);>(Ihn)^xUd~KFZu!EoBNmnq;u4{GRMd9$&{ zXP{$UxZt46ENPH5gnERA8WxcUuMGoY)bFvyj>XGs%(rg8`xQu6#JKPQJ5alUw^jCI zVXbigXka^ z%yEPX`-M-tIbNgE=I0Rhi2IVwn)&-+TGAj+Nx^5pY&SX)k{a2PHjc#>=(I!$5Uu(B zZMV|2mf9L%foYb;ouS&7Aw>hDcI*+3T=bBxMd>@=GWU#g@&c5D;$|g7CC{g*CK9%> zzezAD22^>VV&#W05z$&6i%*}rhT|d_b1?YuZjWZPBM4L$)0Czi&_gE-d<5kw(@HCk zyeuS8T$YH*f-S~I@A5khcH9}X@AoqTFNX((DjzAuV30R@&Vqj%aoYdROeF zB_JM*e5Q{jG0A34Noz(MF0a;0SNMcvltNsijzWd64qg$_S!K_dF09dFO_YAn;u$@l&NELg3=6qm?|}Ve8%oEmZ|1cau? zW4lbAwXs9*q}HBe6=Q?X5n%9(j)~|Ns=`%iwF-#neXCTF@@J}+>+;QqdSK&d028P; z)zj>bg-5QRZtsmM&@L{E^HI&H+l!sH-F@oT3>U)}O2a%dfr0qi-f7;l889*u)VY;e z_#k3v0vsS>WL{*AD$M7fL!$bqcF{HEU(FbDHe*>dZtayn$bq_xm-5Rtr-11Y0tse` zycIUZD=((wOy+RhmEiu%I=Q82Kv`=P+NaGy{9Oe67(pX$L<#|d99rnEG3tRK#^*z9 zfH)Ymu8G_OO&uUm#rgJi$1ED4aJ;ksPHTvPnjbJY4>Z`|}fLiocOe@dC5N zxHLhFM%3msR{dPnMRiv##%M?i%{6taxNZ}s$s1Iu&JqltvM+UeN)-tb3(OgUiWY-P z)s%wtBmPmJbN4?|d4{5E0<(mvYGD!z#&P)*I-$W-(un#knjhrmmc;EYIK-f#JgpuG z!4i3*ZF``M83ZFm?zJhZ@A<(SFtjS1mU_odi1eVnr6QwQPa^MJa{HT$m4Do;^0b++ zpw}R^{59K%(DF?3F`nA+-g7^nGjunFYSknm-+=l>truB~Qdg=PcWmHFd2*r7p_0$= zMUF<2fRPTXxLC>O4lct;eO1(~JPj!qup%s24^IhMpIRk#kIf5SP(h1{a8Wf5Y_M>X zo>75zdiLNHB?v%+0K_t*90!7cKt6bCAqErEtu(M-S;7&k;HkPAnzEmLHY^C1NL!U$ zMHnECq(GTwzc-#gf6#*wIL-hrVzJ7nhtJcKOlY=`|kMeXfV^g3!t z4q?+kvk0e(CW1mu5L`AWP0j{VS!^<|?5Gv=ETp*r41DTU*%I7u{epqG{rcR3VbX ze)qa2`S{s<W5N1l%A0lE-;s`R^=W9 z9Xq4CmCH!b4c7A*4Sm1fLb|!?Bu@r5aF1tvb%-y&Q}{?a@H-p_(LGN z$cIrbOp`%2Rw(TsO2L&ocoCcbrCdH9uniJ8#~-w~@uZ&ERnw3--I#hNP35?XGaTwM{W;;yADu?qQ?wYZj|g zVp<{lXU28k&k^1G75i|S$X7EgBh>z|7z$PAd&(9}O~ypHQ72QR$w#drgNrz|Ppy}Zw}4$Q01 zVdJ+9gH!H{CNi2Na783=WwG+no%z#~g-6Ez{$k~4+B7O=O7D@8LHu3)Y_F89+3qNu zU#9qR>=6NMwq=3`#EaAw$sXHn21f0B_|gQ%$-tIeXi_Z>dYyQgsK_0dLs9e`3BjmP zjhhgfD(9ey8p=T$rH{AmoH|V!#!p&^Gtg-Egl<_P(=?8jJx(&c{*{W5Mx;0!iteCx zgNIW=z}?`-34@{*S~* zH@LK{xF4LxA+o&R)0uv97P6-`?d%AF9704!8fny!3V0(q9iL%QvK866XE8q1y8J&x z;H0g~PwdgO;Q3(qIG%y~!%SJ9rL7JEDd8r~OvCf-~Khvhh$(8I*>K8_gYfF_~h zYuCzQT*SC8%x+*y5Qt%PLZK)2q!*l`P;*ymV8?LgoSOQ2zel8=&3$?cy8A2qtA3jV z{MWa;KfLuCAFc;;kT5fPt4is3=jQzzcgn)CD50M$HOw^_!%}OCle0Na@ny_h)qvSg z!OSX?Jj7UUdK3Hz$Q{>sY{HA3@nJ_h{&+N1`Kd}@Bq?L7vJD+YdvDKSO|mk*6aVWP zTP)w?i{>V3Kb;C90RYjsW<3ND8bs@W0zbu75Q;@f!%RzeRBjv4&w1qPxQA=6)p!m( zLUz{aH`vpOc8pfXnH>(AO3d70!Z+rg2cQ5vyB3Vbm~X zvHJYfCll7Dy*P1E$&qYBKRJUsuNt>QY7JY zPr;_v9$e1YW&YSF5kBW4;d37)%1Z-DJ@vND$QmJQd%Vha&nOZXE_g zF^$&-ld06U>OmsB%z$h(iBczrDl#% zPfFDU%asAng{j57PzSg3@agqDGiTTugA)daG=g`X#n!ZS&N93PDMdCjFbYA|)N2#fb`5Y2 zWHXq(Ae>wN-|0%~u+6qg_hS?Q4VM(XzNc|945bgB-bj8zF|I3rU8xP8SYcfa-@E>;*r2+4?cKCKgFk0KgEKOU_N5e=ce zzkjrS`X~(kk9{g3PF`qC0zDh%i>!SlM({dI3z;tU(LVdxs~`g~Hyd#9OdtfNLM%Br zED%M#eC(&Ecr(T|qXZY|LB>O2drK$sJ(H6WkmrG$jtJmu*xV)1=6-diVw#BZ-R6*K zq}eeUOpt;$WZsg&>EDKtt#u;49$XO9?w_((H;4PGuFIe~1U#Dje96@6oa%0&V zvF|_`<=Pw$CheFeBDoB7zB4wJm)A$-MoZhOxeJRQyt+0(_e(MT&Z#1U zd^-(iq^qdxtz{<3%3*LL&;o8cUNg3560zjRig9l%+UEL5MutjQ0dA+~HK$V4Pm;5- ze?m}ZBmE*><4B_119~j|9%E=LW^IbNa@{Vc!PMdh7P(tHJ{ucQLT2Nhh|zHikG?C^ zX~lP9#26Ukz325X{!Gnjx1u+rsrebZ1OV%&qSrSkAJ_+JJ}%kTd1vFR_usv-`SlQ8 zz@j8BgZecf{fS1S_IF7N6j3Hm9Ad>@*f5&Y8ipwXh*f^LjWo(vuTFk@(*5?{y^VWU zDbWim3u5%Xi+ss!zT}6IFv;&~VxmDG*4E$IxcV$}ffJekfV@H>EF^rP_Px7XarHZ! zAn|yS9sF$@21@^kvYix9yP%^kLmor&Y|w=Ek`l8>+Uq+G7GLU)!N2(blab{U(FD}c zzZB68;$tu@9AyLmZgIv_^9)c>L>UX(f{B)0lo^1qxH|eLrdcCZfL@$6vR`Ct^^w3Q z&?$eLGd&J-MonnE2;b6O< zXD>Dmrq`%b*`n26tubp1!ftro(6{A{H+}-f)0u{aE#06<}qZEX0I)bvXqC768S#1P&NdUM_wEkYk zTx(EKflPLoOUK#$3@?FzE8UHq%y&lE!D!go;s0E${8DRha}`|^93I^i2DnAvtsomE zjSa6{`OkB*{V**MAw&E`cS;I_0BIpk1JSP=@N5> z;^m3o6O`PCEC1qO&&}9s)h?fjolFusIrGe&>pD|BCsEIg-E<4Yf6t-)Ac*$VMvjyn zq?I|vsH0H!X5xqC?AaWes*CT`+f-XM0hA4M$25WB0ID`JAgvB0B$P&97iLQqc7>|7 zcZPuoNWE#Oq85k>D6|*Fqu-f5pk2=s@XerhD?q;vxOpJ#skqPpGTg2cqE0PpPUpt< zFZL$1p%UkfqASeE=j7R|P;_}J#jKBpv5l8In$E}&%ZfuCAE zQz#D)&;=lNl1yXyGSNd)2tEI}R}L!BwK~6XTou?!(6H9fU50mDvrE-)b)))ow8F|gq_Z(uOy#~P6-EFR7bHc{@RE&D4nJ( zXdXdG91d>02QZ>9%#Bb>llkL7BQ1^|kEy#lzYlyB7Wr)-aS2Ia0DZoAgI|(B9Ow%5 zK@e)l0DT;myWO!HZ&rd?`uT+VJq#gE@P_v{&eJG@w%3*M=QTp#~XsNU< zU|AwC^aO5adn$$Fi8!EZ(M{#jYx&uW)OS|nDg{9@5P0OE3Td)SUa7O1rZ zB&m`7`y?1Lz{mv?!R|9i`fpFFNK#+ z`8GWNngbP&e4kx^?95`e-1(26&yF6jlblh_kKxhPm;dCl004`RPaZeqvGP}PSG(lV z`utFU)|SA8k1+tGhDHiJgL`r)x1i&Zs`rIhB5g%Q0HeqAq`KnjA!EFF^4R;ky!@H0 zC-t@iToii~r)T3J0ffP~A3p2Zh8=1>X zGlo+PS5+C58G0smS6vV>bTP zt12M~Q1!6Gk87f8I2F{OLlQhwTLTIiyb}_nx_Y3~+C0CZ+_H^ngGv_99r)oL+gywj z`^V*xy1QPHydfRNXDCHdRT~fO?k^TFL(c7b8`l>rzW}y^w?U4G77ircP-4c-7(4Cr zM~S+wi0X2)L`lVJ2FxsIgPFO?9JOKQ7$ii1^?U8}at$@k%f??d{*ci`hCbOCD_=B2 zjlE1-6xsVUwMR=iS+knfOiuQK4AKlt@cz9H&ct&38VWZ(@keFiN$_s1vR0E!?8`cz zhr`Y@)~)fzc)03lzEY_;)7f`VqPsFIMqv{@r6@xc9PJxd zegfrlXi!UVo7c^Y7RFoKT_nF=hx`JYP=4W`SLos3bK7N(`RTdzLkO_vOUZ5W&IpOv zsLLZgA8kK5I66Brt)gh69tl6dEeQ7t`jG|1(u_o|$qJYsp4bxu(cESG?-`kW7biSP z-VsLlUeVH98;CDV;{WKw*I(p=)CfEU-<%^4u~|oa#i%6cEmGG$jYltj9$Sdgq-@ z`mEDA-USQr;%1g%sIJd@%bIP@zPz1# zVO~o>r`f)KN4qACagj&DFiR##Oj0+XEONkrz7L4+Dt!2~C#A9j~wfCDVW zE;9fbcPJ%t)l7T1xf|f7AYh-;*_tkAw2FX$c4YQDdTcq4&_puAyjXZl3*BPSn zwe4#C^4*MjzNi$fovz^po3oQ_GJECU&z{pduJSyayHlTn=LplA*4JnZcb0-8*%l0ez} zGvP$nFQ2ByNM5b4>eCjc?~puAf(VWAFiR&Y=6DV1+Q!8o!fMod39;_(OAVjb^8sRh zGqh?47nQ&Kt;NdQv@Q(0mif^x*hk;BS0;8VJ_Yme3?zf5_b$TJkf>;K2{GK(V4ps|xh$G82R9r2o`2hV+M4y<5 z_ouZNuaaw<`+qayPVL~(D!|7uB|lJ$Hggteu?;$;)1eC2RXI@*H_ zvAE3Rx){vf=MBcF-JmE%RM<~`w+@7ZX|cC9jP2+KM!=4Gs+ld9;kI~glGUA&8o-|6=Fkv}FGiBq&ho}Y#)|E+@a z{CWRy_5jK9-mYAPMqEVut9C$=dpkdXSE>;p`mz(0@s+or$;a?SF!85A+C0!a+uPmF z)=(e)mlH1?oaciBW+sDZr4jsq%EVzioZjR+Zdm1@5fH#>J6`I!!zQSzQP2SJfgt0ha0EPl&lYBXhG0XLUXH!~+UQV7Ip5sIw56HXy(P#^F{=XEAAkp$ky z(b&+Ntnz(?`x>Um)^DFJaA6|Oej*USz9O#ItoQzL5<`V>`HXyTJq|w6I6JIPZ#X zqDLpRO0YNR`3ar&0C(x?56_a;)Kockq|_owQ`|%bB*6m3VfrJvI8lKNEWU?$r-8A( z`<({d$*R?!)wtLa?~`zPghtR0Er5c<08BH?q4~*096>=WH;*Yiv7m|pX*7z`n?Lv%N+@i2)n@qTu3aF6hDYw&7|DECXhU+jU6fgg1%G< zj)5_W0D7_$w;sl(K+Fy7q;dvg7X?wQP=7Jd9Va@3JX|EYJ;UED-**{Hbkj5H>47&N_z{e=}Fr z4Qo_fTtq~Z^o&=yL#xEy50c5abgOfdM-Im>9CFyOJN=711cED;&^It;&v5TUs2e(( z^4at~m<{gjm=CuNQu1r4NDB52BC2OiT=(e=v>JSg;u51op2q`~i5qLztbxa?!cEYTwE=J@JZLT#)Ol z@qc*q?E|=9v7{IO=NxLVBv7Ko*}P?vJa;j_)lhmU1QkF>F3qfXBuBJX*4nT$)^(Gd zd9K%D8vJDMMXeld8y=X3l?1QCrO4XGJ8wt7>Kb{KxKaOh3$$VAt3lfL*Ea6tD-%6Q zg7!A0_GDY>pA)V3-a0$B!GQ*m-)p!F5L7sNqyglNI-2Zl(^aeC`3Ykf)6o@vri(&@ z!#BuTsaDJ!Df_sAO?)Nb5Vi$`??uGg+edoLUk1%-Q*9MESBee}!0#S9@is-Ea+3%< ztQQuwf{UQWv8lyFRd6BqiN%^gHUm?&7%v>6GNTPT$3YlIige4AD2KcIkh)0WSoBw{ zwHYNLD(Z;I_oGU(>2OHs4agIw-$@4xW>;;d)*;kw5bkr^is)IXuNftbjDhCW_>G;q z3}e6_q-uegwNbiyCg?Oah60Ozn7LUx?lrO}HJV8>F$N}jPn$^A4`0|;RL^6LX0Ywg z*xGw{x{chiF4^dAo=lMk*0UHjZ`q>Y0iEI+PJ6ODMJ+z!6N0550?aVLH*rwQaBfHr zOl38-p-W`!v@=@1AcPd_k}@i}x*@9^g`kFg)AdL0uHZozMoKB2eb0TL~yjdH`Igis&j!bA^143pCp{ zT=OXdx)3O1G*}1C;wb(Y6krsBK!b^;A}I2fZ4`Gff}#?hTi&UQP0$EXA^Y*Rix2B- z2~!B*Ymsc@$?++E5zN8aeUxeK>&`>&nyssU-#WvfZ(^g#$cPvOT(pArC_MobRM7aM zQT{ghLO~`VS=Jwk+ZoShiQ(2>iO+tp~+G&-GJ{kP0EPK7M+zZ?Yg{){DQF<4a}{GJB$Dtr3%H8Y5JVARq)gN*P?Xm_@?K z{ytP$4(gH%U!J+SEVI|OlB5=lqw5z=X*65IwxNG(wM$jvutfHcUPatD=hc+5d`_Pp zJ?M05SY1O<9|NGf5n}s@laB+|Ef27U>u%&0WUeTMhQ83pU3M+=UB7-^MhxtqorhE8 zWFWVAgjg~g;MAZpAWewF6}GTOSP+hKHZrhSI1^4RQ-*A@#3kem=Bd%x_IorEa@&27 z0Tn>rjibb!m#*P2XVtAmQ^BiU|KasgCJEyLce z`XZ(f{>UfL>9{oH{XGg_UvNo~NP)NxQB>v=Fp#FEj8^g`1M6u}6e6I(l>os&45K9u)^X zL-yo(kTq&j@F$MIBZjb(U8Z07?VN+CECTW8f<(%h$~#gROE{E2jqYO_RC*GoOAd*K znF`9;!c5vyjCyOYRvw#IgGiWHlthl8BN-VwaW1T)%L*G}wN_;U1&@8E5#=!h%S+N{ zKSnR;f)5a&8yXV*&PZO}0dt1OOQBBykOOZkEI;2=2ZDqxGYA%c0T4v1q-IOgKY*7O z>RLm)6^OSi3e(n3a5w2gT3h6@!V=tC(Gs{ZtSNTI8YMIo?xV8`{;q58=swnHCdf%J z=Yt0!#Nab#;r|kK9vp-5T0?dyMYpWjwmCjOeBsesp%in&8Ahu`OEPQpw6P8s@bMqNX**)s%(5D7w;M3wCUs5oNx?%FyO_2$;s zH-GKB(8zy-6=0tD1r1WRrScUWQTO(LfXdaEA@-=`rJ_RLUMWAnt8YQOh@x+7xSHpB$Ee28&ZZfp|*8#**RR_?m-1qYws8s!P=L%cWV4Fd`9h^5d zG?p2z5w((GiWoY&HO`9TF4}_MzpM?QhQZCQto*eEs=F&~XOk}8d*~*wpsW-HZ*aGO zcM~N!YFb=HkdPkRhbSrtwG;w2jKRw<8~1Ym6voAR-1G^){{+586so1amA{@aeHSaW z(!R#ZsU?rB^u|6iLS}~aInYGJvo9HU6pt_YQ&_Tc9P_j0sKZ}cvgi;tar{xo$G6QG zf(Aiwgqi;7e1Qe9NCPom#*uS0xotU}nQq}J2agW8xQP|esogu=#%&{%q7X?n@*XN- zlnanWHy8x63?hCGYp}q&+`u>`ig6Rl;mBTF2yzDoYIIUK;Wfc8#x7`iZKv%C9uE#ywLE@cFznliHH30W$!K(OI{L{pW!X)pP@({;2;y zdv6A#&Wd<`$e*6t!5N~3lPF+~|5PDNDNynu(m zInMmQ^0(sMB=Eoz9&w<9?@6fbfQ56WaEX3BpOVF|p;vr68 zB_jcA^^3Wl>ON#G{KfhslC+FRPsa|mRLo&)ug8aAM{GZVHt$a%=`sfEuCp;S=yi z{Y$I=ns+)jZlQBLl^)<%MVyD=8eKpMa+k)rLqF+Rljc?7Iv z0+aqy!t%=JEdEO9?o8o1@LsDs%IW155jx5YJ$G^Ay_?4DPmGXUszC_kD~UiM_uF9M z_XEPV0wnbMD?K23N07AM=rA`%xb(-iC}jZNg6Sp@t#rOI_JC+hyr91pg^~>S z%ahC)_zhJm;z65UuY#Z?Zi|s%8KeN?C?G(*Ggq^hb5}tQC&(%Uw5;?%G$aN8VkWi%? z%%(AHAGi)h3}*^^4(ljjbG$ydW%l|9NL_ zPf%Ua1(Go$?pqmb#~#N_OA&vt>l+UjvCHfgYBr_o%DGjd7?gdSGDLx6m)n6D7b%X+ zn}HEgGpuFv7Pnp+E)rb0D~Gr*3atwgZ299U;!mrf3qMbE+Yozg-HWR zN7v4j7tSgV$CGtJR;{7YM?9;5TCuG-F&0l+)`*5$aBd@TKMWdsY*CUa2|~A|A|jrB z*2BjtyV0bEYtsd2v4?ruxuTimjI3%E22x1g(bEV0kP(@_?Sf+ao`>>4L79|03bUD- zk=%eIAZha2hwlF;gf^=bBteXZ?PG6)q|c;+Dhx>Uau-NMmB2i?sG!4n^(1DLlv{N! z*dT)4)Z^vlutk7j)Njq4##_|AB)Z5+7+2wA=ps(AP1uUq!`FeF6R6m7F0tHkv6J6} zS6gEzAXbxQ2ni}#qJgGIEfy6i!gBKx9O=~SdUQld9MVV503Dm+p|K>2ZZqM|Rb~3z zsJEnX)zWb^wjdC+(G!d4_8ttb43^J(>@mEQ!HsLzt_{BZt=D58`7YTI_sAj}zX%9A znh>FoPKjGapB`*afDL`o65i6h3o<#^v_KyR!8K?fEb@0}9Qz^~EqvO+S`y4GnY@6E z={<9?|JHQj*};PvO520?iPMX|z-PW6a3w7Ej058QPK2T%cDjvQPO0acqr%0sOdLb6 z^D)Ue$I63GE}k1)sx2NM2oP6q;tA`l4HoO#=LU-#<3sc+pBXG|<5Jts*SPId+o}>P zX>JKYxWIs|DE9}mUjY)DYMHJbj1#x75HAo<1clhx3!{d)3G6rs1+ogi1~M>*Kb|=H zEdDoSi*y@2kzbWY3i%&!v2v=PO*9gHaX7!I!}-4;97Tv7cQz4*`r>SUQD^i2IJeB_ z9;UnZWk3S%ELwO8P0)WYxU!W1bcrCJisYdn^LmKMA?$*^XyANvK>G^Dy#C1TD`Xk=)vYYbrz62%sC1;z|HSv#?`V z_G*bon=M#w7@L(uP1WDa&-7%j8T&SCJ?w+ui}$Spra+TD=K3MTt3`=t>vlR`K&(p9 zD@X&5RprxIsOgQ41A)VXrHw=Ms51kExtzHT57pDrhwY5SmTA*Dif#ycydCddG{ZZW zqXLMm5oWc(`QBbXWaxk1qIe5^Kk0(Xbpmmn1l3!37}vln5#0fq^_EQ&^+p+LCE+Ey zqFXXFqO2zh!H@o=5o{ALs13l5Ba^Sp%egWsM=l_(JF$HA-;8xzV(FBT1hrrr(7s|a z@Wyd=lR_a&HL|v{8NbD{G`VLP&ulOuqn@f1+;}UIF@)S%4o%+D!^>rbeF2TW8s&53 zRM38+#xHAmOecc*j)!ZK#oeqFE)iLU06YRN+|90=Tz-3%NF%aQv6!3g z1EPSf6~1l$jbVZy5Xc$+(X7r!M^;bEx9jOhkyraR z>{&}@blXuG(}0`SSlWOEB)Wry26L`?V|;$|5$wSBbsq5-JfR?1>#+%8$mVcb_$kp@ z-kwWGaA*}z;r2JUXVzC|IU*Ksx%`8Joor!TKPyl1zFeO@3C0;Nmjo7(q;y_?%96E6 zB*9DEQvMPGVCDy10J)rZp;68v0nJg2@B(XPX483Hfsc(9JlsJE1tcaeil>w!I1ULL z+#p?tQz%mC0mq@_qH13P!AS7>;Gs_K93Ce~Nw9!-zslP3z^s7Cm4SG=jif(yfY6k# z{+;}^ccVNl8RFxjESCeVYViO!79^w8ZM;`zeRbnG)JJ!CiD)j!b()_OZ3ueFRWmZ7 zM7092fyz~_*`p-WPs@F!5-38MCk`W5*MJY;+~CYP=@Fv2!Z5oeAs7qvuJ(xIGMU4Q z6pP&UsxPQ>#8$k6&e8^pL?zj;* z8f~`^BsB=(39eAz%GqD@ubdDG-T}Y}iDJTpzd9Gx*z+P{gyVhOHlp^ZFCuAKsf|!B zklR39W>M(~Mn6Rk!#=DrE^$0T6+XHQ9G`;IW>m4reC=TTDLV-y_ou1OOm7xIBhQH^ z;f!z|DJ3%GayfUrFC^rk8}v`YJkIj}wdum2;rh^*(GwQMQ`A*DnUsZVz!iwCDN|Qf z>0w8<1>=cYQ`NaZ13>qU`pKdvhyth)Rq<{05`JpMP2%&>`%09h-|Rd?E@fVY^#`_I z7Gu%W)r1rRyzW%fYAKaeAq_hv7>9pTO;&KwnRd z6n8+#`GDR|6G7i!S|Y^aHdMTk47h-N6Y9{>`E`K9G`sfYXYT$WWOc zJ~o14D!}Yu_kLqnLilM~Nnu(<27nJ%jUA0^c}9cfFaN_mK@o;cVB=)(*t35(-Y&|( zMXEgHk|7Z7SthB|>^7NDD>3}6N&Z;YNHywpfdi=-h^j4++7XRo%$MX^mY;OpN>u&2O`HGPgZZyXP z?m)?^3?X}@E1}hz&R9rd$y9?}5E9jEu~ah~bPR5ZBLth<7nY1IEh3i~RCG2hB3HDj z5#(B|C6*H)is_RqgV0St=_usk5T!dr#?K}3d-}Syz+IJ8VoC?-r1;Eu3RJPd!e|_^ zb6+eN4DEoeT1TqY2*p_Y};&72DPk4O)8hhjFmdp;!SO^quE6*>LRwrc7dgWZ! z-M9QC4AfP;LjoZ1(~%DJBI?-xm>EJ?g_5F+3^Iwj%@J_-I?<<~ii`V}jsVqqfl6_8 zDl^ec0$~C#{x<~BG23d?B`LnQzJf@WImptcyy3 zjcv@z>bK-*h4aLvT)-G5`&_~{^;VjrP*%zwUcTI<+F#JTA#S9=PS(d0-~BWHb3pls zyC>LcHS4XKSl964)Ma8$lIb4I^e&WK6m;cOk;ZJ*N`5ek-S!n{J1!tOn5i`+{f-)-7QI=_ZK}Zp1 zR#N->laSt>vY_M(D*A$o7XHzfZ%!9p6QeU_QvK5eQ}auX_fY13;GJ%LW{#y0Vl7zYP=R@` zPIv~3NZYh!i>OwFR>Ox&)k->ZW^iF}$t`TjE0t>s7#22{i5Wd?>^=jKbpe`L3>zb< zx^>dx!DZxMZo7)xUL0%ch{`|^4pi3!3&WPd?p)$0D~Y@Oa$io-KpR@Ui<`0JCTJDQHqk2?kBVuakS&O? z>?4B>=bwGc=~|_w&XkMBw|n8SC`9D9#5I8Lj_i=+R}ck5#GbFGC@Uo#8Nom(C~9a} zT+i^AOfBxN4QHc?E9LG~TCy)CoL0{hsB0IA9otqmJNE> zT+-_5+kPYKl?(VI*A$KPYYPhtah*hS(aFnjEdGH*xISzsAV|nz!NW&r6!)K$k0HS) zdl=5KHw}UP;@=586madK8TtXlvspG>Fx<^@Phu=BXjGER+XTFXDH>@s2D3YSu)TX2 zcYfm7IPV;>3EU3{t(9xSv)ed?kEVqc7<#!a^PQy3D1JiPkvm&}nCVz=I-9b?V~2BD z5K%4*vYx`cM0RWNR);JQ=|UfG(9jntmnlsUi9Ttys0nTpHf-W+fQCP}R{D0sS|)N| zCdtEfjg)k*>!B%+o8Tslb1)kG8a=Mu;6@olaNty)i}^@0$O5n-SdRe|iGRnrX*(TT zb}9fAQ@-R8?J3{Z9&fH1s@5fVj4U7 zUYTKn0#FvUkk66WW;gJ5|5Q2n|8Mg&a=rGi3ugycnTC)Y1moLiRzba+PUT+HrOT}V zdLtf#4ec^$=+!_!uRJCl3L@+M$8c8k2|oyZME-L0oW0PlN~90Ywx98;xw{VLqCUa* zAnz_u%YtXx-~4X-=U{+czX!pKSTt)p!7jMIN;+T>c;6dbTwa#Nq&s)QD?ZK-x}c%d zouUVnGWafk@K*N~3XR_6fJY3{GlK_uJ<4E_zdbYaGp@?n#RrqlSMB=kUjSwk_YKND z9)s_GcfcEIJ1AhswJ{>U?OB{l3T9UIehU$G*rkxV%Lo*I&%=j8jjg)ab%}kn@oSvLI*Y4xR7o{;=k5dn_2he$>tXMEG-Ub{*aQgx-|GTpv$+kZn`6m5t z8x~wjCI(c(>dW?rFG_**F1z9dC!~7P65HHnsfBMgYB3039bD{ej?WDI<&|VGJCQQF zMQE3H2p#{ct5k~kMlVIY2qxfBYt_pP$S*$GF&=S-1n|$dxKz4>NR@hDR^&;)B&!yU zXjA9(Vb>l~4(MRD^Q!j6S1wRMwiG2uyuop1OcJbf$d2?-XyGau#V+gA3ViER=DlVe~$LXhg(7JUJ<0yyD?Yf zl_EGyM?#EvD{LSv1L5Et-Ho+~;%~e%w+eZPB5cv8aoaDONRe{1s-e-~#+_S(tCugc z#!gxi6Y;YK<(JEyGcW&z>B0pS)<~9;MUjnDfu)Hf{f?KgvSR7n;QaaXo*a5XFPrcf z$_t%3t#C!wW%wyGx%vaCoXTPsY-Jb5Fl;b(6-=fNcgiuVMZ(V%&*qZ26#+Y`X0PS8 z4PAm}pSc!^$*aw)?r>SpHHF3&X=aByYq#cFBv!JyJTLi0Zvs(x(Z=#inO>nw6)r$^ zGpp~RARn!uHxWcfNG=oxt$}Z!sGv96QLf$o@Yd?Bo44+Kbo=(L5B;z@Z8*eM&)Knx zqf5b}DA_rHX(-5Cfv-^p0R-kYdP&jwrS$FPVd>c74|sP}E<>0=8d7!P_d3e0AqWSe z7a1MRypSs|pkYnxsCVjvO+}tv-Ue$ujn!AKUcGjwANUxpPgg@|4Qk;t0ME`8r1M0) zq;vrRksbqKx#-MM`0+RgDaZA(gAay&=cDT#C=CZlO4D~C`ND|RJJif1Rhu!v$bV7S zioWGpb&ex+g3*UZR~p_685`HY@vV-_~GNvpl<03COF@~e z|MWv~b@P&HkNqJcP}aEn!haU;Y!k&ZDu=&vYs%fa4#HWHAIllGg<|*|*hGorljYy` zSPAi}Qmp5(i~ble&C@m2M>z5&5S>GmQS9t2Z5+a(eSr8y(S_P$xHm$NCN4b|Q{3*` zLX`wi@8^nt=aN<`o`uCIGO_DC(W{(il){71^@$vxNtnu4-YAdy*>v=z$lZiR7y%Y0 zg`Cw5ku&?0h!e8wK%oO$J<|EPa#8vX%4T#w-1~x2+?*yVKNp&}`DjiHIbxBUBv-ZQ zOzG!}y1SK*_J6BaJ-CdLwR4GPOB@rV`dwRr^mZJDM#|1|keP-@`_7obS&8kG!_1ds zVp!bxCw6ZGfb%4duJ8vBa(W+gxkMi6l|s0=SQIaf(lrEyxKGo!t-ZI9q5*7PD+l|LrZOQRSq69fck z$-zO?Ymad^f%Xv7`;>eDUt~|_!uZMV5ObyYUNX5`eiEGTqZm?~X`g0$!8F0yT(UPx zAGm(-xnTSjV=6o_6#_eS^&!eBN`RFK#x8Du(B_7m~T%$ibLLo|? zB6Z9Kw-D&2{|I>^!(&!Xd(BxJ^yRG9-KX|A{iZjd!sE_3UD4L$aEnx za4?320;&|5WJRnAaGJ($HrXShJZveimL)unCCx6=rr#K|O~3*f0p#F=rW^>Y28Ty$ zRV~!Q^ClNQFyWIx&0?`uQ~V3_Ds})}B!CybK$kV|{VV;|IzU!doP2>SL)O1>e2of% zA2}oNUk!S1eR3G=$efn(`WR;f&p?_k%(&+#5;(*N5zL(7h->JwI>C5B`jqz@ z&_e;|>tOU;o3BhZPT2xk3<1ot2L5V$a}%is1VGvi8V1B=k%|;-GTXxIm`DuOU;(uYbn{@pJz{?6;U$`i!4} z`z2UO3=lL_;ihrq8e)LT;I&Hw&Korq8cSwC4HU#EmInB^e9(ZGOF&V<5}{(1VIR51 z{P&oS#xdbkjULf709OQIJd55yp~0TH_=doji&B^56;LcYkylshSbp%@Ys)^F0IOZg zvB-8-ta{5OVLv&>WU4Z4DCs!M1bv{1O=q%IfzCfBP$1M^qPumUrl)`j`#}n0#D%8I zfRG;M+WuT!>M*4P;g#6pEU^fkeVB0%`A&qgu*G-a%0siUQ~@zHy7d#lsmVeyXtdrU z_guV=i4x6Gq?;_X0_xcqE!n(e0T}>Q;Ka@)isVS#VIMk%$t23e>-JFs6%(T*ip9Zw zLVccqax9xYNIPu{Hzy&ni6>!CWAe(d1SG`jfQf>3YNZj6V;Ep+@!{Ue&$P-3`@ny& zCEP&G{uXqoz}%}QJ$D6^voa5XLRg`YZ2V03vqN}Z|Bi1oaIy$-qy}0UK5?8d_;;rZ ze^hES>3>q50qBqgFWQmaji~IiZv+-s0gz`H%oiq%^H?`-H=q^S$%@n#JD65tx@mwt z{gfbL{NAe9$RcB8U#*ed5fKnpftmQCM{lgJGKc5k9D>DzI6_dA1trkdh!fHo_Z?4X zC0QTcFo>4x)%Pm#Y#+FqF}m*4FVKjBYMm0PA_=>IHM|t&FduM?2n}icN~$;#fbhdw zeU8OC%~%p2HiMR80gf025m`it7~V#%3gyS<B3T%3?-<@2J#IR9CtT{ z)8XK(5=I6-l;B)lJ@X$07^}cQQTAhaP@2^Yw1m2vuY6*)qJ`Fw%S1#%>xU>pSlp&D z5RgVVgl4xea0E)V=^11(BWgLfTpt3VH9FYfjDwKr&oUOvzSj-=WE9%;U)$Qtja z$Xe(f)S=k8I~)I%s0@@FVkYZToSP^x;OUYCT5ExEY1tsJ%LB1tA9g!jkkNRhC zvC@|(7M=0f(LW!=Q*?kn<6<-TRRa8EA{l`IiOcM(Ta(RibkIO4z=4e8#Sc^k5EKlw zGQtBRdkr=0Q>);*^Mf1jCeh69zMaSX-#^A>4$o72x&C|poxZ)}ftihKScog=y3T;BK1)f zp`bjbjf{cF@H_NBkt4u^!u+|}5K_6x>IGF8J!cQG_tSo?$1yo5I%V#FqjWn^S zP%aD;Hp-H`U}jwUo!2hHyb}4ghv?Lj+$AFe&%`@yW-xA)_97upp1Rln)+}i@k%-jf z)meUaItoj+?a*n_vXj^qn++5eXBb2o&2xn)A3*cJ{J(Tehh8## z@Z9V1I^Q9__2Wu!QRm{Vb3QwwYv)JjC4xL1$+%tM$m#}Nxx}J_^}_>+1S6&c>ykGI zV||jZ96aLU{9Dx`gHbYAM|{@!%Ik7-O+jZ?V!4hJ*PI6?fhU!(D$~Q!?Jm03%@yVFfmXjm=^&_ikX8Zrj|KBVU{s zYL;=Fw&?o3G5Q*G?b#zl*r#usw>;PiSABFKfj6d1>Gu+WcA9eBeLuHoOyEJ3?q~y+ ztBMJ^$|Hg_*4=sw-yPt}M<(Sdz!E(QcIxH~WTJLDuS&xS5WwO3IvNLHQS3Z?G);N? z+J_(5Y4#{en6^?Bgtsu-6NoAM4~S-h=uO~+=uE(+Uj+wqm#Ecs)1LxJ!B zwo~q55+C0CtMsqH=z~T2q<%To8H7lA_)4T)bZxY?y~iqug59t(u0uvf{e~zX53NXO z!HJxRbmqjXp^n-3%8r78dTN_vY*75O1YAfD(!JAF-^$2PXdeNP330094;+mihJB8wq9 zO>#30a#D?;0mV-)F8_81j)7MzjM4k2Pt805Y@r`-O7tVBg#p*6fap`*4z>ZY+IbE* z?RgG;zZ36(>lhk+)$hN_>x$$W|lJ+wEI-Zu|(l0)5~zW^e)t zMMXhkc^#KYpcDexA*k(-_O>6Gpv2=e%g|Z4foc@K0mCloB!OhKS{Y*a_UHgp2VmhA z?+m6h>{AKfd~j#!-COVVvBM3ZCt@uCsi~9V7L=^uwQ2>FMfyWHS4rD#+jojQzHQ&1 z6uaZ^P8ZH;Sqn!+%RFonLbZtF3+@i{=d20__b^WP_o@i|y}<9xS@jsgmFbCd)QK^| zINXtWk`}>?6)j?hLMD@RCH@8;vKDcdgT2VtyaPnq7Dmg_j$l57d$JXAAePI7Xpk@2 zZ{`M#2#gR|+_x(r>+A%>=?=695vM65DY`2OTiPfAQf8mbr*z7l$4)_$Ff$m}O<$E% zLpwz67j^^+6dTgA3HrH-Dl!Ud5^^pnqS)^gfdeb-p{l@@1UShnAfFz7t$7jl$rIq0 zCim>|VOH6g_giXU$66xWT1NPfs58TbLx=kX6*JQX>IuP##p{F*fuqX1XagPLB%sIS zvMP1va#=hb9x6b>i#6$lhv^xEzowWKhy;n)$#NdCIyTo3fK%N;p*kKJ-p#u^{cB-H zSEI+16${~oLbW!}54%NKA0y2$cNN5q5EfAilH43a(iy5qsWsiUfnJ{-Fb>oIpk-~d zec#$_QFJld+3W-251=Uh|E3FIEfis~G;pC*sME(j{qyZzafaDxn#iSxc8Ifmd zjw84QrwIXuZ#dcn!9t1G@ex!Bn(eI~N+9>)O!C*9N2s?sz z?L{gcbF&91mV|A<#ej#h9Eg=Bg~yG-{QLKYaL@Q8hC;Kh8_V|*WG?Rf=!fVm364ea zF{(W+P5;iFV`A%CE`E=C`fFdp6$(`;PjDA)^EbxpJ_kX~aF>z~{?DD0Xa1{zPRIw4 zv{Zv<4a$~k=-tVUVXWi;ra(E9(eOZ1QV4*=vT`gF+-a^bLnXe?=Dj(nFt^bUXJf=W zh-hWuqK;hh(P*&mOv1{pen`IH%B+!}xEm~%{m>V?4@0|sTvyWv+HX4J5N3T=)W|Wds9x*Wex(yjx;I43M~2r|is4XXOkD5LAzaH`mn#GsW7K`^0?inq>^I18(;Sk+4F~6=!}i zc?G?S#mp_vHDwJq20?9^ai(QWRY!fZ&HFM}#WpgBSuM7Z2V&26RxJjjujPSh^h@S$ zqyxmYnz`)_SbYS$g2e654!03+AvZ``LBn1QHujx>Pi5*2pUjdsch;TUqo?kZaKhL> z(hKNN?u={7HPxpqafIpk42(1e6J{Jya}B5C&Nq+e8?x{$T`LWBL+h#iubh%DRvhnf zT=mBv-%}FKx)B2U3OS;g5C_P0b?Ujp%kY^@5`d;SHdSQ$KWMNd>vD>cr7AEfq8-68G;6nr-;FO z2S7|%SES);htgnS6q~bgl3Bhoh;Zh{XAqCpSp6d1Z6nxxJRmiEV)@rO8+HKh|@mGly zbQn~Ge|L&5^Eb!g{2M34xrotpik`a}J88vexIhV>)V4qq2;PjQRf3^QLOVUSSj}EY zQop|B@gGGmxzVS6N>oJ}kHM~TsI0hg7D$IHpd@iiwGEFC(YN-BNG*<5m7;OgUNSg}`)4qNC4h<`+c%nQ(<|A^Q%IT6yao^i@q{K-#D-%tl>$r3uIhkLKQawd`FQj{Ci3`Ok6?ffHzTd~4{dpR+K$tXmC3)soXO3heP?YSI)agT)&t zlE9XbN`Rb)k6m)y-0668lWUuu*mb@k<7{>El`h_aoMIotad+473R{H>_5gJze@P>p z{~bJ%%YY-D^%+hLemwp0-nsK{ed9NKqdDI?p~0V7nxFh6I34N+`;+{DC`a?sFg6BO zFm}rVFq+W}b1I4D9uHArM@vQS+2(_3SAZtgQO=LA7jeZ9YM$1oXUexmI{d!&w`P|g zm5Z!MvJtJ;DLkK|d>C+D<2W065WBGA^aOCi`;XuU?(k7EPsj^A%~e(6E=6oG$?7-; z|L%0*74E|O^%XaraH&!(40?^h^B>a#47-xWU)rBO^Opiv5yJtV$zzglzrSqMXJ(~p zX;vH6f=1+&)C;zQGaM+SJoS7)PtxS9d~A~CE$F!-i9f=rV$T>s&H?Nz`U14JkwUyV zM`s+nWI~w`5$&&{P^x`=RFkqxHarWn7XuKO@?~6fbv%Z_AgY9#M0q~0b=Bc=0!5CE zK>cw?{VrO-+oR6(G-IMNu+fk7*}od=lHfvG%R|1KIOknv__=@i8WMG5gi*o!DDy%i zjCTR*S+lgsZ{ceOkFe`{vVO3=FEbuwp#ULfdMj>?0=f#X6FmWC*yFiQh%;_Vm7~bN z2C6+NnuQ)Hbqd_vXTyVSsgYD{@HeQmkvhF(xO5LbMp1g{qm#BEZS_^KESuf2G{0#4f%A zgK>KbFBsM}YCNZie5;;BXvrt~#=*<267u#0u%A|tu%#;w=nn>Kh_9dTQ!+f2Si656 zoa&=ib3}3Tm*vP<+1%y;{t+aCAbUu?pv@AvV0uUnB%92h`%hx0gti5;r^^h={L^j* zsh+WA-@MB!7B}jkY9Z~(Pl64g-KfT3!4vmrISdi0#Y><&C>%0gu7_u^5*vUdsmVpH z_OCHDz(h#9v6>9RTYFvbXfC5moQE31NjNe2aKZ@+dj?2`VlpXX^46^;on=f!IQ+Sq z5v6HsXl|4Vvx`!shR%Y=Hm#AuY!z(L^o0*j&h$t zkJ1(ynyd200*oe=rY|>3^5^tw-^oFm}g;J~MLgwT&?|~+1 zliHhQFRGCI#B(8O?Qolin9$y1x8GxUTo=qf?F+<%;AwUQ;$(segL?kQfWmWdom zl;;+)W8?gAXe?^x`L=LjO27Y%h=D%lz;-o2kn57F;L}zeTyT107af4x;(rW=n}~;q zz-S-O`D0@kaAQo`fM~GfhZs&6;f4z`hg${Q1W+@~r9IJR{g4Kv##i?tkA-vwm4hnt zgq({^yeSto69Rh^zqj5m1;w03nJd}2reo> z?*fi|P{v~FTfQsG$#mXKWjE-YvVIFwz&oyM{TXGX_{BgZpv7N0vX1<%e1>A1^?W@# zYD#(@#QP3!dEXo!?y$NCKaW%3%CJS~4&{f1c&=k58ZoA;yFZnNT38G`U=SiQm?%RU zZK7D&n?w>Q{5E_FfOJD7KfN-)$U>kt0j9H9%3!_%Q$ga4dojb-sl)beA-Ml<;bRB7z_s=*8QQrLBI z27WhbgbXEbfCz|Cn-eGr0j~$;c#)Y^#G16IeH1awMK&fm8*B#yfTX`^9j525#nh8R ztctw&EDEc`PLh%1Q9YpesI?q;!>bpToMw5{Gi&+2%UUrIMM1*3fF}{Z*%>oi0$G6| z3anCAvDsLdJR+%}c4-OlHaIp4;}}&o@=Q%r+F7b_LFoH~Te$mS<-?CnOXrFdtXpH& z%xmt(b{R!|(A#FKadHNAKtF+gW9EQyZa(8uCWep$pd>h8N)9QGMti3Fmt8t`Sx7RI zxXhW)A2xC`8&C4XjvsYS$1P0Pk2$#V!|CA{eAij4G8B#Trx2B6GCKKKu z8-yZJ7}{Q}bO2`^@ny0ef=s}TeE>pb`hEyE1mc*NI^=>OX7P|Q4aB@0a}jOgzyV^@ z{}#{0T5+*cu83v!M(p@PKL;R*xRb9Tm$F;67zBmBim7i!Q}rl$q(!tt4|TMF1}h=S z<#KkZMbmrQjVTf;6%bC8BCe7LRY$RegP5}BLvC%K-RnFW*7@iF!CX>bi@Wv6y#kp^ z1pEdBjfMER-l&3b3YXyrio#r_C47}^pRw@}RYH?trCg`YJ7ctRtBGXtbZ0z92w-!# zi(wH%Twt&^;@jL@F`dz4uF!%@NalD2Vk>g*aT5f$A+mY87f>$IYt{(5m>5EQy4BqJtnkG3gD~`j6-^S! zXC3`@IfZ zGa4FU+3Cw;QnH9#uW^T1%7JsTJfBWG?Bb=@e8bf;3$ub)bOqT{$5?<6JGWOc{& z(OK{uug&!Wlc=plE>9d)l|uBHElP)_z?L8vc5rh7e4Lj`lN#wW|DcbGRwy~yq9ZDm z{q9P^DacFsA^M*bC1%R;*NC|n$BdEQ`&hUbH%ip+I~9uP2RlXJ$L5i73aXp}_URub zV(?)x$-VH9IKh4P+J>Sy@tRnf;kPk9M1uw6V{#?kUCS`B=~=eJ%tdJlBITh(i*TgHElM|+cD}d6 zc9~(Is@4=aI2|*6WHey0U`;`*Y%DH{gqffhq?`o_%bHQa-Qd|4oMt1A9JHjDaMV-x zV_wA`n&hq-bsjM$h}>sN^P&!W?)Io4GHO`UIJLY>F)y{}Cej#EbGcdGml&OWu~uiZ zHW?U{H#b;oPoNLP$$cGl;09vpr5Q0`5>6O`h1Gd@HJ3QcP<`-I#p*}_rLs~h z*SG9#4z;!sh&NF>{U+4})~I}neV~ZhC8%~RTNy#ml7WXHych!2rtE(*UHBEFK>@O4 z6&* zOj^3{V}$%j%+xo_Ke9H=z~j9IVy+31OGDB_@yA{G@7gxpXuZ^t%^L3M4u z*MeHgf4V8tHgAcj6J)!)-dDos70$H);A-x}rRRZarHG42+0u+z6dTJC3JEG>AH^^i zPg%oNH8o_8utZLP7FE6;qtC@i1h9-+4+4RnRR}H(&oHBoXK?8RMrVt0Mbb2U)p|tL zlarHJzj2w|U5{vAdH3BCk>%S>?Sj!Q=pgQ}hS>V3;z}%v0H3&zJ#(mXQHD%1ngJ9X zy{30j9Jyu%lwKX&nsSAMGN*GgEI>YvqshZKZilqvepdYACmgdW` zNo)k@Bu2+jlg)_rvip2NC`!J`U3+zC#W+G#33tYl91O3r0o^$m?9Pz83d4Q%P4X=qwA13cy#+_hROH#00b_-V`k< zZg#>-6D+RhlOxrHj>I9BmTBaDEZFSGN&^Mw==V?467!@YyW9Fz@aU?7(%;x&qB zbZ!2L_*uCYK`t8)jIIpzY&O*YY z`ji(-5>8E+Tq_U+J%+M0@Pqn-YCfIzD@QyacKWJ`xcC6qjx`~((?9YL@+G!dn7TY* z`^+ghC{2+}0;tCw5s zsQ?)nQp7GS#O#pyscTkJXQx3{yeXEkup71ITs$d21Xl*H;ML8O>%rUETj zHLDBqOxHh=4MA=^T?%tnA=8FyYX_sxSVV+ciqYs(Oc>PxvopmLZ3wy?UmTjy*6Edm zk}u!|+)rucwRYtSIwH21hbEJ89~8ICl<>J;n%ISeB}elrN>gSLICQtj^Jtcr}j(5*PQPF`$HZ zfVi0*r8ChZivh1sKr(SQp8&2Vuzbrp+?>O#w|EHWOVkpm9RJCrp9}CU>BK?Oov~U@ zi-zvc74=(MCD4n6itE7{*J}WvqAo5k_kpGkrWWwY2nO79kA|>T`RUljex291s1kfh zvU0!VAhSA@dL-r_hKyKmgGDvf^zfJCk~??~EIT$G^O}ENS~fFwpf7(nQKK)1w#XEr zNhzbT-3zBTE}V8{*0-V05lclR_F`#=qn7$OLhW%gsN{h)v>1PCD9r8aCI^l)5XZ@J z`8n?UdO~>Y0e!r5dUxrxi-N)>Q_vO9GL7S0)ieZ6v+umId@*QROeb&{f18{xtKfgc zg{2Sl_+((lpxcIOFJ4@_w7h(=Z&9V!;=2(Y!o4EUCJ3HL(TLe`T%8KZOb(?29zRr} z?8)RxBBJFfcRxFHaQU){;ixfah94Ni(9V-gL#_@kHa2nw>P#6^x1rpm>BIBMX!)@Y@4W>0kq*x( zxd+v`V&I$=RfaJ)vQLcaTy7rDUCo>n)w$y5iSv95gAY_t4j;RS;t~K+B~9wOj{=m_ zN_7bj>oKh*EmF`3MgnPKg<)-;7UhZId(CLP53Z9@<`I5p-=ezN?SCn}g7KW*RssOKZbfl=7^>}{eEa@lzXfNS;GFW7bJPKOMEP_5Fsd#?M&PW*weGSGE z+$V)`BPB<* zA>JKAr6slA0Y?nV-R+G%>4=74>g)j4#Em_8=J4Ol<4veKk6!35ZT1}iVK##;t%xg) zHduUszmQ<Hei%Q>XW5C-_QS4x#`|%>@cDZ^z#O^Ua=Go=qsxMBRzU_xNIQiLC z4t*5CUjn=o{5mZMlBo@_q=UZl!G+r&h-(K6-=YS`2ihuhPbxpaN@Q5QaKahlv zXbHC825C$W-+1%%2XCIfGdR6(GPREfR9b(SheE8V_J@mwMjnc7@Lp@C(aB+Ant&O{ zy7I?Mk9gh55Oaj@lYl-oJG=-wZa(u&TFH!rmnY!{xSMeO9%PZ+XQdxsy!`FurR9tG z&tQ4^P5IB@qt&Yg*YA&p`&JbVv4p%1C7TRX15+RFNxlnREdGoOJqqJ+EXs1B^(rnO zg$3>;4!K|78@>>%PE(C+qQ*C%O4;pBxO_-2l}o5`Z$_> zQo$IEpfc>Egpy=kLQ`G2eNunQcA4w85zB9dmx(;R&)Sgqr-(&~U*ADJJI^Teqj}tgY+ViFn5*eF>g@_hina54@uc8E4`$gJweMsUi9blN zh(rov#w_2wc=4gs>$hHO_3b#kdnkn+s|cp=ARIG#B=nlv|~7>a<+xq(R#ZGE(JI6|u^8~-pD zjPp#phQ#GI>@QFjIy*m-EL=g3SI)jq9@>hZqda`jA`(DYLRP9io-hylqj`&0v*QWh zQN#r)L5|y#G$>-T)bH^G>#75?L$Y=Wy3*uq+NM`f%KK3ua=0^|mk3r0{y1L{aQ8sz z@nVwnd$?~0vYZmnvgMGEA4514Aza3z2q+4C=nb*XB>@c6yk#~oONv`ZRf0ouWBOqN z8`4L&@$>xV!|PWE-??<@LaS3J(}yTD@gf{K_@8qC#p3Av*7=#D`K9GILWwirqaePz zjGTI!WcVB&hV&()J`x2uxoYii@Robd%;?*|$;2mjgMzcZ9Aj?%DY$Ak`n+ItwXp`o zFf03n`LK%$O;l%$_C_528ABA+PfegS@^tF-@Z8|^#^ChY;Pl6X)8BKS`R9m9m|LQO zwW6SpSz~o@I(~hGOY~d03@JPhDH1^}l3&yymK3_yhoIPT1v|PI{1`_YN4)EFzH0?# zPN?Za1*sw+`pF`YREQye7IaNmwZ{PkiB@*cA**PGM=aCIwIv0Ll&O|x>DUD{x@_+r z?xug8i^r>>8l-JG8UdKz; zaU%^(@&wX?RUQqeYhllLs9FHC@?;y-XGBeig&T7@UB}KDpyNrRk!-m=S?7FElRvoi z)ct~H)2zZQ{C15(pI%23cjb#U4k<#y_JG&%T@fN5EXt2+`vQN6F`7H2J@eA*8r_qX zTH8Xp%`M748@HGAWeK>$9fRn#%>-&J+U_ATe%|c2Dj>V&dzD?{Q`XDn@j*tR*a$id z{$LE*=yV)K-DVz#jL)@!k2nKLq23~BP?*f-&R?QM@RQ}=#{I*u{P^N4o$H}cc_$YW zE2GQJ!%S^%vPxWPh9ON*0@CTgpCA1Bn%gp!l;F$b-2l83Z)pIOey{pXaaz`Dgc;liq#>>DdS> zoJ~87d7em&VH_w@I0P^c<;vHRslwnMF;}#N$eZDnZq!-m6=`q}wPjSAE3D$bFjEMm zm6|-WDkwUcY_|eD)H!NMIYQk#<7@%BE!dw+-0BaeKbL{@!W%dMOt2xvcg6i^@3U>3 z+b$2VGYWb2x9nZHGVsdMa~+{I0<$A+x(EOAaLN`;d}{@$y`|99-eROPtWKRfa)O%$a@G0jQes#T?*vM8aPKf@9-?<2r3~{b&^Mre}@pw~G=|+aF#%#tcc} zadc17sTd!;**?y{_}@X#AqgMoK0kTw5_L>_{mUvRAVE!*2 z>Ga=$lvx|yhbzouhP;Vcd7Q}Y2`g0vrOla=iC7DSw-{236A@P2w5%uG44s!tG7H^* ze)yH+IJ-YRP@N8|?8y(njtq2a_{13j9be z6{8wRr??+Z9wJu@-u&WCcTSy#cU?8nsvw)dj=ad&5UT1Ay6;Xcu2pj{maur+A znGV<;6jI1?zDH$U=L}&?@<%4V@v9hb6hHS(^_ZeF#_cXHg+X-YE=++A{|(G?fd7Os z`rkE-&pd=pKs(2EMO>`sy#wCc!^?UWzX=C>00czq;7N=BM4~$ zqFypzMBsFcLMk1|lNN=TIk<}pjwD8|fZN8HQ4O4mm1$RG;sql1MR1V9D=uQGSxd1U zI)Rz*GdHNX#rIVp2=^|xH_=~+rDfADu?TCay_8?ZjcsiWx(^U9SJB6sBEG!Ausd(v zKrt?WCoAYshZvsf=X8m9!WOLPNO4htz0a+0J?;PwJC}9OUhJ-X0e-|;=@suB&d&?6 z-4=iDF9wju914EqK-a5AY1chckWI6dVrrC|=cvy(8)NorZZ+iNvNp_A3W&WRv<+X8 zodH6jK+MeDlh0{77Rq%iWj_E>R-!&c7X8-`thN1CLf6 zdq+5|nLH%5)5_m&@9->ef6ov-LvnRo@40{mBjD?D9MD4(Lu?~Tu`}Gyb3TsI|J-!p zvYNPvqGA#H+8D)HUGn&1<^;+tAZ7l%2KSj?kL}Gc{}j6{!MWIE-rw4aW~8J-48*GD zgeOl_;|UzkN=$=)ZSdp5lCSn@Uas{J#XBAtF;(iF3dNIgPV(WLYNZV zKlzdT#p%MU75_)bUQ%=`e)G!CFTEZB&743l_V>LHP8#t|!pW>4i7>5C>s@j4aRIEs z2x!Dw?mfkhC0EQoWZ;QYlZw~|na8Pj4_okEtWa{UX^_}7C}5w#IK8s~BzN2)!c@Bn z|3cjhIeLIV?Vd}5GC&{rn1fZAO7zeT*wsgfJiYDbWRniIA7%RtSk7WMhbnR0tKN;I zJ4&Lav54_JgTvB-;3rGMGhI}E0Hon{z@rdc2r}U70DOf&F5DJmU@dN2YCQ3TPi2Vw ztLATD^~*GqJ`y@YS_V1ruud*5lRQ5vo&Kg8+(c=t<5ZnVf?_40=T9zw8h$q3#-0xA zeJsEQ(oQVZgSCumGX4_-FtrVqrE54a3ZY^x$iR2{yz>|Q(Y><24ikpi_eR0cCdvXPC0YAT@lUH*V<| z#d2^r=sx2-_$CDZ$?t`ZY`UKOR%<0BA|d8m!AAgEVV z2tswNn(nGK>q^h`ar*L~e46^Q-0b;m7T%mlT9>OXSuN2@YgmuW?ImiuxNdkBL`Y&| zrxPkqGb$=3ML9lNYy7Y0#XF+gX2p^CPcFA}8wu>A%5902Kv2=$;T&d%LRnpG()DiMpO!HI#;^%QeihnvHY z01al9LsUq@+92x#AV(ms3thR;MXgUf8XIhH(mI8KQrkWm((U* zs{J*bo?UkMTweIouoItgn#gA?BN$X;BG?p+~Bwy+)rU1 zJnM8$wGouLafS42zPQO9N65gU252AWCij2ok#2G)O%2ZKMaa0Yad+hG{Mx@5gx9h& zA98*U-GW$#Pt4amjFPzVmTAT4`i_-pbqITE>u3GZ<}MU_I`0PdZN z!c)ta(8qN7@|%~V-pzzIJXNI#QhekV9n zh7<@s{~yLE7uB~|%24P}lwX-Qc!>FYu}wLTRs7D0Oe+A1!HX9!1q$2sqAfW0tw-Z$ zaQfrZyQd-NteyfWEyr(%Q)#OUHOqig&y51j_D?V7sXFOek@Wui`*B!2Xnn@bdw!+VR*qU-sfX?LcV45|LNw*;v3t-rxFTUy9M=ZIpH z{voa*E6BLR$~csV8|)mTkFsD%(1T{BhPi(~NJIvPBxhKS`uK^+Oou{SsB_bn-D5z( z->+0_W0U~^jMxG!bu~^!bF(fF4wo*M|-83ASO^# zW4q;s7W{=fnS zFwS6iTbgbd=Ah<@TU~RbDbHjc&#lfbAh2$gecZ9(c1Arul~cGSQ5LjOc?M-Nb#ite zX*{Jpl<}|E;`&S;zd^lw?8sL=zcQt?cb#@JDcs3>+ZdK4Hbl1Zi>yd=E6et6UVPCPNC-73iFh?Y;ct+N<2Bi06G=a>rV!Kym^%?kAqQc*eqKFn1RQn z+{Z+yIDNmcQItkQuY_-Te%Ywu^A|Oc07CtPHD%J!glZtw2cI*U-$^PWnjAUD zh9^AO4NkAOiX1(g@`-iC&3E3E*!@R)+Yh|r(WKIZ1Iu`ta)sewjagd{ zhYaJfEL-QjGMSV$U#Ka}HOu?7?33&6qJN(e9?6vSsRUI%x60vmbg2)@e5$&1HNkFU zCUPv;ak#xc_a}(l&Pgvf&Sf$nAhN39dKG9WLA8^Of%DULqAf2HW_$cOn@>#H9O82G z4}Qc$3G1nk{xmws^Jt|?4lwK^_9w@^5ZA4;s}8q(Z}X$J7@jF&*Q8+LqsKEn;u&vsO0nc zIT=-T*UDlwR~(nqQYFy7iDhfv3$LJE*<`C>e0IF-j+N6HQz~iZ9`H;0xlbz^_+_yQ zzF+Mt_TOCa)ZY1XRuNB9y9GX7wJf_Yn}C_Dyhmv z+3ZR2_VauXfvxBC-UqA9*Rs`-WAiUyGA%~_I-lk5I`I8ge!~?h=41%)+`_3}CT)8< zH}|BoXIBucwE(aWBxA*lP@;t*t=LGW49PSg&RjyJEeST-0TLqnu?hwKEriOo2doK( zbk*9G5^9gv)nDXtT6MvaSb3>VfrSW?V2Ugw0sDj`)@#7;5 zPd~c-9_n);d?=QO8=q~ns9Z+3X&4H^SAysXA~eVj3MO%x5ywz5iO>OQz;Q86_pZvc z?TI{Sg6&LG>ZgaYH{M$s?(Ab!g_YL?!Y2qaO%W4888=cFXw)we_5{sdYLHv;1e@Hh z4&L8hL(B2QEDqO6PmHDQY@@J9GXb!Day5l%M}Ek@@m;yCOn-+EA%kZuf+k@o^0oLh z7tn2=I=z94<&RH)@AL@|SWUU+xI(Eo|fggt>Ck#wOPK@p1^1z7v}j_x7?b9!$bS0i*DCp>!B&dr{nm zZv5O{KaLbqi_J3vK%bkZ<*!709g98G;~u4wOv z&>*l%&KzeIZV@J9B7Y{|md5swoS+!&9(UF^-5%?xgq@%iSFX*P!y`!|R>3*kA_F(DHT|=#xz&vHW0dxc(_dHKJEq1PF05b1Xgm zdW%_RO0Ja^a?w}{|Ja-Mj+MU-IdakwrQFeMOWZ3vvm=nv9D3@wCb-#SY)6g#ThoPK zuP3LZ$vSWxZ8@|T@CBMg{LG&JdhB2G*G^f?g=^q4uAF4CHq{W75U>h4K9u$+ zegZ6@7BqpgHXPlV>}mt8I8fLl+txYkofGrjp+FxGDyF5GgX_s1>#}IBDX!K&LK*yx zVoKUW3$7qX1U3p3F4NRm`?|TJydWh-7E|8>uChBNjF>*85UTjRAqH@`I_{#s^Q~3I zQP^lbe3N%eL$P$Qb}&c-xb$!xjPEBL<O#0GaqL#gFwB>=Vb7A}N9LJ>`QEQz&|>@YQpea06D!e#*F*>*v-%Uet@^9hWN zO(4UmY8jZ?9b|fdOJ~Soz}D;MUwh}yyW+Bg7Tn)wXCF`tSKe=P&$W*eI{yyG>q%qi zS!Hn2Vyi*o)QSvxkunH#2+k#%_1I6li#WbuI*>MJxquDGtPf#%GaA5ostQ6Z zx!GCX(!8(zuu9;$?PpWW+kL}n=%rQM(W-c+`ixj@XU>(a9sf8tPcns>)90psuv_RU1-90RjlxPPS+OaeL19{Ddi<9;14tx2Nv)X_K7@rIN6AIVnZ z)v9msh`R!zZT=+O)gbeiQNp8p>eahGZrI%No{%P zM(B^y+NJ~zF&KLdqG>j#z+K<^98vcB(!($*IoUOX*o*zzmz zP2LRW{+?P4Z6G&X+JsGYEjG+Gd65+Y4D)-5^LHzlKPX{yOb^)K!Ke&KGgcJB9E4?q zF(Ty~PnPVH-bjNah*p9Y%&${gptko5xv|<}2`Hr*H1)!Ip&$E{JF~}1d#<1L`d8^-VzB&xq`CYfV_r2sp|1Ll zw!U4DIkA4C^G9`vHFCD3vVYZxwg@Nnr7Pa9#Ld8dtDhtKu2JLiN{ zk>(1>MM0F2GJ{s74<%^|5zPpo>}0$QG(uBRt&qg6#jIFh?cpmig77$hAEu02MEKP1 zCYl{)a(4EmJqqSRs{~xESZYQ0KzbITBD|<^AQ=af0$nVs7>#7o1x%M4n|0pAbd$&* zxG1jcm^?(J>4C(3x+*pob6pFIO;xxQp94%(c~i7P9RTlEC2jLxrDPc!D&~QioVo7M z@*I#e91g(vfS3lVvqPcNi<9N%jVDcV0^mh5ilcC&E-2ta3zsM1=Z z_E3ZsL&;8Xdv7z&FJrf-@G%+bVSWc2$gEfZ4pHGx{O+GG=Z#&MD<$)ekw(gD66O_^ zIN<^j(;d53O_kg_xty2#+RP$zV%yKF0dZ34l7E(q14<3L zXyA^98CJc@flHtV{Dl@c3>oF!QLy`THVI(H*M`WxGb(s?aQ23K{&Hb*gAyO>v{Z_7 zn=oub^UNu+Hv`uV%r}QO0p`jU6338{EwuOo(p0#mRh0;d3B7wf~tU_w7q_a!%eXAggx;BC~>V2L&|bS$hJ&$m{zZ4 zdq={eA_jqak&NJHWIr%HhUU%v5qlHWbyE5X`3UGF$0{+>gvf%WND;1oDgs^}N`=XR zDI8;tML~3SRKi-`P!4;upotkia09fjU>B?HjXfL1bO*;#G)gy#qt`>-KsAQNJpaaY z;dLB!_2-UDw{Xd?2h&&`h{SA|^_ zXVU{67Ac>`b%c}zq%y``5Z2Ub?Vw*oy>n?%Y~|PPyz7psN#tSzNR;($s`D<5orn%k(leX*c7(#6r#BEy^Pd7ZmIEE zW3>^BhhjVp=zszfw17M;sX%TdxP1BKMEl=O7fw~8?a*qF=$C|YrVGsPOvl@p1RO;A z-^5-iG|Q>*DWkQZT#Dp2us9t%r($0$QiDR#n&2K&OIJsb4@=*TYk)+Qn0F?#FJUL^ zg<|IYjSs+da1dpLlUN0bp$u-c25i4Q!u#2#Bi>)sm>}F1O!L4*M^}13B5XuAB^olU zn6h0DM%xs-xuS0U#?93aR(^CB|8>lU)bp=y-1M)2l42#)S(22MSYD$TfQtVXEK>78 zRI^bX*$giRFfpm^vbCT{fx7nL%FXv;tRTqHuW{$PAWr5hecyeEzk}+p8;VvH=_YLdDKN!P^zQDrKiAyV=Vd86Yvf5S$x^V#Qpy;U3j~4PKfmm3&rQg zOIo+F28EBL8P*g^cF`Y`v|s!OV>>IRKtciI24^G-CD1Lk35j}gA)fV4aAv7 z!2=E<7E4A{bPAGu?oHp>tddI9bHu??GRx}^_et_OkCp@^%5!c($apV-;L|pa8#!J? zfLy0k@!?P<$gpo{JK)6tg~_&h?~52cz{===ZV0o;49}`13KakvxzkCEFd_HWwVDm=u9PayjE={elN8Q0I6#2B5U; zU977XL$h)dKbysm9X#OaWjMUj{h2;ddP@V{f?5h^%AX#N(QKd=Msjy@NFY-!QJsg{ zwb4V^H*%_o`6{M)(89k>2_+0zT;3;M11j4Jpf{r-i?l&cK^UF2W~frp+k|?dl&n6BL8dV}{XV@rqioopfd7M6E7$ zAQG^wI7pgAF>MdHcKp@ol6!tUF59=A#QGPt5I8IdvY8lSqOI2lmzepz2dHHD+W$0r z_f-&tW`(>OI?S>p=#n;O0j^X$hL`gn2bp~T5H5b#hjB$s=EW|;)D&yUb*>02G2ASO z5^Jhpp&Q8=r{;okjz3x}#Ke$+`SS`{Yi(xYN@9hj)DvKdHY0Nv!)P$XAeWRaF5-H# z1R@vYo;MYg5+6w`?qGsgKQaAyp*iKn#Awe;$V18sGG(!jNd45rAA<@d#m`&^k7j%=O znQniowHEp26{wf_wGL`#B5Smfqpwu@ijbhot^%Zw0z6)yPtoUKC|n9}5#iafmGLqB zMVX3xh+D$o_J~+@zdfO~5)8Bd!~@h41xyB$r1fCY5+4*e<8@r)t*j(6B?%zIiQd7yfgMYp5sPgaB=HF~-DyqbZx1scUB2)bl_C^-N!ak7)WQ-^{Rr{-IK>NEq|hk zETFXJ%w&M!c4RV_1gH2hfjX`w*cnfCWFk>$BO>`r^ZT`(9>P8o*AV-83C`@AA)7rK z&^ZOw!}9vhF;Fq+y(l!LncPMO5wO&R&rB{_!w6t6+{w)tCPJye0n@Uj(_J%Pc_52& zft-qfSAM+wit9{^gxKB<(^7vCJ=(g|8NkZJXm7jmtL)a{NFnb|SZgxf8rz6niTO}> z={}LWkhXkw=rR|QkV+8*ad3RbXjPt~C56ZmQu^R$50FJ%NOT}F)`jg;jnn*&)Dd}o&49pxh@%8q*%u)J#AUs@g(s`f zebi;$0#JC}9+^-vWYKB#NuX+wQOP~T3RrPx)l6<=icyg$AM#-lW=%i_+jGxLN~~z1 zNzoF_Ec*eBTNY-*oCHz>off%~O~c5PIS9FHqR1^SNhcJ#O_+cZ{ruF@#VDF}dDoAi ze1+7s(V5~UVp4M#h`<|t<*x9v)pMD}{`U-C9b7y!xG=cXcObo+K_ta+SuNajbZTsc z3C2#ekTk&zOLZSaFBR{gt)MCdl|UXR0siQ8;ky!SlO*NfEbwuX>eE_|^v8k*oTap{ ze~Jo?kOB*TCr~^i1wsr&{tJ6YC%w#Y2!yFo9M3xGvW_>FHuC9^`ux?LQ_BM0mvRCq zpMtvihn|7}{u3?!^ANP^5|@QX)#WqakDYe+H>c{d{}@W;VJsNJL@KN2Gx=kk#^+e; zNBp?%2m*YpqwUoCef>{8g~NUE5Q5c;{Y_y6OXk@N;LaKPKTcen zehOQfORq11ZyBOo-;HThU-{2tiw(irV>9Q*4rL^eOtY0bp9yC1i!K|ob)q)!tGYu{ zfM09o5}gu~jRp30nd!q2IjI4b^1adIg2z#7xj*eB=8@WA1Oqw0h3PKI(9z(#IrzqVzbKVITlb&YR zLBqMs5KQ_;?+hn8fCuSPb_&Tsn5)DB4I6YoSru;~ln0J{^hzaM8d??60y3+S0Q7L#ZUxb%}@I3ZCr((i(y31h-q9#iDbyrY&Wi$*SOOe zA!S-oGC7c_Mh`lpgq#e8H|CNNF=C4aCwa0phO?8VxEE1w_T645poD+ZM)3%0)a0Q3 z)U3yRmrO5B_&Hg~%9H^&6Qg{OloK=A4Dth=uIr0S12=lNkhjLTOEeMzfu}ZEX$YF* z2k6RS2R}|2wQvF~0DNWn6@=?nAuD9<+#_BB7~2;i4!6nJA-`Y`4X`s|KL`HaEkJ~| zdQ|hj1A4*#@n)qRv7Cib7_!uYPYqnAeUtRpriF9QWo?3-UkKiK>XuD~jmQTa6pjKd z@3EFEa1m-c_c2?$ewmwXdXV#77xJHP%3DzPvbV))usCgoj@RI?=m1SH&d#WIK7s-X z7aqh7)l4aFBGGJI4I2?v(AYt}XIhhzv;#P_n`G&`%##(9n9FK{7JGebFxnv{>#Oi9 za!^PD=ih>nD|0$}uK>iiiIIF;3UFnqWrUWea9fw=B}bn;%qHZTDgArZC5UkT9Q(NliJ!Ca4A}Gq`4L3j&cD^E~hx> z*b8+3Ld?o5Ih0I7Ba}$^w5U4I-1sBVzPxxH$^#2h!2|mn+bErz(e6FK&C{Czvok*} z`K8yiqAJtQkguPcF8rzLiA^rHWmJ<((}m|iA(|g}uz9d6E55Wpec|N*aTVO_c0xKs zeArDTR+mlSj-&gGtZv#=Oa`_sn3WWR?PFy~oE>;%P$Pp_LjRl{uc8^&0fyaT03GqG z?V|{K;Ke;FYDu-_@(ESE0Y5Pap{@AM@ZbPCN5Gw03nrBGN9BG`I*c^sII_xi6IKSs zLZjDo=TNM<;Q)36+B&fd(2q1k#Dg*vIw94BcAjNw9U?qL6ONyK-Y{DLH%zlcutfqK zWYNnVoafsZ7TOu^zXi0723IlZkML2GUmfppupuF?>8TbPGcUA41P~F3X3QN8CJd_U zK{7B&wo6E25!TnL-p9_YbW^{KRDGaZMF6%Bm4^3k!FB?ob5VwZ5ZBxRWDLu+X9bhi zf=B#Xt&ARO*g%6YV9G^xPaw5TzV#?txxp_17xRXQrBHoBrAXtZnmf6uksj^A^(i3M z1hj$7q8Ma` zYwaWwNqQu`*umW4eV|{7TZvk|FB-z-jY2eHD(14-%`6klqRptEdocyWsHICc@L;Ye zd2|XGSr|uZ14mUlSyp_Fruz*{u)!Du5-Uf((Np1%b1A`O$OXI`j57zjZ?zc2dMIZ2 z3_4JX4{8%x(#mmK=e60=_bF-*t0Ib;cj^ZYG36*g`9G#Mi_EG{&1hRKU$?6+t2-_*hj&3q+jy~ZUTgX09^PyZ)!~~co|J?eSaLM z6EA>hMd$hu*^AAA3|mAqKL&PFK@r!c@x?m~-Iq_Ot9OsX|mJzo1MoyxXB zKu%$NxQ?)c#%_c=M0!&MV?Y1<~)+B4fd2x~(W@znvGI+V<2&;yI|l{sq`#2*m^ zxy7+{eV8Ds#k zlaMCTlrtLc;s{Vbk682EHSzNDJ9ZxmZ3{y^5{2oN+v=KnmW>t@pc+te1J zP6Pel_esEDg%qY!$Tl4D=zjH!5X3<$9_9+iTNzL-|I)Ex+#eL^1xx@?Cl~kbA`jHX z9+uW|OFU5fO}lY_63SI9%MBJop@|(z2Fgcm+gz8X=VxP%mJ+6wdK-YZi<>Pl1ppMe zDUu&RQl85*63*L2t1Ldqh4hs93JwXC^~Pc~t3QpGC;CuHu+FeTqCpIha-Cw|&XQ|p zW%ZZuQ^uKH_Py!Cx8!e1X_{qe@w%9fuQ?u@nc*-yE?1oq!jyj`X1;G67vNyDO3$I=K(TzK+c6={gdH3BCV8^WpJ=<1=JrAN?m)9V9@XTL}H?O!q4Uh}z!SsTKM^u`93ArytM)-g1O{qyXD-o7h z&UzPl0*to+D>wybs0~aLS!aN31#9C7ahazYhtly$lzobkOd}+D@bX*|hv^H6H6k^L z6#NVXQ1}5g2nAcSyPGi7YzUNXAo|a{7jijGe%d`sw62I{INEqEz=c%cwt9yLt}5mqAgCb2t%v2L4A{2o=3kjV!_=m0QT4dC$?cIhVti;m$fZw&HW z<%3dnWyMxTLCt4wCf7}~(8&Ae6EWookZ4Y$xG<*J45K}{(tt~1;+9#%@3;f zxV!C{%xAy-Gd+^d`s8 zeM)A3`F`X381Kyqum5`+9wID$`ITRFtcTfv@y;7_z8&uXGnf#?&oU5mcjrE0s3`xq zf_OI!gvsQ17u6syzxLW|7v{l$0$e7Xw|bXeY1iD`(@?-N>LH^;8|3F{K9Mi0;GP2 zIs_rtz|-tz6%R}Tb~zwheY1X!fAPOwN1;-DS5ts>@NDdF{S4+jZIM7e@&hCh*|gNZNpE$mfgQ5Mr~*-u z&ZM2ym^G-#1_2PfXR!t&A_s*N;8X%ez|PZCev54+fT`c=5W(He)w}NYs~gRDJw_|k z#r7U94Jc%D5G8fqiSDtWz}1r}MUApjRZGnihb!Q(m6oZyxgH4V^G{$yp4J#Wz~)a3n>?P*vnIQaA-R zs_9{Z6K)Y9W7~^jD6pT!?y5_vLTK05$T0peMB}v)vPQe3Ln(Bx0yGjgINCzBGcJLS z2zy|wC*M1S6}xo#_TFT4pgGoCbn{UA)}vrxVK;v^UT>$E7>6*FSTPQZ4jQkGNARiT zNfkHh6E_|bqs@I`nCsiK!9kIapI3xSQNiRTZF#@Ow^+aV4=f)RBi#m|^c?KZkQ!p0 zUuDD6okd&`xd4lJkOPcru;q}PbWARC47(r#0eYD{#SSj8ljL`9j?u};qand;wApl@ov&P$U?|^ zcxx#1R_;l>FEJ#yg;iSR>{D!UVT7dmTv32a4ZS2m8sJwpb%aTR zh9cs6C*TPSELafJH6skA1AP0&-D|hk)^5FcF*TiEto=T&OGI-V%Xf>x8@wK}17y6e6v=pn&zzgn zB!h$}$yuXgqg9&150K9!4aJg-;y@FU44a`G7Sb^{Eg@Qu+GN!HDkM3k$&RENR-t-u z5lZx;oD3w(>>I{#4ztYoi*ni53@QkxtO~%a4g-B-m)sNwRe0cn3)wnk%?5G8Iu|lU zYDMfaBPj_^syRggPOQEUut7amh6X%q)nE0g+Q8ZwrMEj{JllFjl@+!`AajqLlhsVb zXcEpblq#ER)p1?DozwKVknT-EbctsT&+U$Qi`Fsv@^@~k!$G1ubW>SOnjCsD6=&d?b}kzd_z()rnSt1V34YG zG{Vo71tCZTy=mgBB6lkhk$u!;ustBlT@D9mg+ngHUM0Np8PWo-O=Rw?I8z}zUO<8s z>G?U{F9KwsLZ|=;y?+5?fCatIn&zdnG?U0Ojb~yOgAuU_^$ z0Ns+D0>V^C4?QeI8F&y}#_Rrg!yDF!q|)e^_MskZ$myXs^4=IC5-Y{dz6(q3sP{5U zyewmcu_c5l3)qumk*pV(Aw-G^@8bvNpWvi>lN|EX9^D+fxhbsuxib{AQ$UNcsq_)E zNr+LDNtaXldg3e-%1jaJfS;9E;7GJCTBAiryM!q>q9~ccU4xWIVX3x5W(rWzrH%kB zM4+~d-h%MDC|(Jhnnbb+`ll-xIW^)HsK7|Hrghh^LBGbYj)`3`J%hDsoUh zVrLM0ZifHX+HJS_I>Ho@(KKJ2?11d6P`lAy5t$16kE~QLLwppIIZfgrjf0m+6+&r^ z0VoQZuOyX04D21yoacCQj(AzBYXDPHuO<~B*195AUJTH`x_&!h_B|PmAa!$Ou)LSd ziH&JBE8XQNQq*v5<<9kf0&nR(UprML&UK3iDqU}6MZN>nx{7yM9v2(3yAcEFGAe*y zJy)YP1E@?e-m;P)7>lXO{3ViAeAtScYq$nr)*8ww11&NglY-lu`w_m72c90m`Y5$ zSCa#~c#cmZESdEK*mPJaR%L=ir?(i3e2M(R#0`E&5_Nd7$Et$fOKK0o!qZAg{!M$? zzlKQ%6vo&16W@9*0wb9S*mx2lm`tcX-par|96HsSZ_hZ$!O<87#zBfR}h)Il0l^| z_f~G-T)+8lBRhFQIuQbF1nV`f7*<6~%*SFR!%juLEXbN%n41@Lj(L;nmsFUG%pyCV zv{0$0s2iGir3Z_82bb4w4G}q(GcaS9vx}hKSXsY5AL3?fJ*i9Tt5)xkCnxV(Yc<_A*A~$z2Y7~h+-PNDS0Q9j&PDo#5*{ytb93#z&G~?CT7m(B3n$2>Gx8lYc;1^XT-}{JtGDLE^l#^p z*6Qs=o47jG_l!`tyGHxTg$5CQZ zu;sgK7ixM9qycF)Y;(xOaKfa)np^A#>JuxbFDGm>_Q+!0he&2!tl@c_lp$fbO=w)j zqb)!YA}<;Ts|D^pq)0Tjvhn3TmfjfwjMGx`$)Z3=j zI{nkFhKy~L1EygJfILjBlWyV#4Q!^!bPguCRFFo$c)s<_&2&X{Y6vHS5opHrm^qf} zzj?IS$1cpXe%yAm1)s+HImzf*yRmkAfzsw|+$5iDJyc|vk%6t74QCT3e4C4@41<;H zYq$HdkKKlqA_w>(5%^$o?++X_Js~wX#QBo}R2yPFHI{1=ZuR#1f|07l@N!@}9Jpc{ zDQ*}R*eE;hv}lC2+Y4-zasWTUO%Y_}F^k}uoje~+C2YzyP}7(hwuSh(xzS%=T{|7n zV!~dR#9|KC{*2MhZYtd=rVEE#zjC~Bjb|Dderk z&?7+zpdq6ts|?T5HOr)i&A?DW0V1F6T#+2-kUPO32CT+cE=L4Eb&XvErlt8!ai(2# zTUye46zCyi>L1$;QB@3ys1sg;b0GfHl?>n4$%F?P%?ik|@jTYam_5d-0T;;C+s4Ex z*ZAZSnW8AJP&KYSAJJkE(I(b(jqcrR6140Lq;zgR&#AKqDA(FeS4|kMca24o!Js9_H4p zXup1yfAPQ5S7n1#TlLmT!99s6SqMyi7e5mX^~iG2#~ZgVG{hlWP4a&)+!;za8rCMI zBVHL;Fde@7N!rzGEMR@>Cl65xkDjX26AIzmZb^L79etJM$?2_M#2G(ETwQ{MnTk_> za?)4Tp%p~iK`(|?5!dms>a%|(hO29#wVMq1Tm%SGK`8Lt^(qP)4X{@sh3pHu6KZvd zO&&1aI2R9N>i|Z+gu7IFxI|M(My@zMB{GNEH53mD3;Q4m7K0&sUmmn(GOt~qR>{Z|!C$PjML3z{xZ4?mOF~%s*z8NI(Y3$gc zM7&@h)Ro@BWGK~ks~1REqsncFJISdj;UUy zaT_Wg*o7C;ZZsRC1!!+s67YwJh|+0h4n7yDM(+{iA`&5!y~C{|L_6^g*2d0mOo^j= zq!z!(VS0TS(9l!u|}D zR(%L-N!E~b#N-nm=$c#{Ur4Y3Hib)yiD+lgYD=_L3fh+B^Fe5RXy&dlJU~HuK*JAI zn*cU;mCSP=XQ@$hQKMbTN$sYd#_`!M`B~oS^AF(c2;?_wFGXA$QF;Q3$EzRQWsL($ zvv68=iKn9ftyoL70)H;{kh&y{H`5bMRh2AEjyXT z6NumJt$<^;koaree^aiDW?4z+vCN<^rATbNghM=p0b(_Z8SJA14hF3w3bX<&=c@Ib z7byo-miI@+nU*e+khxhx^AAs#zCxACWzVFk;l7~@JzsRt>C$h(xA7^c1)CZ4@pCZ? z&7_@6!ZlH7u!bbuT z2M|&uKOfI0BNbi~c>FW)7h)EWQlO2|F7QR+3DPZUu|Nh#gDbBB`zD9{EN^R`NH_Py zz@!q*I+97TGu5DO*JFCVlVV*e&BZN9xyttLox@7++ur?A9E7nt%a723(l4WX7T}}f z&Y92lhsUozk^T7-IEi-;=Q^f6Y|mNw4ebZ8JNKP?mj1?RxaU54D~z?zj1x9!v}Bs1 zfCe_EbX_K$BwI*?S6$n|@P2Q(e3`d7?TjYPPmMy87#R_GD8(NQdW}x4#?~Ty!5VUj zV7|YYnE%;sVm?cB1vP($uVDUvp^LZ#TcNu@!&YGHU&a|iIWw5@vcAESY#E6AOlUG{ z^2rFzzi`q{ouBUPq9tWmAkY4hQihtvWY4&k4Ljg?i*Y9P4H#3zy$7@M#IYSkfVvPf zg5{zxhE>+m42RESApsu#l72p@tQbzTsx)O?wte}{>c%xNT-vuk1b$p&c424-snAV+ zd`GS>)n*viE_GrUfQF;`Z*YOt==C%fBL@;!$$3DNUwVZa)5|I8qQZpD=)d{^RRuNv zfR_zd&RV7=IA-?U1Yo#CoLndW&Wg0TmDTU8T)k!t)Trm;{KSH&Spth-Jln5-=a-nv z1X)4`3A&gg%9s1^=MqkUK0)-H=}b6FjAdu1bc`jfv=UM{-#X6v&3;`Z%>b_*@nwEl zLPg`5)cm5@@C3iGSWk@DHgTSpPLv}YYnKS-Uz{#|K_ZwcOWgB5H{F4Gc?k? zAVUl{eWWGPfOMqwrJx;)VE{B&MWW(WB|IKmM5n&9ar4S|Hm+UkaZFN?HgHs$wa%H= z<4-Ft27n*cm!%Xea1gkT?Q@rvo*pVr_E8W(N7GK)v)-3JSnL2~t}Q^rgovyJ7UX0~ zc92Nk5N7H=9$(XSg37FSe{};`=qB;a879iCgGzTf55xe0Du}Li&l}-p_W-w91;N|G z9%ld+X!lpwG5Z{~Z+ZwEvDx3amA$G@n5@IPIS16r?S3b$d_1=P8U&71f0t=VZ74E@ z$dG9@D1RWINkgJ`Iwea%BQeH_^7vP$OMh1(kF}uR!(N}m zH}JA3cxRLF+0VTk;AI;^IOCZ`(s>7IWId3sH#36~u7F=upae*@ND-cDs5!2^7R_x1 zY?gz3A%?A*stLPO2(w{?JiR=@StACtFwYRdwd74uPfP@_U%P&1)6-N9J0k?ks^E@w zaf&->vT0q3ku7Jf4K0s{IH(X^$#)7fw4ELr%n$Y~J+yib)nSz-~$nnFTN-s+!+$P zluigbi($YmuqeX)Y4V{#IsI{%r#azt&5hSEr-G^Q3K>9iZ^%LuI$8?vpd~S_T$K*x z)p`a+ued=m6s%n|;>WWPmUj{xo;cw0BZMGpa*>W)S(zk|146epHrKy{b-@(@WbLx7 zCxVe7&;2-23;p}krQgxgLbAv1AUr?aK^l~IM7fXf%%2UUwL%Q;5bz)pg2AFV>-(jA z=NR1xIOag9U)Av5I{ZCTHw9oipYFycR7+-}Xw1502<3^EGUw=$-)kf}ZEW}`k(ZGa zWMkw!^kjlV-H}Rhy!bxp_>&%PE5&^)l>`)5yPOxUxdbOb*?N`fW4PX|rB9fjlvfrD)LuSQF{j+i_yRzak$asQe6I{>~OR{j{DJ{{cem`vxCzE zDIPH&6gBWBMm8`&S{W3<&ml!{O*9&#Nc99C31If@LUT-t+E9;@v5qcoNsuX&Wq2@+ z>{`b(bac&WR-}vkDZWxE zB~@G-06dl=^lBrTa!i?JSv2a)9G^;qZ{e#gqQZUxm#UcO}4QFUEF~FHY2n64I zPZEhHl(FwRG?@P2+9QX&pN8ITP^0$KqI+v+8@l!i_xEaSfo5BU5x#he9gcU}gx&~Y z|98`+&zrUe8KJPj2>lDw9oA`Y!vW7rb$&JA*0f$6!|%x(q(Dt(Nb@1jsgWzC(Hd_J zE881M#~dl-x5H0C@LjT^Va&#)y7aW#fVnrMXwyTxHl9g zm`Gcga69}lvXfup^z!9bzb@Xy%a^~NQ`FvsUixPD9#A#G02mDg4;n7hn-HWjmLphX z9SBs>T(EO!zj{;LJdiV=Q0IgaXvI89O3?RPyxarbI)^;UkCV++%vM4pl>FVpxqo00 zJ^}h!>g;Vb0DqWLXF-1_%7g!Ly7ap$>z#i%c^CdGM04rCKL2YmL`{X#wTh%yIF`nQ zL5!N|OGh<8onV72Z?myp0i0gzyvyW1w$s|jLPRdGva=x*id>kKva@+CG@Yb1BSzc` z5c_pi8Wp5m;k_JkLt&O6!WyWw-)T~0sR#S3n=3ar-ZM?y2+Ag|Z{QX*)h%#1&`Gj9 zX_`-ku&Lo+y}hzNCpDJuHb>CQi7jZaRA za4D73R_FAkj~L1!n2BRc1ui84W`zVmTZIJZopjCiep1ZO`4L~3cd@_auBOYDkyF#i zj!Tb}bw*BeKCD)Pss5Oe(}WWSKR6uQ{jp*pr%#bT4Tr5G5`p~?Yq^od&0?jlZR*Si zt)a*X!hw=U&3qFR$_{E(i1aC=A2K)|A0TR`j-dn@6Zz6|TkQN}81nmSb8rl?-(6VI zy%v^W?6qOM6blHjC)$dCW4iQu%U0wXaDY?JC7mYn3$iUEzvuqG7{4|ou?HSc!7ETB z&fRF9ok78d`ubSy|X4E*e?!LEng3sy%pwuDFKM`UMwQ5>+ul z)D$}2;iKqb*H9wNV_AquYX7;F#gLR90z`wXSSLkiPO*^XfwlrMAz7}Gy1Yu(8mf9b zVmrif;thS^7fJSgD8+x3kmOW^@F?j z)V)Qv;w1f$1IHFZ<;IWZI|sz2O&Xv!gO?u6_t1hn#@jpa;gVE}49aOOH&oT-^cJj4J# zq83r$t60AJHhP3qw1(EUv2j2$JU6B8A?*M`rhqoIMHamVsBN%gBdC6wGJ3cI?F_6s zp0iV=(WFH?G63>CLaP=gzz0wKI{%&Ace9WV4j&-Y`CRNMzpX+SWg>thtSMu`u=z(s)5 zn1}xHmr%CfRN(LH$o6_dk8Q!$X4liHawVI*LSXLu-L%Grv#RYK-3AW@TH~cl6C5qExy>h zdzbX3M9<%_OL0Z`c?^GqiZCbnbALGIQLo8qim@tOq>IQbG$4Dw5#N$~vffzW6jk!L zmvvyLWdkPZLY&4%vk{c4U{`qIbk^Y`{6*o1H^7wC8g!w>+u16tL25}3B)MUsN*>9v zxsc+TxmZcH5ga>{7eye+ZxWnVS;YH$M}EsYI)IEMcxcg896Z@$D1(6^ziEi?=4urP zac=y|W&n&NDITD^5K|swCOCB0S<(BBT`RW!^4eRX#&2t3E6xgs9Xrbz$F(h#NeL5%fJ6z4E98pUJUC|pQNM?_E?}y9SYb-3 zz9EAoM2J$xtfX~hej42N<_Xsu>DcC=@5snN#L7zSb8>kDqL)%o#&ZJ{Ith=Ze4HR>F9={hBoao7 zK^0sZch|nN>Q zY|s2LUr!J#f^2G{fMlf+vV!v~VX!_?P-R;e2xt(yG~HA1D01dR95%%`qT@h@a=zSa z%dIurtk=7xY6i5)%_%RhQ-He-h_v+W8&(dt1`|a}A%Y`p2O^kJN+^CtAftOOd7F(n zy-FPQ>YT`BV4^ zlfwUHPGrsWL(Fxmj4Z4wVe*~M4O_Xj?x2O;0Uvhj{%9ojt7H@LF0?uZ#Dh1BQ>m^b zjN8~g3|bm%*Ay)Rt$M4mNf%;NW{viy#1{UBSCLZ`Jw%B@)EWO2hGOEokG1$lnL(sT zQI((~1klA1AL76)ELJTSFpJ!9pF`_Ju{?8(H0_8p0z3>3kpt6BJE{rp!_(FA+FMun zd}1mS`6m^{abp?u4zgL3>wJ9obFNqSCPzG+L7Zi|5VuqP>!E z$wEACA(a6Zjli6+o#wbnEC8nuD3rDQcMg3gOy8w0t}#@1iiv_XF$K=N9K$##QjeOr!b0ILD+SO7$BnX6 z6l!S>iII7{TJe+m1;*7)X_0XIWHi;ya;p&00|ORDE_zV&(iu=3#60qn%9b&V?rsBc z!Zl7G3fr3q0R2#7B-Ywm?|JmX2PN6{OkesD9HgoAUMP%0)t#L(pygWb%%6`*^nny3 z!Xo6A!U0RIFNE}`tFS{nPm(D(!eem=eR#kuB39fE#zi_p5g7@j>QYK7q6Ht;z3*N^&0gbn2fb)22g~2W z*%WCIn`b>V#<;nD2Q&jKVtsR?xB885EZV%Hq1~5$Pj?Tn^STew1?a;3VrYW)X;Lkmp?zuzTPFsf-05mjc%Fd~iWeRe2d zqyVO^Uu;>~{WJ{rVRx_6XXI^|)h?1z^Hm*enP|B?y|4^%>G;I!ZOg80 zqnzWb=9s@SUHTD))O$&14#Px0uwV8g-2BX6j7bEaC+_!)7RsSJ#GKa48nBS)AM*3xK*(bkNUc?i!SU=#S&U(&DKS+g5Kef_dr@EfuRO^u}Peor)bb( z?tx6FAjyR56YD@<@2L}M6H6tX<`f;h@yX)t{CiKaoo9)QNo?g#fbIv`$`ihWjwO}) zDw8bo%#t$-lCsGZLS_Nc6-jxdlg6lMr0p3B_$`0XwF8`v3iUjq#9bw`FcxBSc(J#7 z>yA!inO{rcv8pRXjB_doF)B|h1EE>;&WFpcKq~R!k-3Hov@EYQ6i-Z}#4LtM~eAj(R5ObGBc5^%9RWSV&XCuEDC95!eiuv-ow< zMmqruc{<*|C3v`gZ8M=tFc2?7vGL@mmIgunj`xlZ@Tsyt?$QQ)po46yyd#`@?Yp)=@${r`!M%z2&F;NUv z9p7<*-%6**R+&7Mh_Fm!5Qc-Iv|>cB$I9K6JOa!=MIT>r+7ghI48$KOqHBMX{>{aB z0`v@2$sxCr-}&HaVXIL1IQu%V+0x zLXqefjV=i33?-_chbt^ZcFD@*AebKp&Lx2qxqeuoFzBN#2wwxK+TOIizHkVES9Sdo zqA`UEgr?T5BAW=B3hT2OGtZ9=cE}A-$VI)kW@nwOw5-CN` zqZoJbf-B0HGWQZRfJ4@ovRb6qi?#+j?FB22Fm|rExu~u27}|nP5{flZM&Xk4I%^NG z8O|t7zCf0a92N%p`R-k!2?n21OSG1CHo2 zXfHh)2-b&$S?C9q|R0CB{b#5LGgo!m%K_q#FR)k%ozXoYi}t7 z4hHC?T{h%KP+}ilex=$8+M!)lrMHE^6CaHZMG8vd4>fEIb28JzvvoN^0P@>o&@&KL zJrz8Nw`=WOIA&PL^D1%Fh1E|_?L|pm7fE}cm0moN(u<$Pbvc?UjrflK$r@Tg`rYW^ zcIM9nVYY0$A&O{W0ad{qa!4nkTD|5)JFys0RR(}}+fpjTvFBtSjnHMj`!h%-SY!~M zE+KbVW3#ix6d-3?2~U_()0r0PltIO=6~V?~tk7CZA(T=Wd?~};y#KYgjpN?Dg5P;* zDb^LDTZ)e{3-QjpZY_!d;DIEfIwq0)eS(R(J%7v3Pe)smox#AB^O?`Zko8nG1_aeC zJB6xB=8Htx_I{sO+&V#2i{rp2EJxCmD~CqFQsn+UP5O!&*z4WdymIGfdMlf&>+4xV z$_NjvR}}7o`=yAKJ9ZysU6&B(D}^R4Bp29$Tp^(9WVUEke#~esG`5thMVaqHbneg+ zG^ccco-$Biy`4N#F+!tq=spW{Fa5PZA|*F57E zZl`nI)scpPkH94cE@LGIIpbj%ei2cv9VdZlvrn6Zfq=lm2n^45SmBS>m>Spx=PM2jM}~pq!W5%9!CEGP znaUdpS;`7v!l;dMR@s0bU0PZ?bNnp-g|71_dF{cM{(kg`IR;PTWXbUk3IcW8@E0+H zps#*M4LLflSpCee$K;%leUoT9cCwtSNCV|tUn2W4n7thKwA^04vxxG$wju~v@ZpQ# z!5R2AQTFOFguqgQ>ZlC}hQVdXxedML7p5u~U$}6Ak$?i&6&4s2e|v>|e)P0R<{v_w zCP=11B9*K=^Do6@I!R=ausePV((Z|DdNr@7w&^m;57VaqqjNU>ERsdToe=ZS#g6wO zl8saNgtLhoDRc|j)m3Dv-%bZEKz4E>&DdyRQLNM2MiE=Um1qOQXv@L33~llr;E0SfAJ!F{kRSG!;i%(fWEk)`9mdtmGCM5F zoNyyApl@K6kC7U{fQhn#`n#wFBQ%l&WLP{I0dH9j*FY1a33qhwUR0aNq0-l1V_zjd z;-L{dSWgkq0**m9C_<10;_LxW(IwPZzx(>vEHaL1>E?B9FG)v5K;{Eq7K6_WE@`lW zo;b7tL8o#v7m0e9V`0-#e9N+N6*kg&Oz}=O(RX;vf%_MI+XNB=(})l5pnj!nVCri4 z%|<8F{Jj6R?#J57>gw92@ouA&8`mcEg1td`yI0J@2~`UJZuB@zoi!KH@`yZG67ldk@hqRQ{HLVHo80B202+pZSBX zZ{+^0$mt*`g}vVTl?~kv#W_k}=YweXDsW`JARV!HFEJGP0@3*bTI0>6>f?p^@E-Ac zGS-6drtU6)xi%N*@kv`{R4op^^e3lFFKC^thOM|JQYq-1ENHCauKDL(m%RR(Ym;Yw zG{$P#hD9!oo4HtIsNfD@5w}H_5OgI`4go@yQ%T=45fwUg)0b9M%rvZgL>jE0+*-D3e)< zj_xg?qFJ2H`r4~H2g%Z$l%TCC?w`k*?2_Vw+FpOHMQ`VX-m((-GfU7)P}^)>T7rMJ ztDaYJndQ8n;qp|t{PQOj<(@}JKsLZ|fp~5$*ozw1IIR232x78)6`}=J38kBKmC!t? z*o|eqj6$+9SoZO#s$jz+S=?a8&0`p2v{7QJmKLxj(4Cd(^8Z~kDorN@* zHEikQksm}Ug6wkM1p{8xx%r7hSb?;TET|T~TTVrVvbn)BD6Op!{&FJP&xFTGlr9kr zV?B`S32w?B>9)Vs>>v{Z1K%zADa7TLMaF(?gI7+pJ~qiC=?+WsC+ zk|o?~*L4GOBFpR;yd%~Ttlm6rC5{-kX80k_Dh|s!B0s-|=P_TJe3H>;^8vL?@tV%T zv>+S0suHx1>mz<#cjyz;w8;J1_?Z}HdlW4%qqkxN)3q;sr*u4nnjg60Ja}Z0O{)^% z95a2cE5=grhBPy*^U&Gu1aE10UFO9zOE7L>3mCQ8W^LkR%v3w#(ey3DtmwXin@eeJ znu8f#6FN4iJQG!ApBgzDZDdSLu^vHq5DU>VckHa0H@ZA=|9CE(OTp7zNK#2BnK=*X zZJgpjkGRdY0?CI-4Q2FzYMj+Kw5$t$aexd}YdnC?DZp(LTxnSnTpovv%0HH6f|Db| zp3@}2xyNst4pwe6#v_vApj;eHOX&#Yfvt;DD<0T&#ga70J8%x`HLJmz{?&~>Yu!N6BlSxLj-WN!e^6+nB1O$jeH*AXT zU~$%sH0@!hrbG|)A{L??NcH$bmmXQd`)zUi<5Z_6-)dOpQU1G-ocQ) zHv=A&25)P&A{sr~u7kG%O^OQ&EZ;utTe0C<5JUs_68_Qi#ppLYJ2<$6_z`b#r!3KW zA(!^F=(#u93U!}GSqS%vVqbcOp^w+R&8i@T9z4v~V@ce`=jyO&6? zV<#d>J??Fh6gnRQ=dMqd*m#F@k#@PN^t_-5)1>R0$(ze+~R zP#Bl16}QH37UPjq7<2G|<+DL@rZ~%{19~sJ)*O{wOhFTc+9WOy6LAI+^2vqi*m!6- za&A!2uvP5JcWG4N=S0;U!qj}1P7^HpB)ebU+~_}vE8n{|Rf9^mS8l$WcDF>8jEp*| zgPX;gwh5bBaku2KnMeqe8~CiW3fl6y7u$CdbN;&sv9Q3w#3oMSAPp_W_Xq+buApA8 zhgi-|kGBruMxAG~6Vx@>z|vMqdnTqv)CdKyhk>0l$4F785a}E9cGl;3PIgXERyRr7 zjgMdyJV00_n(&3`T|lJPM1~#qy|JO5hC;xa2h*W6R0^B-!EK<41DM`&U#ttVbpxmv zRL1ovWZ|AE*ATSL9(+n9Nlb#3qn!gLTpM|MAGV4XHp&qz>EFeLi>xWfjRiBtC*PJ! z#gq~@-$zt%JUYUCIjBn%Fs4;3Zu(&Fz}6E1tjf(PVJG0&4WbVI*^IglW()$~RMW^Y zxT>QGrlf)13@BBXPE4Fv!!|`Z0gveU*an3NhQ9*9=qc`^SiuF1oxa4|hJ4+$3wipo<(9*+61a%$EuBK6I0>7kwIN|l7 zFf*k=9v~9fd`*KI0mRW*`u#K9kL9bWtsbFT(=_sPmeTMED-pxqX21#%=ReGVD+Wu@ zOSooy5@`?CIOoz5Y%Cu3107}<7?@4m#s*P_#@_?-23< zj|FZrdnqc3YBxpwJ<8S3PQMQ}6~O3sf9q ziY{iFUa>s!xdh~C1=|gM&UXWc-c+pnscV5k8A%F|x%oHrk`A{%8XV4wZPUkzUs(LK z`F3VF)Iuu}=!V1O!hyJ?aD!B3=~Js%D-i?1h-!7K1K2sV#`~8GA0ie6KZhH@WDxs< z5&o8zrK_vrph8Atvx#jjJNMh(a_9mcR^NiBaS?<`_GsE+*nI>WhSSo16W>UJD^O&P zW7Qd~mN%Udo@)|42vR@w1=$30a(q^>ojY4w$1+SHOqmIYHs3b#bbY>Zw|tfJ1@%}XG3CSs3BW~$humlE>}=a!EJS@u~2EmWyNBGd|~ zNLRa~wMF7(F?Wpm0TeL|_kj_d>Fz2qh!bqGaWImi+Mql8xxtH`uqn^%$fAWjJdp?H)Rt0_hVJ#c2M2G& z;E8`Ovzi3}^2$XhiAd+UsF#B>md0U(>75WT%U+@63 z%kj(iQJBV!vFaR=5AYC{#JvdyrUy6`8W5W0;9)2Au+Eb+N+>*S zjgp({Gfw2LY({UHCPJfJ^cU-=do;c2&C0aj>e-7gUwEVWoPERR+Fu$k@yo`Gzg)v< zHmu^e{4YK8EVfc>3^%Vzg_-uOQ=CEy$#k5U25Jy|5A6+m$LwKd8j!QjCdinycr)KhojfziK$ z8;Mi$P(P7Q550ev&>Vc8ENtJA{h2SR@%$&bRnnlcn3+BMld1RNJ5bdAjsl)p5$|8kchCZ6x=Rp8H>8 zeVtnrQ?i9&V#UgGT4~X}4~@$#svasc$FV>L@a0I_gA9RUx7M6?->Mztj%mqoFa!ucIz zpTKYd?yXHwJ_(Ku)hj>?O+BZyh*ulf~BFiV}&M8mS4DXIGnz4 zu^05$RIwdzfZ?y)-`#x$ueH>5JYLUjuJ&EIr&Ziws*+e;)G#u^5a5m zBp!g{2ZPA~DQW2=LuZ|b(FHzTz(MD=4lBG%Wi0)dlbgJ3zai#%$9Yqjb%|7Cql8Cp zO0ULA1m)U83q|33^B^LJ#Asx-IOVcO_7djUb`8nY;TiOSXpf>`e)EieO|6iPbGCf7 z*w;*38cLWRs3KrwSFr!u<^9VvOkFA`#Ih7wNP!roziTtZ^^G26O`4Hl5$6G-fjX)k zU0)h2GGJZ}G5mW{#7U?*c`3S*k$7|zo*X??>PzXwpA!_BM488`7Lj@+RNb>+GB=9! zR_QL%QEYbAP;*Ka)`3Ym;Kc<}ssn;#5T0MV(~h;us<~^fDV1k(zM^xnsf?%9nq1$7 zXN|~ybhH+Z!?q=2x@Q0RH?NSctYi-5PHt?A9t#i5s3|Qw$aqL_@0yg5PhmX$t?AP5 z2D!j~PUDFYAE1Cz+wG{cv#NyQS7VMORnuQ(@Hpm*1+(9S1(r~0in3YkaFsy|V5%^Nacy$Xe7 z3LB7#_Q)*2#kRCWib^+iTs=3fPUv_%8iPfME{SSt>N!qUltzIc-KsENZwuyoggm9vXc+h

    89 z*^#RBQ;@!1r^hw=x5Nez8b;3 zn$sh#m)!+D4Z7V$S0JB8ozFLxN2 z^_ocQMI$0rOCJR7PA~C9uW6R^Gnhl&lC}jH~>dpD&Ku=&S2TQh$JsgLzC7`kgtbQrkHduEJp^J_BxVl(a@)bGSb!mt7 z{ZFWi;eIow<(}99ZRCs<&_=x+2WUOZ#C~_`Hjx*ztEgjL`;ry9_9e3va95ob6&=gmkOvqVEzBudg^DGABoBu*w@so1-#R7=ZqV| z&GwYchcPZW3A#^LYf;|U74KzFSPOG?v9QFwVZ=jSF{m(hJ= zG(6XkHZJ!>YdF@%+lz(PmpHD&okFv~uRCu8ZM}|l6zpTt?NMcYgK1lf!V9WH67LT3 zwYxTkcwC#sIs@O(!P*$d8t9j}Himhr`%urmwK3#5aBb|l!hUOGHx67I(`}u(0qaRJ zW_H%bTB{4ndwNAjM>}I3@>w6pQ9{=uYrx-igqt|#+8Er8fbZ*sFM0#us{@>#%**V0 z>JZ_F?K-Jb>x_{R`%Ls-HwCda1{`y340vtL2Tx*c>;&Qp>maq&>$&c(*Tz6sdsDDB z7R6jSX$*C0@5XrGK6h;l^O?zLW6&1H%9!uQT9e{@cTso-)}3TMjeKH)1~+9nYh&mS z0dr--+SsLP7RIhv8^fJZTpRn6!)tFQ@|quatF}37V=bVEv2!tBACkFdS*P0I;QJj~3z`P9lRD`o^C(b@uR%R(QD^^g>-tGMf5)<~7#mEymrLN8LerV_KIIHwkSH z{mG>h34e!YBsJYw|G*j5#4^)9qRbmLygWlBFknqB@?u7zs|!F@mbLW84C(}&`^e0; zC)XygSGgJ351FwZ{qF|$#|GjDbBEbgweSCo{XBT)M(i(X;T#|KVr`IljB?e9`fy$q za)|RSz!&XqQ|E(pLB6?U4tSFcdr3m%@grD^%RPnPdjCXAat-9|Ers0~2JBh+)C)3m zareIYB;Z2d)c!2*R@Y@h9$>zr2=kn_l>1feAFH-;uc9)J+?i1dd}FVO1(;{T+&B{R zwS(UN>h@rMVJCEzz0X3%nj4vx=_FsKMczE0$~7NNAC&u`i39N4InLlclxD&`;^5g( zc&rL>eW@jxb=VjJPGJu#le%Q{yd3Mo@$fI8W9Yi*wZ?oU^MknC+-)n-+pwog^tJ)# z-!$yE0QR%i$K$tm;vPl=^@bksSHex%kMZ@Ns}=XeSK!`w?oF&14jQ7a#d%?`itkS> z$$-BH>ysAnvUexWfMEPC`(ac0o?1xuDWQE$?D;i;JI=?Mr~~rxNjno4`s^U?_MW`= zkV3p~>-QJ2e-?8$eQw?{YI&3rzY9A+LzO)NUB_3Ex4h9yU0v@zQ?Hsyn6JX#I-Eu9 z$+d9C4t4?N0VVyo+em{!%TRy?_kVt{qX+K+^xzzek2dFt0;cW5+i?Bdfe)}(k8rr@ zjv+3}u#-v^-W=%3ggmjYo+$OBn{7|QQO`5yZwny~aqeRm zKK@VAu7mH7g=d$3vHlgOU#vNCek9S2H*j8y({LJak2c}^q2NK_404L~zfa@kxb=5= z?cYsre+9i|W1cw+^ak^>dH3(22N=Bf;iE0Qi@M`JU5-VRH4L=$Hh2+xj|1RUo?8t3 z&yJoD&P(!Kr_}j}{p(Czd?J242HK?j$iSNyDZnduLTFa}d-09?<-xz0bLDwgAMP%P z+}P2B^*GR`q09PkUN+3{-+}W6Q78jv7aii6r|*x*x1XG-9>G2WbF*Fc2*XmMMUOBn zMM)TB9pc}j)9tR)>2{|`m^!UY#paixY^VHzvh?5GzI@X!QJy5ovz+&<1%Dz>fXCy? z6Yw1l~f$qW=pg)8_ub_=oU$i-2LFV_=A}-J8lZMsNGOb6TOqNSt ziMQh*I{G+qz;{UZZ|Q?Sq@BqlAKAtZzM&Vz<@ql3$BSU{_#QID@r|_)ytmum9q8@t z0q*cV0owk3r|lh@VH?N(=Cm>V65H6y0MEkDf=qPd)F$bE!7>y4KB~VKp6SCkd3-nF z9_HvW&^rht=o@YO4A+lves}0F`VZNrf?t$jIqi-YPjVn{C{tRXXGHk+MHBAcf}hat z@Xp=ckU6FkmIHTSc+YOa#_@Bx0Uc}Wz1YVS(|zO-b?xRLx6s+ zEJc}g$HVze8B5p%FB-NXaXCO=4EUUSKD%`{`VL zu&<%Nmu|*Iy9S$WtMo&AaUT-s zU;1tGMD#J1GX(AZoAOsLA18kqa{+!2;D^z?;K1$36M>ujDsbaW8{m!Idz}0}5xk_y zI1aGK@Bc1O$^qOjpm7U)n~<48$HX;s#MeSp)) z$LR#{SCVcBKTJjpyv#d7`5ndgY0B3O+Ru3p;Y^!mQ}@T7()C(q(+_VSvd-Zg)E)FE z`7u6BhU)amd*M#nz!itm?()WtpoHBG7zECk zuU2%4gu2il@P1T%tWBI_Vjncbm?x1IP#=~h?Fn4Ec}trCPQsI+*89TgfHxsrXq;}~ z(vNVVbGS*v)a@j0D(4zHm5F*+cu`NrPbF^jH_nIaIU@zdD*9>E~oDVSH zbZiIaoq92Efp&Zcw0|bxVE+Lg&-oW@ey8L6FzkQz_5@(#&X=J3jea|r^Dfdsk2lp* zis7zb0RFh&5N&~U_{P2%k&BEk{F#Y*Fg|1e!og#hLwEBA#;ep1@l4O^86^q-1r7TRzizW^WI$1r{ws?Yxj+Q@mQT5w2u;9Ua(H~39pA$*X1 z(njy~_5{#YxFrda-dxQRtm~4D+Enwe(xi7cm|;IVK+{w@@y54EmKaojxVcbjJL2 zgZC&WSsvl*He!nKie7fq(aZk&<+1?hG|&%FFN^n|1ND2}Gllz3OqhXi!aY^>;76(Kia7K<@$2Vm~~=kMdUPv{>tdA~V^34$Vq`iu`jtPM)+8 zR>F3MKXp`&RoUOrEo0}Sy*khD;tn**65xh?Mc@eF;rO32iMWEF;7r`M#-SVT;uG*m z{{Y(3?T7ho&|b?C%{!b69MlI5K||ftD;-)nggGIz4QmQjJfD#6JM=S*i9%EIopV6w zb3?Ko=(+=-L4LdQ5L^dAyYyHA-N#by(BvJXeuuh$qWm!~1#NMSP38^+r?%6_18?NF zKcav5$b6lAP$w4_&Y6GGbh#qCk27!He_l-HVhQ`{nB$_2wMAVAe3z;le0hg)Heo0o z@!vh~FtEK7)?9E_KcPX;koBq1z&DI%Kn&hNPBN~2Zu+fKZ3R?%Sn4Kj;PN{pNczAI8QoA&PqRpJ`;D&;a%WCeHD32 zWHmy1f}~V_6d;l{rV*K31}y&>ngO*;ocsext4Pu$9jdwh6-b!EBs6T z1TV`N80CqsPnx>8OmXZ$7~+1Hm1+1;UO#=8ea%q%>qpVEGv~wpJ@y^sE%k%^#vW>c znd=6qZ-KLJATout<v;m^G=0q8E`Kd#ST;`ciKMcV(kWe<=cQlJ0LK9R&RJo;$T zZUFcwSGz@qQfJ9IhJJdCv)_dH1r7DXKhuEE^RjHsUjyQ3{Mx=u&Yyvl&SMthn<_Y+wr}LgC)_wcUx1v9nIkDRJVUt$h zuSVWc!{q!j+RuIpwC3=a=;-wOrS=0Xnhp`pcRvG%L7V##_8#gDlga)OHuL3v4Sx5p zBgQeHKjN)#ZwKa#NRvWu95)~j^4LAd7x_@m=5lS9FePEWB&F|rpseq=4~H;7k96zF zd<5_BX_{7d>AqX!c;cQMj5!JSkUZN9ygF;5kmY@RF=&nka?G9UPUu@m8@m3$+tuSZ zH!t&-qFWI!J`5`z-?6-gqlYI-|f&A*v-^j0z zzX3PqU?hL?bz&Im8kf7mqre&9%EY)P&bNrqIP_;(qNm~8r2+c;*q`-4???Ec{!wHt z`bMswB+yioYLR^{rfQKr0mK(+qHhiX<&kzc9|=3M2)KnU`ZespQN%~t6?k`*&m{ zsxIZb%<(;v9E;!_C;Ca#yk|rU(%LyV+lg`btAV*(L%|;RO0*UF^KS0T&*Zz%Y82j_ zZf(JwKj#0%EBFZTYQA%`FC4}^SEDizmv2nz`wD)_ zyFa2hpK0T~SnTES7?i2xEqT__6PO#teGkdNZ8SS)t{uu*qI@|^l`s7JYV1e|x<~Tb$v%9&ae4 zp1QrW19R03b8f7b?-8JGooL6L++k`v>Vz{h`7JbNhr(b$5O>umoX-{UG$~kgR_!wL2qCts$J92dzanqRf{;(?Nl2 z$BrDp)gFGnI!De^z~6eWT7f4XwEn{Pjc|5|ez>!M@Z$_24Bj#LG3XMsW4#aj573pU zqfzF#vY+y!>217a1pe%z-CM2FW=)zNTDp48ve1gPp;fD!L(5hzUb1poV`%MFOP4NN zyY|wnR<67zKZIEZr4plFx_AX+HLngeu2{Nx(B6a42cTiobWSHO4co8T|t4B;=? z58+>yfVbI$5UTOn-=7E_%AXJouPEp}l4=Oz=-=uYUds?bXj;+H2jy zwatsyG@lU~-&lN2-#4^tJjuGMap9$_mtM6t#Nd$lrG?Izdhfk+m#tj3crEB|>GEYu zKNp(6;)-SGUWHwEO4Zk0$XuGQT6@O$b<2xG)920V=blqLd)_sxW~^DGgJ-U}0^ieD zHESnA`<%mAU_64rkfx^3ovvfJ?$vAhx$7GHyJyU*?H4}1d1XKMyw&sjy9GD^+y|wr0iR zm7(dYSFT)!1T$vLm=vmCv-ue}N~ z3-ke>v@;hYw(~vHB^-&W(&mxOLUUF$t|Ea zsXC|T9JOlkDpguG>D2PmDk`fc*G!vUJ7XrIB={{}vb1s8rSvOYg$@8~)upO*z+Y&< zAIeyD=?Y-?8m2pE@j0sg+48<=M<3mO$IN%$tNv5cxm9x} zjVXPv?(;W%cFK;m*S~UZ&Q-_~e{WZ7yCACV3$-1+P1~(cYy0xUV%sexH41;bvzLn9 z^3t7RFZ*~5n+V^c4bp`C`8y%(h!EVdze&V;V%fs({E@Qe2r|R6h z;ezt3r>mXkTz18i-<__`*u3aK!)w!{n;u;^=HZ;$`oI6-w+BX@U3>eBbFAmzwrk%# z>$T@Rr#xEw$lWhrGkx8kYX=~>bO3@w%J6uS@P`Li<*Jzr&ME{AMQ^_{~eo zz;8||zk{V~@Ea<<1HWU%r>vA^S!E3Mp87xdO*xf0q@G%c-!%D7KQ)B!4EY`=znSto z{M0D?lTT$?W(9ve6{Yy~RxpR;3Z_b_$ii=G#d7?nRWN==#Vh#DtRPgrie&s|SKNr- z5fu&i^;fXmfW!<|d=}p$E53o>(URwwibeP?liyP-eE2Sx-_zu`LVjy1J_G-mlINKf z6Yzaj#R>Q|P9v_cU@G6m`1QzllKiHe=7mqHe5=Yj#;Ig{Ph~xRlPV|S*Q_LL-b&_R zRW{)_xiSU6DH1=m(#CgMB{7*Up&6B|U9i%R-;tGN_|2}Y#jn3I8^3wtb7JN7_zqRF z74qe`ppuvzFTdeRVyRI4iz?Z^CskVbJ-PB*_$`*-Q{=ZqVot0K!N0W9gWodonItfu zS}C}yjKaU7vJ}4&$^Uez;}n5#s^t6`iPIo;ys&aMVt!Wgxu`OX?*)?o#gg_CiL+4h zzf63V%kK(_vrf0x zkat$L;``ppYw>%Z{N68hd_eMfurd|?-;(c#B<9x2F8F*~eiw=VYH646Na%Mf7a(+- z{C=;J)bjnxk@$UBe!C?859IfU^82I87KA<`zmHa4ititb|6}sIU4H*d>XK4L%%@ff zB~%F|RQ13oqlzs)tV-cGQ{oJ-%EPx$e6p(8;v>Z0FR60mH&kW8Kfj8Uc9QsElkzbZ;zHlobg8)fUKM@dV=z#A-V&9Qq)~LRYKIheu6V=%#;dj{C zOsnRwj>a6});Uk(J82GcHs#kVzp9=&81-xsPd(u`E|j#F@HbeWgWpm0%zw0e8<*6? z<*P<)C&=$;@fjm! zIu%%9wwB?fjX$|@CsgUnV!AVs^1$-t; zSrIAybcsKup#c78G_W1f`J%^l|F%^mK_(}u>tH_4U#RHg#rrsUeVjN@1mrLC)L(tK zJo}129{jymVf)#qf1hIDQw;n+#J~Z_2bzP9IRu;LsAJ5kBt?_q7(Z;9(T*vAO;hZc za@aIe98(LMX0~JI!=_o_m`2z%D;?7eo8~&lw7{m>jCM=`Y?@-nl*6W(;+R_4G_xHu zA2!Vb$27vGS?QQ&*fiHUrUf?5CdX`nP1E6+2Vm1|bIc>KX`XOQH*A_+j@bj7X1`+& zz@|Cqm_x8>jylGSp#Cr!j`72$8SR(?*fhnCDThrn#WA(8X=XcSK5Uu=j%kEVv(hom zuxYMyObcw9O^(?Do2J7t55T6`=9oud(>&prZrC)t9J2>D&3?xmfK7AIF^6E&9CeI& zI_eLT;TS(`n$eCafK5~Em~z-OQyfzZn`X9S=EJ60;Fw0(G%Fp`44dXU$F#tv+2oil zuxUCR^8jp`ZH{>aHq8@`>4r_S%Q1Uk)9iOl&AjQeW_^gf`Ka&*pf}Wg40C{RSDhv~&1wpw$ui0XdEquMY}M8o+X8Xd0G_BELIf2ct|@!x}VjQf^jyYU=6;kdI-e-z;vgX*!m&J9l~ z_o%`_aJFHZQl~FH&4ayjN-cst#VrK3h9`fX8?OW5Eam~&!9nq+FL2{Mf$$i@udC4T zyHRz1U%;L{U2Yq~n-OSYp9bsc{^|Pt61zKfydc8oyMeHs@O5tZN`$v3gcsx6qrQhd zemcF0T@Z)!l{$K%rpw@G(e4THb$%<_-1vJCK7SCtzIm4$Ubp~6f&YbCA zkKc9Ubv2_r#M^bXM=e04!q00wyYU6n>>)*7&qppMBLD;PCVc4us z%8lCXhfREpcHD)qNq14ljZgX0?YHfJ+g-SCuFj{U*my!>XgXF~WkL=2=9bnafe6kbmGv`c=-lEH^ui5!W+3s z*7?)#% ziG=jJp3w{4^rL^O+h@Vgbb2@HXesB|5;tBm!WX%LuyuHNr5nBn;jE|or^CHhx#5L7 z`ty~BW8atD@Pi0{8;SSF`peH^_<{d$vPQSt+X!z&d(3|de2=houx;4xpDy=>JKXq( z5x&3;gssC%?s3ECKRp!O!yaCI zKb?Mkj~l-2WsiDmPbA^e4ULhL3)|KfUVk;y=6LhY^0rEgZHEFFojn zA3Oj$9Ha-0|A!lH9t6D)g73L^-Ej5JQ1oyWM3Kgijqv@0$}c#yOy10qc4YyL~ivHszYec*;KM>yrC`=`_IMcz6+*EUJ(?w>BV+3SY8{yN<# z%k_T*>6!-R`(~OOUT_4R_Mq_9!{g!a57iz=pp9y{f=4~-pj$X>U4C_r8*YA}`(HPT z4&NMf!wZjTdDlPusgZ8@0|>8k1LOIx8|#K2L^%5c_fO|vIKd6C!oI~uHxRZC-wSP4 z!{32$_Dk-c4sU^$sl#U*SR)^l|Ckat{B2K?@MZt>zlw*4unT=~|Jrh@n|?VSsMzBs zhONuLxXKOZdMV|Z`=`TiIl~R_#QN!hL3+)}HEwuIT9U}k{^`Fv-3@O}$9@EmfcvNO ze|x4I-Zl(-6b6Ntp5=x=G8}sx2Elj!Y&U#6l&iFYR{&cx>mbv*BBHuPQ5Vj7lSnh^zN4S3wen)@K4c|2edsYUeFJ9$_+qiTgF@M$p z5UzH^eK;%M0l(cwe?3jZ@%JV--ZsQzecV4C{?{wr@EF3Y+(6hm`~{3Yv>Z8%@aqPJ z|K$sAcykDky9}!5i5uMTP5DVU5#E=9&Y#+~&Oc*Ze>%MhQFU|nac5o4?1^jFES=3MNE_T-VS6#YRoH$W9cQuX@PCQ>u;Z5XO#DzSIxDaO%7oI<> zeqmX~#I>t0ZT|e?HOnT_Pakw!$kT8Om#l44XP)z!P|dv1q>@RemEfTa!`^6dFp&*_ zOauLb$^g(*Gn6|gvWBo4*1u3akCE~YEsr+|5j>vc{R}g`7~jH5E~k~^Z5AteBCI6S zyJ8iX#7v$np5_`RH-c9_^GTgev+o~+f>QsHrUCgO;-sLn3FHYXG$kNjfrpJla*|M zZFJ0lU+UBRocJ~TdNwzuZkA};)tvfvf@@t`h%rlRhUDLD+XFDWYl{1! z<#>m8rX7yRN3xAB*b`64sphcX8?b70ddm{%%y67@6TF z4MPe`(YmeCF$ThDxDZaR;lS$?$_YwD5VG%bW;xOcZBm&>=`NPwt;lDWP|7#s`4l@b zmk$@Hiwzs`k%q|9ADG)S6 zFRl^@vN1-|HMz1s1Xso(kRq=%bdgQE$Sa*}n_0#hw+vnWTH{`U9mqSCW!x=AZc9f8 zPY62hj~97&|03^>7b%s#+u*b^8hp4k*4+*S&BLX&?v7WQv5Zb9ZKJP-WxCE~gzsA} zd@6Gm126WlHJ^acumzxtAoMg2F7`OIqg&x(k1Y^5t#Gl&1xQeGu}7n%S)=4)Prp`J z(odpl4GveMvc1G?5{N-@}r#qvhzRoB~cScJ9T2fyRWKJcp zx(70&FW_5xAhU*_x(70+@tZvmtC-SFu;;Orl2U$y+Id#VcWOKQjnS-JA$*OFv_^VN zB)S{3JfgZ99h~4xUk7j9QcdaC#J`&}<}pF3ZsFw_S0=bF&$x!JG98Aqp0#w&XC}2H z^mL!m@oVIraTWtU00c1Xj;t=pfmd|zhS*@W1ev=VDSz5;rT&>pMk(M69O?a@S!Tj-Ii zJ=V|z+uM~9v?Y&bdfZQsk=o-bdR#=0QQG5bc&NG9-)gGqBtESJ{R4;aNp|kKPA??h zzm@Dv1@iZl66fX{kSs~Q8h##tZ_)&Qdbh#XTxK-l+cJ~te+E1GTZ}pP4t_@XIgh?7 zr5HcIhDqg5lB-{(r6kRH7ar+PGShQeluG|Czvq7wpLi055+vy}FVb-s6->I2s$Pa^ z=3@rOn_CCRuv8Qi^qzu=LX}RA^-koc%3Sz4<<-!q|A^l5osxd@3GR1BI@iOrzb|v) zI^`{+?&nv-&5^KEGVyZ*jKGY7PC`Ki-qSEsqtdSgFy2ZH@ImHYC3s4=>8~}o^glEA z2>mnCUqD*#>HPMk|AgOD`0Y>sBIBIF?_l~F%x5aUN2hP6e>J~D%6A(wO)zte=>`Ox4}Opod9?jvEr>j4zo)6CVps~JcpYP!jV1fOyrQ_UHeNo z($B?@x0#{3Ks?)@Y0^U7Sd;%v`wSQsq7PEtKI)uOXCwW&B|J-TXepijPje1;5^R zR{-mw^vjsf;dZuU`tMoW_n*LbVfrLw=RNvcd>3afylRQ^_A<}X5eu&ZWXd3DRd4_{ zL;Aca{%cu+Y3xUmsQ)`ezSlSi$Mg{kS0a6i!3=8sUmSywbV)PY-_0}`#uou%z5iSI z^$s@*;5Xktk@y*5;1s25@UJ3ZIR-gnf&WLy)0-<9G^!ldjwy2GhxN-{fC#zt2Vze` zP~fit#_|5d!w`Q3GyAD@lgj@n0eH$Y9e$?2hB<3Tir?sSWcY7mnr=zs^Z$!P_KatI z3NrKKdI9BqPC`okcQM*d&#g#W?%&4@ejy=M{%tHqSgDktmgf#R0o)1*6(?e5Un9dO7?!>A@$Z7 zL7Y}q-eaD#;hJp(JDIsB35SQ(eoyd0=8>F~g48{p;LUWUBrQeQK@ae@1SugrIoKO+ zk|G7stXKk~#pxjW4g`&4s^R8p{N}U*{iLLX==5^iSh4he<9q*wQH|hV>q9 zGS5+eM|);^zhr!g8T^FNu9t7+e}@iR9F~7H(TC@d0ZN8Sq4gC6I}o0*%e&8W8)EoB z%V_&OjR;r%_nE;f(om-VCW7;OiIw7in$ceMP@ER{vl!ANja%pcJkz}9IUng~`+vh| zfAGwL-+ccK40+u{HfZ#}#E=8xSm|HN=6gdN&Hk@3D+OTe%rfO*l-Lv-1~PA8)QruvpDQwS-RBI+!R5TM+OvfL*vtbxJfR|BTu-bd9irU z#%~JAV=X?UJj@Gm+QaJ8G_5{O)9TYSlc#M{=|q-|3v|NUq_hN)O{Fc^bb> zl)i;_39?O*KJvuN0JTv>6=pl)866=HFm6oV=GS`^jE-s8zmh)@a2cn6328BI%gEvhfxOVf>0!$~d4?G!+C#VqA&T`OmPB zz1o|zSmB-wwEq{A*dI)wGMhSuNS-hcVQKSN!~`m{X&21^z=h(bGMj!mW2p;Kkf~N7 zt)o>M@THh>cLR~R{;gW&$C_DN0?_(Rr)`6WJDnCE%p@fYW|I03W=tdVFp^k@i1y*s zyp<)BV=_zxX;-42Miz$(sqZn)G{#}2XTvr+P9w@No)K@%d~rN8ol!^+83jx{XFFoA zl-O4x_An`Gj*inbYz_k)S97M!JesZ3Sx{aBV}{Sy@)0wWv`4CWu)xAh%+|+uQBLR6m4D3Sfnic zr>^A?*CAv{;&Qi=?S}jRf5eqIOKTZ9!&%{Lh^$aG0jFqnYIXoPKaEJ03bLPj0V1Wj|gP|n>3gD_u{F4S<3r1NaPQcp$>3qWKU4;iI- zEY6+@MAYtdOagOW-tikoi37gyFo_e1mtiQ#X+u*RA{JSxH?4{ zJB(K3DN|P+*v+HTUMKo?#E~SKJS~b3_$y1G-sM7_B~ZK0E7h<^nfPU+A%w{b7Sh3P zH|;s?OB#;D^@@{a69$=t;fo| zsFBgZc?izZEp#)^5|($J4)Pbpa~MuieiDgB5cy{q%Ks4I$Y(y@q}h-GIeoJwIqYC8 zkpVe+w&b6Pmdm>4PzEGx8Soe&G1Xr5aE=Uk4p34CxZ6b!qg(n<%77)rR-d+#G?ftk zANIZkzN+H-|1R_Hds!e@A+nP_Aqxp1Kv;wzs6kN>0;r&D0YMNbi{b(V0mY>XZbglX z)&hJOR*+&P66WYbui5YGMt4 zFBzc@kn|^FvGA#$^eM48l5svo6?r$>z{JD$fD7$kZuJUs5z50fC7%E9lBUU1$j{IA zoHC)~jn#BbG~FzxK@5#?Taa!N==788UzV@kKF3H%+UoBy&;?Gb*w~z0GT28U4xBPqs z-FZvp=PNphrRC=FmHRM00-3FX2bE)?3LW`0H6<3PIG6nIa8w+qASR0PyeTI1b?!{B*Cz4M@Bq(Q&>4 zaKFxXj%t9>cYq=MqYdZvi^dqa7vhq4XpD*aMdSKKY-O<0G%g3=AEz;31ZIo`csrP=X(`= zj|k2A-r&Cyp*i0>Dr4qU&Gp*uVHP@*RYuL zRr@nhlIDEAhR66yavmPW)coUeKi*5-WGlW>YL=c{sA9-}#5^(Cmy`RX{r+MKWYvCg46U-c(K zb3QIvBa?<$bG{lzw?*A$!wG70zB-LkwK-pnxESf$oUcZ*;WX!Sxh?iveDDf+CaIf% zgywuT{TU!)&Q~)CYIDAtDV)Kf3`yFYuRi>S?>{i-tF1ywbH3Upgf!=?j|ggWzWVrB zz}lRzwiDLoe6{0d$k66|^$F>@3d?gkXm=6n@#w*b-Rd=+!aK%4Vbf|Swbe3j_V z1Osi(S4nP5@X_Xcb-4R4j5gc+k?EuZFuXpkdmauSUBaktXJRRVlDG=fk^-VzfD5jdOXYY0hW6 zlN5PsbH1A6o=pZc=c~B_YjeJ;5=5Kx)jan^aDzFYUl)NktYM3_>K`5*3&fnSHhCGy z*XDfnmfR?9&R1^>l{V+AcRW5$wK-pHmYb{1`RZM749aVBzIxB=1xebRuip1K4YWC5 zZ4pG9^VJ7}Xmh^$(EBZFqs{qht9KU=ZO&KQM26RsLj6R|_WA6I_!q#-Fy#%V z%n4Iw(eJPUR3Ds#$#lJhbT5>XZMfE;g8bXjs76t_J{9oB&PY{SXHi;bQCeqFT4zyO zXHi;bQCerg3Mq9Kl%vj~w9X>)+b_yQivIyxqZ*ATNEbwvO;B_eZ>&p^fl>orz_;iu zYT(TTyRy(AraCb$j=q5_jvv@_W^@*H0==$8XHoqrO6x4DVkJQ3_`@h|@Ma)BbryBX zY=DW%*Di=tfEX9VbI3y29E8k+2F~5D9CYCDN6!aX}<(To4Hx7evCw1(C3EK_qN-mPq+A zl&oD42^tqf!o~%Wbq;lwNPi;uS&JQk?;#+PhFF~?G7Ova!!8?6(6}H{s&PTYzWh*U ziHu~!sk4w(Y$ZN;g*=ng{Xnb>;%h)c7es=_1yMMI14n~#K|Bn%Rl6VxrFKCSLhXV` z(6}I;3fQ?3*wB3(ODvU=&NsB5T6HZToB&{Y+Mk@)4CwC zYSslY6B4Wo;#L%~E{I zOC-w&+n3DW%w(pcV>=?N#s!f%#syIbj0+Qq&^Rz7CDp5eOW=8OeAoG)zF~yUy&eBM~)qtOwd_4Kcc8<_~bDzh?~$b>w;L0 zeB**h*t#H6jCDbjn+q{)cakDc>w?IQeZ~cmuysKsVqFkNAp<%Kzvyuq)=*p!Z@|x_ zaY5v7fOSFSjj}F?q_QrEe4JVrL{`+gAf64xx*$?Vvo4672G#|Uh;>0EVqFmF1#Ddq z9|dAv5GlvHAhL{gK_p^b5Q$h9L?YG&k%)CcBsb_Ry!R9-9Ri(&IHd{>orU;|1`k~j zcLFyqh=i>R;x|B`v+yZHP($m~<@g=?E5hz(;qCvUUJ6UQ46c_hRvg6NmDq}%Kpy1e28R3!*A8nZzKm@NK zH**HQcm>7IAh1x*-++V)(ORt3P$6mxuKe5dt9pfq>v-6c!8={A5Y;P0nV1&!3X$x| zs8@)vC!<~=;v!DHLKN=FpmI~M5J5lrVTGu9Q!KaSxc~4PLTzb$FSHQ%O^=UPr*R|b zA?_wYRO2N?-V!9%_*Rm=El6VH+rYqmN03I1pCH+0K^iw+Oypfbnl?Ux$a{jcY@9^o zeL+$hpHBu`1j%rkFGW@(ce^@~^|^?GK9TKxPUB%Lv{Mju__#{P{ZxozhmW4S z#4KP#B9-L1Thb!$l1ZC@4BLE7cv#G(>s?A2iH60fo25>Mo76DbCzUpO8u*Qj@xhwj zlrl$2dirlkK3c;A36F`fqtZVj&q_@{jp<`GO&;MhVik~^KAG@%o&F5zCusU1W^TEWaAul$IXZv3Hn=bWu>yJnNq$LdMRra%V))n2R%+W zcd|yZn%Po*2F#3EFEKwSj@7=O_{Gd`EBQE=*2x}s4sKPh@H?CM=rQQtJi*rz|Fz@h zi}XV1yxF`D?WD5HfacIpS_UuA&EW1H7XLpG&~_oxa?iy_A|&TACx0=pA;9vh0o+Ys zIe?7>RslE+RmxutU=)G10Ok_d2;e~iTL47S?EGB-&LOZDz~=<$oqEP$j#IDzwa7-5 zR-+2-V4}ScpM$-H$k`L-{NuYhg>+aiMwuG=D)hKh&@bO}dLTVO`*ogEkl)U8jze1W znzW)aq>-+mI?QB;ngUHBmIaQlm3B{&P8(4xZ9|wgy;j=t_L}bEnzTYytkXjNPK+aB z1qj-6eG`w=;tm`cJp+!yh|gp8itj-0xhsPyAc*)2u)JHm7=7;E9j1)L-u&VPEcIZ( z=@jv+NYjy}9tvrOlV&PY9u8AZW(seI`&htNw}`(ODIJbO3a{XX67%-twooP6D9Xz{ z&MjFF;k?}AU8fahj&i2r)`hQC?EtPoc}5-J-`|sezSpX7EjWU$pO-^axC|4Wi7NQb z79hn01$L{OqdGBcoGD=PGa!f?1BA2F9UQ~VEXW7=F4E)SkXw8akP&zvjE5V3N4`tH z3?$(KWV@ZF0DYh6c%q%j*gYJx1kU)2bGpp}}&FcG|sAXNN?_A6_tM3ycHLLGjM>VVOj{`QV?*|tEfR(eW z@0(EEuD){uukaM{RaRL z0<-#lkO2T##;(5a699lYcJ=)?k^QZ{bHUH9zH?UAz531^yZU|%%GAC3J{)rF>N__^ z+tv3=(A71o@5ckHS$#j~007owKmq`;g=|=@juw>Z=;(v>fA9cYa*~{WYxN_W}U$H^8pG^G4a# zcP`=D)pxqa*S-2a5#{abdtXShtM8l!cJ-ZzU418FSKs#^0Dv80SKnF2zHAb)tM5eY z>N^p;`cCA#tM9)=004IC&ldoI-GY&PfB*oip<)C%RO;HO`GD2)Oc+h)%9K|CMqhNv z#2ks>R(nuVQpLHm1s_rqpwFa^I}5v%S}^BQplRvT#sY7{a_+#4QSkgsSpa^2L|$e^ zN6$GAgjw2=Gs_(UPs+hr?ob2?gxbjYmouU<y?TWVYT0)qGO=&0J4C%g_Wg)V|H}$ln_of22woAT%bYLeRzFR3OjMcyR9Ct~ zBT2!c)BxVi(}1M%2&yK~R?@UurM(@nma{l^nN5S*rIPmGG7IzN22f?`Xa6C|0j%8}poc-_f%o|MkA3zTSyUkJ1KD zK=Fu0o8AjeDPbAlXfuLN!o7r>Q>$Zi%2h~f)8LOtON_SskhIg7--@7c^m^DxIsiOD{Rwu#k(`U40ZEg+&SS|muL%?~ z=w0K;wFi)lnG>eKA%Wq_u>6%VVanNXNgzXS$;s*5F+G8n@d=>J91o3wF_6+^i}ZAg zx&Y}}Q#*N1GL*1v7{IH*HfIxbw^StD0b(Z315rN^N)f*Xh1sfVwrV-#=JKr49U#v(-ne<8ipZMfo2}5XwfRfHj#pf2{sMgQ zil#gLAXYiIz@8bdtR-PVPr}xOdgHRz=!N>QHF$xmk9A%j>%2bJd3~&NF6Pw7I@dqP z^|8+DW1U0!_;In$YlW=|W3$l1$sD>KZXc3GwrsA1ZGf61VPvwouP7NyT&YW;oV*T1 zD`5qsIvMHdd!P(;fkxwGtOnEvkPaD>34g>YB!|IjqR??%IU&TH@a|R@fLNq-qm&!K zEt|)?Qxik!14fx?`xSI4UeVQZoUL-6fjKhNr;eeq6~2gTLVfD)6zEg)k?37pgc^lM z;vJej+@1pk+%Fw`6hnuk3!%g_7 z?crugCqa9W5jK0c37b9Kgv}mq!e$RQVY7#uu-U^+*zDmZoMPh6{9=2!DfQ=BhZD}2 zH-jL-c#+k)hg%4>7a74Hvxl4XKkt?$O0ZsJTk+~-y~wx^*Lsodvxl2y{-ZtIWPm-~ z{NlS<%|2kghnwl>*!}O}76Ri%#+|(fv4@*wtQXln>u}7mUSxcGw0pRjV~v*k-@{F^ zgV@7Ovj3eu+>|T}Z_?Y`9*`_3)6vlfyN5d@mp$Bse}O&R6l1-}{%_vH&5By1<=H^2 z7uliR!%aEXi|jw%vP5qG6??eZ5RBvl?BUkC066xkyi|bPt^NoqjJ8=8b7uU73e+5G zK*gEJ{~BnT_=$DmJ_L7Q`fr%mnLMas>3vceWnjlMC3M`s!C1@cj3<)YlvT=R30=y0 z8@O`*3S(oa|6GMU?k(5|e*9aK2IV9Sws)xQkm25fa8E%*ErGmX0Y0K!`0`V^+&2jg zVVps?`b~VsrZYNXm&*XQ#wPZ-@^;9P$mIw}Et>^;l58OIZ~~cZ4tN+HrW}TPQ|?<> zS4_MP`YBh;$`Hbbe_y8`$`<+yz~1k59vGu}CHx8l_S^{J{)^ncAhCN?P3)dXP3)dX z9kF{N1KvR)iQN+!xQZZS_e2IURY#-v6o8y3TPt=?Ps|AGw#r_)9iru4Ot@mkI>wOv)y)S5gHA*se zPgi`$3i0tfTvBHUl1R`&M;yexNOafEg3o}eG=9LDYm`V(R7o&tPN z0+BSt#_kCuYQFBW;S9s0WA_BL?61KHM&!}E2ZE7oIAiyaRctjrc!fNZ)L(#vv3r8) z2#BI%_XINt>exNOOty#X^n>uN^}gW4Y_x~5dxEV($=E%?HX)?m7kore>wUq;rvlcy z2ZHT{_3nXS$McY(cMk-gkY4M3!Dno^-aQa}acKm7DaQu}dmaI-cMk+#y#-kB9tieQ zZ_}}Rf^W%FJF5jaI0T4xRtsFQ5NN$GQ0~_#qV>KY;x@q3OY40>%q0W8dmu=VGFtBo z5?w~N(z^$OB==~vU+*3W4tLW~VZD1GXyS5a=-mTBvM>l^_pn5=e6W4Vvrz-H@APwUp<9=-Z??4ICx$g zOzVBYYtkIOdm#9imjN=pdm#AQ91l_ILBVkMf6y?!dmtF?o{lt$-4j#_tYh~CV`Zdh zy)PK&@=nvj#daqt^3<_=f;sLjWWacX!CZlL?4F=X5FNWGnCFf{rNh`g_klL7VT-lF zKRg~>C$W2iO&)(R^zMP+ExA#8_dxKrQ0d(R!8;xwr+W85pf@dRy)Sr|hOAKU3*Ph2 zge1LtAb8*7G|;;Tf-QpR-2=e~g6Q1?!H3>z)JDhd3ATFcfausg!8RF0TJH-!k}`Vt zK=833diOxET@bx{AlM;@-aQa}B8c8S5bP9g(EE7rDN;HFv3rP9s^Ey-LwvKr8M`Og z?I{d5iQN-?A+V0!6MX44Mh0T{@F_!3c!aTgIL<@7<*s0(Ohbv?6MX9NhDhw5V2?*x zTIvu}0aTd1boG?R^Yf(vZv{j75;~JnM&vSh49*E}fbU?j(z@H4Q zAvS6hB>7hooWLL8B=r<1Qv92sImE48#7yooh>j*JZMcnZ`ZZuN+{QP144^jL#)pPm zZLy7S*$%5^VzG^HMNnI8<7-)LMO*<&p{<1D1liScknC+9VaeNFhdi_wP6sYS%urp&RkK&bOF##4rdvyr+{ zOF;WIl=Tkzyb&V{J(89IlI{v%H^wb>m2{da^_)GaK&u}>%W|d1lwyyKg+`l4%eGQ{ z@Z174w}`D*iVx4%n9U6%O8pi0uLSnteA)C7uUOgZ(e8ZqTY`vr4&9TF4Y`SeT>^`7 zKDO;@?DG_0W5B6_lx&6C6v$m^D8#>kvRtr{%}tX0t+)^Q@;cI7^3$`C|3_%n*wBnD z=SJeoS<#k)H_5@aZ@IIrlnXqKc(%|_1>Q2| z=BR%{gD=F^;T&OG$gasz98XTcZuWMLdKDC@c@US!;<-ZSFWEDvU>5N_!5_mbM!VOD z=PTYFf64Ya1;-ID(0nl-3*KjQiv&Ld?;8b;nBPgwgRC!s7rBD~xt-O#MC>r{-@5{z zeU9#pv)jv$KH2e{f&qB=AbS+DJK+7R;4YRg6@Gc`fuBnLT?GHE18|8B(N*wmCBXNx zJ>8^xF6rbr#p3+XLviB!OE%6a7WapqibKXrpH-<0$o%^j7Ny-8-j46#%zf*gNgkvn~VFKam#k&ui;D?OtK_K=p;BoQaf@&3x&qj3su* znNnBa{vwjZXV`w*(IaJEq~kb#=SMn@BCkc!jyqVN3!|OJkA>iw(Q-sBE}24_ccP^| zJ*V?FJZk=mCHDex(O4tny>kz!g%yx67_V<#+zPd1@m!4i&gf6)ora)|kwLahv~i&A zT#k$~WN=*flo@*mlDk%%;5j3~q7AaZ#+#4N!QRFA9HN#~fo16yoN7c0Bjh{zPUorM z{O6E!j&S~noYx$u%bmMF&RzTU(-b#p3TeW3xR>r7bYSVF6+cwHrKn!xBs3f|U__L& zy{sqtu3sMdfOiP2 z1Mu520M7z=guuf9{?H4+Jpd*i1z;I~%-#U50q_?BivYaX2dCtbd5_(|Mjh=qJ--Fu zFZpavj|9xK>ygsq2mphRK~|c~ye)Ie+5zaA)8BK>LCxPsqiSx-P`9{?cbVb@#&?XI z@SW%{*)qrJx@U+k(gy9QU8Dm@9JK^(-f!8`%ZKW+Ke8M;k-utt21BXjFvX^OgLZ`T1K&D;afj1OskcL172 zAI4y;Ul+1Q`C85$A>g0AAn{7 zXgD_~g_5c;1(t{97Vp1Y=?pxq*e(0HK~9VsIDf1~K@4Ab_-ieAwpJkDH8>Wb_(H@V zY{^e@nlVp4dUHFjyT{LjezF(mSwjniYRRXAsFHhps*)#^8&z_TPgU|<;G#PThB(gA!yw%)64Vv`58ehB`Lb-Yr-@KA$lpD9s2C3!!k-{rJqx@{#6JCwh z_Z@&QUWeU)I7M+>)oTeIJY1ds92s1@UX0%p{?R9)-rEyHpx)clt@rk<_x7y!_Kf0L zQ19)DpD(P#)q8u^dwW8K`^ny(R8HJXI(u$_j#PnV8n@boN}|0JGU>+o5L7X7!^~vH z4q&Mxp_{x0vD(=)k5pZb!-DYIVV-k1h@6Z?fEXfX7pV4e_{`dcoVLg@CkJW=(Cl!F z3|ZwE%`3V#XB)I3UeTA^nmCF)0j@XRkd#xBZndU+p~W!_{jyMN+EWazNiT7mv&OZD zgQw5rK^}wM9fV?~XzllUosCw3QwhIn9VzG+bwG+7DX1D%65Ik+MP(C|0D%z`AW#ih zhqUl`o;wKA#IFW1)rs}T$7Wn{{O~DBA1SC#h@qO{@jMh|Tst2C7#=C825%*zT{{;5 z4388Hl38hE;-5o4jQbxH5)4iJQhPa`CyZ9M2PU))l5}XHcpoRpI^yT?iW!KVj|K8FV9TO#Fn6iJ!1B@e?*Ce!?ln zwKFKk3#&2l6Er4%!p6kUI$IMz5t{g09XMjjftO9wQFZE;#Q;^ z6F(bH6F*tS=(xx$81_zD?W8!a$9@8d%q0}aRA=D;* zg2u!@1h6sj6E-G(F4GwkKk1E$pA9!A{_`;!jfsCHU}NHc8L%<&Zv$*h{N!m({H&Ta z@l%2|@$W~7j5YCJ3B;QCJE8s7#D6#{Y)$-}8P>#41~BpSizSlf zgY8S6457(PN5^(YR*i|DImW~<1mfBWL;hgSVL4JTM68LQIo8C_GSoYvLb>GSBB&&qF5ktD?tDILv*)#_+LStHQgfvjdMm89n3 zv&jueeR*GUCYk$kKWSK$BdmrcN^d}dJRLc9WHMnjmh+<_Y8pOyjEVn!G|Za#`y=0& z_z7DRKgC!RKkp~?D7G8XxbbOC{ELAY6F*^V;wNHF{QT*JiJxD~kRH}hO#HV(Q8gxh z7@>fC#gcD%yiwM~PbzET=i}6x_*qeF;-3vfyLQ6FPltYM;^#E5CVnE;#81SU_#c2A zYvO+jh&Az3jy3VKj5YBSu_k^Z*2GW5n)r!W6F<4Z#Ls(Akb0I2wn4xB7V~M`;KwoWA#w$E zEEvCXSl~Gz88cYEZ6(luGw<9G>3cl#3YeG6-?-sG(-FB`kc)_%iw()^?qJWW(w4Fo-M08sHNSVb-4CeXG+*<+i@%7@`F zeROe%-HOcg(H%qV$bnes9F1-WDfiAvubgm3h@L(Or0569^D1x~axBxzp+Nu5W=ZY_ zqU|jD?^A%5SoBP|=%t?-l8zllq3_rHwj23>LT8dGtUABxC!#fs-;cCoKj1wVf8B-0$%nCyHD_(BLE!Uur_IxCSA&ASA-ND_Ms4F-bGNy79c;J ztgZp@JjN=|V5oT>v7K8&7Blx@Awpbq(`U%$Lu`r8mgezM#-jajgV_N*&!KixoWja5 z=ed1yE<~BBVGg|=YRb$*P6~z^Z-}2%D<_nPu35(RE(Z-IwyI4dbxEA0TiR=%9J!rt zR}3$Xg(A?fKY7SRZP~o#3s8efFs4 z4QX6(t+_YSk8a2C{#Aj76>AaF*oqZyfHw;t7lpPoMlRC2L2BPHZGIx9!kej3{W@&F zrDo22>7({e=ExbEnXLxK4t}utTc9@EM zUeQb34teA^W+BR$<2!yr%DKC%tnp|vmdz5Qze8@?*}Gm_5*V+n^PqS*LA7{ z>CJxNpXXEwO0fHZ4>E``%h>(Evw{5BQzgg%i$?t7!gH;|4u<2=mdtc??EX)c5CXFw z_#jV}U>Um~c%M@xm}BkwLkj(A}W<&L&QRH9V zp+8l^E*i89_;m$pAvFr)^6|U~bEY$Y1AgqO60E4*4?F~j-4EOs zk`CRe5|m^21AhVJKRQ){+^}dg8n=fBSxARq(TMnc2FIci@h1%)p6UEPJM2YdM85C{ z>qVo;m)>q5STuSG_m`mX#G(;9_2)ZPf(^k)KESCGwL-1@9omLFnMLl0n$;2&b*nGN zo3A#$P39x%o@qeoMKzfj&8K6{2*@-xY7r5v22Ce}8;QWVY6g*KfuxB?|I7|R-vgTd zFf^LUV3BqigI8}*vZ(wUyq8yQpv zsUh-3BKra)PK6#d)Mhw2rQl+ShPXwfY>(BK9U!&I@YX`QR|Uq}1lX1?QNax^<*fw+ zta6|~qF|jX3qZ1 zi5KgHh|h}SDPGH(S@cFC#^xNKm2Yosm{H{x8!KmQZShvf=M`J$q~cXrIhW_))4dv+ zXyWXHZv0Omrf(wkm7bsHBQAr6Km6WhiNeLU3l(11r3IFmcDW(2ADyeYBv@VB)1FED#s8v#eMkVbJ z*r=q0>rzQc|MRM(l<;F!QkMCTR8lesw<_1Ak}?||yML8b2!5nW$})AUq|B*XC1uV* zsH7x22$hs%zm!T^f6&?I)O@SlIr!3c%*Z_YbyFqf*MU@0D$zeyCFRYvD(OTZKa)yI zIfqUqCAVKlC1t1nd@3m$aEayyoxD`(Jdq^9JEa-8_dJd^=8IZ8W*-#Mw z1t4z{GQUJ_zELQ%+k=Ry)h>&?2<@=#kx1%}A_eT*hmqF^jdphzGH)G{#v`xYa{!!n zkK$9cy$_$)AhB={h&`xsyLsPX*PiUAf}ou2BCgczO~c9)R=*Nfd&z2bEmq&HnNys`4j+9a#!sVjJ!ic1 zUT@s24j4V7d6$yT0fIM}(Yz-`KuTan9Y>PkKsuJ9R0CRukPvn)+V0Q$43dWrnhGieE^H)bP(I(c{EWnG0B%-C<>Bv-Tyk z@Sd@n+!j0MVKXal?sBk1A#8eG1D0}gPXk%$JxJ#WaXNMfDQmbjtbMKiaZ8=TS;*$N zK*R7Z#RinXiE<^xxi2h69>ihfbjPUb%JIjf@w_K5NifXrgk|s@xg0qheE5@LM;x{V z6dsLKso7N2?8t|aE;Z|sgZe!S3@kv^voTxN!(D?EE%Zi|%i9dJnGCwY5kH1jVK3wH zq&&uDmZp4;lzVDZatgtXX)P%m%3O2GdJsv{NUvINM!jmi869O_fuie--pZX9Y{QtLE_BU3hks$V2riU?~^0a_0IIgEs?_&`#=<*#N`PPLbp(K+F<8qdbTcW2BJaPkUdgKU&ABKU!zR%+XHdTROGc za|mApQujH82N}|nL)*SDEdpYf@EI6S`+Y_ZcWHC52Sama)O9d)T_HVX>KrJfrx2L; zr4#Y^J&2H=EMwo7_6h099Q(e+P?vTIpE>q@Y5yTTNoL=dIA86-&?n(npzedA86?my z;Wq@CJsA2L+@6|)p@#sgIT(602t&WmNYpVy)Jt@X6;qy+@@00CL zQamL^`+Y{{xR(GCzt6~AfwkW!-j|qR-Begc+Lq59!&wDfFux_a9zEC{m5@g?i$? z>9P4v<3`X!+)aY0#!HC2B}lCCtt5L}ki^Effr0yuAdMP7L9)$)G;X|@$h(3xZF~Ze z_XKI#IEl#nf}}J)pA5DLlHoL8imXQNc6B1_a}fo7BGzE1vBa6#DTq3J9Q5u_g(!CT zX!sBA5;zf!XG0>Dl3RTqRM(U;me`)iC&7|g zcy9K`#c=~f} z^5=Y@;Z+QWWd&n_e5Dddty0io_f|; zL|ZD0KLUOAIq)33WE>w~f!^$V95*QaBl|+{ZQiL&Vjf=fvv>_yNVk3e478JQ}psx5;&g;M%J}6+9 z-BQ zKcx2a-m}x>c~r}DXCJkn_NaZ;epM66Kiux6)qa(Vr(7ht9P|fP`^nNo@+WM}B!rEb zgm7pk`H^ZrOByo?VPhsCY|JEtjhTe7F_REBW)i~2OhVY0NeHLZc z6*-Qu-tHCY*9;Rd-0l_WPlVgOI4h|ON$1<`UXfwcmh_v_aDsZfSL8HGHD;0(kw7LSPD?iKk!5WU?i@}Wl^TyOV^Z1t#s z>+N2VZ6e2-Nyxx@?hw)2y&~I%o8Imf*&&GD?iKk&5WU?ivQxPILTW!d_2*Oj!=br< zwO?t^9S+1KbtP1mHs)YW9#R<|tjTjDb$r%n^N9eJ^8+Vp%J59kCu*_)UrHB)NeWMc zbW50>KNm5U6tL?4&~@~Ink9Vd&6*9A3)m&h_>hr5W~Dvmxp~GzKJJLzzPLHOVi7mjI4>ap zL!Jq270t-&ifmqSO><3PD|m6`nK)T-O&ms&RL+A%_|$s)Dv(oePr;z#--*Z8EZ5ij zH2uUi`XA|LpUI97kKH0{ly$;JSto3ib;3qjCv22;!bVvqY?O7vwUqU49;EU3g-Bn5 zMp-9pl=X%#(*MI4SCnd$^+W4sFPt%F$|1oh>vg)>3t_!aQ{8Lyw7b^w{uVcTb{IOg z-lr+@jdwieL(LlfP&}Dy*68VpQL{!r26?hZPp9a5pQd`BCabJ}f$3zGbs|<-=S=(m z&Znsjod(==wtXA)pl9*zR?}}sJ0GRJjf!=44A_IjphxGB z#U3K!a4u;20-*3(X-h|u6M>`;U%)l;3a<)|>SEd=i)4P_8Ak`IBt_wE=L_yCMGUp-O%|ONnpz1uiYRK;hqbyKNP5OX zU90)18?--DH?q}_a`r7qN z0me92;aY=|1I(IaAS2>e{@O?D6Iqi-YihE)1=QK*SOtlI2!HQNjq1JO_l=I4iJmdb zyoAj%FJZIHOSs+}9-WYI4JOIH-W~Ot<5VXCiw%^Ky1hF#MQSbca+94su=P%q(NVo3 zwGZx!B)YTF*Vem(k2mYx!F4C=-N7HMy1YB;HOHT2gJ!+vSg$$OYmU^*!d3gNa1ZAfz};oO8*2@fNj zm+%4M;e_)O*02tz5iUq*&-Bv?w@auZpAm!$6W(R|NWw)4&ys!=;r0m^kY+UD;)E8Y z8AG^3!pnp!36~@k5FSgoqtoVe7MRXTyMIH6r9fwHEqg9gd&1@bI1^o$dM88x{td{S zHjI)V%-98LmmjHjA<=zJ_D6;qavJ-7Dm2y$m{ol}7DChSN7BlL03HSK8iBt6;OcKi zDcFuSG<}dFG^Y|E%~SxH8^Em@lu2$st>WIzh+mF_j<6(pO_(zm9BSoOGdFYf_lu=S zv7Cu$xch+5mhS)&Sfi$Gm!of@eKLXUK_a{ukeD+WiSA#7G6+daGC$flg>R9dsmuMf z5kCCr}Gsv6jvT+&Sf(*Abt3c{#0>US6iDgMX9y z$t%W}@}?2{oL@gNipxQ}g?x+ps>a-Xt?of>RMV~mA7g3@0d<(*UPv|W;+25uH}WyQ zLwE5f32Jw7rfN&*zX8PYV;{c}9)23Si_?^1{6<~^Xu||k&-x+1k)`Crn75Haf}y)O zS(+CL!sdm7uz8^%9KKN8jFJo!d^EmeJP!C?TvBJOol2PCNXKj_iV`L`(wQaAQZ!++ z6iwJHMH4nl(S*%XG-0z8P1r0&6E;iHgi~t#Mi8#e374V?nx$yMW+|F=woB1O=r>Y| zZ*FgphSc&Kd5HX^%Z3v)FBFt&mZBd+y73!f!|69dRuY|nYIevoNiiIS^&9yTNa#00 z@IZbe#ZagjCOEQHC>bU=vQ4UR@O~q&LPlMFBW$?w8@U#9%J_{u3)uLLFmS8!8}TrF zjNb@(T6b|)&Aw2ua@KEzYn#?@q$v>lLO}-hg@R@3_8S>c`-Or>``Q-@&J6oPK?b4U z2umc(2iupt2n{e1Uxpwn3=@pw7MLSpf+J6exm%wE9C_NCf_hqaaUwcQaG0aR1V^5g zGS+W|ZrnOdaO8Q(v3?^wSJ}FYv!2#(WD?5Qh%cO#I!th6oiMO|Bh0bx;?q#Zx{H&W z^&4Sxtlvl>$gI0KM{kY0_&LC8mZIl_ux2T`1dXj(il#AKmZGl!YwI_{5h>wW*sxk1 zEhuY*Iq)R1nz2-px)GmERw0!msTMht%za^)4`Im>Rzri*JCP%PA{;w1nL@vj9E0PD zRsk3hU%p1etl!84q#3^v!gc$N$jyZqwmV6Yr*#+SmM!BhPT0DO6S3~%eCCIKBab3I ztYM3_k$-rPK~btR;tOw-^&26T^&8>i^dS63Wo9=QK3#;=CcoU7WJCRG$7TCEza32`Ix2H=pTA zigT?s=Y$!Wbo@wCJR3O1;lXo%r8KtLRkp%FIIkBNtJetLCuyJ0LLf1!Lq45+95H4N65y~$fse#aKhm9jVB>@+;bJzeX~JI#cVK$Ugu@7zFg+#VBElV+o{{i5`FCP^PQv+2?@Ty9 zVKdW92^S^Y#`G?1N{JI=(sqE-m#))>XF<5R{h+Qs?gFa554xXTG0)|r{LPDia^XIg z8LckH>xH<$x0?t)BZz(sNv@#PKr(-5c&Pp=9mG&+^;hX2hDu2gLzF9F@$hsDy)qwB;r5?^{v3Xj1)zv6@|-RB zcB|=88+{kGa?1&RjZTb>0g{Q_wu_J_r#F}9104)BZu&Ijo{$34>xjZH{lr1YYjX+4 z-X^j+iBYkSC%UK;(1G+GKO1NqBKrB&aqZOH5E%_-a5735t|X8E_m6Qp$#k zgLqME-D3}jJ$A-`U!cbVbvf!{`b}LAB+8T+{c8Lp*o8aLh2^NMTb+u}xGAWn+lk=K zZ0wnWQi*w-XqBMs#4muxS8|w^W1u_bmjabO?aPP91wa!rNPJu|%5Eb%gXr-b;g@6N zI*Ak>sC?iJ5CW9&cuDC2I7-mz1V}lr*Yx2+u;8d$6ZYY*lm0jRke)_pH_#}fvXGac5kaP+-^DsfkG3Leq?I}bw@y9v%giT}`wR(~i> z2lS`BZ3gWZpg-#mr9pq_x6S%PX-3SP>IB?+XWljg*X4N8Z<~Q~`Lv zV<^-dIFoz>lFZ2;^@q|#@oV>vSAQtY|6hmFFetmb$lVs>`_T;e^DpAjD`{r~U>aU? zd1^~K{0e!uvJaaQ~oJTJqa?(dYL2~0gK8oE%EHR2Gd1io0 zLz%OXnCLMZU{MVW3X?p#CK+-X2*XUAm7*ckk8c=Rj3MuiBKO6YdCqbQtv(#@VcAm8 zQLJX-l|Zpub`-00B9I(xy&WU<8{Kvq8eA#Gk&(L>JZ=O>#um+Ew4OY?48~HO{G7{m zMjk9n^CYW1vebo<;tbecc!WYJC~`zRcO$p^8~0kv_*$p&zyURoWo;J1l>s#jk`hmeF+ep z6?6lookV07id7SOSg3Ko}8Yi&a%;c+cNLJymAL;;vyoS6G{jyfc5WA7Y)QkbS$RZLBKNLD(8d>8Y77zFbwTbTf{uBq z5;=b%f{qd74IsIj!nQDqlfJ`FZp$k?*;kGQy)X?o-0kY*4+t~NP+%gqt?&ka+yU$t zAb&i*-Rk4mMo9gbHQht0sLv;)IqDjG6eqJ^8ps6NfkO97q2HiT2h^^COdhwQ1Z9$h z=4KQs0lP*n`Ryc&f}Ln~H5{3`OWE}(Tl6iGj=q*_EVw)40Ca2uz#W+XIOurCP;qYc z=IyCMv0@&vfc@C)!HpoBq!C zR`~>}^1qQ&at?}Vw83>mQBI?&f^xAyh&uu;mGi7llon26HhMy1j}gO|)z}-v&=>us zmtwyq1~D3)3AFR9Q8>Iw-4~)FM#d?N&i%#9t13byrT?va392Bj%9%XZI=G zLYcRz(07XXKsug^kAxQ60V`ybJL;1kXt)_x3QKK`v_Y`2>~x{7uN`^AdPcmD8bl`&&1IE zFH0AG4vZbTPO#QD0-K25)@V6Vc-?C3*Miy12Z46xjazSqJI3LwG7di>bqp02UKC1HiomrUQ7Fz-#~=ZU(zz zo}c38$j|k|5V2Rr^`Kvwj?MA-v_1=@d>ClD2Z^DZHMSR638qw@@HpufVCd$2`T1T* zjE@72-YzIgYV=P)OXaM)0*}w>mza(Dud$M4z&OX-iP|twqv%|XFA^NQGhoyr#P;^gVzbw!ht4Cfxp$%r)_w1j zx|TI!m9~40mU>S9$*2>5`}r`q5cSok3>AONVfUrfE(2u!q}C&_7_H~?mon_;fo&(A z3;3lp?>3@n#+TBJ7lHNa%g2Jo-Me%hzLh$ZU}QKxf8q*|UQ&r-uFogSD54mk8e2*X z&CuA>#L(rQPkkzWIc77O@A>QqZ%HMhyjWyp?r>C_v$Q=bUGoczi1@jSkV94AXq|(S zPM1wcV>jeCzFudtKQ2Rkj*79ft`d)-smS9!b*qCWXew^`RnmlGP!mo#jm-v@S&7VR zeHj^*$k8BMa-Fnt73)3`G#d4mjPE=VWCp9uT?$bgaG!>C)X(@OpmG+$lK zZmj0tv||(EWz3Lj_GC5Xn8d${*smeB1Pba%K3S4YLM0#ivlrw?1!`bED^6 zE}}YNl(FN!6?Vs>6n_xD6;WpZ<1^ovW3QG0D;-T98vl~Hkf#(Y+2?Lzm?9dTB`6;I zN>Sc*f%?zuwJQxjsBx%YD|LBFURfax4=p z79dSZH+A~($SwCV|2iR4xhOpY;L#~y$}8r($JA`^b?8;6oIyw0CF^OR;m+J$0r=w2 z9fkpYNFwUg4x>|l02Xf8%hJKd9qKC#kN+KaC~m?11$O41hUOY~s6)HILOA2+A|DbC zxHDG>58fT>PIOFN?oj;UH11FnBA8bRXB1$r?xDM(aff;wuyKdt@kGWQiaf156dk|n zc8A)8BGw&h|NAT0AnOh_8_18{UqJ@-2Vm8>L-{eNZB0A%!0r6ny9BqV#vST-U^VVg z2fZ`bxE{1K=?IG&i#ET z{2B^h2uusVo|#V){apjpQ<)a4?O>bg{$^z5}^UFZ>1g|a!Hg;jFeZcfCb zN4v@A?uH)5E4nss1?t8t=!HNxAW_bBJ+01Wf}fY675w9YU_X~zP<`-o{P;6$R~U=T zsBZy&9YXajllS143#@VsCF{T{1dTU4VdKqyD54;;RYrX~v{4X+GbZ66NHFSKoly{l z@cV&PPDMF$SQKG%SXAvOh%EZ^MnR+m>&?D3QcHbfBs=TP&PrKtc9yZ~+ae%VePeVl zyFHfrruAm$9uDixP6pv&QFTQ@WHvf>|4|Ty;73M5WEtztULX9NO1}vieXvmw!~3!V zMeBo~eXR2iX0$mLKSV*6DI zvHca4tCUZm4uzCM?gc)%q2T$b|IGWKb4|u--dhqCd&2$D#r{n4cjBLgkei5qMtrm6 zw|@ZmUgGab{&mD9D&hN*zve;UZ!v$1RP4?_0GFuT9}0cTRluKN{#K#iM*I)NKa%{x z4*}mo{A0;qNjw#{8Fz=|m;Vv?Dpvm!$)5#0b@UjlKYl9tcM_K<-n#^E@+auC>&fr7 zg#4RfB#PmUVPZU(tYzYj3G9R0K$KC6j0$GFt~1z?Um+vy;TrtXhe4hB>@v^kf?V!^ zoyVP`V#UiC2?h%-=b6H}*&`^BF$+2G*qnKilaHK?)yP<5WzI{W%$~^5GBy4tS!C|I zrB0cO#w#8p=h$s^an3He$#afIbxr^i zHuORm;qO7(Nl0U0Nu9>V&qGcky7n~W%-bht0dg86X998cW(k@|x)8^_%16s% zJV~qo$!*^N23;XH49VUSX*N*?bz!Iw^TXL_p)yt zZi-$h=A(jtl_Tp{io=bwlc1yhw2iYrGKM2xJk6^H;h%ZqZ2d|x`q;c`&_rlnHSUB~ zU%yg}XVCs*ILc5puNu9fQ`n8OMC`^{B6V+^&BSx2?v1ltL9Kh^ELTMA##zqFx;M@; z$8MZG24(8rILqh*cH?aQN-^$~**MEEb9HZ=m79x!5d%e%+KV2v8)td^tl2nA*lwKN z=Um#ZoN@>vfUg6cOIwM28*rUB%5I$Hda~U(dm--hL2R7ui|W{ovtNL--8f6cZk#1z zH_qMyIdba3Jc6`K8rDD1Ma?C&1lqmeL0^i zvPqAJT=L0fII#2q02+WQL)MUsNwos$nTvntInR)L)-{0201PEn*A%dw%HM-8K$X1; zc`qZq3|9^V&9KHe;}ywoND?({WO!95k^LDmw;*|<&2n-}Kze=+o~>?&^AT_cXUq`= zJuKd~4|4W?H`U3jb+Bgs%ST|71Fqne{F(*j{MVaeWgS=amHfXWlUMZd0;BvP!goQf zSl;>Eb;~RIva=DDR!*xv_|#i0*QelliK_~o#=p&@YgV6sVl3})ciR+j^Yx}wUvEkU z#&D5g%rakZrSp~QnTglPvr$2RJs_tXy`tSt0yt*GUxuWh;%YVcY85?eIvkb~E0NOV z2|O1jSrid=5F?3gyRoKiLsGSl%Ygj+>xY77W&J0%ZQlkd>{D_)IZIT1fEn#K;#gG- z4&!GF_*+!L@61+7oESCMrJf%szvfs`e;^#|betG}&hAwzE9#u0c}B_C*IeG$l?NBwFYE6OCZ5dQ-!>zog@&le)jWzNv1XX3g3$dbhWql%$`jz#4j}>L7 z{x7bqtEKp<4_>+&wUDERq9q)YZB9h0o5`E^IMf)IHk0$E4^)}dn*q3) zoH=8Gri`9B4k(AlN}_OI6&^1Ekr?86FrFk=H`M4$$R&Jl=s!ez-! zcPPGwQmtm3n>J~z(=n`5qdQFK!n46IYb%x+-D6lMw<1ewbPQ|c4$hYP9K-s!L$c*q zA}J51ZI+SS0CH;zZWl-kTVuIVw{QTtwg0ne;Q;a*4^+2s0G|@q5Jd}x$4fw@g+tgv z?@6f4Q2Be`w&p6>DjF5YryoqIA=Cl56rkHtnL2la|Jmq zB&S`VMEfu@c<(~z8P=!8YEz$utWS5KBJmPRJPlax9u&>0X*5=eOTd;_Y-!$M_~I42 zHt%SB@rvD&*BxKHVwXFc9Sl}y!!h{OZv!ik&R`*{SsncSVca3snD>1JQ0gKGk?=JE zqd|Ht*&S@tCVacqZ{agK9sbuxRsou`ni$-#1$zcqY!vd2BUS7vV#qt5SQan@(y7F! z(;n{?$|*mVZG5XS9?#byfj-hFI6&{jv~rA*^2(g06)1%R>tP)V|iJ%tZmA(X&@T(YhdF2ugGvTlg_1!fgKa`cH=N)jg0X}q1!(oh;{fZIg2wLUev)CmqpN*;zb?oc@(hrq7J@#8?g4G4)*Q=ti7m%Z^=`8Q6tO; ztERoE5#}Qk5^R`{Z&1XB`Dlo7rrmIYm`euQ4JW7_r9Mb>Zvg}SvJfP>$DsY%4JSC< zO-F^b8&1#!@o$YAPLM1@LpL0jNR|(_FL@4XV3g8+$O?l>29J5nk)V>n6S8GV2bBz- z_Qs-~dTl&J^xAlc=(X|SSt+C4aDwN&WAU`rYvaN5lB0u425Y?gAV#l^2QNyF4k{U} z^{A-pwejE;kF!#*jR)(5Ob3+=)=Q3F8xLOfXzSB!JP9hf08I#kX(cI+ zNb#9r!)kT3pqaRL7{L!As~JlrsU`Sq!nF;Kq*~-mGWTUQX)=+(5mrNk(p!)qPe+a& znN0YZ<@{)Ea6I)GR5BRu?ncA(%R(^P9f34?SqLfx)-MaeSp6%|_(T>N=kiYTxJR}- zNs*^s8xQ8V%Yew*craIBy*3_H38I5a2J_srP!+r^@aujQ2y574ZSW880X%~xsARCo z<8Od|SqR>e8>L?sg13cAuZ<(j2Op<;Z9LGY(d(Cm;9c6u!9z6TeUH;X zuZ;&=1kr2b!3ToqwejFX?$9IhhS}-IHd}XppwMjH8_Jx2D`lohMNiVL0AWs z48HW506|bmK4l0B4+NEDr*fQ!c*|YEMwx~ZR5JL~;|-CZlEEI2vb0os)JO>gmE;7J z;f9;f^d!Yu*qU>~49&TcJQ<%c4v%XANNLaUS09F7@tkEyX`|)?_9sItiH+h|@UJ8| zfj_`W>S<7<_%}61$(5Hd^LZ8=O;-B&()gy|0G8uRJWPm**wN;D>AGN#N#%)4|yGhGfXZRE2G>2L!-%wJ6iyEK0#gz55T zp<%Y3O-oWeP_eAuAlv{$?Oq^mHN9f8E0EOZDF7n@+(v+_@XphGWdLvjfVT4ijK9ls za_L!d97^R}j+BX{Sqk710=EIECP34|UjXC{M~M&7=6tNyFTkgqCh8QdMe;w9tZTCl z7?$8so8Oz-Y(ZhEO`~VR+H?odj`{{aGFrU?Xvw&< za0lWQf41&i1ANSQ$4wA1pT7VUZ(oUmO?(j;!jow1n6)GYrv_4T4$zLtP#4^WLi`E# zz;)b+(dxo244l)~fn454n@fI&^~nFDkNg&b-%NZtE80@2b?{UtZd z?bwL~nN)$1N>C`eX+&1_pSN{Uow~y8-;~ zV);_xKmYH*Pi6hO2!8JCz$Kt)SHTa1HKgNSbgtV?>fZ=!?p2A6H}p9v$oMkt#3JeH_2@Bi%=l&!TAe z9Vq5r7(H_QSO}dNExFHgx=kU?JJD_U;m+l@=++I;NU?;ik-<~?He8IRB6lwcogSQ5 zQ*d{8Pa)0f3ibbExCPefHAF>Ea&$S zcAycm=pKZfp%6dDpo$`-985&WLIM_YjY^196(Q!iI6jaX(5Xat|bc~WITm5h&^b8TuR=24n0qRE%^vQPm+m{gm;aQ zqCXx~OZGy@A_^H8J0dA;NgkMpkh2L;$Q=NBl1zkbutFOBU`ukBp;RAmF2VF35#{uC z-ovA>&x4*5#qi>zZy`PAtP_ z!5WE>vQ>z3LLrS}4Y3X(H*mKRQuKZ(WFUZ^BoiSsfN3GsC>=gs_cIjBINo=GjDz1D z5mR*=H%JP1Jg4`{kpdte7vZDd?GNdBt zRHWz@mHeO}>9zqN+XGhUwxgjSNViQkg6=kg{tBQc$wbJfR>+!zyEmKI8vN9u+m;(4 zNgsr*=|O<483dpw$wbIFV7etv&A7+;*86!#3;P*9{D=NS=yGa`~cgb0#} zh~t525vv~gp}QiTbvv3{Mj;Ji)QCjLd@zyQznTEs@g9YcOoXVdM#!2+e@KXov6De2 zw|-3Q4I?B2Ohm{a0u(Y1Krcfog3h;s#(!UsRz||7>QRY!i2n*x^>gsYbTuO$M9xv& zk+&1S4sCGln@4Si{XbMKxo2+R`_j zZvLW4ev;FSdGgVFdn!74k7#y%9ye|utd%G)dqiiv67AK>%O0P~%VWSrdD-JrdD#lI zkL(frTKE)=1S9HOT62N|C8yz_Ia+}_dKiEHN`;oBkN+R`z68Fi>RSJtdxm>%Zl;^u z3=Dw)2?k`IK}3ikpa>BHGAVfJ!CE&;G zCx+Z);opd`u~zN9I_EzFO6_{GlmT(I^{2{ku(jutV64$C5;CD-=AbN3Ao94^0etFM z<9Fp^Rz6;=@cqj>TNeM)*Y3Xo{egcuUG_IyMsn}ppBI4hFRx^O^Y!EkAHcc z4lp}L@-MV4TJWw_dH|lvG29)Z=`_6zRnLFPqu~~9y;uTvTyJhaY~3#GP0B|-&?TCS z-vbiR2M?fLLYJsFi|6t6cXkN2^qrm7izPn$;m$))RpH6RC!rx*FP3QCE{s0$YjlO! zJro-eRLZ^rhsh-%$#-^mbxFhn%5M=6(9y$7No0WP@9cbRaP1PscXl4aAPx6VaEq|n zKhe5f_=QC4c42g+`RFtQ1Kp9}5*-Oxx*K{L!wX!_jUDJ6HC^)%37&vwz%IvKzPcOA zrU`!lLp$ob64;&F-v(nHQtZazuu0U~cn!DozV{+f-0e_9uF?MhLXTJtxh??K2`;Uk zB)tHn^G290Zy>EM;t1)>`0h)VRW@gaY z8=Hrs)GSp^=g!FV9Ohs)*p#F2Y%nv=5_}LcAbFm{JRc9NTLU<`)1mpN9=p@h)Avg3 zX>7XW{XLAy=3i*Ji+jjR5kb7d?Xiscnp-pc*zn%C9e)YE#e@sw)JCUJQFa?k2@R#Bvw#@Aw zNP|OvJFyxx^X$M^M&dHJJL7nOdYRiRT@J+TiRI}6eFTi4w&^JHE9{Bg zh?rdF?v3A|3XiS$lRP6K{q4jIH0yunwuyt7vbt@8pt)^=u(@r5dDhF^-XtQl={nm++le9>64)Eep4j$CM}0d{IMufkh4IVZHi1_zz0B>!mbtyRS#Wb%*ohtntiCMl zdcfwgus;GemxXa&HJ61^W_Vc`vldG0c$Gmy{l2pEy@2pEy@ z2pHppw@vH?%@!U3BPINH;uT0HJOV~a`0d1Lh!Y+GPswgFqXCzic8x-4uSuxL;0GB8HpPUIWEUgq`!Spt`3?zIpdE_1U-%9=C_ z7OQAMnIrUIZgOqISOxBP@hsVb&_4o*u`|fD%T45|MgV(Q#Dmz6AwaYv`;H7I*mN8p zMFx*-y1%1fp-ndhbYs&IZh23vG%k{1xeHv%43B`FLjkwq;hks`4v&Bl3BR2<4+Jc8 z^Jg2vbq?j*iB~2OXC@wAe3{$(Yl00G?ujK=cm#~B}Bs>E43#1bs0lOPWcm#~;gttvFPIv^2NO%N{NO%N{NO%N{NO%N{(y+|U zwr7%3Az0=n&Qt}5-;Ma646eVO_yKV9?L@-iw-Zz7qgdvqmLVuI^fEX5xyI$&i5!OJ z+lg!t^X){YrBXe>#DGo50Vv%KjnD7`mt(C1$AsycG+QD zTzUxv)3lk3U%N4fMvz=U-NG6ZNR zSzsJYnfBz)S3_)jFm{pk)Tv!$J#|_a@d_TyY!!l7RXrH_va?K}>!O}mK*eA3ZtE|| zscTK1#F4uN&aK=FJ1aYh7a;K8qScX|^z9rNYJN)ScH}}VB4;wSfmlS&6o#r(LiDkS z0o~-3(7Ol~i>T=-p-T}Qo)Y>Kk$-baXepXnTSNrEo<;N(55=>QzQt2Q-_oIYmdf0} zwR^o>A{iZh98xeAk&khuETZ2-Apqppq=oUb77=>C-uUOeOxT1-rw)Z6DDim!&S&?5ShhvFG0w1~I^sb!0($)R}02`wVNWQP_J zM`gWp+|)l(dT-(m`r#|=)Zj^9vgjV5%K4X4#jiE3r`8Lp+bx3^AE){ zqoGB#1W0HR?cPK2OeeI6IH*F4h)8G=5eY3KBB4b@q`5^zf6_O7D4vzdelFdj!V-&! z!_ZhnY!G7+-60mySMhSOTF{d}-^rJCDQ`2XoPkVEHC|de{c-}O)1`qzpky#Yw*`*{?I z_Wcn2BHvHzO`|bOho)I*>9pQ7D*MSpONVC{LraI}L_^=tIp|}N?}z;}^8N6wHuC)x zV{(XmKit?YzMo|f9r}L0&Ut9Q+T8;ovGdRjG8QOZMPfWX-Gm3j<_`!EU(b60WH4#p z&;O9~(DP6V?fba^b*FPEz8{`+ZqfI{h6*j6FL@q%4bllM9UkcjeLoxqq3?%C==&iO z`hKoKZbIMBEkIiK{V+~w=@1Dm9U`HnLnO3xh%~o!*khQaR0w=O#F?t#@cj_~ox!#5 z=bylh?}u>c`}qV2d_Po`1Vx7S{ji^FTr8bFz>THD1~HZn(^9GO^+IC6_d|b=bV>9y zh8M`80Cn=A8W9k{BSqiVO`{$81sr>2E?wL;cO24C<2Z8(hJ1;}z>vNf>ea)V(->VQ!BOF?CZvlZNN6$Dx?W2+nd;dY7ReWbwyDo5Foo{n$ zu=yGJO4(I^0Nqxdxc}+^26kSF4=t0WOO@=mswbJ24Oa@Qxxwib7(m>`ZZ}>5GpOs? z2s-0c02cyy4!|DU0Mt~%q)CF_?RsFt|B35Pw(m$-FCxU+a|md{ej36>z>fe(a5qN2 z8(5EVV1Enj`{A!AEU=UCl#3gC%>`vz7;5#{2%Oi-92pC1)L|z?VcoYOEC(i7_v-+Z z0_eR{b1C^OXP;_T(gUo3CqcxXdzAA@2HofM=t>c=c-v4zCLAC#jq3ZO*^8iwOG}-@^ z2c_J?{;ywxFWmn{V_fh5It^gB|0}xY#Orwq$@wfng zlILjuR}sede`f#JWTve4e-SkMzX+TCU(9p3|BDFsfAR8+`CyWQ#P)w(MtM?YvkA7e z|BLpM@d2{n^Z`;-@Jl@Sr)3tn-0l?m0G|P(eSid;`v7?s#;kT$K)a~bPT~B*)y~5a z&)kVf*sOM9muZWuoh-On?Og9c-|78dJgQ_?J0AvYRy$t>Y*srdGhFRt)>>Zed<#*+ z)lLW9FI?@UfRK=1z|9Pa-j67K(627hRNGJ3G&P7V050Jwk^Z^nHeSkzlAK)*MPUr*t zFCZ=Z02wFr0TKy)fJ8zcAd%1qNTj(BkZsQ-r9$8XB+gU?hvi88QG;vC@k8LoawHsD zjydRuupFsn2#O5t17ttfxcC4$42=(v4PtzNOiQK8?fDV|K0w*LPgQ}&XLy0jvDSfO z!gS3B2|f(ZfZgN!03 zGhB2qS?t>h(@I)^B<;qnFrm80#UK)n0<225k=@ByiOqAX zammPCd^`CaNY!%Bh@fehp_!)qCh{UV9Pm!CxPsejw;$%DzcGlx& zx;=7#3$Gs7%q`z!o0eD)OLc;#M3KL1XsT|nhGeN7*pRHLdPJx~w(Q2|LD`cA@Bzru zo>u}`N`Tjzp9!GH%>a6?1v_gJHa3mSOISk;L)s8=A@U?u@;a;Jdc=|{d7V}A5wKqJ zh0C{DdjsEq?YamC;bg?=C1(1&CcNA0kjOo7ECnU^VNSO(8APz(lRO;?0g}sg1eouu z0rVw@WVPROI;*(sT$#6#fy;yjT#buA_?H|#U?IxFKfivU`BY{wDiNB%sr#)N$c<|? zPN$NUt$N=DyBulIHtk=q0m#_2-=y2~)AleVvy)IM?sXLa%cl{%m7(nfn~CMihF zy5$PBwr&ZwWZiPz+E}+NIIUZX3ZS(t{%M&7?tcLZty`E3#=0fg+`1iyAYz3WpShs|Yb$bueFdw+7M!5NwCJSz?+wY?{8te9Ez{a}$D_~>Yz6aP?x0D%L zx6E40*6qI#CA4nyFg8N#mI7L~Zkz1o8-wzP)-8`Kgw`!bMoZQ$W0cB+!Ujf9}8D!e!4)RnZfITeYLF{J{5R3zbeMbfptXtw028Z=X z>lG(f-$cPe>-JED8S9pC%hs(lE|Oun3tY+!t=mf}KwGzjL+h4EXx&oh>%DwGMYztP zShqLOSku;R6Tc`MDzt9N6ziG=Jd+nz~Eg}}Nc&Qt}5bxVAg!L@bkqPrREmT+j@ zW`V%ErIsNmGGN`ZQrXWnF4irFp|Nh+AjZ07S}IkZXpk7NZaDy@yP@$JUf^=9b>NsV zU6UR zqk!G?62cvy1{|c@5w6?;I4Au$<97Nz;N0}x4DU=i`RUn&yIcdfFns~xzARNXoh01v z5F}Ne{sZCu3~!FWtr%JlaL4`g^%`W?z2#PFK*cNjjHaF6sZh7Td!C;dZ)4`opX zSOJ6n1W+o_wmSYA#9&i3Lf?n6I2*rqBd^?1?+Jsc!~Y9LrEG>ALbiVa>vSxt#~w<) zZg0{MUWnr%dy#5S?ox9nKFSD6l{ybnrOtz}1z$my)j5#1oW>=;{K$WQKJnWzE}ugOYuR?Z9l2;d2LdfWl#TZdd@ZK+90=;QCEJny+m>uc zE`=J~vGp7X)aNgtt-RkRTF-&79s-B{Ah8{}0vg(mt>-{6%V*oe81YWxg|EhTY&{2J zdEo`n|391qIUA;r{{v2D^)OlL@f$w}GJq@Q`@^~s(`O*rP6DRSz7oYbkadXI!a0y~ zlB;ir3B$kSF|vg2TF1b=(&o*l+Pry8n>W8dsnxvEt}z~)`+Bai)?==gcL=*)>y|;9 zOrdM6^_Z)CP@-km7`M{3>>6u5=E|{z_tw^9t~8eZmf*D$U&1xkddw9*FXb9@V1fUq zj=5$!!Mr*5c=X__VWrH)uif}I@}n>Qpn3B{W8TQcACD7Tv3wP7`d}>YEm%7}Aw*pZ z(if<5^b95yj-DqW_EQLK>gcI57k|WW{1{S&#R>c+PqHfDd~&U&hlZxm2!zwq^P)C| zZa*b5g+8-2uJvX#uQ!L`*E5h>Z$@ioAhq6%Cb!-4&Z3sr4&Q`z5Uw4z-i(%@MG)Qt z!sUu^?U19g<+Vdn!nMQJo6%ZtMw=5~JERjgTstHZt{oBy*A826M#Gu|?_=A2H>2?r zt^QUn0tR;(1F6}~Xp>>|i2p|nq|=D0o6$O>ZGJ&Cx*6?hpiOT^8xFB0Fn2!ZX0-U7 zA!k4y|B~lgC&)gl$#X*k>3A4O{9e!d+CaMDyI)`+wVoYlJv$KYu4+9yfFWg8$Xd@1 zL@Q+6jT-K*A`tT7C?x!R?6zQ9jW_MWY-s>?~vm3K!VJF4+4GO z(fRMe{I4d){P*Y!+AY9T{s%Ds9}|^r{R3GI{oXaj8Dxqx0cl8a763?WKsBi1oP>yDsXG@+;a(bjPWlSSU&-WYn?nlv_PK$GcC} zikG&YUPUeJ-+Fqr_4F!LA(Tn$=~eHO#C$kZqy7D@r&j}XjE*oST2HTf|47iD3itOD z3HSFC3HSFC3HSFCX}-Uor&IrrpI(hypbx_cu`8%$?t)p=2fudXhg{FZk<|*CJ$5~< zw+fm&_S8-tz-d>|?6IeH8Un+{uAup2AKYmPc`Fz-vDxa}k$ihxBYfzev=%$whoq86 zgJ8Xlq-IM}{IGo&Bvs6$>R{t_L1M*B>}ViWl3X#9`vFl&qnK&@9B6eFj-Fi!0bPd! zfJL{5F;B%*I}4N+O*O{1YG|t2sY}7+IcH3CkV)pHn=#mez)HdtajnZ2&|rH9+0Gq< z$23@Vbx4j*)0a*|VS{9Eh;v+TF}~)IP$x7Kf!Bb*MBsAsRKgD4kstp({J0lGN@Ddm z(i5Hom6k{(p9JQ<4bh3?#Cm><;CK2n^rvJ$VHm;d37&`t(sB185;*+a6w*0{2^2az zfO%Kq5hR|pc>vR2y8IXm@N&Rb@`p@KA+8k!iD&Ik5S&_NC7!o`MQG_#EAfK;T{7oX zQ}W+MO8ODN_FK*-ggb1hT--yb&|F;Stf7@Sr2LkU^Ns@j9fy-v-U2YZtH70L==U5Z znYW-1KcIV@fPF*os3`QPSZKeH;b<^?prRcO1`W=)>L8&OEQ!Il#^7JZ;1^=>(-E9EXAW|p1zY(=jw#XHm}Cu$WDSdC4U1$Ai(~~W z$qKge`%to!=#*HA6l~?!f(rh%mc3c1R$<;Uw9@5@bs5@Df%!iVGk9GrgBq3$YFIL; zVacF|C4&l98C0;9-v(W-;50VKPn6`dV(^w2yfp^D9)pjI!7s<))e&sv@5zoW1-;P} zRIpnVW?31RL#2pay|^c1`W^#};;jI!NubORl8EDs%HU{hi5!9BScRNgIJ3!+{5!&#Lq0N1GwEYtvYskfQ_+Jos z6~I3Td;p*>0l z2cXT{0NP>_vQA-eE`U1$K-6@KIt;~ll!WB~J|*xS02MxfQvn=7U<-hY2wV!_Jp$JP zn3|*%c=4y<@fONf!N;eZzZQeifs>3g+`|M|#GYy`kf|_pI3}T{Q{lbX1v&)vo;{t@ zQ0r9KIu)AfPwC~>sjzh_jHbdfx?(c>Q07LXIB+f&x+S~Xgr5e@lwD)6&4F!|z>xb` z;#`ojaWx_I)5p*{>!AVdPuwz2jQ*Q%J%*q1YCIkSQ#q%{8Q^R~uC|;W+m7Lb(_=eM zkH1Mnu^$fLZ0rYV$LX;hUIyE7dK`pXh1+p@+^+xtr^n+6aC*Fu0H?=465#ZhDTGGl z^w@qYQW^nG*pAcVIs~`l^mruztNnJ+P9=@g<95#0KjTbzcc5{ zcARH_KpN-She)d!{)dEB2&Kh?M0M4Gb1F+g}f}n>e zh%@M$q;UrIN&#>NEhoSk^mqU=gSO-BxrxD?L4QwxGw9m@tctrHOjwxmtrsb=2Z9Hb zA-Kb)o$8au?K&W6EQ72z+mUcP&b2dvx8q#941mnF?Ksb_XE5j4Ed)5v{+a;i+1CN^ zUq{Zfb?E4oe1!9CCpFK`M_3VufprN|b**hjaGw1L?|`|T_fW5#7Cz^69o}0fp22xm zz3$oaK55=O^(&`-*EH{;G9#N8%qO}cGGAhGj4?6cC;0VmWl%j|M%_xFzactR&}^=o zT)1|Hm6#@I+IIcZaj8+_U_otUGdKa63ligZB6jNVrFi>2;1Ga0<8gIoV#XqXR{A0c zw7W3al)!G>f!krRpG`bJ7y|yXwX%b6zYGN!SZG=w{;C?v{X3*2_O$0SCFKtD zsI45)R({}2xEYirwUrZUt0c9R6Kbn~+R6#FRg&7uiL_Npw3RcSuC^R%E9Zd40Mpb~ z&Wr|tR_;@5A&T10Dy2uiI*^Z}q+YcXyStR}6sh+e-Jn5nf`@;in@YgMA7b->Ur zQYwWFWEEFEk+3QO3=RoB6`|%Yicm*_8X7{#)IrQ*1Qxchp=M%E{toe0W)TR~PKwZz zgm!zAupWe)NVthHWK2#1A-fKi52tiJE}8378Nte2jTn~zExd>^dXaJ=D1|47=ysxu zL-c8)bs^em1kk}D`T)_p*;ho=CHnxqE<`(yMCCk&6fb}lC=|z{uw9GrLh&sM`VLX? zbqRXqD4^o*67)5q;_(u+S1nL+dI@?qP?Qp`MnRtfYGr~VR1n5?p}4<s*%D2n$*ZDpjPqg z$j@jLr7xR4s+Avs7^{`}6M#mwQhy-Os8+TRjcVm8qEW4UN;ImK5fgz%wQ>tktGIj@ zZd5`pCL$MFy|PxyCy}@bL@MPaO^FkPxD6ee#Engf>q#sbiTaF*+rmK1(tm3*H!-2B zgwjlnKLu{rN*@`j@js(?Q;nbVOv2g-No#%pP5d=2%z22fr+{XL<|t6yO3eDo&=fQ> zGzE>&TDg-r?U#2Mh*NYK8u4Zbh_`Ify$F0D3{=%YZYS(M?Oc2utM1<-C1b>A%8TC3z)Sj-*GKn)y8)bq_ zp&cco`!&QVswu{j3}Q6t19K6$GYr&yU=spkeIPNpNgwD5^fP_n4NL%7!(JBlf$h2v zyz(3z9Z7Vwb3$GH<<qHZ9V`_7qai1ruoD4+Io{hN1T<>%Uy5>%Fp3j); zi=J0jd2@3;!Sk0j^4ZI1tp3mdlLh{5~3~&?f4$d`#MI+ z3;{vxc(MF@l5m3%>OkOU0g5hU1NY+fs+mOyn+%}vMhRoW&w;t{fe?L<=%f(MITYxU z5bX&xvmQcDr&X?uK?)g3wzI044$&z@l`%;4Jfg}NBziN@qR1GG8G`qdF){>m<^YWh z!3v;O<}#$7q6HkK-iLfq>iZInQlCaNN_{cVmQ!Cx#whhmiAJg42o!H5Nd45Nsozb$ zDD}sPMyY>9G)g^x?&qc6tn8h@7)yNsP%Bej0;eNtFjn@l9^+3N= z*|#uWRQ9WYTA7hZy(d$@k~4@j^v&cuHS8Yuldoht_+mWM<~8A23=~Xj!Blj%2AxrcwA7x|MTASUKTUW9l`!P76e z4DAu~B9|-?jc&ZiOO^^s1pPY~^c;2=}IWwPht9Cd9Wv ziDmy8 zP_m0q3(S5qFY-ahFcX)-lXy1E967-k2;p83u5Oxvhe^o3uWRG(ro=ZS)-J?)swu%; z%UBC^O?=dpxVI1=L6fn-<;Z=kHtK{p4wXmZz^25*NG#d<+1gk`f>rcBa&kPP#GT-c z=w}qARTOLdG~q6J5tJ%W;tufUuO`<(u4@&q2sKnCMmRN;^$u2c@iXH>XejM|kbRNW z_7DWSdYfgF#V$v7OV-#kzz}Z0j4ym?SMsr>E6+4N%69|R9%aUKD}gGHvY>EFXpgd> za7$>9vY>QJ7?1Lch^;-!P`SpVydEIlOhj{ucR`oTZoCwQ7Za7-+AX2|$SfnZ68*?z z!+R;TgMiu%kx_3cBsQYHi?o)4@TBv6QBQfgt^wZqr=u(qFZY{%0ySf8~;aI>mt@e6`RGB%x?S% z3ZI8>>{PK4Z$tIyMM;M1cLstVgQBMsq63bDwpML(6VS;-Rof8#0nmK7E%sninR}RO zx1#B2iSt1${FUHrws*l-d>Ja4Bdzd963$l2eg}M3@pGWGCo2AQ)u7GW?mBSdVyKm2 z+dTs*9BH%PO;}eW@}`TTk=D}aa`ot9e!ggQ;T1DJx^4!lM;BwpMwg(`=n^yGon$roGmpy-p`lwbv++mVvK$1u8Zdh;A=-n;Q|1_PP!fwxn(^R?%n1+Vu!> ztxc=K_TmZ{+pBY<@N$>AqgMAFr3D?e%iK{b|G1F&JtQW(%{%pJ9?=9o=- zvVq)D8^|GoQ;wrIkdH;IE%@{K_>{@ftW0vVLgI$RbqMsFSGqg+>Ht8ilF3=Gem2MM zd-%W=7*>DvoF`U~Ld2#y*Zbp<2}||K@33mWOnI_kw0FoYLncplx|r%< zBU+w&5;6eUp9pdm5T7B*EO4hA>o5tQh2@U;F~H!j00VdA1AtS<`v@LI&`M#c)pBbI zyAXK^ev^A6t~cy&0E5l|YrF%eBXVjc9^OQ9SgCvz)0?!BEU5~z*WCqbZmNHOzz01J zxG;4F`6s`RXEwEn{8P$c;+3a(vz=Ez2ypwvq>6B~0jU^i7vq5IKJ4v_Un@awr-@Ju$6X)H6HCiQjzx$Q>hRi}WlaAPI6iJ-rROEQN6$lkFmrWI!5F$>) zk9<$VbB7xy)=5kTbUr!fAvFI+6xFUf7a)6F9SC-#=Q61Rwnef7!R;XJ6cQHBuWe8Uelf-E&+zsself*WUrb5y ziz)6vhF7Kd#S~Y4F(t(>rnu^hDJgz2#Z_NSN%4y*?obwGfR%FyqH%#D%}#;&p4!Ok zHV%k8m0hR@8C)tRe?%t)D8=ND+z+rQCVvz`rI`F$!mj1JrTnF0N)9_1pi)f919t)z z#gv>#4yBlqlWqd26jQQ}TZ)uoN*;6&V5OLnlUD#%iYYmTZ+A*DCF`#TtQ1pn>H~n4 zVoFYX6|hlE$yys&E)`R9G(n}9l4Fv9m10Wn#|bS!)+Zoi_=*6YE)`R9EHj-)>L76E z%YzxtXOKL=o(Gcemg6a$%(!qOP)_5JmnBfqe-w*(u!+M$HIt6me8UxyET>Ra z?+wX>5V4F+=H6uJtd3apE5QTuBM2>+g&}TFpj0!+?Z!dS1BFmE_5_wUv3W(Id>3;9 z3l1$*DBrh~IEl?`tqk>wJ(^AGIa?<>Ru1dqV91be)zQjO#n`8eMF>v$p@}ntndndz zV-sRz_GQ4Dw1~Kj0TUT8ovqCn;WOP%qM;UVCagr9r(4 zYA>Zhy$;llN`rbGsZEsz^(uEETxn3R6Sb+*pk8OnQ5w|iLQSkRsMnXHOleTBAIn)T z8r190aHT=L0SvDc4eAYKxYD5BAcoh72K5FL?jah~8$!5`Xi(2+PzH$xJs-c&pgXYT z$gg2X&UH@#?B2tG`Jzy$U6W$#x%0uD>?w+t+BF!lH)1I58jPF|ShQ;}ilEZ2K`miw z*M9t^c1;ai1yE_%)PZpLDD9e>NDif4QyVcYu|4O-+3Xu+pxnX6vpSF3H8q-`(ypm7+{&)BYf77azPkt+ z^Id+)QnYJoEHj;d2qH}ecY!>Z;Q|K91MJ;E^4$S=3MVrzoCxG@b_rw&RP-BTF;^Kf z3)M_IV*kL9Sx!Zcr~5NQel8>vLPVuqx!+w9XLZD)i_z`7uY+8uEI!^xO$DGVK3^=p z%+=5g={uO9i=zRRD7D@AeJ~b1G6X%UmW0ISMa73LqsgbRrP&f1fTLMYxG$%)6e1F1 zMhWNK((8%smqCptHZQQsm}t2&2k95|T?#Lc%)xT1?VQuuS8`azzX7?8RZd-8Cz+ox<5)v{Z1=ejG&davDrt2v}*U zV9Ex-N=pUxR{&O8DwujFV5OykY0m&QS}Lf07paL27>p*Uv{W$WW57yF1)&W%3SluD zFc`~Biy5{jMCQxmGd5rXBxMN-Co?Xb2pkMp0$BnTowJRx9w!(w3)M_IVlOddmQ#^w zt(n;0H{{zwG9g4%TI$D!&gzIozY;uPx(}fRN=y9*QcR^#-ucfV*Z|TE__Z6y zKrduOOU)nw_F>T~oI9DpbYUJAQ%B8WE#W0qy>+HeXJeNz1^tFP7czXe4p04tN=Z4a z#B~VMucfKgM+1=sbJv=FY^aCsF?#4DwS{Wv6-cX94|%cIQZM#e+Ny_WEw$>Q|4n)b zBfE(n;>X4klSL1Kv`zHTI4B3DhlBvtQ$*EMPu1gWzUjnb`fUX%3D`2>M8uG!VuNg6k!)Cw7juO) zBo`iqH~KLO!{?4&zI~*wg^l z0l!O7UTAVE3HFlE6v5sCo=30`K?`rrs6<{bsO`$TLF22u`!6h7qlSB53EqESi7nQg zIfVdk(O6f)O-MFv2u| zXd!4P*gF7?6YMCIPdmZLVVq#JxQr9*39uL^*lU1|6D%KdsBwbz1Z}bHo z3APEaF@Tb_T&x!Fd~!5F;{>}BuyKOX{-YD@CH(p>S0c0%jF}b>_#*&v<-rV#V_qI$ zzZN9l{iWe##)T7syG$TUprU`zL*KRC8FD?`-NM3JY z>tyI9OYBEB*hHBHi-|G5(M;o5b9kvMT6vh27_ayiSj9|In?*ct>AMu&+;JbA&hApe zTBdEO)l#r}FCbP=gu2$ib$BZ0*b90@)qIk$5Nd6`O1k=cOkW>P{<ttz=g`619X~>?2_>2p&gX-O;QkCzbAvs-0|d zZ8yGx(45VCA#kd$NGr|vR_Am^&HVsTZCM=goQ9(vD>uRTquPMObJiljbzwE)(r*UW zs^E19wr&iVf!Cr6s{jX8&^A;UmDF%p=)2dXv{(y$ID^ICa$CR2jkZeD%=ZSfZmjex zs3&iT)Khvr!-o=X{U-M$XbG?3_jp?AnFWBkzZRXiKqm6M!%=OEychTd{Mn7o_!@+}PKU?Za;J zYJw{~&F_2t|KXe5dq8R1-DzM{p$6>6^I(HiLOPiWj(e4ITdrojTRc+Xra+9m!(23G`j5w zHh;;Ig+@;=G~P*u*g~ThEi`)D*Dxh6G&HFLmydU$;`!V0V-+33rE8n~y+p6LB<${x1 zX!K(XjedWItA$2?z$n0Kq0t}6aJA6r4`R4lX!K(XjecyQ(I3j9V5bvDz-l(72BVYitW!x z#r9{UV*4{vvHcmTCi^o|(f*87Y=1^7wm&0ULF7B2UR>b*jFj4+!FPjbe+Dxw3v==S zJNF~#{Tbvu2&n9aKwvH4JO)PlGj0QBV#@vulB4|@p>)KS{TU=*Z$vgpSN3O+{F(h3 zosmVoKZEpFn?~oGH^{O-L;2=;Jq-tW{H?U`3jXuQqus$jW{){hqZ52Qwh5R4pL#+=1^>c4@SLSgIBfxh5n# zKDL2eW|gW1#0!8}*Ma0(58)M2>#O~>z8ZpaQVYN^=^D`pd@XgdcqF<+t?OtP8MJ#YXd zd)!RvPGlU*<7P^ClDKO;uBy9rKLRz^;|4zWpkD(n^w==&dfZIuPT{IrxkopG zTi+3Idyfk%?$ptMD?M(ebf+x@TxGeknbNIYh}Z#F)!oqq@k+$R#^CId<$Byq>F#$l zSx^kF%J?qGIM}H6=5c7aW106HGF^_pv^<#aG=t=USZe|M?kRW*C-X0y2;>fW3H*x* zObf*5AhW`J_qT>g)(xAc0rKAsnT1wah^Ou&RFW7Pk_jQ=KKRL{|JHY_4HIvFi^(8r zaD(j!nn)a zwSKxDPc^^Zru%Cn`YUVsUr~Sk>YY@z4Q5F02iFs&-X84!0tq=k*Z_j(exGDLO>Rbb zVmwE(e>uUGbgnqt@km}kyn(nCyhnJGvlDPi!Z%5H&Y8uil+kp7m=f5H;>$Zh2uee& z&1DpOKSqIbD)$s(W0@D|i@ZksUWeji4z}#^Ls3Kd1}(TwcpA_O%#nq?bpd~7Oa)ir zT|!)7Wk~B|Xy4W0OVPX=nb2@4v~x9_!bMLb!0pR`VphVr5RZ3yw8~c zgJdmuyperD%}pLjc+ANNFO*K7i`Kkv>oPr$0v1?iP>@ap?TQpDMBnc#l@s~N5 zjW?R?;sS+)*@a1GvUf=vW()N^l629n&hU1#mxT(&xsN zOq6hR#=sp6uxu(5Klnv50JR(EB65Z^f;~g!XhlZyH&bCtGkY__Y*rd(Myq@Zlk7p% zbJoLWSpE>j^yeW<&)I;0Hl&rWVyt0oAq|vXOj4~c6&#cQ170dP$m@_5s0G=USV(&{eK&Kr0;Kna6unf; zCLw&Om`y_XQZYOJQgLXLmx?(m+9FtUs}QB6lfK@kK;Px+dRg>tQP%9;f_K)H zTV?9q;xZuCpYU+4>ohz{Cphhu1&>%W|8lfe7q?#!TyLDos?>YrSw=B6 zsc8&9is3y{rG%Fg?vvV1cm;U|q#h-F^e(_d@{;`kuM{}2^2u9p5*}}Wh+Eijs4r(sZ>mAA9-DtQ*Nn|8^Mf^27Lh8sZk$;R}RS8!?;SUmf-CJ`C2Mb z?TJxTdy+(z19Em9&vK|Gc>AE9fYlPbJ(+v;)DpZsg&XtK61-i{U3h8<-k$nXz-kHJ zp7sD>vjlI~{uK!q@tw{dO;9bt+hcGMm|lXn^&UjD62f8!ZZb@)lb8(MEq{T~+~d$}a|?3@eh5S=DrYb&!bW`nER?lJv~(TIU-lPdCVdbY{U?lL{3oK~wEyHruo(ZzJ%Ek>n z6@VlE2@l(7{|Q0kKUo9V_)l<{7)|TD^!K#nKVjZ;$aEe4((+)w(+rXaV$pNryL=rI zPUc@Y5xCt1zF-2=0x>$stT5mGgJBZiZqqbCe$$XyXqAO{>fG!v>C%s+$b=A)J`wFd z>0_9V3YkO(QSqPfsGo65sNEf|eh2*nDAIbKIM*ysy@RrQ2cCKdeG)9Wo_YtR-Y)bw z`*>q1J!|EVl`LsV4dn&wsCUo}0Q1%ClbpGpgNLIpIeQJBmdDvAIhU~OarQ~hdj@de zarQ~hXLzN@*(Z4z;VO@_PjVT>)OehIl1DMThsW6`xtwqxkF!s51$hQ|oPCl!G=A$c=wo%28};sdjfe${d~2_@IO3YL7Otb&2mXVTMx!R$$vM`aZZxfsDU zmP2I~4CTc$#l4Y?-Jf;Op*$C?b|aUVvvgDSXWPTFDp{8y7kdgUtCEM>nmABMN}CO2 z9K1rKm6>$$1r$4+VjDxTCmFHBn}{9G{u_#wIHA}PMr?6D1&*b_k0G#5^2q}slFzY9 zpw7#96$z)0Co$3_Mw;L|R=q?@dOFf1or~?FntdvsN?Q&^zoE9g47qp?#Jn3J{Tnd@jR8nEkQ2Fq z9OVY0N_E9^qkKQ^$a|4p@%^}?2rA!?TT7U}A38>i@23orweN?)E%|=Bg5}@%e#l~c zKa?oGpTu4dKww&pJAWn*&L=tUd}Z||IqrOA^(8s({CPdV5+ph9d}Z||IqrOA^(8s( z{ACnVljOMbmDQKzxbv0Om*lwfSCD5wlH<-l`a!@$ayjn&l>!G=9<@h4jS`xHxK&HW zoyP&^ub}~3$cj6@+E(!%p(^u95U?2H}NbMKk1R2u;I@tWeUqx z3X95Eh4u;-0qK=rU5>6=%tVh-K`Ywa1W}KGjE@{xWjy|2pR7o8%Xg4lJX5EsAb4fk zo)b9MU0^E{4RDfJx0tp2S@edKBe%!cjiW^oK=Io5tL!b3>^-2eH&^9lrxqeb z=1pC>%*HOOeLLp#4OUNkgf3!eBvE-3wsOaX)Rkm9~rTekjTdMn8c0d^WUqc$cXT-P0KvuG-2}8LFd}XN7O`s=?q__zJWvHPRAzm43gxx?HYT_mc zl%dA(%0L-vgsTE&sEL~(;DnJ>6Gp%ZBdI2gKpAS{CI~oTB$b;$CXA$U6Q~K}Bnahu zp_wpzWuehcAQMJPO&A%y*inflFJi$Ho8)b)SS@N0c7}tN6O5)2((a$&0yq0p$*C0KoUeG+NOI7!Ltk9Rffj zvHu}}X#2MvxtAU%hoP=rR$Ap01bb81_LWMB^O$v>;7W;0Z{qz6uJw!4@l-}u*8yq} zZGz+~h#ZDD!Ea^|86(SOm-Sh7`pTqn1n1+SMp7~wN%|tCn?X|!H+SSyfW_hFjv}ZW zZf-4MI^4KI7wD11t@Pp?@5(K3H$9TX z;g(!M9_4UL9?hjT<#0=`6xcZ2Sh??l*u>$MTyr3TGBmyPNGj4JiOce05hXTVftXfS zjU>VjPtcaAktDRz03F7z=cq7U#%?S|uPWozP)~>>Nyf+2lfgwJ>P~1rd#WBuNru==W&uO2?Kl*|o~^{!w`2aV%h%&)Wx`CGSC>{?ea*Eo*_=jTeBHFSfO|5gH06lSPaq zbeTe?ybJ8Ij688Y*fRz#vVox^p_@JquG~W1ignrNfx;9)A3cG>X7m8*3`y_PFufVd zCRvm(?+!DVJ;*ARcZZn@Tao`JUQTR{H59X?^$=A_QHN$LBzY)d?G9ul?G7wKhbR|3 zW~$sPz2wHT!d`L*GDlNoJ7kW8upezl9=`EjqV9hZQ`w75<*)fF>qn-tA2XHxn5pc? zOl3c2Dw_oi*~ZYKsjOaQr8_#~*`Ly}x5D%vS^Y<`lAT<3M`tC?8)pq3dF-lA192;# zUD(+qI~ocke3J@)9JTMxbbbb=g5PBb-wXBvZisMa5yah;>^)+bnkK@%vS>xMv0x-Y z^qCAhxII$$nGCHldlU;q`&Tqnt?FxQi_{hgrjJRw=tGK^878s0zL;~tW9SLFNZB5n zrLh-eOvk5jU1HGEBSpYmbbM}#r~#Kms>{+(c?QstlrjH%h*Wl^@GdI5P<|I!<*cCl z!6O>)u(FdSd^!?y=?G{;i@_JOyA3S{f7Y##(w2p5!>thh94bHSVf?vPb`ze;Vn_{C zeffPzo{o5zV|xB3`m(kc?nvgUn@JO;K>>Q` zK7_B`qIzBHl_-U z3!rKxU>^qQm4J~q0i+ZDH~7_S2?6qFIb6bXN6{43yBeFisI@~jhr`sF=@g>SX%16I z)Nxw&EzOerJvHSG_>bUU1!5#i6Lxve9yKxpm{c6zc;RKOIu(Agy`B;j- zz)J06r2g}Or2a{8070FFW1}nt5W_j=6`h6OGYcIlyGa(hGh~=y(pebrZ?Z6ybd`mV zqAXy(LoK`+Wnnhhn1u-?4mQ5ymGRfe!Z$FcbZ>LVGF#nDYIdW=B~sTKfUc+8r$^I0jM*-v;G@{u@@=BzrMos_}Dfo~a0?0&%75|hraEiuB*i+E^D zOwqX8+L^{q;_z&2-r@y7PbODM5@FNHm7gTj4AQLv($c>{E*$k%J;}rx9mU!&iZxbZ z75;=3EDDwtsK(z<5i|3U5EvDvoN~(!SJ={`#j9{KW;iDkI*tBJ&b^h2YskpEfW|PS zo+WV{i?I{(FT=0h$OGR>{x~JELCM$H+AJ&M6|k=$`~D^G;|CUhsR=4eAcGjyP>cjsi$Z{AQMIVCwQVaHX}-$-3f))EVyowW2PJmSln(GRaMwEjoNzoH zh*%uqbCJ;NNa(QJP}kr1c+v|qk)y!-qVd##JO*yx$C169Blbqt2XNe}vE>e7PlQ`h zz2?Z^dIur#!Ih_9jo5$GPT5T|=n96g$_`*xFvVBjNGz1maDb}IMHzX~(Ad(9jD`dB zXegA?FhP%oLKzJj!xm|{P$yD4Y{nuCXnT@ErWbQe+jYzYUWT(QSR?A6{l%Vn3vyXv z(9(Yh58qr^sy=XZ1>~AnuHL9-V??m8KZ{6hR|4p0wOfi(xkDdDh;=#UH2gmgPc^M= z(9O+VHGGd5ar)e(c&fKe{%~k6jz*fA-qAWbE3w zWOQv@GIniT)2oB~LRXnvQ+Pv$xiw`WU~_BA2EgXllq&$6TT|`?Y;H~AJ!xjkRx-La zE*ZNvE*ZNvF1k9HPOsRtamoMl*T&sRO*V|9`Yx)6#(gpB<=Wt3ynzW*dWMIk>|xXu zG>}D64O8l9MdnxNuC~S+ik2^hs?slExDw^Z6g@TD#*y1g?8Z|dI&+Bx8fiBZo2IZ8 znFom-tgr@k(^DXq&dHr}JdIYOt~w7^p9RF)#5`Hq^QolP+=1=!<(4&W)P&xvRvy-S z-qMCSP``5)9@Vh&*j`ht-iwwmTiAQvg8oDM4()x^;j084Gp66<^*Jqs>REX z>OFc)-#&9DjISRvXY`N*=S&-4H>dBQ-m8`$-f+ykl?!__Y~Ojy7OtE(r+(q8hB@=$ z_Z&NMYUQXYmHm448`x`LU&~(4y+p3nRq`a?C-@MB;yhX22D!z*r;*WbYo7Gg?fjP@ z*?=>Rm693J9(*TYnGx+_GNFmJX^$WeX2d6u<;34Pi8)+0$}J%N58_tdOMvaVk5TWB z0JR$zqP+QJu*K+v`IWzscGAIm4lKZH?Q{h%Eo8EVw)&`ty`IjHj6sVY22K2V#pGHC zu0keoamIj}qXD5=mS{Dim#CSmlrxvT5A)(V+mA-a-2euBXa|$6p1-m~R5P5u1IhgR z7ZGPZ1SEPMz-LRl?Oa;acFobCd(K9r=#2#E(qO`2H3_|GBJ%Mo{JGY~C?BJAJ~m-k zG$btRFFTmRZoG~E%k6MhdtH*;HRkj<1o@3G!%*S7BhXL7wU_4r>$MkxX6=PA*Iqbe z^+zmdY^XiD-hoF!j#`G9NDg&PR@0AIJOLJ^@V$dx1FRIjH#r{}Qwrak(i5;!_+I@4 zz)InJQ;!C$6uviY6JVq8z37@OFLq6qH|9!~OMk?I8jK&YcnQC;J-XQQ1}52y`v|ip zkB#8TWdwPEofkNH>Y6MDGvmUEK)zZ^be2Fx|4uCCJBG|cHIt6ma!nRRvYd(>Pq#lp zMfl+%nGhl_#gG1o1>c&4iFFc_Aqv22eoYqpVI4&9BNj}mfFG|)h(B}}NPKCs8=r$D zP6~;UtPh?8TWlq2)jqTatB6Nv6N?%9y0*$Qh%?OS_{DOw)?!v4rViPAqS!Fbi#c@| ztRW+=nkBr`EU|gId?910N@`K|$N~f|qjdOFvowGcM=5M>b}wdjxxyCUVlCv;oOVX~ zp37Y5)6Qg))6R*(?2Kh>!)+j!tJBW@>I=xrJM8_-sa`DQ)7W_d`iwGxm+PVHw#R}g z7`)#i@o!-08uw>C(z838)Zo*AH4eR7bRlyz4T;yZX*%C6TzlBU6%ClkzV%t}c~rb> zRY}fd);n9*RSoKj({$?2Z>FwrxuSa#uGx`-R4f^uvWUwo%UV8Mz^`O=RlHN0qBk6A53?!xDgp(N;P6YnRL}v+9^tf(&$&gv7X3`Nmg-{X6aw;;%q|vE7 zBOv5iA(;>&&clz^ZDS1+>m(*a>o#h^{Hr)@2C;5T=d&AmcA!8E*+EJhttglY27A!s zNP+HP*||4_k!bSDw=srN3qWsKMO@$WPM2L2+`o)9k&8G4rKA5`cl7P(=vnaH-rCVy zJNmcN(SILybkq6p(e~yYy=m88s=D?t)wNf|yLJ)3=i+UaUXCqD=;dx>*vlW+z5M

    S&8MM)Q+tXE36=RV0~07VPdO19oE|JEOX9Aj{^4luTiA z*)wV+2QT5hz9*EWIdX+`Z-uQ;4q(^1I4ax4x@^l# zh?VxYB0Sgs8J>Cm25{#4?;*Uv&mg4G-wV%-e-HSJ{Dpvv{h5HX{t@6T@hc&%)Jkeo z{xO6((-t9H?iz@2xkBR{%BO!FNS6O31lVjqh_IYffI0KqfgD^2c6&4nw-fG*22gQ@ z4Y1UiLKuetG)>M6kYewru%$UWW7rBSeG6G{rHsOk<{pk;QijC3l}qH@xu45(Z8R|R z7?MX}^04v`WL5Uvg;0E_3yz5bc~7-I1cz%Ki(%o~Thgej2f_DZ9@etjfP#OQV!hjx zN!3Jno4LBU{NH!)uuq%o&le*Xu6rV+d%D~DJCLlrXePXC5T5Keg3qpa+Q}522`dKYDXr^J1zG&!=mwst!?7(;Rt)z#9-k210V0FbcD>yvZBKRHvg_0KG{OhleNe(8 z$l+fi&)OBR^j$6uOFshPEd6?2`cv-N&85e#2}tb2Qhf1M!PI8H>(t$j-{e&uiavP@ z!C?faFw_dzybs_n#fQUYV$J3R&VlbDApIL8r7FxyKT5bB1x0z?SwyD(iOBmdz!`Lx z<+^SsyhMAY3xMRMkW9JPb1CGd*MZ&ZO%T`5F0nk7`}EHlEO3ziemeu_rq@!6gcs(| zS!;QHdD%=B9gYizK|UTQ<4^L8gt{Z4DsSLN2+~&tvu?~)!BS89s$jzAs$jyo>4y;4 z+lz2v`c}fj31`#CGY5P(v&z$ZGnXR>w@+_p_&$Uyt-`ZW^1$}S?FFTtei?{2o}iU} z9MgceKjHP6fH5X8&z7C}37IAl!M2bf7rzI9Nea@@DjbBav&r^mokn?5WwQxd>2sKa zLzt?Yev2aJoQ?1x%?x;RS@4ah9Eu9KtBikIW`TPpkfOY?kPvvQeh(x)36G{%1&d@z zkiq^%R|N~Bx+<7pkpA*l1(Ux#eKB+VHVfW9eL9}ryNgllmFXimCBDCvEt!6brTysF zfNRqI`UC#>QNTU&=d7M@d7oZ|-1jM-vl^EeTQ`JbN5daP21%Wn}gm zR=#Jy0%YIfIZF{guqj}4_V@}=(h_Gv_AbWBwU38@y6jbWdIfeB!s@fV;Ue;iZLVxi z&mP5$mDn5^v$H>kOs`Y~z(2&a8Ke1C!3;;m^4Ma_R|N~hSG+2iaSF5Vv6J1MsD=W{ zW(TpL_asQ^lf8iXyf<+sayTIS1oQbjp$y6Xn3VeyBN1nKb`$0o@4*B|<;ZL$*>(!s z=dCo;<6_P+QDkPORR;8JGW*U+B%-U)Ie z@NT;*xEeXOvL7+gze+>7*;ARFrxIr)zd`m+Hpbr)RHs$h3@N*$amQtkVVtKEytQRQ z_K#$HCNUmi_1Tk2c~&m!U6B1VDbESAG zZlt^@=`?2l$~ga!IG1JjC-RaYS7+}h^0FW|WIGXgMUa-S3T7+biX&qRp#Ug9nM;9DrvG6Y3Ng%wmIDfV-Xw}0Jx zOom|vF9Y{pOPqxYsvvzAzRXMyF%g_x|gjkE4P z+Bj;d>4HvxAHy0J>@%@rUG?c2SKl3=j6GLf;_0f-Ot|X1_jo%wR>d2@pFoT~NTEsM z;g(FMFP}*FPeleCxrd#_SqF;U$Vnx+3@fDr34IrpkX+VJV^|>a}SVU6&S~F z0M_#aVqn~#*bHLxKs%tALIeYC0YkdGofQ=YpV+_)4xfdCu^>>-B znb&?7)r>alRdqP3I^bP?5AvKZ|4D3R{jm#vvGvE2ensm~FYq<9{+QHmw*Dmd+WI4G ztUtoW`Xl`HtUtyy)*oTF^+OR*NS|;0NgY82jYD0vekcN!@a3&PRvoNA{d`0Vzaay+iNNL&nBjrn2e`Na-)*sovE$dG&ziI1_DYs<(F&q{BWvxHWF4iC6 zZ^8OwGT*xO$2s7uT7S&ww_*J;o!w{sQQEg;{jpNNIqQ!FK~Mey>reb#GP`^7jR^5` zRf?ahQu(<$(Klt+QdC1FuBL^7VK3|b~ zV#l2zmjJ2S3hU4wPZ@6jt;Vt3{rPg2TyI-ltxgV(7+cmJcD<9c3DFB&x+H31hGjRt zZSXI-#F{5I)0J0*Hd7HSSK3TFwat|N18pYd1KlnD!d8zNnxoZYMmw|BV}?=N>M?8e zn1z0ZFKaW2Em7dMdd%q8Y4w=>@A8<@r)7^n1BMC=78pYVVS^}-*?9KrJ_zjuduKe2 zpCf>DhJiDI2F@iwy0~<$9mqKE5EWZ!;$A?DaRRtAY$#b$TtEcN;dPXFG7*|r2hqIx z6|kxtN||1L1FW1X#O=KJD5SWM%)3DDdRJ#hEU44z;Heo0YzDYsQzht^DsY(_Wmt8a zLP>HBvei9iWbHW+?nnOlbFCK<$F&~5l17&7CR>6yJS>pgMID>1eQ-BBrnK|OY%x6n z=_Lj@KSVyfc>>cM^;h<@5`zd?Njewp0VY4#W2 z3CcYm0rq4(u&jo4_^G}Vvipugliw~sV;A{_sFOsMl}?X95R7*X!U^h@ zT2B73^j_)l#mHx|?c}G^L~WpcUPv2)W$k1E1duK0W$)8Q#oD9I_+ln7viy8}J{B~r zc^Y|%a;&2cXo99&ig})jncLN(@I1r_RE8)ZKaAl;Y3UdRIxTN}FqJGaUUfJ5UlwO@5>GrYnObsYH$9^WRZLs%k{hP-|hK)1N7H8ikJ4Pi|Ba z)O1H#wmrUBdPgTz zb8loUr#Qx&j(FM6a@o&Nl%}0AA56O3WGXP7u~67N(`Pd=Sr`aKCDtCO&|)1K`DEm* zX3}U$iOp(e21`BZ2W6p9kD=u;eh6)&dTQG+gTmBK7fF!zx-JB%h@xYOgNadS$Iof} z%6Q|4oq{nsMXkv;>l|k^!%z>6E)lI6r7Dd+UIYzW4Qa8Cge%Kr;G#}cSJ@*$E^MZDBlmvkJ_Z&Rk?V^M6R2 zv|Ll4RgS?9leoxa10t%4|BF7Xm%`8JDnX=}Eh5M{#W<}|#RJu}BDxyugRFewMv?Npa z@}f9R#nP0o+v(!-y&Ks9UN21jRHABbqPp>Q!^@nXj0y*Rj-qMR!Ab1%t%3L;g$WWL zq(E~k?7qd5?WtvIvckyhTbw|6K6aepr(l4_3!{v%Cb3B0x41o8sY&ISzJ}_7z86v8 zjn8XS%QE(tHH2E{HClmRb6zw3A*PCSr^Ox{({b3)@d*uRXSO?gUYFD0tIMEs;6(u- zCaiO7+Yqb%J_w2O&9(oJy>Ef9s<`t%_sq@Z@QQ&1L_kH08Wjj2D%OCg0g`)fLZGyz zUE2_n0I4CN$qk_Ly!R$N*0$kcYj@dyySwhLUGdf0R&N5**0yeI`>|WQb^mL(ZVRou zwOvhuVr$#~_xGEbbLO7hJV;RJzp>@!JZ9$1{NBIc{ALEIy|NFwyGqugD*1{HUA=ZS z>D|JM>a!)i<3NeD2R`fBBp8^>+H!^woo+b~6U^WVCS6cYGZ9Ao-WLxON-{|_;3sPs zC4)yvAG}38tkoRxa2kVNZZN1}gKCpPiGk@Ripl-DB8>$h+J|v|4*U^m0YGX`WA|Sp z8j=%eR+5`LpOVM{6wancnfMO6%6jiM_07O>J5Jq$Gh9fbt++X0AAFDx{y(ax4@ zPbj89o9D~-WCzVr3Tu}Ws)&~i)RCOjw?OO_9wlFC5cpL$vX7GA2RU8sH3SyIjdB2` zyLD|Efe%3X4wH<6-~6)}4H~%BEXhEb#Jy@8n( zN1F6C88J*qgC`^xeLeM#-v`%ZK}MZn*Z1_n2jPG#8P&fJp3&Yn9XsuK)0CB`eujNK zo^cssoTjJw>8BB8xocAel%mZN2$nQIuOzpSXE#*brU%VAk zpNVnp^@;3%bIKA@G$Untans@GgEk}1qAlc_&!i78yD)81`f@K)&!oqc$Wl&vtl@3u0he5h zp5LgY{CutDE$b#j`M*~`Cgp##-}f%mk@*GE>0YRv?pyc*eOg2pmUXLi(r-g+%Fn*N z4^32*W=JeHk&rgku|)goOTCdx>4AM2(qp+LX%G6bVuy{7cW7c;yE6SN7EP7|Fg}Pf z)8hY{WF<4FIWzfbWB?{f5Bd+&#W_iMNEhVOOhJB`IsKS6J9@d2uD$y>hSAzvgd~#? zQoPwQfvrNJ3MjwfM)8mg)u&2>&dh8Ko znjU)`cBak=-MZA%zdZBkgG>RxRMXN<<>0VHlTwT>(_(sBxX}ycnVVj@VU(zdF&QP= zNFbv`^@I%zGD#GjQMV{muGI4^`5on_DPrY2rdO|?)VFie=#$KM&vzS+ zHlM9%Gh`@*6ozdOf#K$O_K z)p4EbveF^*(z*0{lh?>K7PnEr-+fMBhJmeFm;iIDcPlybHa{cYp7x+0i>EKgdN>UCj)mb2 znXG4nl$)qEhq~2Firc9`r5ju!%IM7|?v<1%8fR#Vs|~)S_O8+1RzHzl^kZfkje#ut z3YH9eTknjwl|E-+m?+A?)s&@#A5oT44kVm4WvRE*P208Si~-R{#yJCsdr3x2L1|Q^|Awz|mjBlpQ`Iug5EfAZ`wJ@t?%A^>!7L|%P zi@{Y_FR-ZaQhk(4kf}cEvurUM77B6qd)UAOA;61~>6*QH-I7q|@WKj?$Ve_oOXXLg z8EJF*-oT}irsTEheNHosW+?ksX-bs_r0gplZ6)ajleECIn&@`6cS|Vaida(=8o$!pHNniH<7tGdY%vbBM(2vnUj`Z_utOJig%6FS+q zG1-^H@=kZLzrOi&o(aj}`RXCeSN9!0_7+k~m1e|^d7er{tya>KUiP+;}5g7?wylKRw#qti-h!y z66#0@X-kMb9SKp&^Ljm}n`ul_3`JglrP;aI@1QJ6 zdoZln&#huNaC;8d4N0n2hNPYr0?A9;Fn!SwC??&<9fC119B+G9;%$aVgJAK;+e{gB zW`2$o?CuoG)jFC+Gl|Npk`#1C1T$>zG#;8MMJ$%E{&huXgwq!vEsHrVl_QE(|E$XLBbjK#`-7cmuc17pnv{%GH9PLwRxaD4ubJRMm1?%}bM{ zO`DYV$3z)uNc@&88t!sBhqX;gaD<)7%S<32S!Jv?pe`pZxq*?XyvWCm zInD9%tvWJXFYLZ$CKIH2XiGfA>jd(p7>re{t)~)iSkih5`vpcM?Lj|^Z`hoAr_3pn zf74LxS3Qbswd&E_=%0`DxX_(tAVHonSBew4y*QAM6wP^VPD;_tmdP9k>!hz^>W85E ziboEshrz1{S1$B#%NPU&(Q&z!P|z8MSv{T9j9&W)+LO3XU;7B$_h$(Vo2~EkyyQS- zM<1wGD#OL{@Fo*l;++p!2!^p_xZH}Y$o|oJE6R4#&WXAuJ>eOGT6<{DR)u<7>3Sxn zg3sA7eSqQFoFUBSxRRX-!AnCR3INM`_~#pqeZE161@5TF-jL`$EPrIFN`oK!ijd8o zuSo=vT={|=P&I9#_Y%=MgDi}hhSpG7()8HONOgzMQ#s8^cQoh9mQ^s>SimLqPme7B z>(7)zO|l$zO=Tcp$oq3@XOz+FQK$+afI>Z!!1wbX6ndvgxTu&^F0E z5?YEb-EGW>^70LWG^2w(NFVDFC57|eIG|+NV1|?!e62w9g_&Os3uq2KM^^PaO}N9B z)H$`JRD42_o*`w4Fjb}>iBB`vPb*ne8aAK7D(A^=!fDd#d@!GfS^LkBwTBInbBgqI z%c8d+h-W%W0Y2;*pHH4~Pj4ba>{Pi)WH4sX+RA=ZQ+5Y$n?wd=hJ`|yuagEIion8@ z$(KEIUHtTtp1LrAc-gR2IA>A;c%?{+rWf22y%@KC=fo%s;-aX#q9zqhVNyw31mv|R z)9cbVTkw$wStfm~5HTsmJC1~BpXfLe74o3~mOfzuu^zg0%o7(zdPR{At=dFE?3HQG zK}nx(jPlO-y*_?~Z?F+LF%C8&*YW}TxKr?9oSt(YFI~-CHxyumwbUG7p4U8a>jZPM zjSrlVbBh;huWgd_ye^V)i^)1%F-1FSQ}y2T7xUy8hJJ~O0NPI13}64*!yCX+R72pO zm=1mkbuh&w4gQNH_!;h&HARDess{hXUh>W*dOGw{jgn~^CC1L?QpFA)CEl4T#(6xW zM~@vnX0&Dl6-@9Rf*H(%d^9_7^lTJ(L$N=zL(d5_MYx(TlygX&q3Y4hG98?uzAHII z-4KwC<7Mb}oSJtYO_R;zH6V=x4B$g~P@SNmI#FWc%pu3TtAn#V=8$<-h87%nV?fN? zjl_F6G98QrId{m$p*7fY*X4ghc`Go|fg=WN2yO-#Nwyeo{gBhZ(x(^kXmUMZDY!wb z3>H}2A|&m*Pi89YZ;}}paiC;|q691hc61Jjq1SpPG>U7TIZ^$2!pS(Ag*f@o2W&nm z25QsC+<*`$IMye54H;~I#v*K@R;CwfW%{Q?b?1rh>)q0D&6R%a3~iyAa!uP|X`t$luvE|& zw50cUI`2ilKnuN)7J8IdPu2{;C%tM%x|)35$8y|a-`QADncua32dqc++=xNiFZz#XNyVyC%gaN`;s!{GTtohX@_J3Bg_DS zHA`({pfJc9s&$y#QImdYZ4FPPd z2QVCMN4*C;Slhldf8A?g_dcu1C;lG?N} zJt2}dOHEqH5UA!-9rVS~dSE1_MrkP|8(>*&Y3tX^6-txO&DUZZbs?^ynX2CWwORu*gccfqOtMT~Lkm+_h1j#eyT|iQUI&^LVVZ zm9kB1*u^bRkfo2^2x3bXHjm8ie{^1-v;0~wbn0y9`%j5EuoMIj_aay5$y;{sy7qr0t!c6`@w94VSLU}8aZTejSR&;-UJO% zkDAV4dqYBRf(9ec)=}H?gy;)R+{sTWvoFoQB}`m2*dxT*>&-Ghq=|&h4DBncC~#j6 z+n=Lcqvcf-wQ#%8FWe>#j@UH?4{#9V_9Gt(C|H95e(R(t->`gK5!)G)nS&#_3?mOD8OYlw~7IVfGF^ zg00SE@usUMYmGUjCvT`Gr<$~{MX!2ikZ}J#-uZ|f!;1C|jp7yt9r~R*4L8FjCkPw! zjDrP;D_l*6ACYcUItKZHs4`d*XOCR9%^@ukBLc=;uHS0I&wvLDTrV`B;j0V;zsOA| zcQPLg=p*+^vl~GfR4XTqrua=4WCamFSQaQ#nl6`^MJ(%5ZOQ0U?FSUM%uKp2rp`PP ze%92Wr{M?#Fl;?OmI$L5rE5awd2cns42p&D&BW^pVaUufQG)y|-7cYoFk~PM*;?k? zbBiaFk&t-QSCmAfbfgC;2}W4xdO&G*x(1`+n!t$hYNv^KMD2AW1T&q+s1#w2NvUKD zjnl*o;UkGT*DM12ToW_YfyZcRpY*ethZiZICiQQYXD0r^0M(;<*WpnBq4^6UU*v5` z2+0R*5qe#wi6QsB#0!G?8lrp(c8v_ge$cYP>HW2A4rZXC z5!1F;v#e%d#7_f8lz=G6ASss>m?u3J(X^pN8}X$vB!i|1c4>SGuGCC7Ub|IBl)ew8 zZvsMSAP1=p1aeKnbvsRjN6Ayht=(AUQju)KQe>mpWd1EOkt@YnoK@Doq4I0BNd2 z5-t%40+U)2!B(1sLw+H8Oi4sA@M+<93dHFu)ktUNS$>aO`_q+Dq8m!m7t_)ZQn2+$ zwbEhhH`vqpC=I&32zV2kIv*Y4;3Ca}lXYeYa?&-A_QC&N6jgHr1aHrP>bnwLca>Ri?t+Z+nQ z8mNPa?4`%x1~=m>>1`m%EQY#<%j2QUCnF5+hu~t6Cf)Wi>!Xo#rV-Mf+70rYX}u5X zCpt6nn_1tzJGki`mVUFyBRYa*@A+0|EEC^VTKsbxuVEdN!<8|kPMIKneE@`;Y^PIH zX%SHm6F5&ZkQV-dE3$Kum^CHaPwYK}nWrdw%r={xE`>957oEOhXeydsG&-ttBb8@l zT0wrb)1xmzju!9{@H{<{g?>m;=)yOkrV+ z=QSeP}4T28Ex#31Fww4 zKz+8vQ{T#;uweAm)O32Dl_v#M+f@@{1osg9GK}h8no_Z%M2T z5zvO77*bm!_-H~4HSB!v(G;znzQ#{2eQv1Xw_tp-#0z-HfJT-_-D%NN*bb)JcO`O0 zlO{bFf$+JU5E!kaL70D#Ppo1J5}p^?c>XCnP*xTd6Y)GE5`l0e8i|(Ta(Y;d3zu=x zZf@b%nZ7t{RzcDH(u&(FH`Fv%R;;XPidC#>+E`FPJ*lm)vif;n&~#T*zhyQy)^4(_ zlDW56R5di#%);<2tFkUu5xZ++P1CIPG)lUytE+8_;TD~Zp)*ajRTbz#U2`=TQgznW zRW_}!sIJ*03sSwxy2{24xD~P)_Z>m5jUY5D;B2y|=O!X=sK4FL!uAelVZ^z`d3g73 z=ix+0J1!R`+Pjt|y7*sNYey8179^w2g08Moy1U(3(30qCk0kIDrK$@6>8C6^7zo8f z<Xq^DlIo+gqFk?XB(YyK&j7F1zjgTz!KIw%8cwda01OJWgd=qs}7dR#Zu}mqne0 zcK&2%A^O;!#Aonluk)yLOM81u3)gavP#e@jFibz8XnQ+7X{Dbo`Vldw5Pt(9eBgqV zvk1-KVo#qq^j2=g5NTvdz=FEfjKSgpPg+jl4~kHP^Hy0Go+%o@$iW}z5+*mcUuC~5;%S?L8#b(yjttG)lVuO)5 zv^MK%*A%R)sxsQXe%4%cNqwO|66o*!K*+2>iq8#|we^yK0H8ai)HEe%Q>?nWX04Qp zjWsp(BuN#uX!26jqUCIGpmG)kO*xCmFx^Tyi+4nH-MJvT{6JTGdwU1|@qf;yH~6nT zFW1@B0nA)dumsYq8>^4DUd6YH|4PjDW#>+;$`viuJvARqBVl9420f^PV_Gt`Ca zWl7eNHvm|+^2cAtN*KVw>SkqWry{-njvVLvtI!|V3EGndGEGn5{3JLWn zqmaNm!dKlC;OlEvz`3>EX^xx%2J9KYlCvpk&&VUqcuh#@AtBq{ zN)`zI;gP0ZsO6K1W8fH2dIx~NknTyQ5}A@pr@u+a=4NPrLXP=fVus#7EZ;8Tx5Q_U zbVQuH-LJR>OVRq#4r0%a_HOy-l>F0?=;(m-j=f1m#Mo3jbwW@@;VkejPtxUf{7}D+ zCz5Vve*bRv&H2)FoahwS2PRq)iZW0Fpan8Qw;TRQo8b4~LQOi)>UJuwl9&x>;2x=vi|+kqL;0ZWbChU5NxM&_Cegw)K%-;0R%b z|HFwOy)_fsssK8SRG8!nsmUx059iLTuHCeup&B9vnBfPkLZ#v$DUp9QsQSjh#HC_n zxLkZF>?}+yT_(1~#i%fxjELA0hL;g36`R81?o>on#KrY-6dn^6x5l~b^2O0IDpg3O z?hcE);t^347vHnux#G(^!p7*0-#b_~MSRc!6F&qF(DJzHi0FKko?J4kS(q zOPp_`*O_Fsgn$Q!`kKuc+|0QEg}fw)R24vB);3rLYnp58stYOsuUO57jRkXWoImHL z`8UkHzTno|i{4jJx4E&Pc4I+P!^WzD%8eV@`nc`=CD9_jA}IILdYTC`ly79VbufZH z?UHwRSeCV}CRU{gN17u*iEEDF+BHXDbZB!#KCW#5fx&EGJI|xFm#zV={mcraq;3v} zNW9pgPX0Ygzs`*$QP_GdrK_)MH#mANs=j6g@`|JQU%ZT7hB7tKKyO&UvS;zX+`xxe zEWIxiF}=f3l=kVnPaGzLC|S`96*J}-RRgy;Yd36cW-lL@w_au79?t~af%gKm1bQDD ztDcu^G;{*!UjkXyhRQndnq*d&Ey0V*j{urn#xect~qPkVBq*}90bC(pjJ-Z+l1WDjt_`Ddj>rDxX7b;6GSWjw(Kbr z(SM58TBBhhkP+M+p}OhijXF6;=nbYtR4*b#U^@iV)4 z@|!J@63Fk;rO{%1s8qzl&acFHmj9xjYL@Lv>?}>}N+uKHmF@98ViY1hW&0u};^KH@ z7hFT}L?A5Mw%fA<32{|tix?Xh?};ailM(T{6=(0SguaH`t#NTzcrX4f7tQgq!~ zZ#WBK(K-p{2hyD z;>&0bK)~%3@$&#Rk}VcteUfqW9T#NX(fOAAVx;-v(JEMZT zJC>iJ_ys)H9IiS(?!@eAFvIq+puc&jg1)h~e%=3vf*!K8WDSHgq50tZ- zp3M)$#h8@yC_nrS^W@XRbkJ+~_8m6xK?lvTs`Zsh7b}Ac-02!z(j}6CTSx${faPQD zDUn<_OxXQb_&5>Q_IiLGy<`P)Tb7opr?)cazkJRy{%34$1LHK&0~DN*t!?o7+D)4q zYhyLiH8#9tS5+wUtb_0mkNN1*cqv5x(nxy?Vl0>=)*f_%FL;VF!g0KyxP-N~Ek5cL z9%Eer(%O0h+W8yLh9AL1G#p*&eb(n47aNTTuMAFkXqjQq4T+C7!tvFCPC26r8}?L5 zq$ELiw%Fnn?$xvqybLE7JRu$gxGn3)+J?2 zmMt!eP(Ur<+>~IvuV*X|+wPg2b`s=`bu~2k>J#d&KvuSU9l`j$fs2yPrX^xj9F}{D z808!(fd~4rFlN6gYv<4yvdzB)5qoY!*XJikZK$kTUt3=@G!L-<7|FX6ciXhq^a4z4 z0CVSSE1NNYq~~-&K*~6TZg-#JS{sD8L<7<@0PW~{@-bWu7z>z|PqQ30t*x!8sadGCS~mLuG8eywZjV9+Dyn&IgU}aROqhT^LA|M8w|(D7-)s2-Yo6 z(hbs$NVDMCUNJF+0EGBR92sff*dC_Ipl`rOc^;P=!{Ql4Y%UEi;Rc*oC{l7Xf{Cx= zVq|J@`BNpuNMI8!D6%+=;7C}!v^^Zbq_v$C0T?>vEeUZzgqMiDDDgXb^#@E5{eFA+ zsA$ELH@Bz6zCd_|c#WQXB0!NQA&%bd#GKptdnwsraRWZ=6o$l)IIKYfd5h*4Z1K_- zgp$PP0!X0Rjx;Ky8FZAq{^oKD$pl1v2M=2$IjR!i$WpiXrRBur^aH&e6LL1uAMrnS zxP1kNz5=l?s_hhU8xuH_D{6_0tBh1;NQeBhtzcAvVU&dT+5*OQ;G>OVi>YS_rL=nNd)pI05KF;+R-wZJX+^Cco8)i7| z`RiDWUw~G6L z?KUtQIG|MgXP|TWj;Q$T_V|;fQQ`-&IgYrhxGXGo1`t8A^Ydhi2j|Gh%dAj}kr1RH zql7K6cO)e97l{h`K&-eS4*5XMA`jxEJ!1l4C`Wu8a)Ur5E8{&u*o6@{_pzfz2=6E->M_Jz&BM z3J{*CX1Wu(@hXb8(*Wrf7waU?fOuw=**+}sEbzmEtZ2@h39 zh#L84N(xt$n8$+|Cq5JCB%nnhal{5haj6tdiY6px@!ccl;3b}W4n7cS1#QxY?0KB{ zgH%EZi$Dkjq%VOWP{ns;C|ta45#~bTGl;XFusg-yYzvE@+nD#hmb4$L+#Ar@0&%Gq1OZXYN6kK^*Zdh&+s`Lrg|I`nFDSFWNfH(dsO5 z)P{hjzA@l{r!CyJBSGUx(BLj5{(XC#L+M%K$?c3VSp^_|0da0LktGhW+{{u`<1m!b zq@*baL3M(lL&PLOoC6RO1(QG$FDueSk$~8bCTaY8G(S;II}Xs#rW~0p?rkQ#6AT}Q zIQzXFPwd9HcZu(ksE35$PnU$nw`6Y}aZp~2fa2pZk5C;Qp*l+UDRFcgp$?*3q!>Yu z-;*j4eo#% zdJUTBkK3UkAXE{iX35npsQr=RpKYdbG;tyXt(+2H+13g1Npk0V+v4J0Jh?T+IDNuS ziNC__ksXW=5<=oDkbmMnl>5StxcD&)fcAUh%S0+GF1`X0`5g-?|A`$K%x*w`WLSJH z7>_JViji?l&WK0vNkM*w#UuBGOZej9d%}@~`2AMqy@1#SJx`r_;qJJ&iaIOA{|4d- z@pusFDX9BoFlEo5u%k5EEuPu|A{1ZV8h=XM8^m-+(L~&yyeD3);w+sZaWt4Jeacy| z3t<+TE4s%n4v2$6uvmVsIB^e&lz{UXxUaZFd?|?5=z(}zz7P{q;w3P~noe;^82*{G zFYdQ7utNlZF>x>hNH}QE3)uNG*8BE{V1@l|L?F-El%uC&Cw&;tIzoX-m?4PJ&)xTZav z1hU*;Ao{o}Fkxxw(iTJvi3C9dd&EUfVHxBs-?MHbZor~~@`zaO6z+j7zAw@aKQ>kp z*)1#aFHPx^mDxhnWQ%BA%!-SuxTGy=2QsI$I1zzNK;9ZKI+)(yD$JXa#` z{5wG2SHi4}FDBu4fpentsR)wCox6xorO(H%*$%pAGG+O_lx|rdv&X}MvBmUk8U381 zAEYhPpXKzklOK$UM`R?`DU8Ewjh1$EgJLwRRrY6THIA&#P4Z{B4!6LchJh1pmwuLR zCjwoEWD6aR&HpIL^guw&4T!R^J!8BmfTt6Uzzrl>zPwCKN;#W45?$gLblpGS1AFM` zQTht`-o;pav5RzJe%#rzq*cre?_R7p7>;Qqx)e>6+sQ!Q&Sd2ENJ|HlRivaP5na)` z6F%Y5WTMQTo`cMLXY(;Pbe%Gjg0?V1dc&37+$gi%q1$ zk(d5@NizAGc-c;MB#MDb20auzCj|yV$6cipXk#g}V=@X;tM-wGo=)Q9jV1a=X5Sz(jZpRnN+C;da+O7+DZ^y?)gHy;|qmQ%Y zX2Z0@GKJUQLZWn1WT(*8$Z}B~M<1d)k;uUX!=Y#*5-Dy?CZk?qySg@SJ` zUdHee7fC5g)?@qbB$b5>PISS5z+aIa7vDxOBfE2l(;R(G{4J$%{33uwRJ$h|onmq-SxmT&NeL|K;#w zE-0e^fUoge6|I8tvzz*l4?eoR6NY(7q>KnKDZYUa4uVWhp|c4NO{b_%0mlregD{+N zfdxLZ55HLc-Yne*LG5CFxQ*tGXn2LxOSA|*` z2bt6qrVr8+#Al=cLkzkkQi^XZ*GVzYZNfNOZBVxx0ZHz4ZYupM>e=|9og*Ep{LLu$ z1v`*i+BL4&x#a?Os_umKfhA!>oBibY`T0Mgah}?dYisMPF@+&ls0@9ffonj@i4!^X zq5Pv&L;_#20~59JwVED$3C34WAmJw6)X~Eq;vp8f#KK|>LO>j13ok24ETtI=5S2KL z=<5IAax-+K`Y$Su(=cpOIwm<#VFokPn9pX(95^10PHe4r`xaPEv)gN|RfcoNGfzXmJ9CyY<^L?? z8=NNW{^lYivcjAXcT!68rtper7rX{GxVNMd;#!#7&XEoT#h+>~VP&!*-VS9FZQsQU z-9U6xx1yPE&=EA8;KWK1KP;o`>~I+}%h6n3xGV|R1F7RjVKJ*^iBJ-MK~cDC z!r}wcUb=#GN{EB*lj%v1bZqFfCa17Cdb}*MxD~+<1o*HrZ5Ng$!;z#M25oBqOWN!rVP_fB5!Td$9M$s$eQ{#6mTa7%W;N?t*b|hFuEo2pQ;+(6P^HTi3 zJ0!0s&3mMGWR6nk8F?A7(CJpX5ngj|+Eu2;A;W6M4iNN>fyu2>d(0rS+~)b`$#GE| z78kN}0T#h?MAReBBg;VL;(aOcJvD{>6m9BnHN>n(79cAquWcb-)K6TiRi2s5!i#4L{ ztZlAitue$DwP7P|@Jn2gcCxv$?#`jvXv7+?B)}S1V0s;MN!`1g<`QY{W6qM${u=$1 z>=6y1er=&0NutJaOIC3f?jqM2#iVx;;90ByPqx^UmXcO`6=LGHwF19G$itGEjTZ86 zDry=V>l-8ttldnKFAhzpvqSbLkO_5OY7P!DSJu%&e_%lga$v;`H{%*SpDFKN!X2Kl z&qeSH@{KK^y}z{sdC?_h+mE%1T{5zS3E57X1WeKcZ4SRmWgj0An55m()AXQyL||f4 zld{u_C*LxP>EG{qRtmB0fqbSsEoOKzMs3 zBHStL)ItWc%+aDrKLO1;I*j$06l)$8ewt-wJk%Z8l|r=q}sN^BV~Kc8SK$4orcRB-r6>1*zHAa4vCXCyv(vR;|zAU%BE1 zcqIfndw{_2LbU;dh-XEUuSGj3v@kL*rp2)+FmDOwHZZeOjyc!mw66vx`s3x!Bay{o zU7STm%P=Mo-|4aKhu*o1R%BLfyh~~blP&$2c$F}56R5ruNfa^_3p`}cA0OI>8H7^A zTI}g##i&kfW>T_~b2SsMFB2bvt9T*S=~7UJ5rM3?p_m%7S3`>xf!Q(6PlM~jDCz3z`gIyIlCP~08!!t=m`HF2!V1XVAsBt= z;cx~*fwu|H`ASusHo*4Z*-b(466Re=p>pHf{u1>0UsmA4#ZKXFM2V2&n2k*iYMj`q zD5x}vO+q8unwx(w%I*oke8hHjM4OQLtLfQi0vuiqQ%W1V!JM?95_AwlBMr<>j+bN1 zQ_i&ZKfpl%@5SCobT4+p#B}Tl*rZJnu`-P9!Vq`U(;hoNfiHd=U%VCD3hbuO2_)Wi zBoh0$O`b``_Nde}b;IUtWapt$dwMpyqWhivBwG0uBET&rrTYKagEmnrs={KD)J7QI zt5ob0WHBd`yAdHM-Bqqjm$pPAWnwK-_44A&BG7DK{q31Uags69<0 z{`vhF$vMSy1m`m-ht&6C=x8b|IFt zyVzOqW{H0LB)$CtjK~^n#4#DU$w=$v6y;J~R$P*bmTdoeB)KfIq!g3UcZW+$8E?!8 z&ZG1_UrLQHx#n0T30eWMV2=#gu~VEAT_sV>nCw8BJMsY5g~fZq;v>ipL3V`Ou_r!_ zj=dcWOzJ>Dd0PVf8ePhR4~4Nhq#UbNTwiU{XHfK^EX)DLC2RrW6s5H`QAmzW?KpB5 zkfqL>P6j)~td#fwZ@VFBNOEZOtECC&<4JqEB!cPpqt)M|)yq1GSaRcHLpUWaqa@Bf zUyrnwf?{;^@;Q&U?$nU7gN7S~~2ZmnY$qwiK5D6Zl_Q z;&lYUPegXY5H<*TGIVu}Ox;+=5Fkg`6aPT-?in}{mi~O1??)^hG z!G~`6otMTE$3fI?Um0A}e&ZD6uXYpOel#IKIf|EuJ4#!&%ZX$a@NdEDx$>u2BvAa} za!CCf;@vQDoUMdRxlJ`l&|Ni_M;x+A`O@iOECQUX=$vYps0C%SuE0&AkEb(muK14^MqDet3%J#i(^ zoDgAQ%AC3-v%2gMhnZR3V0oRKUiq3@QTOzA=vitA9}e>Zm$jtONAeIyhR6mOnZ#-V z=Lo)l9irN~UGWnuE^hDC-;uFtA=gxl#2SQVqi~j(izir%csH{7h~%@da9G+4SnxBM zzBWRv1?;MLcHU-Y%EBtFkjPJwxiLa~FwS9^Ellvk>HOzV#5UHft*xs&YeH7KoiZ*> z47cj8lc5;lK;H-l}mCeaQGk`Qp=aI7A#2X+GnZhY}N=1+vA|m_tA(0h| zyF=t)LCu%20&nj=aebig#?lZnYaWG}I3*-f{lq`D3=BV(rE%oL$jWyH>@VGI^zH*|mxR7C!)l zjtX3O%2~LLMN-1K(CZ@&OhMwcd#pzw#O&YgBPs8MF<*m-sW2oBx}(| zhj*pK1z3KMNSHc2<`5O_w%{C;MUcYT3e*2hRnjf?eT$@@s;l*<(2ke#a<4QndFWh;%(kPq6I6I z-o7U+62Wj}&#~UN_gF)_dywHQ#M3)Ey{$ULp%L7w-j?GZu&)74hrtb?O+d=}*!=^0 zAf#Rwzp}#ZU6Dj7naNm!ErW0v9BwIVYWF7a`&(E=ya#gj^hmP&vEmskeSW#L1<|`* zI6w-Uen0^K5fQXMjEt93AKj(gY>o%161DaFX0(++**~Cce3aSNQSo)GV}23^BH}yn zY{*m-h!ekkH}WAjht-A-o_ z-OS{-cT*G^dsn=IZ7;Z}w}%S%o}*&Che{y_n|bsMR0l5O53n?y;sw&_;m6qWK|-dt zy&gVfR>`7|V8y?=nS$K57t8#yM}Xcw;W$MW#A>WyGQJiw1Jb%PWHWf_D zQrmlA=jM?3X26Lh6V4a$u`H}CWXQ-Zok2v{c7e#f=e7~lH{Lda@)`x$2SJPP(fHyc zXxoDLHoPApe!Y##@g@ya9y@gKEq3DLzeJ6QTZhGepbz3V6zwk&pQe2q9@x=|EkDEf zD{1fbEHwSQ?T{A~c1E!HDi!n9QYW!E-wtbF6VA{+RbiW zl8W&GB?Cy2(>4ff9m7gVOMxa^d+Je;$F-nfnO_7MK8ivHpm50WP%9>9#$bVIWDhOQ z#6u}V>{N*NWfJR@J{cp830O5$2JB3K)C7w2C9#e)l%Qz_>@TnOvcR|RIEHUyD%Q=6 z`(MzRZGC5G)F$&ihj+3Z%t<(w#k<-LCcbPK)XnucBeYtkzY&ea2+!AJ3tUY7ZZA#W z*7AHodH+7^&=V2QOV<#`*w!^P)hLvok%-BJa^=FmKq#M$YyeD0F7cuK0p{}Id7jMK zhi7A+C;w?c|I{QbdJJHGTI8mpm`o>;jQyZU5|bg5MKXB=&y8Sef_9CtY3|QH^}kqI z&i>_G*8RiN6X$SxVlDILAmWypwLhMwt)_jI=;V7A=5g7A=B#5l6S26M8y2-rtedti zLDEWlgtmvU#RpPiDsrV(cjCQ{P`-2=ZS3;wtYlC~0e*DTQ#e=5?2L@gx0Gx?4Q^NQ8etbc|$9dj0}%>Ge1baFW_xd0SO z>mvqZa-_}2@DdA%8^aFa=>sB%n_zCj_VAmVuQBewJ2dGujQgQ1M67M9jK%I64Dt;; zyDo;ZH&=cGIKMu#y*cb+KpRr;56&gSQ(_#L`d z>0fh^P_C>#%W(wf8D{c~7{8Rw&LIkRX&(>Uor5|>8L^|P##qCKHPT%HEal2wAV#q~ zGG^SsC9btN$E9I-8o^3Z=IaUP*%VTwInl8x{Nhi%1gjhJl9AmahFl4ipdb7uiWUTx zE#AGja~YE9OYPYxvIqNxoZvlpa+ySixZ-=5M22wCHX)iQq+&3F!JrX|1es>%qnBrh z2rdsyD&HG{{ZHE~-yL>S?Yrsah3qG!=nM;X2d-q3aC@I=GbHfRPinW^laYJAqqE)J z6^zims5w{p@aks0T=8DNuC9U4l~h(t`M1!&)=@m2|2Ny?9cA|XymBnLC=>6sAH`oLO&9ayOIK;S~831ai9xLApKsi!gTv_6iFsxaM@5|3gz#+&f_ zImPCN^9NGTeixAX?MQ}H5<87t5eBg4DPUb=Z^2%&(Y-FbTCnOt{5{6(!Yq!XQMLqd zZb=-6gwXU~50LFBUS{X#MD3V_8W!_DNz;@`@!1{NFpOXa5tI|fmgjbL0Glw!H00uF zb05GaUIYkLw&iBXINMrwhBO8%du?py+KrY~SzTSd_Bu&J)eX&SuxSF55J^bu2LQ!; z0+YL*YKK?tZ0(}d0ood01Rn^*@%xWRgeHG_3eVMFMj^jz$RWNqPL~UD76|>pw(%2D z_@4sKKjF_&n&=V1Zz8K&1i!`2Jj=iTM(2p&*sUllXlaJIIUR*Rk421pigO zc!|zj5W)XMa)Jnc#zqcDinsc>PKF?nw($_YI+DA@0fWmaKyVF3DQD2t&D6Jz{Ehz; z;EvA2rl+}R>~{XQRP6t%PyU39pH#nG_+%i$ zkh+i|@o~al6V>CDhWF6bRtERI68P9b7VWiRx7J-0ftkf0x}Ivyr|$j&-3`%f9Jau~ z?=74ge;c(D<{x|#ml2fwN6NSdQBX_mxcHp}`XXxT161~-^ix4UmGsjm zD)&(?hzNdKg8f1H=P~Z!#2P4Sj*@fGEfiC>sOG)@5_(=xCrvP&*`Fm`) zN?1uUK6cwFPNY~J551nC@vI@zujSF!rJO=el>7o~{W}KDdeya?T-HM9w#$5}sI6A;8X()5#|Amv0o#7k_l=vz2QgCR_mhf0j^3#j>AYIvf(l8`ou zt|!yesnqJl_9A+EDLuW6p1y~kPAB-=!7J%HpZj(bUEbV@pF%rI?-o*xMO1@H>UP=s zVtTrio|aIBusx5;M(MhY+gU^JY?cu?%>vgB{qU~7qp0;`*IG7qXYm-{!5%HJlF(~9YnMJXzEBg6P3vgPaLM;FNDA&WqhC{c#BiuiLq z&RQDBsQ8O`IMmK74F3^iYFlN4Cf1sdSFcs3hMznS{fx=;q6qc`$Bth#nIGh@-+-@E zD%boa_<1isDkLZ@pdZF#Rw~XV0!t9oEnC8qL*E`^PuJD-+S92&@1>_qb$kxUO!TOA z$?G(T8$)60XjVKP+9ju!X`yKmlLNmgr`I2#pAXYd75#jWa90_Yi=%%!#G%ynK9tk_ z59KPR(W|29(9BR6!-ByY<&iBjM~2r}B|_aUqMs7bA|={|X|Uytv_6N(mfPTk;D+Y9 zfeFD^PxK}P7v-&kn-gQBn5p1afH%Br_q&#q$FT^5c@%c zK$6I_(O8U*!PXRb#HPdrQuvJ{P$SQip6Ai^751J0-PmM1V1}X}LFGt6b(Ipom%_#( zS)~P%+{9hpjljDJcsG}YRWGvTdKN|txc`PQu~#Df>wzJfm`%-VTon6dNAOj~>SQd` zUIE#G9M~0<2>W%YI?7ruZYRAf*Q)d33fQ!s>@?Ef51onec|;nYUzf(`cesJ?vH|ct z{{0VSe6~q5=NoKAJi}Jmx7qmov3&7g()j#2TM37I7@wGGBI7fg*=8KcgR2O+1#~rs zt`?CXM-C?XkL8PWLv66hG%tyVk4O{rE7BUmriv)R`e_Oc{GX+P_@CVU1Kfw>((L)3 z{PUvx^D}LNKF22L1&sOIsS}&o1pTVA2T$+-U*(4Wm#@DszwjeAL0@DO^e55;eVIS{ z21Dx){QF1#-Nm5#lrlj-B~8$;DHC)yjd?D0{io`aKjTXOqkh?%`yXY3PGxv(BJSBj z_3j}o@01^*{7VtsFQI>sKY1USjwp5=*&*-cAub?G?pN9dy^U?qBF4p1YH%6cn4A@hbYMrk}O+6O(3Wj641?o1tHpW++TeHYDK_5O$SS z`d8f5zb3RmnkqB&Q*4IrmuBce9^fHmhJH5CDZPWZ94Zd@Lu2>am;oi}P^0b9b;K9- zJm`;Wd-M=%n~w}y#jma1QoXjRRt4FlgP7SMwN5eDPQqRT=*B39FdI438G6^k0V1>W z>LqL^|26lkV(|T{Yp9Gh)mH00)cWe#PU_k8z#I6wWFb!bz7Rq;6yd!iCSp!lsRaQT zL%e;GyH`21?lspBu!DEJ!5vJIU6jRuyAWC+l%O=3iOxpOwXb&j%Ni(Ct*=GaaAxy{Kno!cBq>!BVXkR+B&u_-pUAxg5q1F6b$1@ z2f9YM%$lX2dRGNFhIf-y(A?Bmz!ANI%DPxhV`agd8)nUxxwkddO$BRe>kHP^Hy31{ zSE;XUXqr2-rf#i{zVSybw4`?aa-g8hIFfEm7zlEwyqBOi;}14wz_7McZ21^ z6njC2ggXVPR{5+ah&^vXYOtw>Uy?CIKMA;Y^~mlJX4^>*Y+K*1&xyV3-MEO?Nd(a1ngu7ET(D0IPAS_Co9%)&QsnYur#| zYtLyw^f@bl<@YS2O7YaTmi9Gxx1)a4a|h`Qau3+`Xvnf&Wx~A|zdg4a(_c z`DNZPlQ91TVZK*hLRe6YmOJ&Z0iMP)(IieD-h`|*r}hZBP^&^GrqIWExykT zBMk6?VT8c0v7nGL07<5#*Yw}^0dbrcB~Rm(+BzNHt=$l8N7{EQ302K7zTi&?#xfyg zfMh>3Apfo}Aor;t0OWYrn@r166YOJQ_|Z(l(50|6+2uY}4BAjhDf++@vn$;(nVACw z!xJ}7dK=yTCdpx(Nb<9%q0R1e3g2{|p#NdByh)IrHH`I~KOwAhN=lzdHz9FG{N!2& z3Vy-g_kniIU>7=%tY8BmgJIBZPoMmB3rv6(DZ)MvjM!E)etW1aZ2-84X7qb^Y+*6B zH&oWvW9S<9%G^;3T3I;C7OHO`joHu$Qc1F0Y~y z!q2OOpWZAFw&8gNbq2d*AF(h8GXhWH(5F1I+MQdwCENZp8n>-a;kSRbHNZqRwhKP# zA~78{*3{KhHq}(DC0Hpo)^Jg5tX03_W9h`G8_$WMA0tbh$NkxXkK4sfMl8i@wU|ijJl$yQC}jD@ zQ(0HpxB=r)gspFt2#E$Tysz`{u9L%yh9lUDVLFZ;b#8CRsIJCP1AKbR1x{i2o@3Fk zv3lvmMr!J!+j%T`%(X9{~begb5mVSjoJ@JLj&V=*;9@E zH5+4}LmR0wq5h1eXL4A2DjC45H_xT`oF;&u-oz&#%>`ef7h8t~D0V_S?H8V9jOB~^ z$8xXiIIEx<;ia0!CW;K1kp=}f$k~e8`q~&khyOfoRj&<#G?`bu)$FN+k-n905yPse z+*FA?uZoYwD%VvsS2j{;NpUu$vMUN?^-SeS%9rQgy8 z5wH$cRv$K~A%CQgZsW=$viQhEYA#kp@(6ak>mDsV8)eUb>?mtg_PZ z^a;b>!3B`WAVhDy;P(Ww!YqL7x`6XA&IQhM7OB6Okswt_w}>I+0YtkQT)!DGxY7-8 zzit>HxD-A5h%B?h@Trq@kYxgc3!@6d!1ss}ua&_4d1+`n$7x|B!%u_KHXl3M5hYH(3x}IXj>m#7+T*0z zdGshYED2*zw6Igum2?(%I43(mt+x0*&d`SDx)(>mj_Y)8jkNFNnn?3-Zj&l{CZpmf z14e}b{J~RhZ9^5u#S|`j5eGj0KXZCjk!G|*#F-og$lm~`J1Hi@@T|v9^)#I%w_&AC zOY|l)xC(KCUnp9J+3u53J}(_R1#!@H0|SgKn}MlXBhL-N?3{3bSWXM(*0yS{*6^W*JZ?MZ3s*D=7~es=+` z7617J@wTym_>TbbUz7plr3CWkga>t6V2s6?I4h7rnynz3D2zBParEB@)jwC`4i#LbiL&~&L;aC7`APFKGWcJsKqsk z`sQr5dU!k)aHn9TxQ?Z7kZIiPV_zS0>zWXSG{`4&Fi1Eq&LbFCP8h>#OhuFJuu`tk zBgTu%>BZ_4&KmE2qXip<-`L*Wg(8Kl8H(eryQ3`E4x>riDjv|trAfD@x#_M7!_6?I zkHazXKqAuz z(ox=%5WGmBo()rS!?j0Y4P#FbA_7I}8qxlOuoRTOx}Un+tLgs%*rRtb%;KSruDt-_ z6`h1wTYL!KC-L$7c|0>SjYp3_0<56|i%1%qB!Lp3?#;uO-y5LGc|j3!!3)HJoNnfk zGibOvz2R5;ZaB(4?jOaqy`nfefl=Ju!5#e-x3{A&2&7}yyJL*Hx5|a7?M-ae)vT+m zx=V#qlp@xwt9W%M^=tvOC5{mp$&r(p&JhS|Au7W#>vDm(TSy*>#c{CfdTh})vXhus z6o=HdS3Ackugbsj0#)>c*2HZ@i>)~v(y zz24BKhsf6BRKtcetB6&~1r=)Ot1raRY1;W@q6H(EE@ji|Fiuo)ej!O1zjAUvh(Y59 zdlf7sF}+kV|2(jXZDrxNhtZ{J`JITQcm&{Hr3-x{gjm}ENLAN1)>J7`?`kh_yap03 zRg6`M0sTTjPfG=5>q?cTZd8y_%`cWuVjgvV=`1AtPluY%OVWA7&ZBS$@IU5&bxabo z`XULI0fe=h`dH&#v))JHPZ9!1-NIQq7PdHb&m@A-w8a0l+yn0S(EWd^dzoro-Pfmj z0iqiqs90ZFUtKp4N}~?GO<#w6#PR2#s(SHZo3Hd(yKN>HqBek?W7cu5t>VXoQI;$&9qUgjUyK60qN#3I3gS1b{py)zr^r zvC761VLdn%P*-}-rF;HB=9nKo1R1TXpbGh0YG7RhH8892kGh0Y%onUDjO?TZNiIR&ZQpbE`Or0E@z^T z49+%l?%LY=YW8zo^HPfxMKM~WCWBk$eIzImk!fTH(BsgfWS=kP^<{<4#k~ zV6XD`j62OAA9}B!RJ~pRg&B1gB0@svWZ6jn9*@5`c#Kw<2^F``2g4u6IRfNg^5#p_KLaAkrfj07*Ecn7*Bg3&}FZm z+}a|&pO?Ak&t~emyD(W^(DLN^n$4K_?QzPgTt+>#?GE~A9-;QS=IR=#q*%=sj;)iV zm)9)y<@dc<;}II@ErHywaV&XGp}1}R8-9B*@%c7JCW-(5wj8xA4{>Ld>0n~noWgT4 zu1xLYgz=jLbcjv7ywq6`fZY`8}dKwXj`JWbG@9}tsd zumT%~UzmC=*&SKDA}VUb$BJ}-jMrB2~dXTfhvmMtk;5{X8Z<2-Ccwj&9#1ZTCTm^Q9s z+W7V`ZJblus6uRT@C4!~ihC~uQQ%A>ENc^U;&j^T=5SYJIVSkXR-@DZaBS_2PPr*~ zJhX2yVmD7?%I^Ev)_w`1pCyr&(()ap$#$7p0@1QLMf-;4#Kkn2tYqD7U=yV%lZm38 zrwk^Qr*O!;Y^tR+7|+L!qU?OPoR}JLOX_kjAa<1GetGTL)owAjy;WJZb+7Ed+n!z5 zv)l=kTkS7LBUK&L-z^F;N27mJ-S))GJ^NSW*jBZ_y`rA&J&1D8@pz|s?H%daUTl>c{;v-pc5QeZNS^(=Q7 z9JCH{&YXTEyiXMW00Q9(__m|IG@E%j#W`8aa8}-v7!gtZ=Rq_JmW~j2!Yo+`s z2F&-{(KaEA|G=vtFX`Lnx9GkN-+BS{^Kh;2Uoq~@@{3UBILg0`_hTG__l)%i9HPw6 zh))Oa%Q_S~IAZ_EwoziMjo!Xg^y8v`EBaB<3q?OH`qv`enM{4iLtD-~sRR7)N%qad z``On+w&0rSO5E{NvK92Z)p%FP?{bPh7p&uV{&whoE801XviQSerTYhPPwn{26Fncn z`wqN6j%(NsMQx&dKTv^8pr59Vhstfe!Goa*Gis+B@7wU6=mGtY?guBMnIfYZ{yvop z-q?FPg*Z}i65g+r?Vvws3X)-^(U5-}bRR>#I@G%#*Z%iKcyvlFy063iVb&qj*P8%4FoHo=9LNMQqdn&?**^81+RMTHdR#*; z7ws3(19o{E#=FtOJl+vcQ`s#ji?|5N<`uPNMGxAm2r~PF_G5m?c>Qm?+v8no<3Zf# zpgld_CvhJ%?mK+<$9?zD`0k&@y_OBs{>#R_$>THdjTiCJ(|A8!jp3lZlDglP1!AxE z4MCTmx)uF}eI;od+>Ej>Y0-j*ZGyy&9FO_EYJ9JFEcjywcnbq8&z`-Wq;K0OEsG_o zvY^T=hV71Q>-iu2>knV}(ZBuprJojO&u$yFf8+t@;D|$^`?5YA{ERq^FB2Ti?-HFH z$9G>z*w!<+F3O%>eFy`TtaUIX>BO$Xa5Hk2u74ysxcdJ7Oh+Hep$9g$*w$8DN3)%B z;*nJ@XKchMf6DYgjK{b}55dZBx#HT``a?lr&A`>DS7`MB5gLGKqC?@hSP z$M;U-eH8CIfY0*ma?toHV*Z2n0bxI9^8Ov!CYAoir z2qx8d|0>=WGcAq*S&_zpB@VdsrH2or-n@N~HyLP-c)A1c+y2tF9>jHVc24`5ZsTXD0JAY zQZ$-vO!`jFL5-`a#rN3)OjC<>^W$cFDn|woUA0BU6_u~EMaZSDi$r7SXsc*?-kyk_?RCdN;+j+);iwJj_g}B6P=6C+@ z(fw9@Z`I#P`;5j*_c7elagrFX%g=0$7z~}Mt!GiU_yNzy@x$^Jyr*;6rLF1|wGoqf zf*GKZh@!TsEFH@3;F|hH_jD#Z$#iKaxvI3-Bnn-Y4rM2JB5otU;~7akzC(w!(|0nB z>2Mz8G~U0I;r${!>%e`6sb1p)aX0# zyeRu6vNI1sXh;iFTbyD>U#7R)E*&>I6>ZK??XJds2d>Z5_NMv|PJq6{S(H+ik*!L0 zDl|#ZZo>%EIFn#W<>~xLtq)ClAh~-Q@9EsZ)wpI`mV7CQ|Gs<|sy7?4c_gDJSnBbw)y-6x#lf{T!P1t2Azx>d}Eq1P}U0_jJb6 zPFx$Z$6ka6wD9qdiRx{=5O_enJ8&&=0RM3SICui}=s=eicJoqhcwnbR5tic*SB9yI-2w)>1>;*!>8x` z@TB|a(8e=pgXm?Nx~DDe3BJYIQvq4ByJ8wCJ?Lx^%H>=Hd+=M(QLF=ItUoxyv*Jk$ zknfFzc64Z9a-Q0(Mw_%D`+RP5Hbe^f?^>uxStS+?yv-SC^GUQxJDXFiBL?49OJtaD zJ$zR`tcp~&`;B-{8`!>t>rC(4@Sb*s?auI?`u!N*(H}JJ_~fGN!ZMNkD?9QRB$K$_{T>4SBLkswI7Y0 z;!ctt?Ja0si-{ifrlITus7E{QJ;?Q>jb(c}wMQNL_KP&0H@z6ADNm^{r}3V4WGh!~ z67Y(~PBo&hQnpdKCsFQsE@#B$jd;6%tkY0-)l|f&Pur~fDBd9F6)Ey8W6J(I)Tet< zhjzB=uTNAi_?GrvFt;TR2N8*f>)v43_-f-(-eqv)M=SW}b3LzL z#m`XP0^I-CW%T! zrOU)d3Z;j18J@{!y3=K*Vr90JQDZc168ZE>&&kUXBSM|m(2t^Qm!63tGS(q@Jc&BA zWO*w0cb+Rl#oK-BfY(ru7T!+x*9-QY7m@!S=q4;e-6H$we$O@tkoraCWp!J`H$%feU&G&DhrZQ;IWM~f$m zaqY<|qBsV3MmoyJ2WbUkfBa45UO~ABxNVP4`_gSRmOc2Pb&9LGp2y#uiKOO@{bQke z$MHkU5YM#UY1E_Dh4c7(uAU?VMSU%^4LAD=$ZXV;aPZ`p$*^=~Lp@sMbtbk0eeVI(qxDXG(cUyw?q!sF4%e`+ zwd^6wgn^~oIx<=FjFErV56|RZ1^Wm~Q>cxi>>#y0cB*HRWaODUP=^-bv~eAS7Yt&_ zgoi_@N6TRHn6C}^cJ~h7Y52x-s7K37p5X6kTCor7@%z6;btu3`3qoWc-Tc2Tz15-d z&qEzrk`cqTnOkHV+%rv;qs1B0PSJK8OM;AYo%+{?wrQP19A2Nqx^27QU7}y!)d&y%wIXjISFyBm7Gh^SIlM+>dn3yatg_zI~g}K;LG2a zML8GbGV6Q``*5$}fgXLCgf^3Clae>*;o75H%fe2IwR7Q-+tA#EQzYxxP2(Th$9-LWP4%?e`j~Zl0~Ufd)P10`uDQm- z3VLiYf_>L28j(!Ri*%Z=n`_0^*EUsDSH>!hMyM{@ZmO!RtFdmau5K)@UAJEM35yoc zD?Y?j)}zkJUTrL*cKCO`wT5=#!|7~jr^&(*8s7E#hQ`>mw2sz)u(38)6RoLV7h7*F zyS<`h?(G#Tu-&0mPrvFp^}l&OcYJMKCAI|MCHYv;Y^`Z%s8iLV72Fi}I@++=eafv- z3%rQVqK@*QnriQ^p+!=1^zw8M2KUFBtJh)gfd*PNjgB;|UE73}VR}6Lk?ZDG@PO%u zzD3QueZoa;l?MQZem#M|iOX>}Dw-_nmu|-eN!9wwMyrXz#HgST098xN-aqa3Idi66 zKWpx++0$ljsBf6Le)CM6!i8&G;3OLNA+EPfo4Ib<%w@AJA|yfve;3U()~v-Y?{{iA zOL#GG=iI=B>7_28Y|li|4Lrz}{m8AE<4@iq3+f#dBs?L`zmKt|*#cTJeFB@`^b( z@JWB0D;sMH=-KU+*x0MGVr31mzNxmlrlDfJRoNVC0HUgzY2O3>i(N@7>#Qa82xP?j zK~`H^6G(QMv0V5##AfN@bfYx+`i5e{+!esuxwaz~0kdoa}HG&vk`meqA-fOSD z_S%oL&%L~Z6VbcpyUtgv^-A)KvY4&etmL%)!t8VqjP~iLFn*w%u|8#7>`&zHOpTqJ znmVfqt}6C}qltpb%osLCmD#@8!KgxVcw%-++_aJt`ns*Ys8-S6HJ^?Y3lkrXNOfg; z$W|7uApLW-NKMp7#+CiijOp+>g9X?o3xjPkX>?#bqz1=b>)^Ol5Ax-Xima0^eSJEr ze0yYwIZzp@jg8f`2o8;llfwq4XEohEG)tqdj_;W^Erk{JYq-zqPiPP@Mr}oW3%O6Z z{ymc8&vb8POfjySYNIw zJfInTabijXK0YuvlQ?v2LWWeP>mzcLBB&wj8-^lp1+@#ArgPgLoAU#n{2 z+}U!Wc)t5wr9@sba6|eN#Zmw4)Reveoy4jdxfoT3{V(_@7SwAW)0lO``(AnHeCIhE z4q}FuE7Q9~9*1Tz#d2fB-v(3&sym@!jT5^=MN7$m93y9p)#fhNW;JdD zbCVO(vr_|13JLY+{@9B6&2u?SS!RV|ZH&OtswJcJU9Fjk4=Y;Yaq75zg*7UkJ)e%S z7GbF>cWTp9Tny;1?W;EyEWx;bNron-KGx1KJ1%DreS2c=?8KRU} zyA@j^3g^C~keTs~e^)Kr2Q!JSC8~Ay{rBEEPn{Bbq3QVc`S&WF=eo~zM(=l@I`x~s zVf$dEe@tUGJup74jq#M3;7c+FdAZ`i%(-OH)6q||XgtlURc24TtJS}2VtRzH2`7W$ z3w+w;ROfey`oxlpoEG+&k~i}0cXF0l7pH%~9(pP$c<24ID;8=vq}*@x*rf zJLPlbbCqJLP(E8q66eMyXFg8&u8GNLa7ue!H2yoY1G59~&5mjGSaQAMdzzBpCqo0L zBg9l9Zr6UK1>4JF%I+}#IhZ%}jph@a3&tk+ zBgia6_7_b4^S;j9H#;&~e_cP={Ojr8vi|kCQ@>gH&6BTB_P;)=9e?h1et;&;#S9hK zoRBHgBX5bBO*k2Lu{J(q>%^=Q>hZ4y=|8~^|P6FTE0G-xJj zw#yn?KK%Nij!4?y`agVqa%y5mf6rxNO2UtH+UOe@9hv#~w}(gc9ntX!yUdJ}GBUBp z&$NC7pPZR`*6Hh+cBR&+Z4A;`NNuuK5aq9Hj|HbCV}#SR8rBJ4yTX6gccp!h{7dc6 zJx*Sn;nHsrX$zl-w5T#}(>jaHRHm}Pd!Fyjk4(Hlbp;-4bHA#Oj7@3>@P~zpe%HHC zyJ#ZAvCsdFb^C+yZ+KPd|J(JH857lBtOx#O!#8|C`CIRTTN91xKYJ>qDCi*!04uW6Cv#}jmF^C}agb^VE!dekRf z9Mcl5v*VT?)8QA_DfTM*L*|JlubGt8xrx)bTGa7+s%{P1f~&*AbZu0pv`p*nHgpov z!Ds42ZS!LTW6^A=jm(?KY{lZaOc7OQCT0|&)U;=Uk@{RTIIZK5I-Ho+KRi30>(k8C z$XI1&SZC5<^*WkO3QyLC2Bv@T0`a{oI;NOG!E51QL;Ln5kai%#&-f@UTl=V@vEXos zgUt~dOFh5w2d%X9R0eDMrLKB3X1$Hh%h0LLfw!;3bW>W1%7CT#s`Kx4Vk5HJLo#9@9Y3bYxbz7_Y8%e#OOqyo( zr_M$uKGJVn1=di3Ji-xsdhjDZ6zMi%hDSEDVphg|0JE0)d*dy2~ zhRHfd(|Udy8qpwE^cNs&R)1C@sTTC;R@V;EA0>wCVvy%sEya2;#BddsHC*ZaU|?!O zI}F-o+4G+8e$JDWPF8kz_WHp1?AUZvpT@a^{#Qh&IEs&IQC3!;Cb|5k?$2`3qRXF( z9!dN4+&Mim_%TkSqq>~ag?4VRA)V9~jkRg$nhLO=@%owRLf? zC4rv3M{)SyP4C<00?1z2YA$1dIt?Fh*4{y2- z8jEJsrY;x8Ctj?ZT5mn(SOF~fWZHCyLha`?Q5n2g8`Xt8yR~IcGJQU*)cWNX-9;sL zE6GiRqBF_X!ywAIud*8o{;6mx4_EjbWaRNEVTF}YH9vLnM|5{(s%5(!)wPb_;`|&@ z$e*nn0-UNvBh#Zgz9jcuEXCZYX$o^X z6@*iY9l~tc8`E<=6?DDCMPWG2j*r&4WE&s-aD3w8_=}IG?}h59&aAdb`XMVk*}b?z z#$M{4f7)Av`kjvOQ=Na?k3hcECu5_(nmhgRSfAd+XpLue!A@QB4op`1lr>rF*Gm?* z1^uf(Q>=R?ElK)IoC7m@_Y$@YtHf9bT@?aH`$?t$A9E$BMHsb{62uI_fFbc;GM%x^^Gp+^cNuyd&D66 zJEA8N&9jklZt``V!dMzIBvhD84RBM$do-(Lk~h=5 z6L7QA@ci!by*SxspHaM}DK-bl4fevCJ! z`gL-g*6}gu!#thaj{jUrS$m~b3SYc?b&dvh_>cxYew|cKTh`k+W5zMofVDyP5AMtBG}fiXL0;&KT2xjJ?(Fgw1`dU#HXj5 zi^F z)YCkwJ{lZJ?gzB==B^d?O6k&|9=P=~mm3BPycaTnxFuTE`t!TO4;|K9R-G5EXpWK=i~xsUR;y8P!^@{(D9}_HqrxXO^?&|@AI4JKC)VN ze=uERKYP*ozRCPNPPWNGMPpvLVI~K)waV%R?F&@b(6DAFpGA;{gp)u0(*^0L3~;et zrjLw-jOTYw+K7Ez0XuocuNP|VJ;{}Wy;rL19g-HC$yl(#Z=={o zU*9Et`sJ8x)42xs>Qh{}Ko8gTK^@ZG>8iZl-d;KXUg=!ny|+6n`ppDA({dI(ZvU!p zD$>R0!wPTrR8H{Wj;Q?|d&?&sy6_s;$IB{%I^E6osqmmK;>Ep%OwLi=@_Tz~f14cr zle=?x#^7={_WIzYK5m4ejPYJm&C=Am;<y&-V-?^UIYFRY=!P~$dSnN_JnD+AxJ51#na z85#O%C2d~nVeL8VSjogSXW#8&Ah~j^=wVDoE*|B>a~)I-ggRbpRE)N#mY&2 z5Jh)ZXp(XhGY#IV^gmxz>f$Mj%AmC*wfY>IZYqOLHHC2GDG8UgPYa)t*sYnjWqI$G zJ3U0(nTqO;J@ipdizj-1pijV2GL>gd^nFPa%6mSKX;DZ#rWXvb z&lO06BXf0q1}+)C()%n_dcqr+t7*yBhVR+Wr;7bV??miH49l3O5}&{SuBjf?U6i>i zN$bONy7bTs$APhtshU2Yg*GCk*+wo^-2Cx?i(~5&W7-V)9wm*-sZ|wSbR0kr)csCe?r)$O6BD1ymIT& z9@(H?nelsMhI;=!G`Xvaodow(@zb4z!~q{)p3+CmX7rIp``oEsY;)~BRU6wacTu)O zC&hz~FU**l$SJ4Gidl_^zX1*n<;&!IOj$G3%<)pZ%74ljgh6>w>b)Xomr5Smq?6L& zmoat~;IjiszTD{r#dtK6X;lZt^%^a&H+fN|fI@pdhePdCBD?MO-}k_h?8o9|J%ZtZ zny#ux#`LVt^Sq}+FZpRDU0~{G8vMh(q;;jtPh{E6dcO_^JkjN?;N79D$Z7ZSrZI6^ z9;~(GgON!62b@x+h{A6V>McJ>|LnNlO>obhZ;Wk8jd4G&w?3nzebR3=Oo4F}$wZo< z`hLKu^i1W1_Od&#H~j^2XY>IF`!F*f-pm)XXGFCx8*{%Cnf=N!4^{Rl^jt3YV)B`u z_NNBEA5G0^fi_FwK{J~1cSGxgN$q+Vf4J)a34 z)~6e!T-$)|ajmu7k6eF|@#$KdYkN=Ecte@Kc;<5FsmM?3H&$fM$Y7N;J<`E(Il$obs z{laqQjOXeIaiy+UoVZf`QdvcJvCrd$@Po%+*cE;d`MESD3)B}WBKr$F>z7Z@V{e$0 z{hATeQ(t13&qVt@SD6%knLS9e$*8%aFNkF6)?Qq?nHdG$cP`hy}d$SMc}i6OZz7ZE*MLIQiW{ z6=Yqv&u6DU$LI4nr~2t4a#1c{ZKXd`=S_R^=`t9VxYz2~M-%dzcw7jZiak5{_K~pm zg(G)Q?x)RmHIt8Cwe;Tk_lqYg=X%ec>nd@1Q!IC#D|DYPYBs(9Ug!7pkX9@`Rp{JV zebw;XcQg^t73>Q#m2-u{Q>D&#cAoo=u31b)z7JX(zT|h#_F6<^rOt0Zvx)M1=ilw> zJooN7U8ixW_6%D~g}1w&opbi>a`9Z{z4uF%uEM#ZR6Ny#ch48!?mYjU_oTc1?b6%a z+&$e*?6cfw`2fQU=iY9w$g6xi@BPlVar09x70#8)h4)nSe6gr6P*_j;YIP3BHk|1* zi_WMzNj_CSXKCr}!gtQ8PiLPl)KxakHg+28FE;00m9#!F%3oTN-oJCMbhg9Bhv3dk z_3xWKWd=Txy~Sr{oa@#1xAbMk=kr(byZXN4GlyN*aOaDdu2Ffv@GKuzp7!G2;Q!)5 zxBJ^dxvR8Cvv*mlGcQc~bJ>>!{T>}Q!YnpgR`hibeP%TItnJ>JLDW7Sw79pYz!yUQ z6Fy7>_w3teJI;BXZ*%cXATyHBHaRT1d#yREn6V|p%upIVvk9&R0==sFb^Pc^h zpZ{`jcG=?>yHEIO-y)BjMazgQT9Xx}ALe_0&vHrz&)1&4_yj8cB%fg|1zcJptLEPjs9+oUbMU%{pG&$ z-;IMp&&+g|gU+O!YxMy@A^if~m>%GMA_l3AJAxJst0eZ{P5tY=NyjYMl&_I@=7y?J zEjLh9aZw>_W0yiIp#;1p+7}gX2)t4;RLVDl&MPANmgQ#yg^OGDcQ0fHz|TN5;l&t^ zKT@8vkFs4Z`hr}3nMgwp@Q14RMcshcDP?s^Qe^*y*0H!XEm;gD^Btimdg(}}zYz2z z&Z=eoqYIlNSp{4+HuWjFGbSnnTO#j0oR>|f5oK3I(gb{gCQL@vs+BBW5}->11#F8b z0lI=>;tBKhvSlBSv!Yo?__3PDA~g>j*6+jYi>km;5muLCxHl}L1hgAl1-|Q2fM2rl z;-TqGbs5z`Upc3*jJ{B_oURBhk{%Sj98IamsrbR)oUPa}Cz2b04P&domdN`Bw{00E zV8_@h@UM+61Nf#W$P*9mqqg3W7cKh`Sj`pR*puN?Gwji7Qx_Fa3OR;t$pZfdnECF^EJ^`YR%8&=@*asB0N(aX{P z2fwvHir&;8#Xn&=ZGz*@b_q6|y(M_$>>>R;=Sh*8*%CZy^5Ij)gpc&i)bc)iv9VKq%1$UKroEJpu$uC)NdN98#(xxyY_!DRE z2p)-2omtm<=+7PNPY>`=lorc6*h2>&S_jkJW+v%{+M+W%qW#guMhr72`!qKe1XrB} ze$Bl90p5RPY|mo>=Cg?jT8u5xiw!fqv@N*eEU;tj2e$=uN=*R!&HfLvUX>WI6_)}& zcBO3WN?F%RS=UPR(1-DZFpR_!JyKJb)81Slth_fpS#L`8=81Kzgt6B#E&6CahQV^V zr#a3H?!ih-GkIv+5~1}bX*+BhYYS3vNu&iJ21FuTk+yOfp@mZAT`+_6#$|xC|T1a+7Ri$ z$eg-$8qy(J^G1hJ4Q0a6hIDXTt9o?A zI|ne|UP=1?)-T70Wl6gmMx%E{@?WT1RpA+{TLy^quS9RoL??dbNKCvhdEScPk+X;8 zr8#q2Ig8;k-G5-Ml!=2?Yo&Zi;L%!cRWQ;a9eqM<|6}vO?;0gT1{_ZPOxTdDQlvP&5`LX0kkH)Ei^|Pxqe@kRu9Gt7o?^x+OHR1 zG0d>(EoM%>fLn01dI5MZF5vH8k^Y8Tb9_Ne-P*VS%msPq(;3YhUjS-sBpsrcqBAi& zkFQ*{I|_B;Tr-ocgTNKbC|+}xE-;#s)&(=pve5Wmm`><3iV`M|w#VbDgPmg0f+xgg zG114)gEmP~NT`bqRxe4@vc+5hxMghpj==TTGyU~Ue?3!L&y?1K(j8U5YnqDGxo$QU zfg7Ti^$7V}UrSG4HdAM`y|%T2x-Wi6*??=H38D>@MUe)N;*8!`L^ks=1b!yzju#J~kpSjCHH zL^=Wl^TY=0#A4hjQ&;Us)JYo56q{Fi+LR6g7u?`%lm;{XgPH!p(D{AQAWB!PsX^e6 zj4c4fhB`6x%HwQ!s_Mj#MS3^_=+A*@K~DHa96A-!q2-Xyn(q$X3t6F$Asspz(xJC? zH90EUAN`~?hM5sHML+qp8p9DR`=WuY_XF5KkV#7O2SrvF=8#BoZ1D*(K(masr1=&J z{U&WPt=7dZsq<9mpr=CjJQcKh3dMNSQ$aVg_?Vq)O8`G$sqo3?94VlGjBosc2r(JCZb8lf6d--g|TEd%^U>24!tBv}$k z@r4-1OLqe+K^_!S{f|Xb3~Y#YYs(6h^98bgQ01~~0RGh2GH_M2TUk~hJw{My$Lf@T zs($)ZYZ@>t^6GH>W@>J+quQTawK8zQa%(`SR*ti3>GoJk3gjl&9aX> zqw++;2WPb@{asP+Fwvsq^V&=omaTdT_?fX~fFFF_?I|Retw0I5Z)^>CB=WLw|Iso^ z0KW#CJKAR&%4m3C1*<^NP>wSV!pB>(>B3Y8Ze!f_=A-O3_ohCskhPy%CeeeY93XYebEp=aW^(pRL0ZQ zx~X>0+8zMb{=Qf1j^S>Lv`Ya&Uv~`GYYhzm*hE9!>4KUW)r0m{)t1q#4Vc5Gu>f=i zIn08|i$K!-)qM9?t^1>D&NRU+mr_i+!Lh* zNjwy(Ah7)3CIw-xh%{P2)7eB0b5x{#2DlL9Fr%VWF^Nf$6adHC69q7HqJ2?6uodJm zS4D|}rkKQaky-+doeK)YoDro3Npy+S1)!eKBQaxnC16=(et_99c@elC)a zl!rO=53Dyp^LGvIb!;xEyHfOyn@fL@EzFdOtKMrll)Y+#Hi= z6KO^P$3&(W=CmlafJD1U1%Z;t3c^%PUH~S89A-h3Dj=~ek^*2=WC~!`MQK42+aiq+ zup_d9F!xPf09Fe@ftYnsra*~pky-+-plg}e@&+~vsB5N2XDx$9dbc#$4 z(`)h~Fc;)7YbNgpR!hN9F*_o~C{QXVa+ta(?Er~Mkvsrwh^#@F>n869ZWvnthI^AD zFq0y+0d)8Zr^zqof=GP;l9g{YUHLXo=`u{DM6SfMla3zb@BV(&9Q%km73;>(P zegJHV_D8?hh+(d|9Jpib0Pw)r67W#8KbmR8Fp;emC7|VPYY~_;b`Y5Sj!A(##ts6# zHeLf&!Etl>0B~BQCx(47%pI2l_l*4jSnf7;z?!ii0LRQl1Hh=U9{_kO_jJ)KH?Xqm z*gF^Ffi;o#xiI@p9;Un{wl(c;+Z=2jiXUja9*XuypMDZ&?bnX?C?-UzF%&a+%OXo0 zR{NM_37Au6Pz^XEdPNVjtNS{Rbo@$85|dxiC?ujCC2xtWcG$9(6SL*E81vVy3nj+w zin9#XJ!3z}#^-~@Z|R^RQhWh7jI9AXB6X=XhG{ut9sv%COb&C*O0%Yhp~4s+M#z+{K%?$xS4CsH%4`jfT+4Bin$wv_iT z2_jp)tHbYV0THR}n&5`9)dzy(@0s+p;6lRwjuuFf$^t$$YAp(OpBjU`Vp*I}CfG5y z2KXH52kx4*#2jg{#cB{}H@3DYU_Fy_mleX7L{e7Q%<=g&7~+|@3bEGuO)Z)t?gf6L z+abjXkvC~(Wr_{4s%tcs;70txL~J^ z>Zahj$cn%mw8*Ujhm9=&9WK44c(`jZF>qL?j58vuxGCmxNWeT4siExjQRS?#C~As6 z+x{emV_Cpof(?-=+7`nK;iWhe+zVL`5G(quT)QG>O~emH#4uMagsL|cK6gY`s6~-O zAX%cM*r|&w0W&I6GynG|G2DVk#sEZ_>E(oQT4Ys*#XPattF#1cxw9!{eILdr@LSL}-iqW#tXW`7jJM;2hU zY~!o4@!b?z6`0#1?;qS!Vk#TPODx0G>h4}{z_f{U)Buhd+Xr-rG$P9l7*0K^Iw@c&F*)lAV{Hi- z#!!U-78#SX#B7Qr2QCLW%r%z-hixlWV=zZVI!yt|QE9mm!U7|-)A|Oh@-S?nk^@^J zYZqq6<-naFhw&3aUx=*IH+`W}BoZjSz8tYCMZO%dDzOR?s*0J@77kU`8CDfZiHxK6 zm{R3=X3@gI))3YP704o^N@Os%CCwtE8YZly1PRksEWyBJ6`Mssl}YL++-ep9)oj?S z*|1j`-orL5Rfdr9lQO0y^G_^u@4mBPH<0w0yfi8jyCuMbVl&@WgYT|uy=Nz2R)Wcb zf5{g7HhEKnajAh@ugi0mfVm;kqZ)9=7sg8sm`;)802`u}C*T<|ON4g!t_I0-_J%!Y zAGBFt0up;R%!FaLXQMGH=2l2>h`lXCq<^Eq_$DoHG;%F(q-XEY^8Vlx z9uhoA)k6ZtgSrIxDOM*$D32gU4q}jod1|)WD0U++RzL+#I^CsrVZPD(6opLHm!*1yV%}1`G4n)Pzn@XQI!83t!>cS?T6LAP+7Ux7P zqQrepbWn>aHzx<8!sks=Pw*4-xgxBrOo6;eo@XJ=iC9xvP;;U%EICowA#ZLfUra(4?}_6HbFTBISx84`{3ErTNDED z%rX{b_|Rtko4(!#9gGq@Sn}0x(-v5kH8R4g1|JqRugb%A3d|1rj!&(L(mNNKoV1f?|89Y-lIT~%+(P&u$G8k-J1kWn=SVIaA zJ`u^%$DhEs-?AsJFpDUh+e5b+&l)Ug*|ODxQKAO8;*QvAz^sb2Y5~bkwq-lnx`xYY z!)%CD2*4bZhjA<^F1Rr{5cPlFH28TFm&ctpAwA7A+geE1K5tspC*o6y-}pQ)KH>?45u^XdMaV`Fr zq2H7beTEPHHQUs0mNCkQese17wSFrillaj=jxZvM6<>Zn!Y9-VzTvPZ5r=In1B0(9 z1FnnoC;;%ZZ)G?@;X}%R2SvI)1~^Vx85kbwC<78-(gScaQ% zA(9x_bsoEBL!uAA2zNx9PC)XYuVaN03>SL9NW&&QK=S;**kILT7%2mGh-4

    x9Sf zQU*LCk{*B&x2}X$(h|fwF+hb73zk996A)yCz>wpJ4`Kr`cuOQB0K&zp5--O@lmWRl zpsGTL@If5HNaC=EB4*tss^CL(smPZt!AvxH6G-kGbTUDJCxxX3vB1of)};mm z%2-;0k>kQ1Lf5dhFbE7YNbZKp_;0l%!5soP526sgdrJvxkPPgWs-bC*?y znfJuwPhb{BY5`a>wgC90zm#5A;vq>CShe&)OMU--$kJXT>auC?8f#cvi_v z8fJKKL!{-0*Rej}pS6d@Q&)CoMz(@})=nUBMHcdyHf+wQV}<0B44z5DJb^zgJfhhF z&+AJj20W_`?*ZX?=a(En+k=~UEw&}n1>?gX#&8^`jK`vxGK0&&$ubz8B7`SJ=}LK zPxEy#8AM^eJTjU17@rug~cqHaYng!=uomzom=1KvuW$YlZ zEwawT?6@3w66D$CXKzV+WUt8E-VnDbGIQ^Xc@Pq9dXaWmWH~TLMGS(VF7o-j;mbc4GA+oSvkrBw4S>Rl)gwtx_<4FWbw5C)>9wv}xl$Y`eIe z3*%e5%7-Ji3e_ba7P(fqQIQ#VNzA$@T4R`7B2@wIxx6`sY0-iwInXMy$}q=V4x9~g z_Eo7f+MVho}k+ zY(wnU$*te>e2nd|CKPi`7wjAsw?$&Z=}>I;a<__W=)9z%HOgNR@qU&s=fFR79ghUe z1eNCKbki%|65yDe4oo;M(&=TZ0aG=5YQq9It%hm5ZPX_xGSh09cHhRm_CUa><~~B$ zsinWyc7Xy{l(<_*qG0$9%_k-jpVd$fLnJmF?Zi$>!r@hHxJVQGKoUkvY`EbR%l#%} zB{tlhiDkGLE3ph0V0oV02a`iO*iAbRMfxzqCvkSP)#p$`30&JE*D@16iQ#_L99;nLxo3n9dn$C-Q=zk- z3SIS7=%}YcH$6osZ;3nwcSodQ2mYf-F?R2VFmpPCdnvd-5UCWvIC@6#kf(xwJQcj- zseI4rpnNv5XG|>}IvMgqH$ysfG^9gULppReq;q3x`h&$GKiC}7!RnCC+kH!;ro$>g z7v!kr{96FM>(rnpk3Gxzw*brew*brew*brew*brew*X)KrZsfI+7I?yA{g(MC8iT= z4@D9#Hefu|il^0uc9D97)3$x+7aK6V{FNN%HEF=DD$F4Zf+FvL{r!Yg^4zv2vO+MM zB30(m>rZUP7qj=G3AjPw2BGIj8mBCJEt@N$4Z}$t0wB1CvN(52-tuMD{QSE$T|HmQu%dNk*00+9lahif6~HRzAFv zn9}E`Wp=BrANnwLE~ZxUF}0G9sg-<8t>j~B#bSyXtMQQnF<5DdV4x+ijrG?O!7Rds zIN???SOp&fgS&w+{_vsRG1WP4u~h&VOLnuQUt+`Pi4DUhHjI~8qODn^B?n+kBqiu* zPb`sk*I6Lx;iC1hMg33_9^dJ#*w9t6bd*653nYEkRbTR7hm2h^gGV#dMl&Nu*VWvn zwLD6UbF;878V%JStCNRp`V0bupANKLF*h2`R&)?(F}46;x{kk3keXeC!7fSjYSE?D z^xu6F!yOl?U4ZS@Gic?O$Ww5xeH88ZBgsfQzQ^v!^*s0_hHLfI|MN)<*XF5z|49sY z#8ZFqNetI6(m>JpDYc_ER0*zKq`O3*Q>2fl?u%jEs1imsxlw8p4hML3d~iEW!TISR zJ)ShH(aa-Hcie+x6gaGQh=;W0a^~UmuY){M69<3S>;-<|Z>F)1JWajkb3-qM(d{I?C7isW;YsS_9nv)c`>C(_D%{(ws326U-f;FH^ zJs;^D8mzL(d87D6wLqbXTT@9c!T! zDaTtV(E@d8Ayi&fDN1X&0q=Kz$h{%aJ0t_gwmnGB4$aCDu|6oHn)qoDu2k^-VF1yRUFn>fXImz8dnqzKH^kfy37GpLl?R>#`H@Kt zv&d>3`@U9QdD9Zt#B@$4iAgbcLZVroJSwtcU1BOCDF&8;d{xXPmjfF?o*yFot(O%R zIk*WPFZGJxL7c>L7hHrqcnBT`;T8Dc6!_p3_}~_Jyh88cL+9Z`-{C{o;X}{iL&xFi zH=V^c`br0phyR!-2Ngz=HGzbb9^;!pLJ|2h$~Nc9Hs{KwpC8NVZON5w$(3yhdhb^i zN%EftYOR4Yn}(AF%&Q`;gaFR9LJMNnLIP%8#LgnvGIkKy7Fl_MmeH^TL3`H&bgcn% zPoy0hpvzV$*!EDiJrUtQFqtOnX6K^Yx!$-W-EKlD*VKB0rdmW&x!xcImP2A&%#DyB zAUZ@=EJTDQ2#Ix{1nZ4WF_%N)nwaY%ZB0NjQ`Q><%MG6?>x~dCrfWqrh4si1tVYSS zS#N~kv78V+mI&cvi4Z@Q2oB0t&cd43$ZNJ-7Jz?aZ13u*PA;}E_5stz_5#Z;1y)7- zqo3+8=83uLa=;hYUf`ZfTQvEPi1bNufF5eg$=2E4dz$}`L@E$$Tv0Gz66t&fY>Hmi z{?Y!+G3*t~DFSyyP0_;tsXrnmu4=1e6&Uq}^0MG$pGQNfU+b2L$N-ycWq30N+eFRL zkL)YF`a?@f9Tk052M4~?+xFVNm^87Jl{%`x?-a?%Yl4MARKFR6>!PpfkH3V?1yS8%20jsKHUmr!NtqUBoMl4n7+dI7)O2aYzZ(5G z?KbINll^`odnqPOWaP_*^;OElWD?7Y%JyR8CA=e&m~G~fiQkMtCXzM@rqPUu(u5pw zmTzNT>ANC^2t2O&^i>@V!w`|~6g3A~%=B9m=`XPXL@dff~xp z!`GDFFGX6C-=LfE3MJbU#_m>5^YOe$WjMsD$5vBjIX`rZ&Lu1 ztmG>VR`P?2Rx1Y6CSp5LR37$4eWd|ISgO!wBgCia;&ha({d&!$L`jr8E?FVYP59E< zfawr1Vr&V?;c2B24o_Ak#I;q~6WGZ~YNc^Xkvk!hhX4*$2cpl;98mng6Ps3_-QsRY zz&sGiNFdooHfc%&xH76&GRUvSK;gHyb(IH@|Sq^=WE#(HFB~u7jKTGr?vf z*Fhh^q=P;%Ne4F@y9OZV_09A?^lnuLcaffO>Ft6@yG#tOiF7u*D>$PWsRK)|U@>9k z2|qiZjBjfyvT3Qrk~XFlk=(o9c%v1^lDfskRzpuwF znw700EoB@)9^0H8WVhffAuDeQ{75m#B)TlJwR&Cqb4==r=zv!58Q#W<$L;Dy@V<<^ zF9}|zqj=SwFgd?3TX-jn>vCgBqnRAqmm4q(Hg-kej!471+<>`j@*W^Lr!O}+ zBlwc5LNLQNo+aS1$ST9U>aGCVv{0HH=BTZt1z;n{VK!Y3Tn%yu5r3HlG7ksB=oh9yJWJiwx1^00bPfl0;@)iPk7h%^WQAz*SCCLk5S?T`_M zhCMe~RhILs+j6=>$Ofiyh*SjNtZPNWp_i(X5pyOd+E@`KC!$!B#4;<0E3pKBm$OR(-}~#?cAs8Q;wJVF zsa5(4|2E)++%^E{?DY2{$K8AzznC?o-koiarvNCYi zLn=s?g3FC;1P2*G=BA2-I1ZO7T9>#>NmhZa{3@`O-lfp*+{kTNC^H@!-mOL$7_Haz zCRqfw(!sbc_wI<$0zMS!@2A|8%h)nZoOlNw=P<)nB*ZV`&>i^D3GP|BQ)RY-EH~tX zZFZ=s5nn!BwQ#1>ZW~q;v6#GL&DA)x`&iT%i(?`cAl?afvBW#8LVk6SIGA%%p*Al% z5LG{K($}~+OkEL$G?SuLoeybT`al%YVG@LNRrXvGh4iL)%nfNm*&i)-#WP|qic}t0F}4o)L{pLb>h`}A zsR+QGi00}|!M`@P0B}npDJK6+q_V)au~mSldHwZ`uDDg<{)-m*1>mT$Re%S3E0V>& znh!nW>gcYB0RxjTzuGu0qdG-I3wu#=(z$9|S`xw8*NCsH>Ys`9oD(#2kF{>10+1-a z)X1)m3h3qVtVwdI0mF@r9-;w%!BNg$a8!B0;VVxWc<5z;zcjW0v?!WQ9Zai8>VP9b z4&zH|0bqr)@-S^$m{cC{cP0g3!KJ{DjV%DzT?+V{lmgJAsfh-G??+XjLu8G>_{vuW z*q}_F?NoLPkz9#hYQPY~QVB5Itvrk`dDRfYCePNt@+L#CRn6outb)=Lj&>$z$?%QK zE-u)-Ob)}WR{1cwP0lR$Ev*W$t(iRA->PBwCpDZiBE>Ag^O3aWMkN&FiRVvjV<_@a z@aN{&^qnnN^ZrWXc+7P?^M{ZmoQMK=u&z}?m#7@uPf8hLkk?;Ye*hoRGSJ~t;Jd~a zfK8VI+s5_*KF+mloXd>!juof@7_Cl*2O70@Pm)ayEk=NTRPO`UwK$nr$U+JavYK*9 zEMUwLgGX)bYk-GAAHcRo32@j(wFdYA_W_(sD3Lv})xr*kIJiD6x5!v_hi#B*z=y^b z0DP)mh3$>PZ1FycY9+DDBE%p|v`UAS8ZlGrpp9@1@GGYR;K#Qb&}D9{13dW3qk#Ll z{!BmTPJJ~FMDFHVHkWE0@@(GYYz-KcdAx>~ABto$@R!CG06!u211&ZT1;B@)4)9ck zwrn!i!em68&BYpXk@E=R>;ze3{Cv=Ihe>H&|8Edai}4Rpxy^CA@h8paj?AC3Wr z zb}b5jq5+;0(t)UM#}saZzM|98f#`dmH}!tr)GGdDE)CyfY5x-sTp11L(^{-J>I8jP z#dGZtjv0&r(<|hM7Y-raDSt1CLV4ntqgb$qwRlYw%7^*AlPsS4BHS?F)jO^Qw9B{T zO?7EYB#!}ec8t;c02q!j{8d}QWn=pQR$NIB3)sR{k>dedcu+qto^C1&II07Tc8Apl zjGu3YfJ1husRKAcI=OjSGqwO+66yU~BZk>>IdH|;A%GKt>4xFGjuy}GdS`$1qwLL& zt|14%9&~Tow2X9AXBt3R+c| zO%bI9M3KpvgnlJB1RV5r5IAJ)5a7F10Z8r;RvR!(TMatE8fgk){0gxjU^*wyY8#;l z3F0wz*3Bv(aa+Xqav0Vel@Cjg$>$_v0n#ISScgm= zRw7G;IlE_KCdcO0#-7PVh+4fb57J#BLp)d=B4XFbvr1ad3z@pDTFtZcs{||?+YhXZ z{9})BJC;!bnm?j=KhSDy2{`0Z;G|1|9hU~jWUZBHZPk?4fY4ew&RQ#Ht<|#D%2{hQ zT03e@lmTxo-8(m=*DXw6%wLhnyHdR=_Mu28+Dv&hSW2N=mRoorc;alxy)3WYvuG#) z_eK1;4W@59?$4_bEZu*g{sPa9VZFm=6j- zm$BWzyh~RF?wRxl8ZJq+C9-!tFjqvHvN1?m%Luk2xGz$S08fmq0!@H*X*2o_6bjZy8$#&WOB+ zaGjP>0(#So;L55bA9?{`^r-?!cDw-acn+8L0nHzWR)Vti7c4tz%2J0%N`9$+3Ouno z>6Ajnm)4;`Buf|Lu?1@4QQqUq&E3|F_Z)vQ`IU+tb%D*+U? zZxz5ic6p@Ar%XW!1vAbD1(#Lmi52Xl;04R=%UViTuuT>E=ZVIo@}>&^U#3G4?0z3#!6Swam`tDxM9^$@Pb6%Sb>6nWCaWN1gOmg!6k{dJr_0q z#@V0-t$*Jtlz>A&q;3s(&DaufMC2ZVJL(z0v4$0YT3H!oZC0=f1P$dl(@@Sdq`m4? zwHB+^P43q}L4WrVLCIO*f~Z+v*`15pPU+*~N^N`Q6~PfRsT;t$tXwdXCT2vs55J)% zcC57?;IT-Nk$yJ1OQmjD$pXeKSjobwpw-$dVAq1Ps{;2%I{T=4$E&xi&ahQ4&> z)k(i*(5pgMtza4D+g=dk?l}u!T#Jl5@XG)9wT0*#>Nu^_dHqEZTBq}34<#KJ8+tq} z-P@+W2wWCv8*ZYlb!(sq&@5uwz3XCtAvmC)YT^eyX|dg!DFR(0QzYC5K>* z7EvUaGOxB*&6Hl?l9{qFcUuiTv^IOPUiD^ONxvT+D(TaQ_f_|iNWbJX7sGhm^j?r2 zx~7S~C7?T$7I+KAGcwivR$?&loyrCavleG z04*ry(vRs*CVDw+98FAw4_)UD8w0(bK0+*>(M1m87s?0!AP?pfgUcfI2-q}s0Qi?K z1s=N;IJgj|He8!XHGyl!4gj}Z3f%uO1=0(LthF+&wOGL_5cHN~xI>mv25uT#1zc}A z(_4MI7v1Q6V)oX7ptsxfe%+LH1FpB8>Fv(+rY|e8_l)SJ=%Z$ESfr(LNpQ);`cs6$ zdnWEXCbjJ%d<|}kv^4-%M2JCexevG{l0JaeX$E{EQbi#86t)DMGPV!s5TOpd;bP!s zA_i}o=lgC8d=SzticaONXN2_?kLbGars#k^%{iqn+rZxy=?H)qL(f*kuZa%mH{~e? zPZ#wC4dAK>ZJ@`*D6o@=L3*wtbD(!yf22+(hhA-ozbvAKpYp9A@!rc(;I@bsz&j!} zOlRpSJ_#|wAo;-57#JGUPDOj}np)22SgqsgsRA)&1q2={l5^NY-0InK4bVqPsl-4->BkiFg zEs?+(V~2n_WBY+i#ts6T#tv-@t{B^YNASQ|;JAgx(4=6+*nZ%WON0A{Wr73tB(5$`))rhZ4^-WWsZgw5g>2By{xNnHG>H@UJID&uSVp{#F>FuRQ zf97mx6;31$QW$Oo7b5brY_Q__3uP6+-S%OjiaSQq^Sv@N#AzUSBu5CCF$f0b`l(!p+mv6{M-Vp3K8ycYEG3#;(xF>SAz&-GceAx>oDg&z`%9dj|FPnxGdJl>8NC%Pj$CM0Q01GwO0+(&W>P7-rt&1z;h_VHQnZTo$YtTf$=6 zSHJ;xMAF_A!#r?#=yj`-7fke@?)8_c^{%Fi*QQjuDE)odD~V{ozE_lL2N-MDnso{sA5s+mk8n z4oX!ZXlzoUoTXl;06enlMXK+ypE|UqM__Q5Hi@xz% zQ;guAh+jx{VN4Qp`GsVOWUY$ENH98;q9D$En11%8LxtSNxx9_~Yi-y#Bhn|oT4ETC z4@x%fV(AMP}w2U`T)@A{^+Ad41?CMDh3WK3*d<}eN5yF3fPmXMX%RmQwwkQS6y+ub$u3bE{x&I)U}q||NwFl! zib%c-qkvg%iQ*WBA-6xs2;*k;0(+g~W|o7QHV-h5Ry7Z@rcw{k@@2~{0r!lp0S`rl za4ClSE6XSWrj`BD4T}ImQe;=Lp5~wx2WDIf%!yvolQuZ-VgRkX z=QN6Mo8oQ&H5AbOkGNkIX#xYGX7(3*sJUr1d#(vwNl#X-C)hrxT>8pc2V4_rBmpXF zgd1_Dp*PczUQ43kw$-Wv53QDd$PT8(Y^+kteU^?1wQ!au(ZLvIRisG-c*C>v>6T4T z5NZbrcCRS8VWJ{%L!{fs^!oaW(r#2SGdYd_cG>eCGpzu)Y3cK3heR`$TLYeZv{18V z^-93#|A@ggU{2)G1GnfIp(j+kWYx;RV`Hm8WCaI+7Lh)cyf211YV!I?!5Ndw+b|`Q zSF<)!Z_~z~n&NK274!qawC)&g!8G&(Sn0u*H`JB%0LQIt9}txEm=f<_dPPUuGb*I7 z6&X@kfAGO7Q51Rj?~cm;SCVPYvUE*e^;gBhKSGyZ;2Fv`RqS8QQB%&a3SB*atgF7Pm2e>WL$$wuQR8SsO_d^EE15xhmap-5d z&=XM>ydY9GFf1~CqhjVn3a}WQ4;e6vqMW{mQr}{tB5+Wo0gq_rxQkB;&N$mH=yJ9s zSaB9u6HzbxcS-3#wd@jbTjWzHU*NJ8C<8mj)&Rh=l*vBbCEKuutH7o;tceYC z!{q=5sc&huJ8JQf8PW4ZaLBUtdPI0yq!knB5WTG9oc>-B?4;$CfjMK-Wpz;u4kRlF_ME&fNr;(gIS z#Nam3E71?5-?P7V6_cciMD!zRCW*zlQlYVSsU5Y(eiyi@Qc@doX%ts!YXk0!?C=8P z#`I>!d=QM$@{JMgrmGKdch&HVw~(G}vu+h5<({!R#nH4*x=K(l`X`uD0v3$z2UbNM z<8W6z1Nd`eOF--A)J*rPOj#LaqgJpFm^8KkEV>jxvF0vt*`=BOGWzd|utC>a;_g|Q z0^kj&t9sUOHw|}L!72?eJDYW(N)xwC+6}m-Y8aX`5+%lNi}h}&OKwY=Tgx=oFzB&J z1Jo>sxW;rA9#+m#%e9XFv9p^38ptnQ=};8tM3d;{Xd!y*YcVXA=nrG<>sA{6sz{ZA zYa&}HVdxWoH%!G~u`SU8i)}$mzRS2uhf9Fo>+jT82RQolwl2kghAZ2t)87)ozNkP~Q=F zrE*p&-JKpP_n9LcJVSwJMouWut3J+nKY=ZgIx!l_$F zxeF>zH5n6XH|ujX2WIE>ryPQkSCOEnRl?wn|5BPuk_36sSe3R>(^v%XjUH!OgO7N$ zK`$M9*^EmM0EmkJ)cOld{!hW>a2G{#1hAB5z+Lu?&^$_-O-UIzZfqZL+SmfnX>1w2 zYgWAva82p#Mw1up1}<2^{>+x{V2d^}3~^1}z$GhN2KFc|NfRpM?>PbW#C~}lTJN%g zbqb;}|5=gVG&@~I<|JAW=_`V%cjr^t@tC|-kuFj$2{uJ9>%gi%T?%{Ib9MxeoCThU zlD}^X+iV7vTLf*!rn47~TOy4X^_q6)()3-+tpX2>{Q#iw{^w_?=bjV$h zJ*-QFvi;GI4_b$=DxqBzxMuQC=VKVJm#*&fspvw`jtEuzq7Q(ZB5jEWW0>0}@4hR* z$XB8t=ur0!eie))-G4O|>93w5!1i1@lBYzvTm;S-TLd~p`rK1f4C7{|Uc~Ypk%kBl zqBnQ#buFDL-TA4~9Z#y9PnEslN!aL;CG;5$xp{Kbn(6~i8`}+Z8oPI%d~tL~Y4u`e zNRc2XaC7!QR_oqQZ&t83OelS2MmH&Gt#rtnq}~lJWVH%e!Stw=*-@q7sx@8(+>SID z@B)P~O@Kq1wOAeah?R~M3rBPGqeK#xk!C%%c$v&gMV!T8yy~l(=Lb789l_j8f=xa; z+33rzha#;F0Ild#NUd3Gh0q$}&e`;g99au{MKF@mJtFOez`C(@;EKqf3*e}k zdyRfdqEV5KMZlu5{lKzFYt4L2t*b5vZkv2zE{3^h@^0Y1$v>KpVIEsTpS^&ItjYdn zL7TIH8z<1)v6lU1`i%K4Fv!L6ih*xIc>$Y0jnadp&y#Dw4{Ls9vWK?jYx`R zhg@&whV)27Gap!P37Gt!_l`3K+C|~-0K-#C&f4{NfHOrsD0(8|vwVVZBtt(Fs)kupqJEJ8Fj%L5AF+E`KX|oUU zBR?t9K?XQwY!&Df*;5rvugj}~C1caK-a$e3v&s*@g{JR{!k2r|K-G{AYVvi0A5ni* zB!hq(qF43uMK6*+irUV!#RzWg5^AM=Ai+bCDglp0_Gkw4#N~PY%O)xVv|(=sVWL0s zk;)!vE+<74*uffwfZircjunyz#Z+z)$p&CqWKUN4{5H!kw+n`y1tvxI%<}%%V)zBm z3Kh}j6$@1CwA=zv5^+zcCuP`FkJ9inA{hvvGAWr?d(cW00aUBXJlN}E06mDoc^3op z4sl+Y+gLiPtkYf+UEY}zu;|iIhw@&~a#1PYh1{x=brW?1_=%6Z&PGS#;4^J|`O=t} zJN%(<(?liUwy{;6+d7ntEH9*6hyL%-$B>O!|-uzDpAQ&3Bf*_geo;z>={wU{&Pqg1hD!z;$Cw zz2=~<4CA)cfhLjs0t8#iG28{qr~|_yeXm=;rYL4njiF_A2tjA7bc4&WTghttc#%st(>XWIs$2DHf& z*w`Jzx!-Dezjd46w#;ue;A&a}ZpSl#;80B+Ic`*>lO}*4y$!g#o&lIwt?_KEyBX`? zx$YS5ib$$}8zNT)_rNm%y6hPt#(R_A=`-3#W!~704Qu* zg}zjkMbAL!Y{;U!Ppnr10DZCep#kDR--`+15US9vCC>urnpJ`E&`!Tf)0x^-#fEJ& zuL|IQ?nwCtRyGz@%z13)RI#8g(uzxqYZg+~O9F4C8k|MPFN0e4&q;1fyB<;IqJ1=u9%mpS8_mj-T#tZ|t8CLaKp zMA8B*8CwEYL{^$k(_?iFU}~u}aLw2fa9d=hVVF%S0-QE>0C10`uNN4W4)eg?VYwn{ z7#4VjMmr_oeyV1E8p`}s&HOZ!`Kg-uX$U|4AJ*?4;>2B0&0H{q3%si~#@^K-fNm)W zvfd44y{l%uOTXa2(s0ejy#O%ont_?!wJ?1p#aJK7bc#yKq+|@lVv_r`2E1iHEdcJ* z^qf7Xrq-<060k1fIgtq4Gz&@qq0gTJUeK$7Fb(+lm!`o_c)_Z0>$S)$0dt~I8fRY- zp%jbPMcRx29Lgsmq*RmrhEhA83EW)YkI5c(J3@8G3M4=k|VKtvtqk~^j&r(6? zW-17FrGnt!+w$oHk-Px>l}Jw(b1@7dzP&2=>?U}9@I7@ z_Yo^}O4+=1t#Cu|7tY>NS00IU4gwCTBYI2OLWiCtJV@tMBy@`+^yrKTJ{U(>dvhgR zUAH!hz_3UkX=nz0gp2suttc|We)=$9V}(W_8{r}&e8;81*D4Uq5gV)#8;rTBX&0G$ zx&h|Co=oTMs6&HV%dQCirLzD-Zbb;OMQg76s({vW&j`1r*f*0p@K9uT)i6&yq2CRc zuG6M(u_=Zrd7?Rn@%D?fGb+-a*%HGnc%n6iaSMuAaKq&XV;DCl?Pq3AEi*??o0vn# zwE^bM(JymhPM4YUX>$zY<`gn>K6P{Et=UgoV;DE5fH~J)elUh{bJ8bT7FXs}&S5OF z_jZdYv0$P)uq2WbnqruBPc+9c9xQb%^a!!@>8M$+@-Qrcs@xo3k~JG|Td(+s2Y@O-yv#Gyusq4M4K(#S>=R4eR#Bo^=SfZ4BGooELl7 zAxQSpEy@F__cgT+Y6&b~)10U?Q<)c5o+d~=7NF9wJe0Nrfr0|6m^Q@}qQ{xeb%?&G zfuLiHHl02es5$+duuc_t2u}49>RylIy@arUQ@zShtV4`AlLDU%Y9@mecnmsW-74@9 z7^Scz+Z63&o1&d;YbM(icx>ypZWVZl0f97Oo13G+L->wfBsJ{&OtcDG5O7Mb7Rxdv zYc2pf`y;4rf*Jysg_#Z7(erLEBQ{ZAmsx75X-*^C|;_b#)u z3c6%u6ZDdog02V-Cl<{{O`rx^F){;%Z1NP@h6&U`%SKLvyo-9di{wAeswO)DS~7A5 zNcDC)$-fzLa+T9=c|AonA6SBvg+ zbSZbH6i`e0;7lVFUDei8SGDL$GUbj^{-loTsiV1aM_RUuj^@f8)k_`KQ%7^?d-i5Eleb)5CFn z*%M}2pjUiAR|TdRV%N&YKqEhymqRoJxq{Ahm??o2fR+TN0OE?E=m}<1AVJWUzyu+7 z1%*bKJ%I#453lEf5S9PB5bRj*5_4K$Y9JZ{MFMms$|0_LIp`qDAv%Amqz$H9pl(5Z z0#gj}*R6aUlnDx-X2mQEOc3IVKo)==M>#~_Pg6b)+W$;05AjH#a!}9Byd2_`Kzcw6 zQ4Vp*%Ev)>qa5O%F(2+&hj=8A7od)Rkt>Dh78It#^avyf>JzxTA_fG7Aj~O&1VN_- zCO9NwBp8TMV`Kxwlt3*&i&n0DgIKllG0;JjLv;Qu@?)SLLD74!m_C8qCSp(^LC}zq z^A zG@As<3ebv?8E92t3L&m}Iq0^LbD%u|3c+`sz5wpF)qDn^vC2yKI>eTjgS!4XA~T~xOGeItdXzgV1&tb+ zf#wC+Pgapq3c{ukLnxB0+7Tc@TK%*D`mXRjf%JhM=EbDjSxc=sGVGp{ATlkV`{aOB zM=IcW4DEf*!~sn~{!gpDIh)VH;4zS#4?fy4nSBOlY1(Ex`LyleAKL2R14fi?5c*Dl{90SYxAn|RX+XB}L z-uuw{xH#uTb7V^?F658>!Y3kh);sG3;c^lBh#2=%$flATp7! z-~6Swu0whnw%AMj(AOgA*h|!u{PB}ji~PAEP$|jNZ@JJSC4BT-jQ*yLev8q+C6H`I zL+Rt)N`u^Dto{5m2CCZdv_K58=4OnvG%&V4Of81Vr*w;5#K)!uVru)J_$VvJLgBg=d-|ToY)UB?zN7t)`i8mz-px-Exx999Wd5IgAcjXr_tMs*^Uw zY+_A!3so#&(**3Slbv#`*W8^ZKlhz{By`qNae7MVf|DfYV<$W1ZkIqt_6qeoNj!Wb zohCbnEgsXeMLecAM3QqfQwDiFrXwDkTC`ZWY~>ezti?{J75($Y;^gr>Cyzc2u$4o+ z!$$jg&}rFb1+^pZd<0wRg?nv4=jM29SP%@n&Pxx{Ri0I~BZ6*t{sy8SwPnrxHU zzHccsiS2%?GfA>^o4J!9pHkBxzo(dF*}Z8_Or~SiWO8CMIWd`>m`qMgCMPCw!cV`G zIC0vXm`t-^k_5Q!r8k6bISJxyqYnTtfZgNbJ2}_n6{o7>mFbr{W?t&JF86K;f@i1I>O?M%$hK(FXhC8x! z{6c0)vw?|0UlsYoA_E=1A`^bnHhiR4kkz{1`#Ku!B3uJ^U5Fzg9s$TU>0Z0i?+nQ~m z)&J)bX0=u^h$RMTB7WT>E^ma%G>Js;MaH_0G`U9nRSc?H==ER6gnf0giOq;e0>q%u zFa}4(%y-6z;ut3tGxr%Piet!B%*Zin6vybPIMz6d8AuXT#c`6Mm<5mZ0vgP=DG?Au zOmPggienR@I5PZ^hLo|?9uvpXFVPPGzhUrAC%*RNk;Bk1 z9H-MEVVXII?-C$2*;Pccr{KCsCgE9uNVY!Q5}6KT(_t5>)@^ppfKCYPCPK-Bog{JC ztDA_1mSjH>&x{rW|8_Io?`ujoGj<2#Ud$vfW|9{($%~of#SC8T3owJ57WZf-c{H;j zZ+t@^OU}& z4F+OXAh$vD0^RIg`<`{E1%WkzxF(P{pc`J^y$*5Hna*{HJ%NNk_oE!*p)ns<@`!jj zYEEcEe4R}Sxi>1J8Vtm|KxTm!1a2181%Z1mVp*UbL061?4`@x`4WKp!)&PRZrv{+c z39P(N#McGG$~PX&f{2d=!;_pF!Q2$_E5R^{Stg|z#4{e54r2LtOQ2XkB?IEwk9E#u z^~Li&p!2o|G}2+X!Q%NVHk%bDp8hP@LfK%4^TTfOP2C>NWj=@b|LOCr6Ivp8z$6EC zYflsh1kOPbQ@s)dBC1{yTUs>MB6am5Z4oi%2@;!SiOq}c5-jO0mb}fh=rKJhYgIz zW>Y*VJ!D`>V)J52A{iJG7!?9#10Tyc7Vf-fMWCw)6cm$O27<^C7h}$GXgO?dsB#PQ z2F8T^A=^vhGB=5DUMz_xM?&0;&!wS5xa`!K;s7_sWM`)9coA8BTY1Rt;npuJ?D=}9 zNysD`5qKRGYncK7Vt^039IDK*&}0mXkU!*dNqqBSNqqBSNj$k6;>uQd02Z? zLy$Wq>TDJ17i<;tU~^Kr9M} zLytJ6hpdP~eO3~tM^U*e7P0)eq1nV{p6}q|*0f?=EmjREHgTB&;_&Te#NE(gqe8J6 z6?%<9ZGLpWdNCRwvc1%H^J1y(=EYLm&2rmPY;%WjGMYk1OX%pd90>Lj#=^be46-e7 z4vG^ANg>3UO8B1wbxaFUzLabdar@Y#rpX6J^=- zrVTy)ipf?%9sd$nra>nJZUa=WSA;nP9Re#<%@19e)*O%UB_Hv{!}X(*+evsP3E?HV}^x+}=v4ii=Vd2=2#VPq9F z<)yDwRzummK#xX1+eS9gIcRN~r-fYUOj24)N{g@iBn#^(-7`%YC@j=_X5w5~mXy_# zvMelIlHeuNFkX=XuQOYUZ(f{M4Snb=585^IPa6zkGjIB;avg*xdKVK2?TV9jbEY7ZMp$vdr074#q%NU!iCFkk{T zPzWS9lZJX(L-K7z&CUw!E2j{f#^{ar8zOdtf!H%f-%N$L7d7Dwibe(Tg)``eK&v^( z)qbGE)P7b4`X>M#B~4;N(~xS>rq8eG<$;q?8_J{?3sEI9OcEJ_Q>tlsThOdPuZeW5 zLo7Jcxel@DOxHTZk~8`?lZZ>s=;y0MTo!170bMaN1FZ_|hcY1CNqv`NPt0Ab(Tgq+ zIHKM=3n%faXB&-G(4dh`(2&4W2I_`afIeen6}0E2pu1igUMIaZ^q#WX3>126>q&2R zLlv&Kmh@&xZ}I+XmjwUJ_J)xq1Gc1>?n-YgrP^kyEk0{JE8$UVFb2W_?N|tIJGmp| z8jI)LcUAPjYOA2n8Ce586nL=1HcFoTZ){*d_l=wZJr=kuRP`5-DVFfmtVXj30+|Jh zX0`RytgtLKtJCa}HOoNJtX^uCg^?o?m=bWD0B;Ml;?Sng>}sA88WJD@c5{m;4omWk zH5vomGqRQ1w^IAJm)aMH9MpYKp!5YT3J!-c3Dn90X=O?R^|C-(nb5iEU4ZTw*#!NL zku}ggfp-jb-zuu010xH6ld>AhqFHSn>T@Pr1JMk9$<7s(+%2s{{2Rj(*F>#?F5=&Otz10i_W zXS#5KdRZWi4Nc}vLlv}QWD~S1a2uemdPUekv+uH^8i?A%9aD1K1gfA1Mm9kQ0@n-G zxnubrdTF*T(DyVzzh&eY2*Fo&v1K`vV>%O-n~b%BYpK(ZJ0>!z#}UGG(i8N}kmaOgru6po;vX80@|lPsCuq+1#&!T1IA|Re?tf>c_023fd_uqU)rj zmXyqtmDJXgl3H0wT7;64I!Z2Chtr_2q+U`|4<$z`#YTe$I>puKToIu8c3uqL5vVwf z9~8S{MNK5VwutH@6@BF|)1V3(6?jmhc@n6V1(IJ$pk5Y8L!SgD5IAGKH9^r^N^W{( zgNk3kgdREquOAQ8FJMAv#``Z|La&tSp;t@w(5p(2Xb-(%ytjwGU8;xPFV#aoEY(AI z982~=cRiK#L!U6-^+WfT>Y@8f_0Wr@di;;;$3z^j)L-hDc&P)rh{JJ@!>7zouZJ%D zRDJT(>ks*<`sAnAAM#W6`1ua=)9axNKUJUn^!h`7svbWF%`dNqF8ow|_;^Z0*-v~d z`_=A8yWQB?RkE|)u68@h{kG@XWmVdHP5R|k=uPAGVwm_>&pWRt@2=Q*__#wCd6>P_ z0e#Qv^{yGb>}E_N&y!!?K8=UV<7a!`oix9_|DF!zmB8$m{uGDyJam0e%0nM7*H@0y zk{I2SfmQ_E9KKIKYzIwPv_!|KNs7VS@Y3*6rQx1PJQ71{ySS2GlJ#`0wQ()iC0jM|v9X4oX$Y#cIrNsg2Z;T2?PS zmW||hnY17>J;eV z#l>|9&&^`%r$4lFU|{umVD#II)t9t5VTO;Pe84-f!O|aoFO#)dZ<#NuAz7$5|L^2_ zR7!I<>Ky2stoe8f@j3FtBX};9o)xiVO262Gl2W%`n*J&C>T}ZEHoN)p_yyBk_`fRF zqkAr?IhWcNR&7YI%lvDBX!CUCBNhJj(0a5i3R3%)n%PAz?+7$fpgTss0J>-7ILIw| zA@nKt(KIhmEJ5y2ku4pvqR)&P0}U8C4kBwvijG(ri$}vZ)X!(E%Q4Wlku$qOdq$3d zuuDI7uK(EdqEZmBW_C0Bzp2Kz z4=d=^EFAKg2=Yn!0&5DcXe3!2T4vH12(MHc8Z)(7^5!6Bx|WTY@EXaasTyrMCG=Wv z1L`(%rcY?V$SP=1kVX=2*egM9WtMbjSm~w}-Bcy`Zfi3JY8g2*FLc4k>9B_oxbkdP zq$_VF<*lSVi#DAKPPYZ9o>r~maZ1#7>%Ye`)81!)hx?yfNB9wKUr`g9S@V7Mt$}Z+DC$C^c%`##&YE?>+s&fb{Nt_%VxQS8(T{eC?0&%mTc3|l^dY$>AVZe zIkwQEAof!g9I=g{+!T${7DhcKE&Bg=bWO9I4Gm(CsJ7g`!>nmV(U4f*K5HOmm9|<& zEE9+f!A4PAI_tK|wVAaY11%Ui2U;?69JJ@9C~uhZIgs~L#B)$+BI?;jrmQxb6`FTJ5EgV+KBf>YDRJR0c_qjdzK|5YknAZY#i|5<#M^+b8aZ=AXv@ed z2uq()H;fiL8~h!w26;zW>Zn)*TdH-7Ubt4YDG6rDqGC-a<|v3eIB53fc+vnpV!Yph zL9?qxJ@jg+9y-&)8uidDw4py1-Kb{)-YC^W-!$HzltAAq)k713Xb*kQc()Il{Ed3( zN2Pk`(@K=6$N#v>cks|f9CW7-UB07-F8ow|^3$Ib9P(53_<7a*@OtRNPt`+zp;QlD z_^Ep6`{swYhc5h7J@i4T9=b35R6X=buk>xFp`kTm0Nh z9X-<9YyEhAv^yoD9KZH>wa2GD9{9gk^1t2RcK_P_2|ETwwCD4t%IuqJdIEx7PtIpO zZcshGaswCn3SH!Pv-|`^^5VH4xo`5~6`$m%=Ocb@m|xCAdmeayDGyv9aky2Ihkj_h z%M<%Si@(c54+XFOpEMslZ@hiV8*fis+vkVpk;|tzJ0Edoym@q@-2+5eb^9EnuF{ww zDvg+^G=^PdcrF2)J`kuBgpb^z3*9nu283rSjl_^__#zFF9%ztUkFr~`ARLfD_#uHv z{nD8#vR6m(RcrICJhXU-8Y%=RyvSlLKa;_X%GFV4z z&=n&yP?rU{)hE<%4_bnB-%1RV5o%S_R>! zWI-gMG=MtIn+(+LjxkK^Jdy<)KaQ6@^ILkVWUtC zL75pl*Ds|l)8Fb;{5-P7T>q@gGd*F1_@6ezsWc2z`|*>*m4az1J|irN=aZE@7PsoEWZ?#J}kt^@v> zx}xZYN=lDDc=DiwGw807Rgjx-E_G5E_&~LvH^DK`VciU<$6PG=T^GaxuN_Mo z3xh}i#^9;Si`*QjiCs~wpX9caYEjW4*=~zzp<+br=;0#ePUV;vfle5ifp{RGh=Y9S zv%E)py4+{c8VB_oImXQXo7QFwbk@jbL&%#K9%IxuSnU|bcx_?hptP-+#`0oMa>Qb< ztYhkGEl;zucw950rc2hmN}C7PPc;b^1GFe1=2$5UHpCK6O`Wt7*(~a_{$}XbSBzr5 z+?4#@VKv-t`d#Z7)8e+Z9RuwNPS`*48UE(}=RR2B?_cbE`OUrSX(kqdcu=URp{-(S zCX&V)8d=U1h}68eN$pn~Uk6^GjSIezCg%&OO))cQGcM3K$3QfHy7Ey^t58xDpsG{r zT2TN)-l}!zF6tt6=;4(0PzMbeIS%rE?bLuGtpuQMBga9mx>yu=lyb$IkAbclX{)zp zh?g|{3{H~IX6n%@;@#-=vaWM^UA zwuJ7PVDZZpyJGjPsE8C&kF96|gkjI<5iiDmKu=Gw&~M@=;wHXaO-u$YCiV#y;VD;# z`Sg3=Iw_K#em(8HGwX@m<-ge3W0Qz?Q4rdqsWK-8uyn{QG6zArR*rz^?kQ>4ni}nL zHoD5$=!luvEzrD+q6k8%h@BsOrRTP(=v*{hlfUn{;Rv*rY*Q%>Y;lz1W^yoGz|UF*Gl!!TcvvFirk3y&|SuR|IovwdgwEydg%F5J@jI! z9-2>yLO*n$@va~GdZ`|IuT&2`bv(6)zF@q!hrVB`hdwCPL-#$M+C!f)-rGYDbf$Xf zVdK3X`dX=8(JHQ%sCXR&x{xb9gcwyhIiO=7Lq9JN`ACSvg-8aRvzti9c1q(Rl3^nB zB8m8IC)xbYC?F~wAudkx@y2Z@iPnHTmcXFUf|JCkSAMD#_otj(6xwrgUkKwRAXDv1 zc4x`%EZLo5_XV>%!|u%N&anHyNf6m&AB94=KNFns*902cbvQ@bkdy9K*XYG4AbyBl4;RN5OFHRVD5PxIW=#Q$_Uzu zlb3}&e%ZdrdtQ29XvjjDfk>Z1CCrr9WkMeD%;U{AD!T;Qen6fyO@iODq-mz$Hwpf{ z1;0t~?>Px#J>dV8zoUO0vdhMz$*OSHNk-^_lW9Vctm)kS{QD%5b2;hJ@FPRK{D86(#% zqTfdDdCtZ@at%gq)<&+u$Q?Mz$SnwT1YzXvI|*XAIyG|N^0p7_ECbhV!_;8#e0nt) zJU@9f(%>~1JVs4ALEA=-GkABrl({sd&`TfV!)K)pdO2OgK<0MB#6OEt>GG0ImXZ}8`)s!t~$xk?HW18 z&^`3h$3p!!UJcNIfE#@9DK7>M3sP5bRj=gC*d~3BO)yM8eI^M1mIZKv0Q%}Pf#36H z;sj=GIw@6lVb-ERM>WjqGqdbY({C@w$TXf0As}10y%4!2wBsaOI?0593}7V?c|ry- z@FE#vA6FYBMn|QL0Rb0DXqinSiKhpyI5MTxu8xIOv#>rTlsTDVSSN;=8Cxfmb4Jz( zXQvrk$AzksME1IqcyrguG;iv}J2S`X920k(#MK`2u@1szJwXGV_R_Tb)YI718JiA^ zN4-mk@TeJR)JqB0T_*|F35!yl>?g@}$AYLpYh012@DH0oCJB~CP2hS0%_t+kdz#eRLWxRNjVMj={Nym z6_)_(wWr@Sh=p1!3W$J7;DXSKmx6riPFLhgk3a&TJ|ib^*dsH2UnC)sz+<5So6r-? zZI&ICmR27YArIZO2S!ebxNV`a6XljARdJ$ZXgLuNSj4h3LVhZ?r}ku42j{|dD34#p z^9Lrj4wAQpBp`AO`Gz}bS(H32q* zi964gZweE9%G0Jfc1J}%9vR37VGP7TxLZ*95(*;tXaSRME(CR1Aag;ChgYPL$rvC; zht_G7#uzL{%H^T*^%#^D6;N{mT7!KEi7tOYEj7?3F9od$zEqEX-u`C6+upiZ zdHu^Tzx-Wq{x&U{Jgl8W$_ieW>!>xAj}0`d&wJU+|V(`UBRcEcyX#Tu0`C;45As_dfKw5UD$0 zDcL+FH01DX~0J?Rd`7P74?-Da)v2FAHrLIR^6ep}8fJ^wf?KS^6h) zw5rVX>}~EB`P!@~+qwlB{IqT~GnLF)X>&n{)FrS&zM3?ZBX*HfR|PsBg0=;((SgOs zbDbPowiIcANFF^}2Hi7qEai}$vPm9I4^WSh4RUDNNf60X(jU3>+M>(1zioY6GPeXz zt8n<6lu|Ia1+p<^S0iOtV_3sVF3C2~s*z)$H6t6KO+l&aNV48M9iEV6vL%x1%X6vr z>s{5 zjQ46h25fl7nfEuH)DoHRALC5EDGS^<`^S=?L*IpXb{*=PSM(|zCk0*sbxNQXLh?D zNs~{y@kg>aUkIXhzle76Seyrvne|vD?H*V+RRZI=R*dV}La-U?cq~C9tt3%2sD?By z74bZv&oZZwx+3unfua}v#gr7>?*tQSsT|Xd+xH6oS?2c876bLlW&Jn#9fb@ zUL-)QE624QXxp+CQ)GI*c3&c zR0K{5kN}?-sF>%17$K6r51b@PCM*jk6_U!VKms>}{2}KgGk3spb8=Z|#mQYokSIzv z3>y*Q7R(U~*FT5;Gp2tIG$J@&S+3~3w4VB#V^Q<|=2CxislU0@U-6d58Tu3G)d3K3 z>8N~Ew*pXiydnloGT78_KA$5%gg~XK<8x|Z*RcMw*yzRugtnuVAI!k0Q*mgeIK_RM z9(qmfbf3_!lOQ@n82r$SiOZnHWtupgw75?X2%UA3rvpA3(-?W#OF?7`V+;16O%t?} zHZs#GXwwAko(CXUVspYg&-#QoILQRio}knQ)>9`-A<9y!WNE}QyzV!zv*dM_ zQYDjhc5UhJwt%RCeH`o9mkD&>-B7nz1xV}*`zYB{BcC00Okj8Sw`y_Wn;%x!_HQh(>^7Vkrf5R6)uoKO9q#|&%;sbtUjG;z--)6Pe9 zqCtcxcv);0+u==twj>Z?(Teqcy&kQErB`){f3~V2~YeWSPf4k7*2?kb#`6WUrf~)C5sza+^|t-VDX4R z0%wJMWvsJ*u6ikmJm9WF=!Tcx6xwnUxY?t4U*#I0%eH5}fPb7=)Q7Y6v_ zqh!OmSjfBriz+s9W1uc0?LMpD$aA0p!LiCmFRnwZ+6+1e;ucc3fFPcj`5q7T&?`s) zckCRF`2pn|=(<3g8HlJqt#9c){%EClJ%&L0KO@mHr^i8y0tN%j$2vt5m{oz)ff%@= zE=E!6m~$S(@f5>z5yMPF<@wWyz|k2axNW024Z3aQSVU0g4Z`O!Yn+kKzPdC>znd0< z253uQ58WWPt$Z9rq_moWxJP+LhsSrk?IZRf0{neJr{Gf)B4bZ%oE(k3eISpF!QV;_sbs)w^8g2;rnm7i!Z>1c9?8+2%9~*l<$(S%U zDfG?0jtFLxU_KB$r3F+UC8Q|Xy5pg-#pb{Q)&zB%e`5ne!%mJ0T{E(oV$>uS2VM%Q zxbdJa!LiCm^pDv@5GOH5)*(DFO%Pce8B83Y!7BpY3r9RCCN2aekBtYUna88DrU+~c z>~|{kEg5K1^LXX(ioKAT)jhUWT)0jDBT}^}Lj64sz;H8AF(*}N;>>+|WhNg6wCM&kQG>knf>#$M| zf-V|4aYg9&oYZ8fToULbB@l}gfx!oihX#`vI;)g|l$^+t6IpU1OHRCi6D%$21ScLF znc+l_nfpS&ko!|j{$y$DzYzY6$m)h!KE5ndSxj{=i?wdyBo=m>{&CDY?IdP3oJ?j- zVhctni&8)*lL6z&fZ}$Ufcl3}RpR9aj3)!eF`(PJs;0P9Q(UUafN|o&+N-m0vZb1A z8BexUlP$%B;I7;aXe9$$$$%CHc-&j)_XxL8%9PW$7$U6PtaMr|?L}U);Awq{%3d!; z^`f9CNFAJ~1N`AmNeF)mF_;Tp2f~h`E_r(%Z#laab;;-R;d4W0nD(^U`Wi#PGU=K5K$wJ3{x2oB%!aQc$mD+(gn;EQzD4WlcJ+ z2g%EoKfk^t`jS9~fw0JbKHz=Ymf<$M64YT@n@MXk*;jOc;GTeId~^W4FVKR9k@-Tz zkmQR?QfDiQFJ8sscR_931p8FX*MmkHQM)nmXf={G&6K_khIQV;*+`?{K*^sEVW;?Ef#xy@clktz7c_3}pFaColp{8g$W2w$29 zL9O|Ad$f*tyh`f`&8xJID7{MS2-vGsN9vBR;W$+QULtF(@YzKUt(;GVKT+UHvY z(!Y{W&YDyb;p(*C04Qfnl8K-snTSJ@3D;9ec&ie3(vDtukz~TBq&eImAK0x*M-=zjh=*8M2MYgLvjJEQySLIfnmtE_1lMDKnN@`HtSL zX(E!$VpfTyJKs3|n8y<+#Z!3+!aGja`sP4*n6u$!{!mEM#7VrE66k;enlpDzF{FpLbOxDZBsmY|O4E`$8T(*f6C=upnNL2^`Ke$coeCzf zz`LBFp8CFt-%j_ zHOLp7@-0#7xOo1%sV>}wtg~o-J{g;(Hs`~vQ3)Uj!J*h4{d_#V;DC;C8@$yOS!qX?!g||=A86G#} zhbLz7s8y$NEG6C|(|oAMR90y;&(xf|z0#+aXT*AL*%Ph{8fMMa@LgoMYFl7Z-w0P& z1@dt^v`dZ@ip#rXGWCUslXl5u>I-2i&F9V3DhLyw(g13kVazZyXT#K}&0~@8T48Wr z8%F1B7~ZzU(Kn$|m_XjQ?KhDx`Th~!g&fu2}IQJ)6N7pP*%xFH9(&DY{iu2ut?;WoYY^t=m$GgJ0-N}elb3~7o!k_<=1&_Z^N>Mt@pVxxDg zrXD$Lg$Az~{q}V%xSN*?*>zHXM+C?9Q8AWhqFW{Yl4x{9{WZ~BC0?Xmz53e_$dgQH z*-2VsjY?6n;Uqe4I*FQ4e0NpAi{{cwGy9<@vy7Pu3P$xx>8t2#Aa4B ziF*rz!{JF`t*S1w`nTI#9rTJUJ#XYRnBEC}_<#((KC<$pPpqYAyj62SJRjf0TQAUj zjuZ9J{&d&h%7MODs)xRJG_{BB&?A6o4}DwHDD*@BPsaOOH_$zrs!@-9aba70UGk8f zdg~?G`RZ@o{2ykg*FzU}s(rH4>krwf`ediqAF{LUts873-eIHu3msv*DiArShztpk z0MoOI>Co4gxkq^nO8>p?tW^4^4wFP2>oo^K(xaf{FUp9%Y3e3-g|0}Yq*$dtEf5K! zlV|`r+?v9Gq1k3}*DDwTe_&gifAZ|}!=C+Fy7%Q`tnC$8yS(sV9qI+rV|45LY) z$4QLYuz4~KIwRoa7clW6K#Rih5ets{i{H~AoJV{|Mqmiy#PWgBKbV2}EP!(GV}Uva z5o?-u3!f0!CsBj)U`W8v9WUx!35{3!m~&QW!N|rfA#wq&krSw5!^3gPZwPM-(DV^$ zg5D8GQ0 zPBH|U#lFT6_*u1h8Fa1a>v{U}6n!3aO>n&OG21toX73XmsXPx_5=0d=W~mB9B1ILn zPtNBt__EpaJP4aY3fgDa^J#W@x6B7}B1F@~c%GTYm?$q+GKKdRh_R1R0_hjQXB8W_dnn5?3-~T)=3>y6);v{k6nFHK;#k-lUW2X}&YRZx0I1eU`^+j}X*^o*b+3ES>=sd_`zxQ|CnYhuvucuAbhCXaQj zT$6LxbBAdO?YE!JihRInp0?|YA?qms&2AO<30|WrzRmE#H?OaVB^Z45?!Ee@_a_CW zWP-f5iz(<+qlQJqnoy>EtdV~mw&WL6Yfnvm8o!XH@$;x+LDum*=8H(?2Mei4+-4?= z1bGA|K@6x$nH9bSzL1+&dS~QaX%@dL^!uwJsf%~f6Ww?PlxNSoK>GsaJgCN>ajL1z`}y5xX_z+m_&XMgPe{Zx}$|HvaG%2C?39 z?u`Yu{0aYA>BSoP9jYHmO^4>O{0FfpsCHW^aNDwBd|wE?r2z3J!m_skvHU9GNF>%K zk$j~O6{R*0m84UanZ^44pvc>qux3yanMv7?{kBB%g3Sf33n6k+WuEQ-X%tnZXiad$ zjuBQ$(UjnL<-02{>VXgRtclb?bAs1;)df8%cy6779j_0qeBilYMPs0A0&ID|w1r4Z zWp2y2N70{d)sNO^@{V*ah@ue{JrW$L6#iZnJ77_2h7{KAn^X~Aq;6PIarcT;r!_3p zuZd+fKCb1oePzy-qllIzqbPF6TVVkMe8zMadl5yL&U4J~R@k8XOoa2DU5Ip8(R36c z#UPg)M#{T87gC|SBt`PLRG}*sy*nCGblTJxF`$Sz=wqC+R9lZpS1Y7O)o8&Q7SHml zVy6VJSK9G?$M+XsWn8CtUhwSUD*pwx54+r3xywfgwWlinb5j@fk2Riqv0~q!ES1;~ zx4p7;7{@$X7L+C-^Jz}-dJPAy7n5-mUl2TdxJo|(E;-E+zPf3~A%xoJa>4JeeAq4f z+E>bkDJZ`yI9mC}V(z4}zxjl+^i~pb*R8D{kraAP9cr_o@=hH}zOny9I$Uh#ElPK9 zj}fp1saW{1jho82FNc2I3Gesy)W_8l5%=BDgrm**180^MQtAJLtpfSWC$E?Xa` z&j@Wfxh>>}$LR+m7nI(T-4W`Sp(1%2ci+heLQ`h?bSAXm|acWb6C% zu+XxTD?$xB+D!9+|EiPMgigp`^~QrfToNe<>`LBb$(t;BV_z>{GH!U$2Hp)plnB|*P@aUpg|{339UGJS?HRRpz8wD z4B_F-JeVY>C!6+0ZhMynT5O1{pGuo4vQ6@Y9aaJMm*0Vhuj@l;!S$;wqH$;vG!iT@oZ?+JA%hLU9{t~j|N#M5k* z67ri)ZV7qxn-TqP5hpF=&x06&(j9ynkZ}fNUcd=fsMF#-&VVdBnFeH>0nvCP%YdA8 zk^z}@k^y<_WEzlh24vSpVVnWEW2F6dLe+vi&VcwRj57+GUdkxkcal-ybg7ORg_e_y z!UZQ8g^C4koX~d}IY#IQy_C>bjjR&-O)n+%H=QK(cbz2kq^~lU(9at=4q6m&NCj_t zF~h)T88VGwxaTD3fdFB!k4~`=a~9xvSUg6CUKZ#Ba>j%EA(3f(%B_3SDg1!P`>Vfm;jOm zF##kCBAzM*k@e^mlHHVc2xUf2fY_E*ns(<2V(v@CG>Cvp!y_S5T_oAwtFVX+hoxaf zC7V_{4I)qy;6THQA#y~B)m-FdAzwI(WsuO^vC!C&aL2N*I1)0%U5d?+g(({m@`zCznSnfh_Mtq{(vdK& zs~HawcLdlBW+CA*3D|>~-4RJZ5dr(El7T$NlOW>kZb6aOJnIzl;7@``T$h1jr9dZm zN1&tyF$gY{hQogSk|9Ap4b3DY#PGO0)P_KcK zvC8`|tV3KCX!e452FeMoSYzreH8*YSn_EKrPVyLujYG0&|EQ7>y#ke95Lz;F613u_ zpbY`9ujW5Q^uE#$5p^g-e6mdpi1fUvr^!}jvaJf#0ccHtzhD+M72g+nBzWTQ^XS)I z^mUc`j@rJU5w3M-+>qd@&ZWuWZB2P=UM7}=pXMj8jWnARx zD}U3d5Q!9h4(MWur59Jb^ei|l0Tr1TsoJ;_O#UlsCnwEGiJ#~IRb(FDdwM_)R} zQx4Wr4vt3-9*S{f-WPW^W!yL!*Rq7Fri>dW1eE3ylgo^hEX9b*L$?0P%c+pNjYp zzh}gx+ZDJZ)PX=Mm@_xrA@+mQ=Ec}$p*1I2(D$5tBy`}UhRZI_=}VTW#d6;#<4>6b z#Q`%iEq=;|oa(fMsK>ZORVZGQm#j8gbfSod0CQ$_Gm5y^&sT^jS`e`;kZ%ONArKim z1_o7FA_R5osuiV z0vCijYtD^<8iHb^$bCjTTCxZ)38a*)XY`|rBf@|WBXQv=R8(;?xaw8JXIKzbteuQ{ z@Ix9=_WaoIx8kdSz3%d#_UZ%Jz&=MaFdqfOdQ60on;*QD( zUg}(Lh~iO&w%U22B`5XQE*?1{D?>p{7v&0wcAjuaLlq4dOr{E2GP3yC1{+4KXgswU zPi@Ad4GXyB?4sLIfw~3JwRamvr~+-Xf-c>C>4S%_)MxDa2@@6Aby9za1ZbtRB>_M7 zJoq2*A%&RY>JueTK`kTg$1S&=1l=*R3c71#5%ONKv?0+^yDECS#P5lwNz{w9Yfyiu z1R5%u+;CEV?V@&Apy3A50bYQSmg^uN>LzI0OZC^TXiuYIl@Lt(M%x=Z-e2kMUx#l9 zl<^=8DOaO>Q^1#&-l<=ydz&4rZ>pp;Mf${IkZeSL+e!Vki{Ot64w+hH1R`H%wN()2 z=*|PQDCp3uVO4uM^0HTe)`|+K4S_}sysHktpXjLg{OWgpYtYyYTPHp+n^#6FOI+TX_+~fN8EC1;OFwNuX91 zs1?JW1nT{2J!s16pdrDr$_I|DLktVFXpRUqoCIY8iFB+(v;^G32+avpezEA~vFxO+ zJO&}-c0ysbD#E8ETPw@f){|_p(1$>&c`7QT=wQ=30qq&t1nmpl5U9nsVn`FTY-AO* z;-z68%B~BP$Dq(qTZektD?n&Lb!|QAtA#$Vc%{mES!KPXvR+nMFR84TRo07uCo3n) zDkn-RC(0@(N-8JHDkln+r{$14_1;TIiqb1`b=SNeBLMfDtVk9unrh)BVZ3EURnUd! zj%ogX-0+gqRdCl=dig7x)o9j`h+Z%TA?9^hIv9C0Gz#6U2p%&Qpnp9{l>mLuWaxmCB(vbJ!}C zcl~AcCzus12;xHKf_UI^sr;(w8-hoI$E9*)I=;c7M>sC%xe^cEaXQsEM9&J&>Pd7% z5ZB&cJzBXVcsz2n()XXp$G>&7a#t{PR`TDXu^ByD>HphDEBD4_e^vP*m=){_Dz#VF zb2V?@E?3sykW9P&uf@T=y5MH1zpZc8SPE_lE`OWb(yjV60d20<6+gkY zU~oe7MQ~NH(l}b#5v)uet!xP5I#8RFk{v)^a9S`VxUDwxl7B2XA^APQ<5D|f3=i}? z@31Ev7xW#$UBSNKzTko2K+y3n*)O;)hzt3XQ;CN@`RxwZ7gG5n(M#`F9)Cc63i<@Q zg1GLAzAxzcpxO%JLI-jH!a#2hCxwCG9L@^I z1>MO*+5CcjP~xFa{liobefi^wAO1(0>w;0itRSwNS2VtYyMleeeZjydG?qW4IU%?# zSQW&D&eJOnnJ}>Q{SM3jnf4vQgB;d=K=V&xbo|U`udE5Z9eH`B#%0U6($=f?!b)7xboJSFk787d#Ri2>9#= z8#4MAEDP33HasfX5qd&?RvmZDd6d=3;B_X$Hf@88$W$V0)L>dNhhRktWW z@4lc*^(RX0H&k~=KplNhM;~$VQKz;H`+5(3WU8Z&xUh-OL+BgbgM!ggeGfJZbXRRJ zD-H^83vLN^1@{CG1nupfB*VukL_678fjd2mzS=o;dr%UBLS0t`C zRlY00{sTc=#I;Ml_oz)@sU2l~0zS9M)Gn?;@v{Q@(Q;SBE9y5?N1Umn&ls<$$A(_@ ze@lQL*h1eY1!cP#r+c&)FkT0OKEoozsax)$}`4EO24&CI$lz_awF4z(53ibrN!q+R{^D|y=jZaJG zMXw0%3l{Z}&A#Bi;Gtl+Q;&HCn}WEQW4sSAmD|-N8-@k++Y%I)Y{!p>(p!{bw zV=<~YGz5H7I46kMToWDFl6<->xFT3B`G-iCfIK-NAXjFxd z&KrWbsw!h{QC7|$<_EdLhxG@70d;s)up`(P#DxsD&8j`R(Xsr5HX(mn@p*%I3madEkRt-rro~< z2`ma$1#2NJj9sB?N;JAc_L691uL-sUw*f&OJxFM%+3bq7sQO?>-9?i9tFRJdcU`?&)&@I4ts)og8PDpg1Ek@jQZ~u^a+>?Cj|on_LAZf{c1AoHE}`niGM>dRVpu+ zJ<0EK*^}Z`u3wSf%Yrq*HNka3`6`e3NmUF87~^V5h9DFZ+2+!l#H$yKncT|A8QU9FQ-k1o(=N%&8?o+1K!qHM8tn z_!9Om$RIn&mi^3ca3zNUJkL!U5&K9gW ze1gXn_VN)k*Kn>cK0)dk@NzyF<7#|o@Je!BH{Tn4DG^nE%uWL) zVt@4WI*GCRXWIDJw((tU{Fk)xf3A&xT^mmZr|X!$@morbN=M~?f0?{lDaPwq<(rbY z)&K1_{(Wuy_q6e^>qrIY|9E0e--dYNc}n<*;`tM8^}i_otm-*;QUB|0^}pH1|85)q z`)&N^#c#jkSpHptrz*!v0l+@)ALD|5Qyc%c+W2SN_^)o`x$le%ec#Z=e^VRJoQ(_l zaq-{r)yj1h9#O>JEuPO=w#4%Z=y!VK$>Ul-43 z6l^WXe_A}BQLG8W|Ew^dS44e#{B~3y7ykTe8~?A{_}^~he@}du4)T3c!uYcnJa}i~ zUnf5XRDU|J|5BgA)+PNt+s2=4*B8}zRW!0f3~f@-QV%Hdd`VF`(@7{ zUNdd=?``8h+{U-(!;7lt+2Z3|-zUW1{hE{?dQ@H6E%D?F&l@9O^q94{h|i5SzVt}a z=4ZQqrAJ~`|7&gS@3is%u8se_HlF+7xUlDO8-LuFQWK&6^?wZi6>atXZTw$sV*9HL|gs3_)EHpX5FU# zr`qa&zKuuU4atYT|LzI({ePJ1|MipVzmV#Gr>*|?#rJ3sXLEaAZmXyNj{iHge{I|T z@TNANdy}|`FYO=vQELB=w)!(|{I`j}szIZe^@FoxTJc+D|;{SyAmOJ`Q> z{l+$)dzHATUu@%lQ2dS8>AkAl{vU6v|G74v=WcPK?|0gG;<2iCXCfYd*jE1Ypeh6HXi#fe|fTxXGQzsck}kEZSvfA#f80} zZR3BfjlbK*|6v>dWu+q@`aaiIf6&GsYm`42Hm|Q7x93*8U&H;y8x)Tb z)$*BBcHuoR0 z_vo?8Z;EfdUY`f4Km7Th#jm|ZpIheo|3G}4uhI9k4jyw~rOyZR`Wf~+**}!?Csfa8 zJ!?7ttav_8oyz&I63;sony%L0*NNvdx8c11Zxqiv4H2KeA)a?LW^?&*@wXJe{+yo? z&$|dydHeT>KXcqBx9R6=8obL91SZ~uMbhr0DyUf%wS_}<^q=V{a{K0Lea z=+*f(m;a1-W=5~^M=GBc-zT1n_}mfCXJz5>SMH-za`X=d&esNPo|Y=N-2By#A}i z^Df(^oF5R+J7m${H;6wee=q0tXT5r3fgK0;o0f1mic-@~6D63@G75sy!a=iYWL*Z&LR`3!#{=WmPW{f*6> zzayT{e0_Y5RsJ{e1IpLoy#5Q~7hZeJz7LB(J-P+tUB2k=S@FDU7xDaRyG2andq#Yp z;%WIW`@dEEg7)X=zb3vz=fPX*kNG|=p7(PiKJOF1^p+IgW${^Qd_N)nkq*tLa(jPR z{3RW#Z|D5az~}obS!(6^k{9tMQc&B*Y`HFb{HStp=f1ej$ z`E|YTqy!`%X2kP;4(DLT=kJKW^{@0kkoG<5-zUDR^UPk}--pC6mh4#(&pRS5+vT4~x&f>{wy%&xpT&L{AFx{yr<7&!O4Z>F>W4&u8NOd4Inl{%&bK{~htXpVX1J z|6jyU{XxFIsQu@~|GiTDUKYfdeyr0|1>wi-GsLmrJIlm*Gcd}-4{#V6UH2;_2<^LV=@0b4ykLmmO;>-KfABbO7 zy@ucFuW4zU*ZJ>&_VVwT9=Y%i)ke<0R(w1UV!h}Uzwn1?KYUJnd42gB@w^i@oR81f zi|752sS~dMTg1kyuSS^^52`*@81?b(5+`~dHXMj@A!OLUpn-N>GGFo|H;SuIq|%YsOfC+`FioZ zt9LKwhs1ZB);k|>_4;w~yqBmhO#WTsc}K82m;d|Xd4|V+LA;m5^Ukl^f2{Hk#m|-E zvnGD2G~eF%2E|ADaW>cYlhl{we_lNA zZ&iOs=lxbL|9j$jM%k0w`?C1mKcDjZ%k>D3_W=*``hM}f&m1fA^RJ1&`4#DWQxjj# zr&;mk@%k?Dmu25c_0M_i6XJPi@NBOCQ{so7()~wn-_MEP{qx7{n{e3w%i<4Sudg}e z^8bT)-W{su^8c24?Qa)y{@;s#EPbOne_R7q&bKcUe^&Vx?N5v69bTS0)885K!`h!? zzK)8&_M3VqN)wFvI4Pd@TqAz(7SH>Ri+O+FA^zSsXg(^znExLX&%3XYZ!6*-+*5m< z3(@xp@w`J9_WWbwiQ1l9F9ZmihDB z;^#D8kzfC-c-|$R%H#fF*i}m7!c;2m#^WJ}`@-ts1 zUJeq!uTcGkQa<+Q?eU-Sf2a7h(s)ma=UuTL)ziKuo_7XW&*1;A`0{-J9`U@Zbt13- zsCeGDzVs%S|9eMJZ&SWO{|)iHyUgcP^!MB1%lZE~@!QX79n0(g2zmK;H`o7^=6_lK&29Wi z@#XuCLGc^;{X6~r74fHqTe-fo;@5iRZ{FX##6Qq^iVfuTM>{I##Lt$-=R3vo8Arr( zQ9SSJWx2kO{6FlyTZkmvnjThTW*D=LL6#+1wq%^A&q164ch;pZyZ4^z)?{U7b*Fb# zW}VEe?!9$1j)}^Q%!=Ka5xFBGt9H$qkw(Ubu}69_!jizqda)Sr*hpA51D*%r7t3Iy zF}6VXL5wj58w8df3}X;ufB(8fthnSoswy(~YR=h}aa(Ks>+;{$|9=bD6!R>{=hq;A ze?{rP-=O!E^8PZee}MYsdia~TrWkhIV*T^Cas7|~h_c>sO)=JTy#GgBk5E2dXY1!5 z;F{u4{}(~e|Ay4UOtPf^|G_oImdp13%)bo&gYu|+T95w{uK!Q)+b;!u z|2nRJ6N}}45as_IuA}n*>Hqf&xTbh-uvOOnFL3>9e>CDR2Ch|kTt!E!{APgXKaKJK z9r67gt|?ZqFY5bua4q+5Xnp+^T>rb^xBo=E|6k#H^2eg}|MzfBF}9N5{ZI71G9LdI zu7gjY?}_sN0M``HF5Cat&_3G3dL_#L!??b|_jsN4&!50G#m~$2@I#^p`WE@IX}%rM zbF7DezQ=m_4A<)Ve1~h%T$o|BKLn z#C-XyxTgKF=i>dpj_cosF#ZM6p8o>Z6nprehD=AZKYpTzY9*K+>;5ZAwp z@BfB)|8rbZ?#Z7N*G*hg{N|q(*I(lLcm7ypUtZz*r?JsXd*#&MAL;u4MZB}1_ZwVO zJpbPk-~R;HzlHkceE$z{{TG$>_Lu2<)c1GA`^S)%zYTrqcg6L8jOYLSzajKbjQ4+r z>tFk45x@Hh<`c!Y{+f9IAK*FVaiLq-{P;V#{=3R}|M$4290b|k{~6bmpYTKR{$9Df zUwd_KRL!yFnMT+01LMk{?C-l*U+=%GobCHwZ@=dS2W5B990i6s2rSQ_Ut`mD*e%=p z#<(_}{>bvmJ$}dW18>?3Y}YZYZ+q5+|8fHF4sSG$sz%TCEP8nwxW>TkO?_O9?TKw% zd3Jwj;kh%ghq!r;nR;n}U_1Q*JvWTO#F#p0-$yFTcI==$F}gPU8=4v6T%tvULLzi5eJd7k6q zH(oJEtVeoAFSwhab3qXrus7-%W6SqV>hJKD>)fCtK3Z2(q6Hn%yB0mto6zXt&e*-7 z^2QU-qHdcUyeJ=i`tlX)EL?lmz#fh8W5meW^Fc#zWct26paT4$Z&SO_b-gjC(Pv#` zc$~C@u&bUue--xTv*(}f-SS2;YN=7Zk?XTbH(zzpae+c$&Yj(&}N z%VLA(S!SPZ++tq4<16-yexLzt-VA%aBm6S^{r=z?eqa{yAJ#Yh0X-R7{O?Ho9ZyI6 z1%G5DF|+ufu{G4UZtw-&$1lT~jz$Kf zo86LQOOFRVC!pSDzlmz`n-eZB&k(t4dT|Mc6kgidW!biDCw>{W?->{|6dkdD7kBzLxPz?6omdV=j8#J7;sy*z z)Jc!TPp}P(%{Pm^N&Vy4u78A;;T%yXgzq_hS{3RgeJieM9fk8!r2)^0p9!X82G+OW zro>~xAV)@cO+6tV(NA<(hA4mMaGgE$4sjpD!!7=`HQWzpUS z1RM$&24Jw%HR(CPxCLJEED~XmkE~BZEyEZ6VlV-KrZMdTOUndZhEL*~{#x#U7Aos~ z(;MFG8N!EGoGD24v8AtOPFYwA7{(lia(q?+9Afmzx|_&-R%{0S*! z-4jXy_N5&y5Hx1Qh&7B_x79Z4&6;5_WrMvL(uFxX1;52gK$iqo-?#!7hlHY;6^YkA zuJG7)@%Lt6bIk)SLO(j_06EI_@g%sT@)Z^oaaPtbd}Vw3%<~baEljr;bO9pn4*`6M*QWIO^w$L%N zM(&k4GK3~N33#iR%%wrS^8HYdCiyIz8YEq*RMJjRDrNzxK17`8{VRLOIgR179#OQ9 zy&Jo4xyCiU3x0?{P%}x=lgQvx6cZVY+H%Y*XgNah#*+0&MRCzDY%!mS)l5Tb3gLuq zW+RNRO(+>R7UNN0Pf4vGpyR@IN99X4%DcH^1#hKQA+?@8#xI^788yv_`D#awrwCE`sQby^zCy78~wTi$_Klmpiwo=G%iu0vuZyel&$kVWPcDyS0M zIBRse;2WH;gQa66CoWs}tb~+8!P#tY^vk{-^4XM%#dH;v>4%e}_stYyU04Npf^JCg z80rPER$4mfINllRTII5q#OuU!do*HO8?0*s8sPzPZQb7R`KOb0lg;{_ zET1+18Y zd~(TKf;=!X52MMrAWaLjw2u`#YhJL%3T2AxI*iUp6(rHaxfl2lE$>++@z;pov3}+A zhV}ETFPQerI3yGS2;k`iBc}*HYC5x)@B`BZ|LwVxJFzwex#kT`RHjdGo+FG2+~kH7 zJq@`8ld`1UF(TQbRrFZ;hRY7(Ud$|K1H%0QOq*~zA1W7zFEK5-QjCd7`W_lBB}3D? zMLEQ9`M{vpNVh;AfdAbP5uy&_@PQkEYZHT?)kkdE$UcIyMC9h39%~%VCpcIAxjB78%z&xF%H2Mi}S`s z!#HU)jkEgu`kAo7@ruwaq>PDeuAaj!}!@CH8D_^3W9ZG^@unn2Pa)W_sp;Y}djgVz){i1rMN zxtO?kqjKusPFx>8DC%=2u<;{Nund%BC8aCU_IdANTD6&1E6a_*@QUC0i`g)km$7 zGD9xzEcqwcb`7=;n7LFbcz3f|LZwQ*f}8;;SNNuA<}lye9vP5$zMu?!!+wG)xbx&%&Gh zlF2an=<3RYi6pK@wqwcP15Eqy0u7V*F(c(qqSyx$Qwx+;(agqF#%#1kd*$9_x>x=N zq8`-R=m@fb;CmL@D|!MxHz=D>55#XK<-~9DvrsSfq1rNoj(*!t_!I6R@!OsB$YT}2 z3UXNMzabwL%ylReU&Gyjk@fXNB%k5wsKf3(tOPkD>tO zKl$Do3ip+WV!bTDB8UpEU4)x=sJ5 z9lPKDx0RQ~ef-&@qhIOC0bSr9z4v|oll@ng(Z`iq!tdd|-$dWYf086W@!qeyKeabO z#D)AP%lPw&_kRBm5asYUp!#i8%kQ0r1x(p%Ip23pV|9^zaU#5weNQl@AZG_XW8zutXbCkyNUPy9^U)C ze^tK4$CR$Hflgx^{`3CxpWXZQsM>H0=vLIL6gB&^d;bL6ZnRVNTlhcKOT&YJ##niO z^{@Qw-v5eSD)~c9T>zy77r*OmV9Be3`VYj~V*YPFDV2_eY@pw`A|X^7 z_g_F%Kx78StAnf8Po91D{N=Nk&kkP=%-)r09=&`v`0U9(`+8sb{Fr&jWx*(nu1m0q z?4I@d$>G8Ii-TI_^!cmj)lc6(DNUeszkZT#Cy6`gy0Aa2KE%}ENeP}suLnW;`pLn! zr{bTiK33)N_4JBK;}ZMf8B95P{p7`yk{wK92@9`N)74@HzgUbzhX=_Uz9C?2jZ0r7xp5GQa1ljXyHs zfxPw1$;9$zmXz`Cuh7)Ev7s!$dSufM8i?KdJgXt<=acKZ^r!F{jy_KnNOv(fet^Ni ze@&-n8Fn9I`lK`-4B5|5@tgNK?mv6}q(p^;gFwT7_}SsX^OpyB4|AO+I^KK2r!Gxp zXnJGl>YguesW(fc6TN;yW%3H2lxV;C^^?BULwP1P2VOrx6WGM2#W3Szwn=UGv%W@~ z7kw?-o%!{`kE4!r`qsBuWh`z!3u?$N2x>0&YQnzws@_@LBC)Qr+9Hjh%4r`Y}r`YvXYJN1YQ>19hC zf|f0HOKh^ndkqW|hQ*H$eo^<`6y_D#k(|3y-$k9XxCak)4?=p)=s|SOj2_fHY>kp*BJY$xKnJzK7w)z$b)bD9hj+yETH|$7qpkS-W?j_s9Nr_CDIZ z-MtSl)YkPpwRyXHooVOLpRqj=jJ&NIfJF0mW-Pp5`xb*T7S#Nm84JJfZJ$g~27|SK zXGWvf-)b&J&EJ`^z|7iai9yZZnX#}Aw|g*H`*&tECfhw2)cl#yEGQo;dT!OYyZxyjLCKn1~q?Y z#=<(6hoZRMT(I@%g1+p5q2d zzdT3hqL+i&K|Sb# zDRC2e$&7ipU3A37WK1p|?^6l~kIz3=Q)ni?+`s$g+s}~&d*vbnBg#pLXN4Rds%ar) zFDA1>UcIcxQbI%$1h1GS1X1lQ{fV-9M6N)1ote_Z7B;2Nejfh%6-xMJS7v~Oeqea; z{Fv|s22&Axfj*&3d1^9%3<>wp=`-k)&xu_5cwhopvKKwGCQnL0i1bYF?)8&f*F$_U zPhPu!R{I@nn+J_e7K=$Dpj3tSpX2WuO3_ zg_ZU3v)JNDH2@H977%=9e}5Fu$4M37OveO90xXuS+7~uG08GI!{4|k0^AkR(G+Rr^ zyDqWeN2-$t%n_uKVOF#BBXM=~N@g^b4#SmDdWzp7!3MVhXgL55-?i%prJt03wKT!_ zmj*ANeR}Zn>greLcU1`(5NIIai-I2l(}Fep=OodImLHY^7wtP*r9`JctF)sMAl@95 zxS%`L7K(wLS>&^fGSj(1$NMxxmHa(qAr*%=K^d=16+j_I!u5=r%rqQUC4qqM}y?F78GTEY4yPqsLOlndV zw0M0XZA2@wAU|j6UiiV77v03P{QS=n^YNy{J2(`9U_iHaaLp6$z^fRCO24FVCI*1O ziQi()zmUKQd=ef1>2Jp)xlWPMT83b<94u$Bf!9wiyQfcI zJ^9o4v!2xv~u1!`)U=c&nsUZ9-LmhtvoXMF#mgbXT}$z12&-C=v>t6wYTj`t-eYpd|C*)mBz2t1=X`JjOtknptz9r+4P^e&M>v; zpB_Fk`B9@&bVqCv@Mmv&qmR)WbYnSI7^k!oDC{GMlDky=`U)&_`ip{JHh=S*M4=MoO2WO~*o$O>qAqSkMp$JIchBm6pCzWbXZ*( zdTmTsO%s(p&je+ui6#k3&a_7(hXDx#n#dCKjd^sWsl_e`kq|z#XoA7=VKa$aSzXMG z$gU|d|D0d42n!Q4}WN*<-6%#UgOHRr3@WK1Q0Mti!H8PSMPT9=in>l`S2XNkmjAo>q6OpCG z?Yi`wOc+pjEhJS8<0Ke#aA*Koue~O^Dn?dhN2b=Bq)%OG1tTrZMHaIWNmd3Q$$`2w zD`EAmGj21u$)n824aj2J9pfI6j4d78euvICkuC*!Nz#gK?vr@JPx97tRhj+bH~E<( zaK*f75M&X&80-)dy1`#yaAj{`3h*ENrUyCCi(9L;@B+F+X-0UWqlt zj-imOkX`IHD})CX7;1C^MU~w8tFjC++@bP>u9lvrwBrd6rL&1oGkL|wZMKkJdu91| zINQQ=9e3*2abAHRBM+drWxm_Qz+E9ftWlW_dAUu8k^F#tqReH;4y4o?}D@XxyE_mUDXC?~lG`TIR#ZsvJiNC!Ih(k)%3- z0FDjO!_boFuxMi}V;RW~&XeD5Fag;zNm?gLvsbdq$al_;B45WcJ9?hs%_7z9ct67~ zOZ(7RxlmM{~Yu&fwkIrlH(jOiq7;L%@r7w0W)sp+K;5ll4CQpOQ7^p|)xB zTzLBUaB1^ghH&1y>s_1YbTo)I&u4}qgNMpV$Q3tvb#J>t+C1l6P@Cs$%URC1d9yE1 zS**?T+_v5F92RY!D|W8bYh?#-n|aRH^4uU3Zq>}Q&*$5y8yo1%@-k*yi1Vw@vd|Zq zh#6i2-iUdY`pU-gN`^YGf3~TcPeoQ z=Iy}bt%%R#u|oRwF*6OaT%8pIg)g&=DU28bb!tuPi{u$Xn` z%tG>9X`DpA>z^(%iswGMGz&sbE|ZiODw>n}y2;LdvO9RGrNBVII_-zMU{1ws%1Z zcSKC0Z!|9` ziZjCe*t%ex(&un*o6T|JK6rLu?GkmiLqi9Bkz&uh=>!R+?WuESIm6&Ow&b!$uE>En zlenieM$PszeA=B^J~q6-3KJ3X!L$RUl?RE8-tC)fl9(m+*u0lbj?CP%hBo;G8i04C z zKv6*JjKQ;vJ_w7;sD4rO9Cma1Q#&vS$VtZ0$$M}y?MxV5DiBMDKa?K|({KZJpBy$V zq7|uEY7XXmE0vGedQrMZX( zeU>=NeDL`0wG1m4eJ?NhL%Gq-HCK6++qO4zVrbB3FxM@@zmG!7HR!VjeI~_3W8@2= zC>i8^vP%Ja%UCBd;+4!v_ObD}pwGgH9OEq5z#BJ85nIk zNhKDzvjoPnP>_mZ<0faN&RGprS2-UG>_yT!t2$>D2RjMyk*$Yu&^fCMu}5NCV#QKu zbk1slC56se9r*}V$W`si(^9p4owF)7ZdeGb?Atu!S!J1d?BD5})eOsR9pNMfMf#?5 z+q_E}HacfDD^f_>IC&@E<+;>!&Z_lhot1S@+dRpIMWNj*rO6a|u1R8+%#z8QlpNhu zI%joz^VxOID#2G~C>T0tmFq`RTbjq>^Ay24XO(u3xbc{`D9&}9bk3>_MJ|?aOow}B zaC15H^R)3d(4chAYF1hfX~dZcIa$fFI%n0=Ijfckp#$()GJGz;Y$8k&Bzid?b<*m? z#Xm?^n}_SOfB_JBl6Qqundx+9W^2TvJGKvkizxmYX5G0 z<&H>Foj?G`hG+}rE<0}P6uNHW9EBxjn@(4Hz;q><*CP!R#Y?eL<`UU6^NwAd3sZjTnADV##vid25qO|3!pMBQi+>X!kcdT{PB6Y#+LoZiu z!=f`U<5(!ju_K^r1^%G#PJ`K|H#-+n8{gXa=6gvS=fvcx&uHU2-w?$d)o5*eYvWrR z-+V#I{r7mZVxEi;;fmF3({ZfP5~fhzThcjl4Q+gffdkt3o+1MO{+Ev4YgepT8MPvL zQ}OoN4S$~T%~_G{<3toMV2**~i7S4Uju||$&kwqvv++9L0^QjB=Jn4rz~?t0%M4w~ z0_P2wXM?Y7g05tO^ZI8S;j5FsmKDyMG20Gby&0Pt;#CgYENyX(*nDG_N2W8JjcKft z|2-QggFK82G`YX3CFhn%&JAIkZ+c_HKI$2M-<;vIJ+X1h!ts7|)B?A3IIe%Dyi$u? z%5mEpeS87KCG&)VmBp1PY^jPv>U%(%&a8fY>Z!<#M#LSq%Yau`E9Zc*&IGRJkCp+g z4htc6a{RrgPfL6k^vd!}W(j*1!;w|u@Y&_kx!a%OGUN$(#j`#MuC07G?CJ$=e*3d<0YU>dNRa*w5>m6p;&;%%q7x(2M4QR4Fuq3&~x zk~=m`?ZGY@0Sc8q+D`xV6Mk!_10}6*v2O*ye+^qL^gUR804yzVyh)muXxM5ETYWrR zFN9*YghrI!!d4h!oGo&U*qvsJQGj)Im@uHi^g|uun2>D1fZ;?k`dXvVM=(K*eg zo6^>m!ofI`Dg=;q%;zXHOL3T-J~(a*k5-F>17n4&3J1*@xhG3*L(bdJ&r#eBEeFyJ zM>@eAi#r*`n6Bv?G|QWu~K5MeV2W zD`#spAmd!mb?QHRtvAN;A zvxnC$51TBM@Ejv^wt6MnQjnmiBzZcwl>5VVcq?`c=WQ8crQXBgIV=QB=a!=9<<70( z%kpx0OEOP}u04nuGD>!EK{&YrCAE2YYcMadbbd_Z#-XhNOtHxfGjV>^SwTW;MRf*q zGAmba!lH=Iw8y{?U{)Z@env0M_g7@N3s#D(4d&+cu1@~!u;RF(J}*QGJ2S?OJu&Q{ z7mH6)?k9qip2H?5W;wy}7O$Tu@8SDL4oy0(oHx$C5*`D#Y?CUn!fgZsO=GN-(8`ZkO={VkxJ;U3_`=M5u z8Jg9+JU^+ojn=7AZJo6)+s4INrCIN&9TJCM5Pk40PukNaK{n}&h>4M4RN8f;(KIe9 z?aJ9%{cIsg;8EvSZSi}&UHl2rgHN4U6*4t;5YQ46w<74`L zQQH5`s8qX+_jLoV()!urL3sJRkYv1q+u0-T}TcUQnHHJE;c1A zu-rltQXw61Yt08Sp|+cd^q#y?xM=Vk2Sko{q&p@J{%bAtrxY%@xwLW|U zQ<{6~r1Z^GqHi!)S?JPcDb_wx{xZ?K)`-Xhra)%?U_2;J$zIb(9Qtn0MnY?UEr^PH zW1n)G=b>b7m}wo+sMFFGXqb79nm+Q7v3S{~dGdQ%W*&=6r=`7_ZGH>En&;SUAghzc zw@yprDpkxGoP!r3$05?EE`*A2EcU8cqqOpohp`Rc9B9dtp{HHo%Ujd>$U}kuc+aRb zOQ)rYiLuCqHRs5MxklKgX=zZc!Ga&>xI@%EFCA?4l&n?q!BF|^e7w0`4mQX^8o8f~ zVN|b^j)xY^K44+x7A(rfTV#JT><_DtbhN(ZhTA$C-fYn+3&nL>ZfJBDmoP0KmA#T8 zdnGqLTfy$rK675a$(6vxzy@>96sz^Nq@YmZyuuRxH_~^6#nM??lgLOydU0Y zZ;&)&`TTDf@CH4MZiR2Wt2f@h>%L(?De@I@kG%uHo;OJGq(}6V-K)NPpIs%QB zzEJP7*N8!abtEf+CQYx;cq+cUs8_n_D%y4RK6{ll4`Kz0D){@cC+rKF-U0yZ0(qzP zZbwedj3?n2ov+SMT6{9|uRy%$ca)cY%07(Xpp4Gt1&!Go@lnPT_JxpDXW->*PV!kJ zznbxseaQ)}oHgDy&+E;wqU3G%E-Sjx1RQ^(hVewwWJjF~S&xtR(=6r}e=&AyTPQZf zM%t>TWyZ$rdbVZS>%44Y5@tY&#+Ao9Fq>FXaoOSs65b*OT1WO+Zs=TyAO77Z95lc@1qsO&=_WCxyb2}Cx@41=CPu5ijq!IVxRzX{9X@# zD%05fwt8*iM9WcZnZ*xjkX$|Hzbh8k&?!oxs;g6!rq=PkjJV9=Y3(atM8sgB+I@-FVPqoh4`nV4-W}bF~w#0?+fy6 zOFfh;G4d*|m2RNmB+)eV8P@K|G96_bQW~#CpOxAY7qK!0jzwU}_211itTk9j|6x!y zk{o?Tz?twhJgh%_13|U4CC=-Zixtv`wKLZeUtOv_#v!0G2=O>#>C3Z7?(u`IY|m*Z2ABhmjOk>E|)iV z)f(@clfdt~vF(JOJ1*m#Zp$;vmJ`^)T^NSymm5_V+Yi0KcAX11d*7kJ8iCpGW3StP z>$%fOjO1)eifp|KQZ5}k@MEMXqX)R4-q`J1qcWe(<$47ja5BX)2<*EIy<0@^=RAfR zNTQ^GHAlDRozG}EH@{_nW8^=qh?Qna9$U_l>u49_`y%QJ6QYvith~Z1ys*5^-T2B~ zW^Xoj4q&nNX0M1nv>djwPF%pcn4WFw`jCX!$wQHT? za!z0yK^1fYKC;%ed1Jd?jQock(>!@+|DYmtCIx|$YB~jT(LJuo(6k-%(2OnE!ciV$t&0q#$fxq z#_DXJn4Ebvil626uzW7xdB<4X4pB6+9qZOJCy&k^aui3{!y$VZ4uKDLKe&#uhinc` z7z2D`n{NpgEjZL3PsbNhfKTa zWXUO5;KdZ!H1SsR?}BRiO@?o`de<9Se$Ems$3=HbX5k9_qriiwqs=qspVYAPj5OO| z`YC(dN@GJD1YYvooo$kLV%yL#Zw#>|Gb7D+{uV1~mZ>uu!U8k4G}AlXiPq5NT20B? z?d657(~Mi_1D^HG)XMM3##oW-w27#pJ^6WI0c!;%D1~m)t{KBNw@H~3ND`WPzU9Ih zbQOBaXEbQRl+KvZYLS^eG`7aBcXvY2Mk0U*?heoH4=tIj5?*r?4EvkYk<|q3-y6RV zd_M_{&F=75{1N5`tHDRF8Rg&{BjsN)dObBs4DyD>TrAy1(x1#vFB*4%@#nx3lT5OUVSa~)BC zqvL(=BSU8cEiV;65>lt*5G(?#&DEco<3KT0LB}Di5yPe95C93tRWq5v!{?>E;?M3S z%Us7HjHaQgUR2hi;}D8EGCB@{>_$F1Ql~4b=C9)rq)Q^#)g*^K597@CbsR$R#gUFf zu!OqC7gB-ub#xp;D4q&DO62xlVINV)Av}_`q~j2zu2saO`Z$jpvP?3H5(!<~MyV8d(f zzun%Qe;K0ywdNnin%CT4IgAL3=w-j2Wb^l7PP z`906Rviy=+!ci#0kyYZwmrLg?Oafoh5r_zE#VelmNpNkIL~xGPFClO;z*l~0;Cd)Q zw9IB%gFUNUdis>r6qX?x{jO1~)tg53veRvy8}+lwNegG|t$c{1pk3fDSR?bw^-RJU zP`9WyJ%~%Pe#N=6-q~t#qAyxF*{@z}ba@WJvd65&NuIcVU|4+{=R`yfrNR(QC-pUJ zbRJ**eWO}8PAlh)vlWl+&i`1swAfv5IN8yk{4~hbhVVt6gOx8e7w;;adbNe~L2<5T z{j6SHadsAwvWs@(ywd(^oslXcIgMuZ?27}O40~}&N2S((bXjj!S6oy_bXIC%i96hk zxFXWkx~OycW}LTb_0`x#v(-MYoE4F(i?cJM`_;vY^w2T!MWp6OmpIe)6nvu9JT+>q z=89w$k)&$t{G#5(@z~9d;;d0`Z$DY`Xz`sR ztn;DKt-do_@9S-&)dbJ$bRb~1f4~xS5&Nq#j=ue*)ti-*v$~;DxHCMx^F`yrcwcR8 z|0wO|@F?73brYkAdeLdUUOTCfqSv}?S9id;IH@#i%m=ppODH5|hs@c|MY~?9t?MBw z;tHy@J~RzZ*7i?1cvnx2cD+;Yk~So@hE8WkREIcur0neoVa)j|434jilT{tBMO;@P z>Co6Yl8$zdq-X1s6pL5fbb~N2=NBg4^YuxJ;i?sgq!;UxR8a2la_1=ebmu5~wR04G zwp$d{zwBwZTbjRpDDhxTCbTwopWpn8MA1#Es6o#_5XD;f0JQ1WAX++9ZAYqL_iypz3ISs){;L&vuWb z=etMJi}gt=D9?Mja}<5La}>SWIf_2pEsCTbzP79_B6ES}$6-ILa)cayRY?M8RSc>8S-WE}E)R}^|q&5F8VVa*e=OX_Pary@%%I&P=&_VNOL znjKtErzb0WXbL<3SdQE32P16*+he44y7i0Q6IP5gEH8j(?;deNE!;_!mkrzoXEAQW zy2Ak+bRbN-(tNuj^Diuy1WA`T^mJEfs$Eoe3x|~m+9BMeh@2Ho$RaKvtexGOY(&rw zO*XmTvs=Ivf~pNrpo+Sd!sCJIP}6R^wFAdX(u!i55eFbMR#)1KI9QjRM)R$4dUD=4 zZ&XhjwMN?@^=&nUy@-U7g3ixsHO?ES#%W_!kcuJ_Rl(VlIJ5_Odq$^zQNhX1`0>^1 z#~C&Yc@FZsMh$xi#4$SEO0&D-j&Zg7efa4W9LLgO3HO*Wf_SeNCB-aJA3PTi;Hpw@ zKg+&-lzsa!`}RTnHrjWfsu`uDutu7$%(E-LV0#C#r{t^8e8_iRl8;cAn(bP8aX_W< zyArotsh{s7CJSL*MoptwrsP9bmckKBtcLItJcf0g@sR@hTzWx`VrRJ-Ec$`p2+N>q z;l|1=L^(Hz({Xlj&Q4J)nWJDb8nMn3=Y*H&=x;&)fy%{so6%G?gIn|!YXzf^Tu;e1 z#1B?4@w3udos^ZU`WBO4vf5IuPo9`v!fR27;(~97W)v0!^5X>Y9sJ~J_NAi({1e@v zB95p8v(e6=m-PmkL7^PUMzCi*8Y1~= zT*Fz<vvUSyQ;LF!MRjY|0)_k@Ym^yX=OtI%96GIYngCqr`0`zh(WdP7As4 z4irp}h%4i6$d#Gg!uQI73|yAsUhEtVMZ)nd8qtWHcU=bN$hV*l*}i=>vfg}BDwPOF z!0x{h=W(&$xP81oF+Fo^1(ru|9fwyX2X|jT5d!|A-FOepWkvaZ(f$5gPNi{LuXHcl zb%XD+)xh)cP#!y(^(p68k(V&Sg%q;6jmX-WS^mOJ&hqFEs z1CsgC!K{zOu<$v_PKZy=2R0bzjki+%b}n$7vhfykv0kb;chphI=8MsdmVL5J)>A5j zA%-MofM831rrw4FuYOkfN}b$FP0yjt(Zpc?60LYvI(jkd8M=wDuB;Ey9kU;Tti;34 zJJNNPWyLy+jR0G)q`ss1-~{k1bid-+Rc659atS6D zt~Dwl^BrZ)&3s2)doy2B*Wk>T)U`-2DI8u=RH&C>E#Ttm# zF*~9gVs<165yMV>6TK@Yj&ejatyG*34U?8Nh&Tdwr>G18CRo(Wlq#amr?f+Pu|1%b zAk9^1o4oiuecX9li5PY$15hwlv=c8czCUt%AFY0A>f=AS>^+ZuK8t=HML!Rtp9kSj z)3bal2rq?dPK6e^+Zr9Cd3j!MH>#`c;WU~tKkQ)%H=udNycP0h+{um?XHH=Gg>n`V zxCSpeb^>>JH+H0JcsJ(CjtWqHCMYywO)8R`{ZCEn^CCT|=hZm^be5=0O3qCCs&%fdMUV#Z|=g}s>gyR5s=FBpJC zeLdG1*h6%&nd~6e_kLhavWtrD7I{5GJfAk&oh}nit4Ziw56#GJsgi({zA?TxwHj5} z$2vSXy?9%Blu;`k`**(W3|u3)n^g*y$rQsTwmUF;*fWwnNDr6jz`k{3_pDQMY>)1; zdutY=HQx>`LT_z2hlZA8dEk9#*3j(Tb?mX)4_B@`vP>rp=q;DCIhu+My1wPvH&*|R zcp@(`2&H4NV|X#;_MN<9kK`tw*x6fo%P$;zvE`ST5l>1VZKwbGNslD3ftC01N$CbM z+IF4SPYz#}W&Ypyo<1#gudNcKfdlD{$EAsjvi*|v?ZhR!?&_{&md0*>I0Mi66CK<{SH;MhgFG+kQ4J%~?U6#GRt5wjwyI^GY37t>Y3t87tbFndu7GdZp8;x4XrqTZ_MpVUwy;s8~mgbZvEP1M$ZgDVuIeTaP8@ z6fKgMjJ-+j22RJ=r}#J@Rw@cxgP9^g+we!`jU^c<&MX?aj=Z-cHUU_sJ#@yF6O(1u z_{!?{t-gO-7Tzuxd@ym!wtsGoUGJ{O%;1>3L+@l62gmz~DvlG^V$|nU*>s)v?g$MS zS)IW3%puHnWp>e7(Zzys*5^-T2BK#c0iD zrmqT2if6;T=?f9p8Vq2*#3*8;x0tEsOktkl;);>zcinRn8;4$uI>nNMer5-E7(l*l z;g=f;Js^Xx7MW_22Q7y&(-Ulh_f5~X{FWp4RJPsccSZEE-Tm*Fu!OZh8yx{3S?k)o zvEBHj-d=HueVvirvjf47*46OxR$e!-)sw2uwL9u}?Zl2rw$vyv6^u(_6Y|DlvoNlz zZtwK7eHadPr*9_=i*2UpbY%M1F`2i`)TpkJogpgieAyAwMz&+!dgkQOnM95Zd~AMe zkEi1c3v9v}wh;i=^-RY%S$smA5$4C%g*vPTX~6R&+(P$8+y{zJ+$HL4hlURNA}RUa zbb?*1_S8AEoM8}Wk=Y{`3zIFFPizwRqwWX;q4?4>Y&JSQ5eX)&mhABsdpad;$#zjsURp4mJ#cbFnk4hf~*@219IQ>=<=$R81$_ zKLrDuCf;g33{Wk<$#6E+XccyNy>BTZY9#0hKDZUsfJ0pxkYPO#h>e!+{AggSCG7aYlb3?#;@RnxkVDnXiJacJ!hguZJsxw8&_)O6~|@BA)ak>Q4EjwJ&WSfF=J;ncWl}Y z;#sfwIVniZM))z?509`g#5M09j&sx`APm0`fTH7lSf1(=V5Nkfhz&fFv<&Oq$q9ADh#Bs4;XRu?mZF% z&SSC*kbCKAq_OtLsm ziu~jur;{D@7EojR23D+rl&2XhL(VBy6fgv$*B248aKr4GHVwX`rooW_k=g>MquwtQ z=d&7(hTOfdc^b6+)?gyqNk4eo~N)aX*cJ^QmII#2@q}R zupIUQb@?$Jj8n7xo@ZZKIHIP6BXov10f$#mE}ioOaYA#JonrF|om?Ywl5uhlvgZSQ z<+IarQfKItOZ-5a&#f^;&q9NsF?9zzIs{+X$M3(iuA1i$af0XJ9a`e1!puVWwcp?9xxg0tVNJQ<{*)h->GY&rZ25YxG zwaZ+F8Wf%olgnIiV4=s>RqBX?BsbT&4VjULYA5E9>M9pg9^F-lll*rXcFaCDVE2Z>yr5|P!!N_)*5kGz(m?-YS4hU6$ty@ltUi0&*1&qxaGHOc|3k=2{KKmk-xW|8~t*(%HHXHCI1ccWbs1Up`zj z$M(eL4iz#d)*5@YhzD-?Z1jzsaRnMAj=dP$#RGS73=06MTdRVGu#Od4sl`Z8Z>1W^gqiX&!i$<6zE{Dhf@E+ z2yz zI|CHFV_xCN-OUk@+g9cgx63$iBsM_gZ7-Ht7Lm$`?AXr7_*phTK1&9G{CtM{6kHmC z2}LJXd;7U@#*EnHux5;^b}9&C_nxx|=X?8?a4K+dL( zy<+%U@F|4G=0+Rx%uBh}W<2;|rm+guU*{kr!6icx7S5ZZ8gchy*VO8jPN&}P7B>b# zbIy#pDy43bHcXi{boh{ zhV;>xe&2k!>KARl@WVw7wiRcD8Pe+Aol$JTw=xps2ok*CxB3*l;5q|)IQ7iHb{(Ml z0xsP3?rPSJ-OEavORbD_GQi4JHeKhvJ3<3SRwr;hbNEmdUwH8Tm{%if6LOOd6>)fK zWhDANCMq(MfceBLS70uV;cW&KNT)vRDWPhM{nMot<&^8nL^)Y-(^tzq1CQ+vZ)rWU!80ba-7T59Nn6C zKBM5={FeQVjcXdBL9RO4_j%e)vdmm4^L@8x-mve6vn!xhoj z17Tdi#fVZyH9~X|%G}lqM%V>@U^)RGS?k)ovEBHje%LY1bE(2FH?n(nAlT8m%3R)h zYL3(P+8y<~$P$Rn*KDb=4i#cBWSEQ4aD~>ABN>cMjw~G0#HL|fFWuhxhf}!hVcqH5 z2_s^gDLNgQ{&j4+Z8J5h7i4FMO8Z%MgtU?ESht=zd2}X`BkLQR-`eBp_yS1Z*kf*6 zX20v1j&Cy8P@EBNA776X_rbFRYnQ0A9U3}#L`ci`rW4rU?WuESIl~~%BC|&h&h}hQ z(mYkCN!(?+BMijD@;Za7Bm^845mnjavyR5ZIm5jxOhm{B(+)ZTs9Ali`=D-t|Tn66aTzRu4upsZ7|QgVT+lbG#!9?$#QOPRJ)?F>Ws~w|9j& zQU@PT8t17k-4ZD)$>Vhx$UNQRUZ~jtW~jrSGWfZiEO~BeZeS9#-E;0wR~oxg)DvPs z&Azu@EGSOnzBNK*&hiZ~kvOql-)B+}vrY(l!Y9d? z0E@!jLU)AW!>fcXN|{tC-4x;dD5>%#k}7!`()Vy8NEZiuU}H!GD3vA@q2!k+%-P3* zA6IucD1^gClx((p1R(l>>y>aW$S2Uk0=qXInVx(l44m@IrDzXS7HT?u`p)*~OP&tJ z4jDle?Rw|(tXpyi^g>o?{A7_dv~(0SAa;Hz_KSsC#Z)I{UvHh=+N{jeGG}8liI=Jc$&+7Hz9%*;vW5qAeI4MPp{D zTFwOKI7h=s3rS&~tGBph+uk>w-nEt3)K!>O6oe3R`ZA3#b3sQOmdBOW8?rb>x011K z_W($p=LFZvd&Zc_4la`N9?nChy{qc)D8tNAjlwEgLU~#qqJnV0asa4c#b|g6Frb-H zBdrx%I@Dy}n4f73bZ-j|PrOwQo*8oz?)-KICqjDaHWa`^8XD(@Yid}JJ z6vj%=(Z1906p85hB@)av=X^lUDe{KG?7me^8Vyg;pW-m-(D(QV4&b%;W^sK(k=u4H zzh-d>)2<%Hbt2t!MRfIGTc|&^)pYKaxT1!qh)!*e?-j{iI`yuGr_k^e1e}^;1Zgym zP{_&+z674cti6+HGl5S$4NoDtZ;?Z^M10D+8I3bC$hmE|I!=R}p1JJY@_D;j`0CbY z;hGwr0`bQgDi8y3D6ZPNp+3&2EBfgO8lEC_07%i0^MuTke}+L58lFPKQdVda_;9D`6kQE!*tc~ z6d4BGI?_oDN;U_~Q9NmQ3LamD(94XGTPM%{p+uL4r?B3vv$E!mPmT*qB06Yzih0MK zMd4o$#qcyd#rB4hYIurS(9;^8VuhHfJQZFIPeCE-+`i9S8s|Dr8lFNPKf2^G0}myT zb=Xse;UTAfo_78Q$}QXd;lU9~V^@lL((n|3$5;;{LBmrB;m&{{G(3fdr&#hZfjB$` z<(+D93K>ZE$RSSQi7jgdao=Cih!nheIKwqKg*gHwgIQh&S|;aB!wr<5WLT*Nr|?98 ztybL?ZCF~tl7kdUb>B&FimwB6XiR~%rC?HUhGU2Xg7_P8q%Yc4o!}6=*H5CiNXVO zyN(e#sUBE)>;U`gjdEUP_1x@vZn<5r)QnpFqWexc&Q!QuUOrd&WHKUtr3$+5fHn56 zbyiDPqJ~PZTgQiXqg%gMDtHa|MMgjHaen9+GsXsxTDp%g@w|WYYnqKu56h|}Y~>E4 znuc_IWV#aH@@#Xo$%s?oG|kdwv$k(q^bNrFTpR|;W-_fXF-@s==9QfkvF2#x-kwiK zfjt>n5uB3%5ub&KAu3BT;CUNRbKnO;d=^=tq7zb8Y4m~P{g4pGO2R84FR!Op4FML| zs0pk==30sGJ_|b3Sv1#Y_nRuzinIiNS4u;AD3qyr(j~mWp8dR@=8`*=Z-2rT-IaZ08lTR-ONLiQ55hj*{8k&;- zQemW#@qj~MZmiaXP6>`J|HXtgTSlYVt+y*xIy2b#&}i0LA7baT7KSlQeXA{wP4G`$ zuN|&2btu#OwqgV&e+o6uP3g*Ol_khxhu?7Cu>*9`Dc(2M1yo3kSjQfp;!N+yAxn<` z#`1}w4sSnmXJl+Yckhipp2DV3=M)Z2S2M)K`hRi2|Ek(ZABJD5lV*I=4V z^{iF>!f02z_2d261EvkiJEk{$1M;F*=mr^I3lu1B*L5aVPwkb(qTnc|k}oIb?xAxk z?<;4mrqQ{mS0mD8X4N9vN7m5n-SN|ODx@E)U9g61;Pk0(;0M(s`K)UYW-FKROq1C$ znmFwIb`zC$@^Ni{@v6%JYAT9mvU57M-x>i&#+|swi*2GZ_f~hiA>piV!8CBD z*yyh2K?QU8`qDs|#Z9wH^AIswbC`(q9c6HlxdJ>2DNajplqr;C{!Ctxa>D9M`*e~z zN&2XT8NB3C=3|azF-FqH*@|B{?rnUHMWc4&x;V2MXLLv{CUp6UmV`EGG-mvXs(36n zWEp18+G7?H7vmifU1xDcTh7HSP5W^PWBtykQO01Uz$~VRhYnj%V|zII1@4wNOd~tq zk8bc~!q4i-I=6)twZ>^J3}jFWFm_?=v;d1BGpZxPxMq@hd5*}sIoFih9NC6OG}VZv zp%9QPJ3|VHN2`m04dyCimW;ow%Pa}b6w)pqcF8&2dIwyoJKX*Vr(;U?DISP>J7NJO zcFIest#V*w4D8|5gHP6V%C>(xGX3k=IAx?LB)4Wu$qn6$=cph1u z!1c_bx{)iDl=!~kexmPIr|}|w4tfTeu{7CquO_!a1#(+uB%&W;@-Op{A3muyE??#l zM+)fLJXP{(Wd~tx)p|o*z9ZF(9g$W9qd`!nart5kHtl+rvsBKiJf*)ZGnbhf1|jdB z8XlP#?R8H76G~E1jSP*)m-4q{v&}+C1dYdc;!d5u>DiXX>i5rpC%elQBo}r1AK&atY+f#T<<}=oNW#k@fKS#MCLOi-htd&JY9O z^zLFDF`lp@hi9FI#XI2M*A)@+!L$Pn$2TtoFABtXD1N8m__o)7s^R!F9ACkNu!iG{ zl5X>s#(64BEJbG}dAt?WbnUUo0Ta<&`RPdyC6INfZidAur+%Jl`UXZL+x+1%5lUlM ziuyEf3>8Q%pMWN{#EdXdgigC&Ac7rPjcA3~RF6fKL=mSehoFYVEn7bs26={b@zPeQ zy>ABQ4DxyY(5w*LXNZ;H!MHpymsLvi@Di781LMW{bwOC%O7+j_gt>DdvkzDkR_p}W zh62E4t+B`ohrU(I%;=5deTWCk8{jxxmi!oA3AhLVP06S}_Q;z~0>cNyhUM*SZch}q zAhw`Pz^z=kpg-n+P@=@v0T&A)ePT_yzlrT8l_Y`pN1rf# zt8hqvCylOAJ*!vRjpkdUUVB?N+V!`M4ls)m^qno9v~g1O%#%;pfqE2`GY(`Pow zW)1~2tI#hqyiscC(<{^Y_>{SU(sOAerblFm+E_XO3tCOrvC(3nI`RSyMN&W&U?lH5lo^iiv1L;w;%;>3`tIyR5_7S7Bl0DN9J#+*pV-086}BHg=5Y*ZGL z({6N_4zwaY53zJ4EDL0zIz;Jk3!#9fjJ2YS3@oJ82j;B)zJ8_%vAO&7;XHeYabdFL zJ((m_E64;A#y2X<& z1G%y&Hia~f&IG7*?97NY#z=_CRi&#C3JcYaq;O@MSQIxSc7cG1CwGCECbiq}fg1ld z=2?;1N2;|OG5Cy`8D+Wf`KNmDqNKhI&5zZTcH%9Dh}FB}iXA4$OLlNUcE^+9R-s9z z@oz&s$1K}3T54%s>i$<6i<4nPrOdFE;u$plEe?+wTZl>W%cUqJsWhRWOB{gc^h-G1 z(DptF7YJ^87EVUQCg9~+x8x4!1#v(kVl1N4_&JAZ#O%UFGN$#AwOPH!zm;n8@_@z} zigLtN))wG74(bebdD|e~8M747ZtOSZ4Xng0*~j_i3WUWi3;;tjWvsl=`Taww@@vRj z4SB0TY9-?})`0b040#*hnM#4|hEh;WR*{yZA#b5(#Jl7z9d*k+M7ifoK9qSUMt5Db zH1!N;@MGyjc?@-W#=O;#x3L+Tc97ktO)KqW#Y?ufcDl=&cSKuTL*BlL9atPOl_F@< zA{j~~__YQD0Exub7jvzTak6Up#2z-F_YEyiG4JJKE3m^)L*8o0TWch;OEu)JRp6zn zA#dg3cT?+4%&aMv)L`~M;=6CGlPQkj5r@G)iVG%BjZ7onYQ)<)foRZmgx;U;q|=DE z;hCRcd$Ml}x)m7*g>3`jrR$lFPv>U4PMi^fOJ-=w>#%jvC)u0hIr`S)#C`DWz}hA1 zY{wo?$8ey?tbeQDo;qiiGYqa{Mo;$0sd`9qB4EJz@ga=?*SP}g**MJ9T>nEO@e1J*xqA;%<3Z8Gx}7KR1_7&r+;gyKNe^7YAv_~h5 zZAg21v*Cx5H~>j{9tK@dxJTr6D@&r1Q5tzs5;`aN9`Uk}6VjKEfwLo8t!^35i95-C zXf(c{nFw?W6FugQ%tOpI4KT>g-fEGf$;?&Vv2p200v`fQDG)k2xp-R6pb)Q zsF(fkF2bNtSHg{+P$uyQt11hEl}KfXYewtfUWz+oDZ5 zjW8&eEk>*8xQQBJu-W>6<4=lb@6CWrS%4Bdn~94jt4gGG?Qs^atq}(AI4pQ9Pov&vi2%!ngs~A~x@I1F5;aKmHE4$8eyi(j`t~It?99^2Bu-eu^g$zwHjWCFG z11`ce!XUbW$D3gvFco;lzzP=zG^D|@*ba+~W#Fh=zU=@s_XeK~YlOi!8euSxeC8ZYKXY_z-uVp3=G^?2{f#*zw%5lJWg%2Y zZtr7^swG@3h1R?y+BCwTr4a@#wt36~O_%c>No73`mL`Om>$ zBg@QVscM8ljW9@IMvo*ZG{Rsa@U~#=!S>IsvFqK{EIMc~rZ8`>8DRSwVUVM;@ofYt zPx6#eIohLf5I&7CIN=fDa#vE#_gmJJAVxgf&6VfSX@tSVXx+zJlV#?i4{C%#p$W-g zF44L2lJiZuaQIS@SAwVBgSS{C4Bnrl%6Ih)Z6C)7A=Pb&BMOHdWQrrTYDe@YjWFog z0e}R<dB`(#1-nBjPtr4&c*J(m#oUKygQcchpj~e6gct6`p z-GDJA0ZulIKu{CKa`1xZNSMxF$*_5(*<28(F_V%TVm!LjU>?Y$#IK2@2e*1eMg;t@ zsg#HfU>>P#LI`{PWNc4tV{+Sf4Ce{modxh>?_L$ zDlRfEh9gTL-4{a3-T?KGoj$J*#oC?84)aGmaM~(kzDLQ;K zTltJsBeFv6XU=4mB2I~tTTd?)6>I#*t$<7vuvmGCNBAI5o$%1h!e$+RKO!SxQ%$!@~I^3CAF(LK@y|(NPT2Iy$q4X78?Jk2mBF z^X&0^AV=drdWfnWY5Yf80WtOHAWG zqANtSLq@QJ#(z`;CS?2rViHXcaU94B5lbtIliEEL8jb%b)#TDbJy}Zb3`IEy0iM>C zS$%0`xs=eB(-U@vx-2M6Tho#-X@nFhM2zj`D&lyhLx4Frt)m1aVW5_aCC&=u4U5Z& z;*FmIoCeMH4<%wQKYZTsN9K*SGnl!Fps{o~fX07}RR358crw1Llnhr|+?N{vQT3^S zo83c3uf~6rQbOZD&W{^d&R)+^+-v+t4`I!Ujv!~M#(!K5C@RM}iC8fI!ty$I<12T> zy|qI9jPG*Gp?a0GJ1kF9Ji9-%`jvhk`k&t#3=l^jqlh7qjuEzBKCy=lC$NT=moXc2 zrcf&D%JwMh%ykR~jsK|eA2t3XGkfK-%F_mxW#+L|HU6WrhY~ZSisgf0dwwGeHa-L7 z-W5n0jsKX4@y=n^<;fm2{$m_$Pxft5+4;zJtXt2VJUWxeQDN5jk8@3(b%d6f#@TMJ zJcmx>KWh9(mKpQlUMvZj*a^(QimOZ6E`wNPe8I%9B&KU84u*XgQgY-7p2ZOo8&1z1 z?;Jv+q)y{Mt|OKBG`n}96xcNJE(yyq=6Az{EF-np^31aDPI_avANeq_@Z>ict~ibV z$RiQu(9Ii2sX^!AywLcMGd6(>CcYSE+6U7PmKMf&vyWV7oo5FYQM05p&YPATrLm68 z&EV#8uHX%I|}|ETdF<9TA(*%kWN28?NTTyxvX z+|wSJ&am7GW<*!yyq}#TVOmOViIjX){Kt%pi2UFmMX2y*_>Zy>5~msfk^m>qTeZgN zSA;m(y6j$DcJCQ;vRb+5UbgE>{J22nP)&qn0BT^%uh!?S6HUQG6n^eBBpH?q ztLCI_4et@wAY%u4j!m&1(2fRxOauolg`e>dBNHaQsWXhlEoa+!Ld}r~>U@eb{0@oY zBw8&m*|XW(3As--0@mr?O~eVe3sW}*J?%z^DM2gJ^AIB>b2c>q2&z2zyVy zWp(XjgWajh^xQ3-W$@3^4w3b^vIc5>7q-eA zoJncBPHBRZ$^*Ydn^iuJ^}4#labO63r1QUQ#}7;g^%Q9X-TD?Wni>ES-U<;H!`%;x zw=fSt38}FtlXLBSaJ_+E{0;P^Lpe0bGyo))6JKIkw&y%uYPqDBO;#E|_HyL*J}NV8 zB}_ABnX-K-GFm~+`gmp<08#@$Y5+(L02%U2$=O1$N5m{MH0I^FWm;!mS}kGBlKJ1) zWtJj>N(p<}h+&4sWrXo+07!f2gwX(tK?_eurhgq97%sEpdno$}V98`~)#7^2t+DIf z)hx1#AE3IX>%4bIW?+x3PT+dx@F6PI0Fdf7K@==4`B@$|kp_U2TD1m%%)?&aKrSXt zKMepmBSk?2KxzO;`)Z{4-Lkfb_rvwXU9RD!@YZs4L=6CGmMtf+gS*h1?Ux(X&@FvI zUTH;7m(rrZZqBQz=Lao^VJ~R_$Ot^4PFSa`R~YC*#-M&^wQHT6M>a=+h|Ln(2MPGd zTG!@{?RtD$?@{GM#Kc>X`gAK`dTn$w1Ge3|yo@SZ@E`mpW6Ze# zKsvVT9~l62IZDGnCZ5GJ{-_}noFn@BiSina#$$s&_N}Ywuzc8 z9hk$C-7kH5@cFanzjAnZ@cH4h7YYkZ0b?ZteA!4U5NiT0@uczAs5fhkN;6%t>QG<1 z{C2(ag;A;1+8O14dvI{@{NUA59u-wDE{#eXh>Xoft8-+uF%84XD>^cV?xI@e!x>I< zs-;l_@Z+D!0A*Btn(K}Vk%09pk*x`64~n4!(VrUmwlZZP=CPlngM(by&*@pE z^UkPLtM#)wfN)xEgGLLnT*=I_QL7sl?Rp1HBVAV>B!!ioU!HXv7iVA1t}YLbt5)~r?5gsitYg&bCzo%H zYAXw5IUjOl9i8swNqU5GnGGGI{$;ma!GGmKZZuC@_f}cs?5zH_a%MD|oo@TG$~Z?@ z^}W^lZ=GK>)GF_#qQ=7rkYqLYC_qG2*#hMG!DlaXn1PLEqkHd6k7gSaQ1_~`G%wGM z)~V5XSE;o=5W2h3sdw*5I<=||<9)r|$yD|7U_K-kc%P*g%K_=G5b6gTaZ&_25A5O8 zL%yNwlxuLCR?XhE_1;D>()eULXpASLblsjg-elIrD}7fx>)2yftw_pQ%qBip{; z_g}$DovM9q)d{hkOlEdnPpSmSwsv?80%x*M-BMwYZJoiu2uQ~JufM<552^J60S%Uk z=EFFE)ZMFly?Z@I^OfPlb-YB`pOv4oh&KET70c3hl>e_j8 z+E}FTUN~pfg+?S|iQHLGYNSXu&v5|ERf?YB?c4L}URy~sJ@~WLJV_V8*?rKFMkYHX zIWSxJzC*Lbt_AfB_5V9SzqfQbmYh)X=lzT>0!W<|73SU|!g4uQueXHPXYVtKPxQ1( z;r&`?@gQDY%K2yVC%K9H`%B^*$Ovk~k?ki^l^Iz{rMLIK`{#NM` z)-H6%dCp!F#XrB<$a6$|kBX7eyu1|Ke{H^Np2k6PYqV+i6!qZ9JTUxYI936A#byg< z`8SAbYEXnz7olV)0vREn5NH&Ug#z;rsK9ZdNam0X4AXjBGNuBl?keT_k2uHa5@>OO7WB0I}HXfkY7pV4w_)#hj&mAq?cx~ znp3pw`*Sqv_Am$OH7+rw0U;Tx1d6k`}g&gppaNjpfB$?UtsE)2j3?ZCOC5rpj%Z_Y*3b}BMgxOkyhz}|W(3e$dE z5*J%D+{(@Te1}z7c`F%xN=!yB-cfwOY83s^2jj>Ek3^T^I%gPjXMrO#*oxlxg(R2U zsJ%_br}@fRE!?K}ZEJMUhFHF8Xw)&$B#aLJdi<5w-Xd9y0>0J0r2PJ+fNqvN$eRFXeKv6rnFio=3 z;>sMlq(|l?JgxHH{zL#+ayTi{+Bm)a3^?)BK~BzdN*r_Q|vqmRIuMef*A z0Z|i?isL*9AfeFY*9{4TXunx&c`T5ChmNXblX@t{^k^|=eJ}`)z?_5F&743)g68rO z#}S}_uh4l+*i0d@?tCScJ! z0QE`^k1@d=z}xA})-ZK#%tP8dP-R@-U(Ko5#}Z{xCa&K{X^-tF&=R4gTVv-MNOh5& z1oRGpL!(E8i;gK@4!~{=W#bu))*BTX$j4>EO_HjtZf`*x;iyCsT+jWcqF?Iz)y$TB zjBw5R^$`_B^3wau887B{)b`m~JbL#_2e~;aKs(&#@x{Mf2eEDEDk5 z0BW_Fd_3V8$`N77#}D}+*!~EP0Rd-X{%n~Ki0xVPF@P9*-*Dl!gKjgO!EyR6m3N7C zZ_Ado(FQz+Pu?{28`^n-md*=GCLEa1b@-}*fvJZ|hm*VZ>dAy!?YWzs(;v1*v15=8 z8Lg}Fc95u5P71__0SVy9ryl=Y*u&sQ@n!hD8KcgNdk}MfMp=4R0|Y|(QX6Ia_V&s# zs3WeHY<9d|L0{Y&w~nA^@pNhgv*ieTjb&HoBk?1^a6fcd{<%Xb^&2s&m|`#jL$1@< zUS~YQQ3!NGG6KpE)yNdy-l=3D_~QxYv{r|U;0pU2CIZ3}2M-t1{kqlKNK8dWLe}_s zd-T)oxz(lh^H_d|K^2jkn}v@=er?eznqm6JDwzSa`-G*r8MtVjv%&}^aa_4rd8`sk z(O@`XZZ1ygRJpkTk8$(HE9K_$9)~A7enXwSyb=7`*`6G5?<-*m6XFxb$n}1hejoY~ z&2@*X0Yqt!;z}_o<4Zi>rZJQ#(t^?b@zy(D<|qI8;$?kDosdg zImJ_(p5~_l2`^-R3dvg>65O#qJh;B$<3jvFbysFuP=(daIY8|HJ@-%hC2RTUGNCv~ zy!qQd;YOm61w>=I(UgeHqbe>#;DAxlrF4)EA7~}_W^Z2v2L)|1I;<9dqO!_n53F;3 zQOs*v4%*{)7Q{WD;ZRf#ariUROGeb)Sg^a-3rYB?p7>#&{}6{Mp*-=!y!aswQ$l&-hxz`8 zI7|uUDIKP&5uBi~Oat#!k53AY6Vi3m>hVcYaiYg3!8_IClfvOdk57X4nvXBV zsq_dF(wV#d{mg%&Tb$Rg$K(g{H6Nua7*6jDb3pf+504ecGVT_$$!h5uaL=d?_|z+` z^Y`axKc{E8ac}gtA;SEv{Zprca#7oYbAFbdB>l4Buv^8gK)Lw%;o?FkDB8U3(Hdm{ zDi@}u-yFQ`sYKGG9D(X8&1}Asle?OXL)r~AIo|$P^*qg$*jXB6soWqlx9Ejo2@9*G zfwK9KSg&~J!JS@I`4udMb1o*sZ|SA-UKm<|m6V`tZ*zLZEHM;hM$8Z1G$-qPA<;eg;cGd|1LuW$D?H6yn zd{l`hReL)BM=F~W8r`;rRBlDEa*3A5)F9oVYNelyMx$riw)4em^lvWTMSf%L5al=M zYW*qc(+J<7-|@obJMCJlv##t!lAwyR$8J?A4)GJp4r2KnKRMN~Sr;Fl!6Xf7C5Rg-K0Yc=4ld zA^d`xw($Lrx`pre+(Ii1LH+?*Vp2WXn=>Xh^oL@QDI2;kpG<7%55+E1HgsREnb^=D zig~7N==MC6R4L9bZ*UFW4R4`OSq!QAWBlfJYbm50!wXvyi4}9 z>P^_}aJ>AF|EV{OzVSboL%1VQ6!$H%t3F+_D-3Rj|2?Hg&fhD@p!Ju({{8H)i!=Q9 z_li3m)2H{pd^GTh`~|=MeK>(>KXit0MbD;AbeQVm{O^@_(TTDzQ99?6Yk@9G$5wWQj62 zJ|p>nZwSg2d;^~@GWbULZj4>@&7NUfb;lU=JI+<7KCqp$>eG`mTKW@}Vep~Di9Q4q zB}WL~k4@%XjGWorosMwXxIm$2z%#50&c+~hFsce1%@WS}F_<$lP-29&vp#uqFY$&t zC(RPh`O9u|(k#yW`*`Y16DLMPh7|mO=iV%hy|aN?AW_Q5G*&ormJG=l`49Iw zu~Zr&9I&?CQWxJ7f-ruy9sTC?s~XFH*oVF;h72l?s-k zX{;SCHnnM*j@7#E_BwU!CMhK$L6K?`&Uc}3DCI5o4hDVYYK5ZXhZ;!Ei<&GC@!5_l z>Fd%kj^u6s;rDW1cTyuT-7kLlz1-K66z=8wAAT>lcOpgkWx^}>W3tT@-^jjqm5H4_ z{~?hzWoP&0rHP&WF(S(o@R6KW z<=90-9`_18&nM4q_}kRI*?h0jGJZclyXsyWMcAl@d27{8=gMeV&9C7`$i3PW2#J_b z*Pjk2mj5S+?+^PK1>>G9#AT{ml8!FtKNSIfs|`=3nDnT`<<3!we5ayQ14rvwqrMqThk6V zN@7!VFZsTt)Y%GO+GFdZ*R3 znpXST>GW^Ro{+tc)umV3U!C8sx_G#5Hk(eE_f(8N$PjL96Q+cFSoRHK%4l}SVfp%r}X*(e2vFA;80%qgd#JZctSImCZ?XtsZntc=V0FJt8 z1Nw&3>kKfk)5WU0I5L{++60WyFP%o+fyk>5dOfqDz{d zrQqcoqt~c+x-`y>VLRu)&~Z38fIjUjeTAu&Gv(j(ty|OS8a=EXSaH=f?3>^j@mAxX zkjCr)vEuHa?^vB+7fcM>?O;&=l?@o6X9r8YHG6%aYQ59rXBU5hp-C`Zas;v0As(^; znyug!u|m=nWOmn%ZHz9V zW&jLokZR@u|d~a(w%qy#I;jHY>=9l;oR-Y4MgDnJlj@iEM-(auW{a%MK zi(swU4IGLE+7YM$O-TGyZ`7Qg>9o!Mmrn1q(*^mMy<3jDa2ol#7@X^M zJwCczCO`Ow(RKdWH-P3n9Oq3}Vl9PhIc%5Oz~I~8oEzJ=V&gWj8CQ+Ox14t{R`V}~ zpYgRcOdL5l9-(s&|5C9=02(~fG%X+{8w(?Ik!g7GQgy=#=kP!fE$O3o98w-Rcw7%1~3pzH2yVbt7g5E{2@SG zqQ0I9CXpBv<4NqMhoV~oHcA@{=463Bqnjo`gCXtwUcWKg;N|im;X9Wm?a%m54z+W;Sylz_k`VH|P0I9;q=ad4+iqqXq9b02G;>`5Mx`u4& znjksoEP_({#M~sje0?{aCYUyzs&U(~8pLTq_{32JzqTRw!k5Hi@Fkx6(z8?&5d#v# z;Dia4qE0*5A?<|d!>UeFSzt5KwMGsPM=gI9gDXdCe{F-LN#lt< z16>H~yKWN-glbXZp$2FHTvo26!&M3P5>OmU$-mO@0=$i>9PGJ)O^0}GcECq^U!C57 zsYz@Xl8EcTGyGI~UFLPZQf;oI|1PPEabGnQ`SL~jP+=JD+TmV%!$c@ur7W#PCf zMg{q|I4M3l@hLuke0Dw>s0>~(NoY*?CvjFG#Xtj%%?<7hS<3tl&`ARj`6O-VEL9(W^(j)$05>Z z766H*z7>%>Vs#xZ%%K?f2ytVJ??CMZz7o$g`}CLV#L#{kY&1I)fUZI8L$Gaya-y5V zmIVe!sM@}=;;gw#FRF@f?Co^xBL+iYqXeRcsmJ1EgI}fCk4*uY0A5%p5#-!t05Z8k{80P7=imou`O)n+z96O<35i};k_X#96zu+>WA2v8L1owflEkW_!$gI3M# zN!1I_l58f9N_I?a9pR5c9?E@)YmFQuy0>vP^tSOe23O0zvp{rcOi;NQI%hd$Jy7-di?8t z&#FUXOfw0@i-9nL!U$>Dk|)ljlyikYYZNH{kUZ@aElez$CRsB~zfQId!!8LHf00>K z>v<3v9T4L<#!MxQL(24sS_hi!7shQOq$G}37#CR*T0BJ#m)J&&UqWUU@-IP*;)zTZ z3%t{4!x@8X0&sECn-rB&(e?Sp3@&OH!4kw*;IAN{e3}*WQt%y5f#$Ye?>2;6b%di=YHD~SA4gxtYAOg@t@DDuut4OR`ADiAe%6oxm_%S0lRe;sP) z;deG<5se=mz-~dY=I=E-5X?1L{Qa+8IIu`>W`;a*7YBzc9hS}82L4U1%howmotD|^ zFyB|}{KE(JBk4imkCC5#I=@hU`bY5Gd8I{O$nY&P(t=NLbvoqlV8=%vI6jkO3yXnL zBX|+#<#hwdBE2r+6^ERTFlPDVIC%b#9^ege9s`LFO!6=tm#Mfo);_8DG0!U>LwyB) zh7`%naF;*ahkG!bu-mcwWI)%9&urU?nK`1)d_13F1qB zBd0vCD5BivSYZ!pP~;rYf|Mq|(VDPdpmMX>>5>70)&E9oNPJ7@#5M{9!!%(AETJ?W zt;2f|`k%O73zZk%8}dpLH9=MF*RDXIJ@dDL1*wPE$onKL<*orM7IO!`GOrhws!|}i z52YHG!Oz9}&Kk8PoP{fQfCo;526GD&$&%s<4?J)V7A#vZIqk6Eh_V1QBd$mqeG^J5 zY4^g5Vj4X-r3ui)Pb3ZbOVBFG4R8GpnPOZ2!v6{X_#dw61W3t;V(J2ZCtV#1QIorS z_+UbnAT1v&m+CbACUqZ)y5L+l7OW$3P}(;zSNJEvg6JeeT%t$#$WjE?ICCbr#elE~ z8r&Gb#AM=v7c-I?w6Rcf+o{jidvyR4yxOoJM#yf^ZC z;7(max+H}L?$!i}{wQz>#JEZxptW zTxrY%RevV(kuIn;!$Y^o^B6}(uC|8ih}DJJKo*Az8Tk_+CCzpN=dpR08Gf~lHVJ=* zf=*)q4VK$->bSQS-9zSD~zv!CTikT=#ie$w(BO0&7Sifs70h0`}$+}HFk)uX>J*wU(t2kd4+#R z4sPI9{CMThT=UP~1mC!T(C+$gUeCZ@x8} z1LAXRXbzR&od%rLw{RZd8c{47VhT>`3;DE7zJP|5>EtR8rd;y~-DGmN9Ig=LbNw3& z%tT=Zf{ii8Vq*h>H87Pu@~a%<_ZG|_az#V41HjA=PYMP}NX9}KkdaGMVfufCP-QA1 z2Q>1Cn5q_khG_-DWly&y5Q-!dv5ig#wjxU^g>15F+!z)k!&d;|1I<}+w2<3Sfae54 zfN2YC+oeSf^O-J}2sEBP>tc2T2_(#Z_ zpwi-u7`hTfgfkbvw4tv;i)oU(PVPUh0Xq$5SYi><;Hbd^!D{?*2}EzlwT>bLm5F;E zc~u^UBgjCgO<{HHi1{en1$msGwSGEl7*`*D`B4A)x;T5W*B0=L2&lFVbGzO6xi}l3 zz_8<9)M2l2Ct#z~f_{;{6&3LV&Q>11m<$qh>aq5THkrS z8>O!Q5F4dNFsCDujZW%m&5#G}&+X2awoYskRl)bJ{*PMsNVQ(NP4{6qdbYhty_p?K zKJQp*Wmj-mEcN|xX|sZ|9Jbh z(tmU-$cvx4tao>1KErs6iu>1mws6OlyXVTV@-!Q-CT@k21*kny1$~Mt%SZQbC~v#; zMytutmyZMmZx_`{Ju&ETI;QtLpWftUau=1M?_vrdF8p7W**!gwHrm^_R8hBb8N4Z= zb^qd#)gd$7$R5~jdXh|NDAFLEE zq5--jxJOF1>|KmCZg0_9QS8t@LCJ6@Rd(Rjo{N4l|H)oaFj<1!z~v#_ z*nQ11P9xl{RFe?3*`6B9QA-qVFPdG@M8C z-B39f%4|i4Oqe*s@o>Ie^hIv0P$d=aiM4pk=Rl4ws#a)vb;+Dl5M1(5bp;w^hNNvB zrjICQdhMgbMMPkvLlSXN6%&-f)LZ6|eVkybCKX<;t^CE3y_a%$JO~GgvPG5q!^!vI z^Mb+98ve!pMo#ke+U2LLt%b1lNdJnQSZEDpYNAXc6&EMbd2oU1=JxZ`-E0zpEuF9Y zQeaSsIdrCsu4=Q@bUgGuchQ+nM752L-UgvI6+{z@yY%h|yb<8y3@~z5ir5Q{hTM!3 zm(+~{vrV{R$-}zj2kSl#zj-s!mU`onN(rp+fb zp*wu)xx;Z(ydM{)J&d3@=}C~j_}(&RuD@EC9%qwrAJw`ed?cOcM`{ph&?PmV3>NJA zaIb!I8FzR|eACGmyoI4Si6TdIT!hmL)TyyLZ$V6McsGes(Q7^;#^O#0B5HyD-5<_h zoIPk{dQZc@$QVWs7p1GLP=(Stc(kv(1{SW9F900xY4y}ay?4Y&5JmN=f%uT!yhk~D zI&=k=Pf^$yah(ou(DKGjT+sye4AAK<|~G3el2TFrB!V1bDVZG3Pv+c|duwR|VVv0Hy0P3YR7 zsD9n=WEO70KMH(!?Qq8I zYvYPYn@=17&CUnRxq|Odcvv~=1#Uw1+|@^h%)1O?o*4_+fQKo&z*zC+yKUxPa|-L{ zPdx^LX%%A7eEZ?vtsa~w_lY+GbJ*?uaD*HCg|nPF{_H7w zb)TDGq@0s7cE)L89W(#AM!{bq6wh1Kd=K$bVaT5N%){$=ICh)j^@6@&m+zEs`C|*J z8qJ>KEYt~GkRdf7_>n)%$RZdm*|aQzw|B#ZE9~-57au=<_!(rXekbOi52v4Si?*P@ z^cB_^1NAcg1;PPy!yain=uj)ZJe$A(XPwd0b|5*X+=}2mg@LFrV;|nX|CqTCL_){e z2;Rt1_g18~hg^pg@6RB))D2RQ;*gs`6?}QOzqMO{tF|S01!n*m<=Ha z!9E}@gbjk%Ld}>_$oMkg4hO3yUdY7$59j~L<^mUI9}WmS*52}o^g(cL|HVC-3=(- zbvE;~NExAZXMl7_)LeYu4IK6bA9f)^>h_^0Y3lfpc3xK4yYu*hub%YJH z_5PP^P2iA-$d<^PUGD*7&@Fr2Illk+GGajKRlKVVhzzmzB!52JU9aC1HJ@z1b=tx6 z@o=LuvYqECr{DHME75upAicXf!uf<3bi2!sA3CyK_`!9$*0mn!38x{Jf$iM+!np}# zwpO;X#|2MZ9?PX*;B2{n|q?HUM>g$m1G7P+jtG1U6snJM! z5+oLxb|Ns9k?jShp)#_a31P{WbV_77rVdtDMz+ga=E6#&og&*0U~XxYMrB~TECj`| z4*p=R=%@Fnl%Rd4K9qs&tnQtx+9lYr{K#!hS+ry2DYc*-ys;s9z1{lz;^GY1KT}^ zL~i7Ec%Lv?K3J~kT|V=gVCJxjPRc@WVmdv(Vs}2PdISW)!p}6=|l`uJKHTkBZvj;!6S5J4Y{|Ub6_*dk% zRKDfeY{5f&!kr^wE0}5<$VJLl^4&GC!!QY+7u%s3_8`Y_{ZSLlaK@brvLFuczNgLsH~01+-GS}Jf;iq&U@V*cmKT`Z->&c9sDR{qHS z0d)0(Xr+4yhHQi<=wrSv~fXH(trRvLj+ zDj=3^GM?v1Ye&X?&j6R=P*h4_68mJtA^a98Eh}6oyW_DtMgk&|;qSeN z6?!0|L16U}Ei4)?HL!@B6OYfpDTIL<$<`I=Q~ild`s&J~d8o}`%CN~qsQ)Ghe~P~= zIcGv5@`{nhL;#)Tj|;7Te(F^Nkju`-&D2Awyhd@Z<8WF(I}?yaUx zclxl5vPe9T;>?S9I79D{SpLhphvx7TEGf|NqWA;7$f=!E>W&67^)>^x!so`z*GB+tLI+mKy5RSOhf+FdImuwNH~gtTyy^JYHehZ&d#VnlOykJeopSITr9A$%ih5XOwY$nm^B?N8iWMxc1T2GjPH z+=`53v-xN?cFn)g>YCVFI|CRru3Vwd7$UYDk!KNokUbVvtZ2+RV!BhtBn5`4Tn%?I^1VA3%1>nhC+kFN zJk?5THeTUlR6qI~=|sY72b~PTkW0L^V0u_WJF8Z>s&pC4Y`A19zmh{yZw*KOtZFoy zoiC2ncI>Zq-)uQ8v(@Q+b?TjV-)#3Cvtji+J>|46xyM@d*2FU$wwt=uGg^*qwd9nx z(`h%qI#*WHJj}ELG#fx)b&^xOd825DyMo3FD|9=(esX*rJ9j;>9NM;8vs3@<^uKmf zw#pdv0bOvvuqz~1+vd=^M#E_gdRF_|`O>rcrqk*)QqZvh zhT_zXo{rtLF&Vb6&al7JO`e*L{j;rq0TvvX)lK6>@IUO06>N%Iqd7>~FWIPZ?@8!T z2+fkav=<1xpqe$kpH&-1AJU@*#0DWXn@+<@5g5eb*$=w|q)d5jw$Vcs$R_4K9B{!* zH|Fn$o8`u^o!VEx(=>?R1nxN<(pK3vz_9+5e(PRoJSAe?jN9Rq4Cjm0=-(t^Bj1@8 zoCszk-irLjlzr4AsNfn~KDbk;g)IGaf#@v?lSC#eBDt2%Jpv}HOoMai9Fq_S0$^*v z^UO^5Ajvu9=B%4C&^m=yJe*8s->s?rj0BaZ77KBOQxBH*(j0q;2<7G|ZwQyPMg{6h zk5X_;bSWKd;uramH0MhhBF?RG`HB4`V^5M-L^M+}5ase+01wl=gHHmwJ91C;u~{k@ zYkY?So|sy-Hs0=-;E<#Fi#L`LgK2I01OyR+E8hAq)bohCa1H{1L{P=$xf?=_SHnpc zrmedE*GCqd<{IApeFB>_10y#6phQj#8JtV7t=D}r1d9hw_irX^t{`RF!PN&R_s!fZ zG}5Y%?q7;9$j1kcb7cIQ$!e&_wHdUSrB)n(xc+5(|Bgr+6mED>9cf$zN@)H0z&L`q zBtKhYMQPtG{vM912soS1C(QuN2i-BS4oaLs>JE9M1h-faiK#fKng6XhG;J~oV1fNv z;2fRll~e^PRl=(FbG|b=4lv{^WfjpnbD@2!plCjyqoCacQJLqF@WnNnn`*G{ zeJr~4XjXdY;&31w;}}*87ujwN_kM`GHlmgTlm0Pa8qMaSG$WHEStDt+X|whp3HEyoDuQw zYcFhanC&fvBuZ?wzAKlwWb)u{c37bAplzXQIlHond{1|*8yr8$@PoY8Q_J}M{OqcG zZES5g=}?biJJ2w1EnHe%p^#hiD{2p`yOVzx+~INs>{rQmvnp>i8VK049p>(@oL7v- zEnT2B;OxEXRL&~wPT9t-U8`#~>0+qUzcG7asgBj9SK42l->$lNxNhRgplRNkO{Zqy zHq&UgJAI>X(T$m9vkNkMij9Rq>_M0ARWU@vrh)K($G)+y`uL4qhvMPJpw)E@y9IB0 z&8b;^+qvrWoNnif*~1$qE_Lt_zkGATwRbSJ(fn##wnO);SEkV)0L<{w?hSsdqhfx; zw5{v5(}lAh*AU7BxJFmMytdk=$!^AMqlH@}da_%$X$^J_AFhng=DGNLA^yH+f9Xuc zrifb=z9V!s&K|&Bz1^pOSy;2vw_3E#bn`}_U0HqFj)6_+(PSrJHm-45Vm6JhnEk5T zWrx!=`zGeWlIx}o=o?P2Gr+)37pv~#$Y`$M0;EyL&Ad||^m-H+@Wtr0X-?W%3$X${ zT1UMJIO*Glg{9!-8>82#ce*qVU2&ZMLdU^w)o5SoD@?7NDgUN#-I`9<=wa=^imRq! z-vrNyw;KN(0Q+#Qw%fs?04f_WK+g`AdTaLjK-GGu$ImYQ1VfWx zy5tCAuR}a!12hrCATU#`u-|C~TS{BP$7M%^>HD2)lZZm@0xs)uJUs%OUuzCPP`$nZ zD89x=re|@)!Pk6^H3R5KMA+%}oxWMW;T%$~N<4&nvn#7^;jHY>=9l;oR-Y4MgDu4F zfV-@8C0L^=NOF*i;aYHQcy2G2oXGC zXKV0#jk1^& zX?|AFT>RAQf#YBkOn%aGWd19chR&_gvkWk)tnsgmHsHpgnm|@?s@VFj3}9fA!US72 z>z(-XSfxZMce+E_3r3-lS?Gyxj)4(Ip!jnM`tmoJN-Y@_Zp z2Ym|pAT)+>fVhJ&rIU?4I-m=BT-ZZkNQNn&5G$c4taiO;w!r7HCL!(OPjO1a@3`3? zG@~n)BL&Y8T+I#^lYCZyASjpi;0F99^TF$;)vw(#`maYksqc}KDDScvY5?;Q(n@$rR&L+*tyiN)Ych&L7% z6OxD+kQfG^4k|^RcCbU*36YOgv+Pd#YgQf6HguZ~Es76hfz3qM8aX_Q%?MN`Hn?)M z_SZH@nlzr+Gth;wzUwxjK&Tca9%_IVz-8r1I$V`tFM$rAl>93VFTmTF%E6u+P%sZ9 zNe6rcafiJDQYm&H8t=9nQiuSn)3y=bXbNqn zgOmB1$$>Mv2}z$3VA5^A+9xYjOgCR)zIGtK%Rl^ z+*m+{Uj0Tj_@Z<5jIITXDTD9y>fs*oty8{XRMjwRgKLa zv%3(*q^QcT#pCSjWAE1L1Bb6Y{?U6Qp&l)pNN(fo0i^={2tT7 z#G(_AMThCv$+ltGC4b;AGK*?G4rn zjhl&L+1!@~jzA6%oF_B^@(hqCNw|m11{(lekaF znS=Qf3X>2dB|~_4Xk40~Ni0~w{Id*Z=LQ%5F5(Iz{}ds2Fb|W@phK>p564OR`A zDiAf|8^RmuWg?NuzYewY@H-o_h$V|e2C!RDtoeJ*4g_-z7C)s(aJ`us^2A*n9IkX& zHg6mFH@Plb=TLPJEQuT#_UXe1^&{y);g6A@emcKUe)>o7+dfV++$msS&)0^YXd@WRYGM@rnbxgM488@otAyJiONfydlox3-N(T9;V|m zF^gkmX+AN}D<4CB1%8GU$;@zl!6{9ECVnDm$X|k1Np5)ScgPeSh;4I7_JamgZk8xDwYHO&DSY4P6WO1mFiR2)& z-N1Ql-erbgEu&4spP?XkhI)c zOL98vC$K7xHR~U!4TzVldxn|u$_nFapoy9|6?&v+wC%bHW3%VH2WpWhh<*Jr{2Dt% z*EF{b&#&N|#zOda2510ON*|O@QJ) z5(@rTT06ULki40taLEbzP=a?Fa8lpGd4Ov~u`3W$(3vje(>D168d9c{t2~%;%_DS^ z$=!0eLXgk(Z!9nqg&7Do#u$r@4G7l2RQAZPa*W?wFn`Du4gWU)W`1~5Fi1i&7Q&7w za%sxpt$l@1W%-R9(8wcVs>Cx)D-bSwx-EfFB$OqWXp8rgH9H%ZJY6AR^KGr45otE7vHD+a$%=*3F7 zp@fxiTM7RNc@tDxoDoA;f{1YD;+Hn`RcJ9ya@WcI$2DN5!3;|*LK+-3cpzB56qi8s zc3kTyQVfE)D&Hjv!S_&>5?!K~tlFBxij=9*2&wU@=Rc)F&!a51O(@D8g0eZVBn_C& z`7FhUhLVeN9!*AeQGt#o1ZMNm6dRg{mFJ+4mcvrnSX`7!IXU8Z5G95#THdJ0)Z{H3 zl*JiSII9ZX4#D09!9fpkc`QkkrH868Z9cKoc)ZM1o)-pK=sF%g~CV!mEw!5shnS*%5KTf9UZahEMMNKV#ilFP~ z28p$3X|3ex$qtZGng%hxYePiWW&DQSpyilKkSLojne-bE{zSP@S!z(6;(U2;j~-pd z_QL$R%Ek~-37^U;3kF&;ur)teWp{E`Uosy)COJxObY#KHjC-ibf3hmEKy>+$@v3+c zUe;ojvbe;8_b9f@hT2#-t8y9Vgo}U=in3S>N@F@1P8h44RY{c28b#WVdkfagOsTmb zc||mh?pfOugzA|@zD3&1r>jYu$tpCP>n2xHIN?xu;mXX5}}+^U7TfY^;_<*;);X1_<+Q-1h09DM1u|zq*<{`QB-Q+)dOC$);syD>+#wx)+nt)M z3$!op2_;=DCvse6k9EbrE4Af_KQO21CTQs3Y`yHUo>q8Eo}6y0R3~RFd#t;kbf1q# zeTfPuo=j6$3Csa|x*kc5m0iepYq2irx2T$hgs$wdzKhH~5(*Zm#rm-zE<3EhOZZTW z&P!My(@1?!;c$wE=1NsbH^Is6(P2a~P)yXU3L{WrTDz=xDAP)AHzLS}Dq5&pw3+GQ*=`*hl|%qJanv3Q=2 z9{t&Lwpy6u2U*Ki=xC$aQV7F5O~IR{WbkQlpy!*a?8nR|vn z4=uYUH;l?%chW%lsrvCkO*P&Xo**rpm+ovIX4h;Kyh6=s6lc%swW$RdK26PNf6kmn z0}Ak2>L}fn(Kqy52i@>l*r{Yq^HCcxsAGsq0qxHzgb5{oZ=&I_&?Kl@*ySa2Ql`>D zpL{Bmugg4~_c{PVMNialq0>ncjF!_!0c}qiH_# z>^mA^C-L&T=c5btmNG35yyS&WPA5-b>nWG+VtMDnfkGX-mjI>uG@MsiVr<;rczSl^ zGwk;*flHr>@6)=eX4CP?gX4Jr>D2YZBbMAn?kE!Gqkz?c%V;&({w!0#4d-X5<02oi zs@cNJJ1fdw_MA06;+avbch5tZx%Q-2fzAmypIWb0z17s3Rqd_jK7eYx)%-i_%K_>L z|9#)6^Dto^vN)ct&`D5ruyI}yRmavkZ;#ojz7HKN&+=ocO@Y>bm23NBdS8Lo-+k5F z|D~5_`7zzKFuOk*E+VlsX;H^14$k7Ne>Pb?O}}{K<)eBG(O94$xn2>Wg@J(Xf^)84 z_5BoP{j#hU3Tmw;sNOc4QZx36dK$^}PH`e4{3D1cS$Cm#OqoD6(R%JZ?pz-0v!|!w zbR20(nTlPiGrKT{!!B6Wqga#FFU|y2#L(8H zH(j7Vw(pK_y?LY2s7RQ}44`|kRKdBdFyBXu9Ml->B9P+< zDe>CikhwMu=#`czlgTkDlkMtO!?eM|Diii%(jy(Ic(sMIv+XHE$DzcUq4TD3C=+ic zYmkSJ4*iEu%ylc9rPCK6%uTuug6QV6sXJY^QFc)!x6R5OE7%+NCLRxWL)cmL_JH?< zwr~d~w?L+&Y}$i`_wNYk=}7VM=kv2)e)*WL?(uV1V+Qk>7}{`K1dHdBV3A0P@Vs6a zggYqPIoF?RG`#TglM$PoPi`iol=8GiF-~JTfSICV5g| zHiaBQ{G@WZe0BKbn2P1ZVzHB^d0bwVMXqrt{AmpnkumKlTtvgcai%IOEd|x_b~(2U zUh*OZzBGarXqBI*2p8ZAlz=Hbwc0F;+Qdpyh%G74j!$a=a2aqlqb8Ze#Z>h<#KxC^ zjIlWej>7V`3f7OtO#m81fsDvQ{b}n^eKyp_IQe94au&8PB^Zz4Kj}6N`=>Uknqr86 zJIgVRe51^4ik0mQxn*V%-BQMh1YzlTOplZbvUMkx+ttcBhyh~?3It@PI+q(8=t9e8Zjo!vebkbSb z(4lPTfZ`>*0#(&C(8G#}5hh4eGyybtryTeoZ>C`7OUi~08+AF|YU&>5eq4z=a4!eJ zH`5IVjbOk$aQ(8GL)pw>hzz3fCyrU^Sf^8zm(3i6ladQ3xwS>LaLQ&5DeA?~#Z@iJ zUJhxIywaZ#3E?l8FQM$^;C|Xg>pPadB>Nw*3=5GhvbGv_k&#UTle2vEE}$ImTpke9 z@A_pohoncm=-LIUkgn!Aaj?j|p+M~%jzxCMvY*52qc>K*I+BCFR)Xz9a>ueG87O5x z2OiWSLyiUU%a8m=Y11EzGbBU(69J!P2-6FDq}k=qo(&!T?a+0$Qc4O2RlcB4%CPxP zWh8_vgoQ1MyYb6+DM3uh63xSZJ9nw}3u)zs9?EoL{;iuj z0RCMY8=RxGMPdQlHa5Wg{&=_jPlKQAk!^PVyRUC<272zv|2{n*@^g!BZZ{IRTc^SMZih+e88uiI+^hYG9CP%z*alM!!U^~U06c?~kH%f7* zAHqwq$3-dAjRgBpR=ZU;%)b}wl%owy8Z{dd@|k#&4^ErH6_d&lX1^Qm#Ff)@qiv@~ znX^pcya93zfRFxAYs9Kb*%9V`Nx;W{W}JA#K(U=Wz4;P z&vjs%|DxFTJ=+FZ4|iKdL&zXC(xDr&EBL?T!H+X|| z3#JVfs{c#P>O1wOY4oi2wPR9Id)Y}tK{ZiEP4!d7(-z`c3zw|mvWp64smN^SR7_>tM{98&~t_TX7&$)n&ai7N)?EZoW{yL z#~B5()T?JWv8r@&q0*{66SIL({U8BWoC#8N>_fa_IjzE%Yr_$$85( zo8)}^bqyjo#B?m(k`YvLlD(*b=J*DO#SH3N+EK4Nhq!M52@Z8cclxL>N_`&d!+Fnr z5Uvkq;Uu$egfvZCYWo{C|*FwMn@^if)7J8;m2i77!_!gCDLk>zC| z3{{vkGl3&un&>Z;$xDb?0jZ{Nmdr} z1vBR!zBJo2B(-b7F zIltsC>-dVi5Sh8e@lk%_;cHSa*#lP@vt$nVU700&IWyRC>%!;3a4Auq(Fm|)?0Z?q zw~%#wqjxs%5ZLZLOrOwCiW`iv&y_@U8sd;6<%!#~>FtbKzfN3xIrE1PYG8%bOLR|q z0(E@bMjt_B1-6Mq`deAX_fw>USg6gsggPA$su71&*6%$LA4`~LRNp0ms?eHu2wShL z-}~to&8&Y9wKL9CcyZgYfn;iTvFP02qbf>-zSw=v=JMy7A=K&cE9>``^?S?uz3iqz zl2uW!9gu`H@kZWKu%lgjF+@y|P>CyoOX|S&dk^HSh)a#Ke6MiA7oDI)OQDEFf4#So zJC*M({t+eJDkpMYW&K{ox0~u8%PE`$4r_Np$N73$z_%>m>r(Xj_|wkHlH|8KVl}8d z(92Z})M#cCAY0W7RI_Gvlxx#{Dg;c<+Nruh(RwUp`QEJV*AiojYAYVPeD9G=sRC8+ zJr=}e@!qm{FViu2Foo1=*P)+eMmm&=vUsmfmab76myx+C=Ay*>f}9x(TiUaBFTZP2 zs!YHN&RM%RrAC{$7SCCoP8J-^n0vC4So5CHy!`_CR%JT_DHn$GQA`!4~8QZvjU;jP$ZPNY*Mc^cP#_gw_2#1 zXSBM>;mSLYFCX`-21jM2HdYN|r*y!&VQhSbiEh1H*@eTj49)!Ri>fzHp2A*- ztkemEUjZrf!>4(23VjEyt6rEl<~Lqx-uD#bUwdnE$XwU>eMzv%8<(HD=OG+i^+-+G z6$XH`l8J|{%ZsG#N=u6*yq(^oumbY+KV6$`vxlJMPTL_y6Zs7j#8Z6ZU?-J;G7Y;- z!+yzT1k#Qw)38PM5>LrfL}1Y_k&-;5%u$ngUlbqFR`m}!bK0q~9HJ}|PM2V~ z9hfHkM@zisEGENmL0>D;(LsC{b*}K7(pT8n3hYu%i`|?C+j5^~{&T~nT2v9)mVuRV z??WZt7Gm?1$q>;aO}sh#HbI0*aO3u)xUw-OV&5a-u5cbvO?B5FRu{AR2rbaUVx9~OI3rr>5}%OzbThp%Xpfw*M~ZiHfA zZ$iN-Ysw*Py)p$ii>V0Vr8-{oaTSes#bpYvl=rAi;w{l%1HzvQN-nBaOw^}H_5zFa zyOqCKcBVD@Q>NgCTAWD=8`~8oNK+2=OQ+YcHJ_|TiiK@N4SARRWZlQ%H*e;jh)66` zaB;yV%?9-{R)+&!BMz1+xCe9sNt;$jxN(_+dys1ly+@Svubc=wFH>++)Qer%y1+Lz z3?q!g(8$-z6x_5RASsY_=Mw7VB6GAUSq{-ElY53wgn^VPxFVuL`UnKd$d43{mCt3M z6W3%uahZZUS>O&vYrurwbK(ic$SZlkUB!cq8;{{+aU#5-Ou?Oc%SDQUQGXsyT>PLF zFEuI|%Q6MGOu;Qva6zCtEc_s$IHxq;#r)vJe{k!gjLJ;m=29UzofO4I_;4_AQ$!7O|A5+h1=DNB*p8G`|>MZKpSAEBl<1P7@E< ziH9d;jbHB!+I_R<*w(*L+&;i0F#{z_2l~&hQ(RfUn+>OH_N>l<65_6U=5NWxr!-gE z6@+HTXgIe^q%7zdK0VBn{hdw~elS(@7a!#}@Ui`e&PHz_Cq4u*LW2{A|C3O~EWEF%)~` zbO%k_Jj`z8N)2TU^?<3NZ2W!BJUca1%g{_dAcdA%0=&IvqG39EP9D1s4n?5oO5q$% zz7L-ly;Z&r)Cra9Qu@=xFY+Txca&;xQV9++A(O^2iJ@d7uYgdonpduMfo*)8TyaI1?Q&Hb5a*Okf2Tg=LZ0nXQ)H6c>en_ysCQkSt16@tyY{c6x` zI#;IAAM{KZgDGs0Q|Pv;L++#A1ewC;dC98n;ENbL;d#96sRESBoZlCbgC*Vnc~#Yvw!y*ays$y^;7*ZDxOAKD!*KL$dr#`w z6klfr_V9EFp#wl~kZx+`Bydi;mO)wAC8F`lI5?GggaRpr(2gIPl;k00VV8qMKnr_R zu}~OxBz<0SvjW#~@)9cGDeyM-z#~6TXWxYfFL7$MSyrn6v68?t4lyz*n2(Q;j7t)5 zobM;#YDSGpNn&YM<;w!5qa06Jj7QwQRx4p{VP#Hr1aKic9anH6>-m2R2!!3z;wl!G zNVsFG%C z;9WdUCiZ!A*_{oQesoWl%tAW?jGHU`=mxV-p;nEo@=4Jvyu=v>GFPas!s`+g|A{Dh z2dO30M&aCBxc9Y6C&@i^X!BxA%2GmERX>Vgm54)9ZiR(mvJPioedPomCBo_^w1$7N zzY&5>y5l5kmjt3yy^v#SA-ct4Hu7L)j!#d|4{aExxkpZH&ApvGI-!MW<(C?@`8(wB z&@IIC)7@+mfi3E|m*D)Onf2=g#rI%TjSb#msdImifZ_;5?6jVS%g1WXd$8bHesFz7 zKb9bBhEOM+@oGtn{;V}bm_W4Zio!a}QbMkXx94Ohk3c8;)QPxMBZ6d*~#7lGR~Ziygggd-m>?=zNrD)2#uyuiNGOIz{eF*H+s8p4ugn0B)xO1xl{+_71^!jj30+UmZ)lD`U`JM0bVmsCpj)0RZwj-fK zibq*WsHna~BsT!@w)0_t@Z>uw3PxEFfbY+%oeE0boZRB#Kh_|ArP42gptLzn!IH1wj2lzvv^!elsos9MJgThOQs1yKtU zLY<_kIa)&_*kH%@=+TW`kSd%g?C=<{Yj(aqG43!ygZFtfEjgT`tjLg+cBftBn9Nce zPB}BlL5ide2Y9HgcPoV$IG>@3@!~AosA6oajcSlu(=ya!NX;XK@Ygp}Z??E_kOqBG zC0*HhVv$qt{&zWia8^)Ysv@a?eZhPC(#wB%)A6rw_-}9Mi>UrY-=GVYzs#C|y#v+j z*Ej2CO09D;^bwSYoNef@2v48E#20RgvnELl24x&kYU{aUw!Y{=m z@i!9;lf4sv>TgKA9tCzK7~N&~iMZ!l?(AKRoY@=>+8M&9ohNVZNjA-^jhr#|s*gu+ zp0DK8Ny{U~sXs>iu_dP_EZ>_8#52B1P93C5Clu(HLOGQqADmMsSyg_PEtuz_`Sl2j zw?8~4$4;NIa9Xo&G zPZhfP60R2K5Dt*cX-fXQkw3d7e=hkmJT1w(W+i`S>_dz9BjivyxN?M5>Q|*h1EM;1 z?^X}allycKw!CJdk}+>!%xAwu+Rm&^pn#W+ViFGGBTRY+O1Ct-Pb=NhheEldD$XH~ z%E6dp>{35#M!Pq|w(5>C=y#l}PJLiIXVs@CXSDPunjO+>rz+X=2KIb@c2VlhrQTfX z%`)`iK(~fzTq*~n7A#XggGT4l`D6m7O#eTGDp#ms-c04qlIUby<#+_&Uh#7>Ur96T4TdfG?RtKTxaE@H9v~(*>F@sLjoho@lQ)UP$gio( zD}okF2k}Wbh#5zEAtsAE9sSy^7_?-t8yW1VRA@_uwp3^jtk8lVy%2j{eAmxi`Iseh z-N;<;O6FQJ*OIv&n7J;#zYuTz!+qAzT*aUzciqTchb4C{xogQ?56oS`k6wtqQo^#s z8b3Kx{d_h)ddYA%GTeWZ47X&sCBr>1!&Mp6OLE@%_wn>#j5i#-S{d`DN~0t%?8^kTGC_?hj~y&0 z@~8=F5zcf(=Ic!t2(Mu^&Sgc*Fjr1r;&5^r7E#9PTCSO|WX~JebD2MUq;%*qJgjJ? zNB3gSNq&^8x@E|!aW z;5K%VE;)U07PFN_w|yun*L+Db3MVefh&6XEej_f`8y-3J zBhnBNdsR|Fkh zyyKpx(L|LdqseT?IG5mmFc!@d39 z!ht#A_=}|mI*>9gF?2}-B@M*%p1ijPA%gCK|AgW{ok7$2%s1#pCz!=Y&}KF6=|92f1V8mDpWk z_iVhHxSvAAI3{}Y_5oVhb~sdt)Fo1Lq|RFl9tfyM6`@+~TCiCTRN``p%N&>UmEG2c z$*7C11(C%#B_5Y}EEJ7A6^^x`F;yVeg2!^85|K+p=7^lHn5;HTR!b@BLFvSZC2p6v z&2d{rjbqw_Y+g}MQw!UU4_KmjiQ)>1=Pr?`jg5zeXY?@f*mxy=m-x-`J4B3QGVplS z4nlIZ-E)rnc)THQcEUTE^kGY6FOi)idmKOVq5M8=c0(+E=Ut4P+1#CuW@zx>kj4S5 zmqFyY18&0sL$uEvxy1Yu^Eu`xQ6v}c13lSu$t%yxccY;1G|=oa{6uN@)8Ui*>zfc) zW5_?k&;IZ*=pPxoB!H3t(g=Vf$#F>lF;YDJ!kZFdc+;ip-w#Lb{-G$DBbVr3qCZD} zi6S{rK8Buq@c+=ZsCxdNF&V%VAVviKYQA(9h@f$Og%*OJ*ms!;NYOdg>O{eaa;l)YfP{b~jviNj| zBKNH6_9S>1f+#Gwayes=Wv1?jlZAV{s#D2AXJsMW(<+3!6T#=!XyY`fkK}{MSCaPn zNIN?0o+ONX?2?3!(n8_Eox;Xnx=r_CIC{3dCsm(%UG-5=^S}ugPEGXGkJ!dQl9abW-F&> zuCiv)>rLeN;A@R!b(T~@E)C3L8<_mGa~hZQFvFn3nV1Sf?IFM7I2*^nHpmY&Rj+shQDM>}ut>P$?!wOQ!MAD=_rAuCt zRAW~ldI@F2EG3bYM4}RjM3)@Ik&3oDr3u!;nqS4sd}t?-b+eQNQW8jvKtgoMLnT4s z8~qZ5S9c8!4j$GQe$Kqcj@vh(jjj$^qJD|`D(dI08!JqFeVUTuP7aY<1F|Q^Eit~t zcopMA1j)hawWGb%e`NE|g{u}%3n^g-HlH?hiTowY0k(a>#;Jy-#Z#Ck6eAp82 zOT1U{KERKczdqN-9vPAPrSsg84^!$?4VZ(}pg|pdVaFq`2U|P zcd?8mgpqfW*O%juZI|V;eyT7wGg)&bGG|FOuaIiOv(K4BnSzW(&!!Av%t1;iIgeBr zD|fW#`pL40DP`7@oJw+XM@w%u?aolehM@yOnnmBmC@(&8-sO56e4n0q2hUNyclJT%Q($UsW6-ucMbC%>(l2bN0MUW>4Erl(xxs+h9 z>~?#&9>105*rESGyM`CU@s{_BKTt8WUG1X)TXGP}zLzf>s3Yupyo<|u{otW!Nz76T zlTw&u3zHCCUY_JE&7`>!~k`l5h;V3b>8wepx{X7UIgu|DlP?AD6DI6!ybOSA<ydUBeyojKxt|mw zzI?~Np`X|xj%H6)D3j>ITXm;PZ~4qxKi>~W?xJd$6ZZ+<)+fWo;_@APo?cw6?l!;6 zGj5RNCLX32$KGN-89uiYYf^up2Srx$r}OF=8oj#y0{J|E`0`x<{AKX3%4HPl^M7RS z15Z0xc+)?Qw+*Z1@NR+=nobpz$=;UwWH$PvVom3(CECR+`xV)ik9zloIYga8?@Nzr zi63NNK4hZH5M97$Q)jXC-QklreJJ)08Emx`TduZZGd$OdL)+}tY~_#K!qQoCx5Rq2 z?$`OpjmR|_ek=SgwcMwf|6FMe=W};lvH6nqZd5jAjb_vF%3C_f;w&sSfxXijb#v@Y zWSeTt)x(yh}tv7aO zmCkCpUdj2O6+7gHz?ol|4Y~5630G>X`+L`4!#mzrq1tb$1!wb9q18MM7ts2Tw-sCJ z!9qSqB~MGNIg^BT(u*@03Q%S~nH+D6HgHz4B}TK~Z8q1S%PyPnQ|2ZJo2l5^9JiV_ za+FH=MmJl^pBLdM0%3~HYq=-pAiVY> zlawNbdkQ0wt&2L0V$!23|23Pt)6onkSe^L~|7VSOzSu#n&DQ3ayVhULm(BtPa)A{( zYl>~6g;s2_(Bo-w@NPRo?Ntf}6vPq!&%SY885q6(8l2fhamiNcxW8ZS$lRM1hM>Au zr}AIjp+B5V+)2fH*I7qMyKG9fR1%vK-VGLJQ`+8aQL(H_*Pr25W-(i5D(c;nkh%Eo z$n{`?9&b~&l-D79=>GL|2fl>!?fi>?FV;g?YkGgJD>T> zTM?^v4nwSRadC0^F8V!U*j7^y%?PY9h1=bGuK(%sUE-_1UA{A?3-<|;V?8$Rr@#Gw D9i6;l delta 121929 zcmeEv33wGn+HO~$K7CGdLKZlIgf)8tNg#m`!eL1el%U9tfPg>){BbTC%a4hAYx zbJCvHG6EHu__a(Z`l^lA=+nCP_TBD&Gp!i|VDdePY(TA*xELZD*t znzV1qD4^&Kl zCT&!8V#B7@+w8qXN+rzf zgQ_sJr464eeCFR}D8GBC^a{^YdMrG++l9x3pD)3Iik@4M*ymz{di7zuC87#YqP0>h zinfMQTWJ=djaj*^Y~+GaS9N7tOnD9>szmGGV8+A$?Ac1yRQLvzEket_uiQ*wxbh9L zs7+*QL#(@eb6U8TYnZ5Aqv+9~xe*M8wh<1Mh6%xjwz831+ZgNCR+XcwsiLFhFDMaX7etqYRp}H)+)tU&l91iCPH&N`JMN-nP!GxIFcs@J^pxyV0roJ zN+WvSdkG1rD^n7BXu(GVo7a`24M9)?E%1&}aQeNhf;^+h*m*X%e9zg1=qzG#(7Scs zQ&|0E+7vB%=h+vvw9@M6Jfphebfps8*8foPTtE#@579Lf+2+qxO7Z$AY zAG`g^!WhF-tu;&(@jdWFC+iRF_QVI)j9wIY|CKd?HKkSPf|~C91Yv_)FAFz>e9(_*!_+mAO73rUX@tLFUJEZLH>7~D~sc{5AZ3fY4p zZ!FYyYSpVI9G_F)C<@%3ge-=|1#ZtO3T)n1@x;mFC-ks_9!&Xw@`6=IPxLVh{ed+J zRjWFmOf!+_H6?jZOgvd(1W~#fv0>#Op@cJHUE0AsLo;H%MIWIZ9o%`ga8YbT^`Tgw zb|^Ny`lDEn_7R%M!C0f{AZo#nu_g=S(dIpcMUSVA)+%?NU5G@sOi0Twugo)Q*J=i8 zb;lhwwc0UMtF401b=<$JRv#iHHwaYq868RRM(2WuzsDtSoO_6JBL*p=zEy*RqcLAZ|C8XKsH9UM4d0tXvh7ASZR^K&x^vEeaIW z>k%jzhe&(f6u2X@U*JG=PT)XBKfJand(Q4y7O41BAW#vHQGU{Wfr=%K0|mpUw${r_ zEjdjl1qxDc2ozj~M7*^qaG-f*;DC2@;Ew3gfr`EzA-`Rq;?Q-0@QUHdfr7DjiN zg>qIrAqZm)X&r?7DM(4A+CVj_DKiB-iXvf3G1x0t-@9jHb0ab@>c zEJ7_dEDgrYlNlAK_eK}ZL#KeZG$XJ%^*FTyUoscRteWz3+sF*Xs@|r}6aT#Gk)JOO!^>qw{>0gzUoDBwO}J?Gq$#Bd zxgGOcCbVzePQHJY$QOfT)F{zH|HPCzqeOEtRQ3fFo8)vpBIF7_qU9#?yxvsqCC^HE zVw6a4+^!|Q75ORc{H1`>wF9{_XS7JuKM%;Eqru);&KV6MBc&WI()Di!$ZGgP=9hq? zSVoP(V~y-E20Uxz5I*L~Ib%c{(N?Y}nkaXXWs^KX$BSf(5-~wsCzq7Ku}p3%0ZWE_ z3n>2m&;B(<_<}@=N@UbnF+?np6UKshlw88cO1YkocjPWUqU4uj#U}BJl%)_mPwps1 zhCk1ehf9%@uk)pM9CGriOdlr-^`9@269M%@-DSl%(N*~6u5k#oU!E9;K&L0m=<)FV zV3y3mQ#>a7j)(6)IcGeQ|Daqy9?|J?7asa2f00MYdwi4hP5^H`nLYu$D`a0jisYOL z;O!$NA5X}q`4}wUNrjr4EavSJb>Y?tfl+FkDA<4yS`9}T4E zYCOitbUs$go_zF{6Y$V~Ns|>0E- zKU*X#CW9v^*VA>U+{K3>zvQEp^h^QI4}E0D6!5$udjg6XasnSs)#cejw@W^r-T#z2N2%zDc#O1O)@nE{dk z`EZ%Y!VCX?nRrUHlq;?gNj*-$UlbmNf00YqhT0eLGzMaFg(YXS7Wp@NULzRCtZ-c`K=wsd zeYd7X<;pi_iDER;Mzcjr$m%v*RN}FJwzw3I&8`(IGF3&oTvkPPj@Gqpps&X70u>qK zRHQ3ZkurOZs=X`ch~};CV#tBagv-01^)OA*VbkF*no@p-N?v#;dH)=dlhumuIk%`H znHW)A3tb)2k0{sjo1%p`k!R+JxTr+B4h|J!#44FOS6m;wl8*Js>UK@(2{~ddhcY^p(4nE_FoO=!bcicgGG@_X9v$K>huL&!ONYjm!?keG28N^gYTflV zcv!E*C9SQJ{2njCTqtzTVjwzyNp?y$-a{SfIn0Xj97tDmH>9>bGQu!U!QI`k7@*!^ zxW~D(r=S{q17-Eydc*i-^i}Eb`i3{L=?Gt6dE#z8J17u+io5;K3{i~G?haPWFEdzy zO1^Ih-8+)@`d&o7-2u`Oz6}WL?nFA;cRT6Mr2W1@&gZ9`uB4m#R?xkWbb=N= z9zwk$+g;oVwds3_QS>6I`8K2Q-ItOsk2%EPy(5u2O~fpcD^Kdp+AiyYUP-uzFJ-`i zWEeqG^Gzl_lJX7TYZN$Y1>C*9@p9q&qGeWdczfM5UuUp9{BzGDsrj;_K+jgev?zle zSiLT?TXQ|D-Xs9`SMA^u;Y*iMABaZv;wblPGROI5$wZglsPT4kom#_!^gYQW{LBi^ z@ZH>2?p>+yTv6is9a_kANtDJ#sv+Gbx&XIpcUq?+!P^9|!Lc@cQ4c@rm${ zXPBKTOtk+^mh)frR4>B&>#=TjDW4pF8QFI0w?a;ye+#9(2A`m&75K~PwMQ>Ppw50* zCxEwfHn$@GkBn%qlF-Bd9L4QZKE?i*DPg~U3BvUDClI`&*!uZbG0eLvKZE=xMgOF` zz&13FmF)giXT=taxHb%wc1FJpu(ybtL+QF}2sjVwaW_zi$K^%v!+P8px#c^(fgA5- zV}14g`s%z)iX9>LA!y^P5HP}BBD%oMubNJ&qBVa8V;H9@#PFxeqTzac%U4i9k?sXz z0l9Cdn4qFH{~m&J1x){Uru-yPPYvcWRR{G_RU!My@sY}z;lGN)Ki1j)z5caiJEY%; zLQC-Uf&Q)}tn()le5Jq!{{sZa6xi%P#RhU* zfo*;deZE$p+V7L!Ch3<%-p3ez&_9K2&0i`LSGt2( z3m2-PWamQBGT0SCL@Rcr+YnY%C47LmMBov>uB}lH7lmnBq6zt;^8!?}zH&<9QAo4V zw8S@R`IRN+Aa%CijKsg!@|&f#C?LE9YDH}>3SCqsedA_qL*z9#iUvV83^DXI70E0u zc`Q14F^_Lmk46~KD7PveLqXzm4D*bYn9+%oDf8KxD%7;ZQ$*V>zmmij%-nMnp|31W zT*qWTzf^HfO#F_VFNCs`QXYrl1?mbdb<#9V7@=XmEF~@;Lp{PLR+0UbB?{A9E$vB} zdlSCWFOkD;5((A-|30GQm)evjx;7fJmII%ESh~28Gr*twr6#wOiC7uCNW|bvEqxI_ zDqoj<7KylsNF-atyoZ>>_R1NHL~g^MnYqiiA+9NK6;-nlbG_H)GmAu<{A6+u;INz0 z6osw)_b7h`QXcrOi@cm31IdQ(y2z%o`C`#jw3nS0i_7(|J#yJ%(c8+|>yXEs?P;cK z&w+jgzyHacHIw(}5-^9qzIush+vpqmuNOJZ?P7UY`N>{OM7HQ5=PVK3MVQ>aM5IKgqh*VyMLX0K z3d6Tgo>+qK^)@o9Lc~TtOF27)RW|g@s}R}2<#hc(3{B9r!zyMDT;cavuB+jq1i?*w zWH%vv3()(xC`i<`Jt~lf1{|*1-|?CV80e%EsQ!8eIwL64NJ62jfbwvKa1U0|X}T3% znNPhohU!r8a%%MkGbA+k2vyBOteVE?s`=8y>gAB*q_IgTP?=UQP6n3ZYj>ZVvQ#99 z1@hLV;&Q9%??EZC>MPQ8Z5a$$7cuHKBCmy} zM7<)5ZV~?A!*q-}g#_oRa2px!73D|~$iEh|jWG5__-CaPP7=yA_pnHa(FWt^=8Slw}`lQDse4C z!lD#UD~qR0t3Q!J+J2v5XNN;^C^lCrz8Bxem}J`6?#S@N^_kGF?*0<9-#SXgUz2z{#O9On>TY06z`V*TxFK@kZK73&dThG6Xpr^zdi5t! z4uZ3n$bKNA?!&(afTA7&!LK9y#at>6-6om_-53bMOE49q=wyHhHjWC!F%eHOvp$7e zlM*pl$?XfKNO~%{Q-PG+$3XCp4cyS0@V~gm2YF*rWcxsDw*0jMOuC&GA9l`+yKZ_> zH@&EvUerx5>ZTW=>BW^1+4#yf^M1kSa*MTcj}*!IZ=$137+#FsU5G7w}6QhHh7kOF@8nGIb5Ueh10kYeZs)cbq^o7$^sEB+fy%EM}6oCtwn1 zD^t*kTQX)VclqZvBE9RA=+E8rU36(V2@&w;+0$wmocS}0bnR|LZfO1B5gG$tgvV+8 z7Dvf_AL@xw)V8`TZL3RZTMz09-Kbd-In5BU(k$uGgGo}eq^FZ~-vkENIFSO{H1vK? z;pw58NmpOso*23IPSGkzJ(J#-Vk|wAK1hNL>6!GwZxB$=qz}6qWJu3sG#mk7>6wh| zQjGLU17oxysYQ;OtQl6yeAGKxw54~lXy~2rwM|-fUn|lq^^?*5I|`!u$>?Aui0UV! zK;==XpNx*AE%lQTAZ@9ij83F2^^?(=w55JBx{$WiPexbLmioykB<-l5f<`gF!RqS&lXy=rIj* zlF?dPDPzIoMprZ7=7j**Ux991%2GmhVb(9u3>s*JB08k#E7-!sWgeYY?JKa4?pNbpg0Wt^%6 zZK2GG61s3|3y;T4Rkho9Ok!zUOQwONl; z2}_%0G!Q?*&C+HWvEmy5YO@YkIod2^yFLg4l{U+GUZ)64n`OMDcVa0jZB__a+N=<; zv{^8XV;D=DW&BldhF8kcW*NJbkEP8rcI%4~jit>pUW1RL%`*1r1qftmvy8WNwq#42 zW$aZFENzytPx)BdEMvdk6=5uGmhp~av$R>pyDC4HHp}=)=XBZ9W*NWgY!D%BmeKW$ z&gK)+W*NGxF9e3PS%$}@A@9^?u>!oV;qW`IqY+$*Ot`4pl9%5jRtKruGDe73A;{8g z86~1U+>~z1C{?tj+cL(f>Va;HLB)Lllo z0#tXwXQAkWQkNz7;?tOVFXNz|qN8221Z{9Mb2ZcrCq`aafg` zrS~#E(Z@o7rS~$9=-nX4(t8=7>TEZb-plw*0ZZ>?d`=Jy>Aj3Ebcu*8y_fN&z8=8R zdl^SnM3&ym_`8x|>Aj4v6tMJO#xVsfy_a!Z0ZZ>?e60ZVUd-J$O4@GC%gT@*dBZx< zPN~9-AM_6qDOF+5uM_!73uc_qZ$_YIOIF_}u1vWfeY?9+5-Qd0s&41f5;#a0^Ic7q z%MDm|NO(*xxKA`oQd0smQqyk4c(a>Di@zh$=#E3vrAcz(QDKb`hBZPM93d7~hDHci zPAWpUoiLh28ED_d91ZjIEg0#I7LE2u4AZ@QikamUwtVCSGsI8R< zlJ-gjX?rCi9{J%=AV;^awGwd$16nH)B&|N*J(BY6m58-)=Ssw;_RzeARwCYIFl!}( zq`eZMg5jm)Y!@BASmmrlTnQJhM0Cm5?Ue{Jb0uO7oI)!R54i2_-2L+|(5gFMv8rYz z;!8MkC1P)W%}NC2u(<*vIP6?y>B^vH&!AhK$N`_K@rYM1a;ZY zN(6zk5<%dsL@%a5tQJpM9{}s ziRh0o&PoK?oRtXX$61M>^3z#~V8zy2iMRr=W+kEooHZ*E4Uj|j-LkwuZ>Y3W>xHup zaS#EWb%ktHL9b(_-!dZvl%dPoC>k!PB zvkt)(2xlGQRuqi04#8-hb%<*LoOOsnh{9QiU|Vq3Aqbpx2!LAa5VU%C)*+sC`eUp^ zFd}Cif)bo{2m)svg1}jaAaK?p2)GWhMb@lCd=u(?uh|&te6a*^C(?~2h?8%NVS0=4 zGU_1_7c4@zDh}Y&N8>_Q;?Yc9JB!lc=J0H(@?M{6B?ezrfdx4}T|AO6Y^X4SK}XzKDW9vsG$OJoq!)Y6Bqx=KpU4g+BQ2#hM>`_&A8= z-~Re_Jv`Q$**xsEX43XrGwHe>{#0EL&+nzZsu!v2;n5pmrMa$$7sG;$kD))!5P#Dn z(KGuOqMsEXs#c=;KP5P*fZ;C%oA^ipuYVSOK2{*YKO3Le;*bK-{z|ePR>1EcOYn&T zasC8?BMLP2AEAIx0kSbn`1?@8XUZ!@Ydjf@(c+lVhY1=+#;=u$iRN!D`*qec(>jp- zJLT`*O8*und0XryJ=`l|v7nLAKsMPbl7h$RHpa`5EBR`ME3xQQ(qp~s9h09UPpOy9 zA^BpukF$8rFvSzRT;xgaM)!%9`)cw}viMt)XR77ChV(S=bjZ|FIFyNo!7z?~9QYzI zAd0Frn7pJmpsa>r9QAgiC8l;$tYJ)J0>d6q#2=a8N%eIiqP`dn$F56Ao zEVx~jaB0_U1Ozq1&s>{lf8K~DM&4<}$R8{a^Ztw{f8sKsHAAy8v@&EU^x1O*!oG|j z?FISwdc*(t*|X)SNR!uZ6Fw+EFh%Vtmv0jZ;#2wPHqoe~z-LqVOe|O43}Hb6SW&j; z7w|U#zCMUqutT+0dF9_CdEb!>(=X$4GyZt>5Mt*9C0-D8iXx|3+IshH+-t=h*tu$C+WDW9xVB z4Ew^iew5&Rf3YDtGwe&yS)6}{J;GgUhW$s_`l%WAmfKv;4EwiP`Z4YQ4NJd74EnaE zA75@~hRu9AGwcgn`Y~E(hCLa;nPLCImVS)LnPL9`;LNZIoEbKOGs7luX4nLrVds8Y zGsCWB>1U}o&oS~VSpAuv*xa}s9jTeY;i82xn0@}8bSR;%T*7^Fx8_@Zbc-j%0b6dAB#Pkc!vHFII^os>Ld9GC*kj2MtI2CI3U7C}xMIr=4$ zesQfOw#@4h34h*$nG^8f&-;>1L zqQ#VZ;FqH8C9a|s#A|?w&$ZXJSaiyc+BCIRC!UszuXX-RSPkc44rFLoG<3S|)$m}~ zT^{PX`#1fMlZLw0Fl%29E2nNXtZp^T-j`Fi8dmeI{{QD{*p)%cV$K7dUA-0PS-qaP z$M9wCn*rJrPg3)hlWxpuj)B?oR=BmOR{^&OPgB~>`Fha58A;98k#uu*G={G?=@tw} z6BBNINw^wL=3YU64guAgeD#26(CQF zg(YTPfd_w{WUaTFDcl?93^$*^ox{!M&~UT5Ifk3_Zh)>c$F4KSt~1B3Gsmto$3CAq z_Aq(V$09lXd-UAO96O!X6#4k9Ph5kZ^*sQqAJ66-VXl1RW09$UP+y+@SR{;o7HsKp z9dwP_q*U8JBcs-op_XZN%Qw(t^XIA7egVIsz1-O8&EuePqGk2&P;Y);0($eoa^4}4 zp{%TH+t6(}BrGdyS56Lw9{o9tO_mLv{(Eicl$Ev7mtxMfq0?(@==9n)bozyC=rB&Z z+wi+hSy{VP$_!;?ZPB((9iy_Wtm!Uq%hN+v*6#KuweQv@e=9+j;-9o_T1VP8ys#u$ zrgfxk!wb^(WP-G9T1VP8ts|XKYm+~1=>AC4I?A^d|G&euP6ewmt;;`ngXF|8x> zZ!xXgaYQ(q{J%s~`B&3A%K1&EbwfYBkZB!7IEw$@Xj;cGj^h6u(>nS%ivM#=>vDdh zX&ogvivJ5wCdl@`*tG7ipZ#9bIwtD>fN340brk>C0yv8QKiIU65jl!~n#nnee*#DG zPv9v22^_^g0TutBd?B1I{{P;zu0U@7QY49H@@+hlzF^hLV57vrX zs>Zg0U54t^FSJgCyx)W zrwu)(A_muMT(EX=dyi3LyzQv-GhGsP}bY-VXHufthddEOq{j0Y-ZCoRM|C~ZAhw-$Fx?x)yQMf*2rVg*2qIz zKJk^kzsGEk(*UfI$Lzo+q4_xSm<6P*k;klM@@Ljsj4(Tq#~OLe&ZMo8$LvDd8hOmF zq^*(1EF|rWJh^7^2u4k#ZnGCj%c$GDl(c2kZT4=%yjlBuO!=tW6`yZy<}rsq#K1J{ zHb;=O47<&djKdmz%u$=+Zf)i<N%edQ|Mba|vHfO7#H6u{VTbPJv$W9{ZKy@F9$yLn8XnyPcyYWj7^BHV0%cigrJ zH)92jfoKul?`vn+GPmp8Xr?T}&F7U3lr?ObFX^R-$+8F!0m~vh1T2eibEgVp4O`}4 z)sZolMYy?3`B=l2xm$k>(O4GY=4A+?V=fT)GQ{l^6;!lkDsGl5piISIJrOb$HxKIUcdUhM z^COjEYa!eGSl^6RVwsAYhx7+fmX@iwX&J>?ASmT*_ zTmfr5Grv{<;~5L%8&$X1y2FyM_Q+_TE3={boo=8;{5;LZ{6W#&!jt`N$co%Np>IN9 zSdo`~E3OQ3dE1k5FG5($+pZ#X>fSM9Pz0_qBqy;8jWS*YM}liQI`*)KD(J~&a8Jn{ zaJCd~;c>r$RtmT9`0XGqgIxpYglx-Z1uk&65 z{?xG(NhtDSnLXZSPpiNw z4o9ABkosODOEY(aKY`e!TuzW5l*evz9omw)-EhzUZGOSds_8;I|??CU7BKnb}l z7dVSTJ1XqY18yA!98lQX9{5d0(n)1|W4@-fojqx~Cb}AYEnF+#U(j~a^lLRyXs|K3 zR-T-n{Q&uk488_?(PstQswQ}m@w{T#yrAuL*phZP-cazD1?>ia5XDNq*7^Y^rl0XO z+}y5tEnQmcD7p+V{-Ip1hD%-^vJT_fh|W0l` zlzo2@jXVXP4Wfg*<`)q!XZZ z2B(BSd^Cg<^zMO^PGs&Wkr5n>kMYi5q7D|oqw|L#&VuN&7ewcs__w481P{6y(hbBT zAP$jO2V%xWAnpLspgV{P5TAhP%CklbzXR&u135hl!nN}I1%*B|)}?SMYy{$adL@Bq z;9B|Ff^Mxr1v2{T+DsI{A$j7IXl;^g5-o@SBy!DyU8>Be36&M89WpI7dcHN9R z4E!05>dL%=Aqe3r-!r$sY2nKsJTK$;|9k6|l_3txAU#f4RPMAkSwp{Ipo>MQ@O zts&eFnlj`^FUSAE`V#!V!dO|(6y@}?LJX;IN$3~C*N5=L5WY8rD?<3?5Z(~NUs^cq zc&GvTWZ5sGN!ZcLEb-a0&o7~D^rue?m801fQ$in$=}rsw4#DRM8#lOU4X`Sc5&bQ` zuM}T+4;;tK!iex&h0#x*vqriQ8-GAr=R-Qoi=LXlq(f-ei^1~ z7^E=rv7M-q?v#ro-Ep)=Yot5n!bsOpi|ZL0>Q1>h)b#*NGvvH8B6CtUB#QziFYZeF z@UA@Mu2r<X+(D8^WFkBmGk8rG*| z*UE}HfpSE)4lhhonSWNK1RsVw%koAxEHDJ|O*9aByBO}5u+YxBw4PMqn)6*uQL()? z7qO?;-_iB)C$e0{u}Cz3^ZK{Wt?DIht9nV> zs$S9wwN$--wDlk5+p6B*VdJfW;WHc`KXvE1q9dt0&$aG6SM+m#(0Q)s9JrJyukq?h z8G|r3s1?3cfu8mjpv2LL@m-8k)(T%HSNPs9mfO90*Z#HE@G|THz_TagS@g;LdERVk z?=0d`JKgcIVrY5EPTyUQ!Gs&9*M<7-Z`#U3)AbCcg4Juzr^kn7=)^&sn?V(~`g}BagMsKk?*00_NcOIP4 zdxm{*hB@;i2IIjQ<}8x7ZdC%&Cq$KkgXVdbn6r( z=uI0S_tr@o(3^Szs5jj`Q@8i|KyNw?qHMh>ML2rX!RWjly(xjCHzjcNrVQigO*`P7 zbM&V4arCD5A{s|;+U^{^=>P%K9KC5Q z*c`nnYr2-+bR=Mn-gFW;YxJg#k;B7|-gGwnl-_g}WaC2(ry#A144v=|mFcGtWSy)5 zm1$puv{k009hE5)$>qPZv+WbUp)Rc?cSv1I+EJGhD0OMKYeV~fpe|((;HXQPPe)yP zpNG{$i$bVN??6F0>QYAQs7vPqIO@{jh{92qvTZo(QUXU^3SghC0d?sXMB%7Qc@mDJ zE@ed4@*mWtl;EgK2^@7Pfuk-ZaMYy)P?yU7b3*%mpcDNkVxUemI!3ql{Xi$W4(WzY z^ynOYgc+3zEksaWI#+M4Ixx>DkqI%0SICnwdP4ArVhjNTHIcFl14DK%nDs%Ek-?Of z0;F65?VV`JKK4%fT^9mJ>36mv{93X1_?dp#oU1_UrDTNRphDe=N}z$suI=v=9l_<@~AuD znKTMJiMJx_TF1-LIVc_IR_1C9;YiFzgn|eA04jy*)&-dIJ%|1&L#Y52JPMdJ<60zW z>mvC2`{BI6mU2M@y-~0TbaF|1O&q4wgFvlHz^9OF6)9JK_YFYF zoo2~dtmyJm_Be1RA!d>x-#do_nhp#b20>RVKTO;VtVAVWof~4kW;hUbOIyiNASZ<8 z;CnkInNmJsT9d8hhRE_uLP?ZBPP`-M4#I5? z{H8=c=|}sTKNf*{YvNN@Vtf2cxsE)aGbK^u;D^fpf>nA20d{mBWkZ~#0P?2L#0dzN z$zTeu1WcYf1^P$@Hz_y~1*~AiB>191D{yT1)j*|aD1T>L@J|5(_=-KlaRpFF3au-{ zY&yW!-c-*%$+$k!E2imM_DiHc(3ejJJ&W`Z*WOalM;ppbv3kRL@6oN9YtT%%UHEutN++|&5;+$P#Z;K`roX3=g6 znECUp5P2i<nkTM>>1r5#5hg(lz=z|RTz$r8Cx zOl<2+Z0k&H&u3!0UVf9TCj~FN2Hi1LyVI6nczXsY5n#sa=uXpT0N|r*Ce>mA0%&|^ z5sU>$QVX23Ib1FTOy)IV(;y;gBsyrZjBGEH?Qz1}DgciIrc_<4V>ucMVX_*X(7THV z$=hwFyfZ}~E0W}|DSAr&^qKf>QERt?>3Rn+Q>~bK)x8tR?<2NyG;fP5b}t#a=8j$Le=7 zfMfOh|HyG4sYcDo`}G9+)LCN0174`)MnPpDMtafQG+&0iv$dWap+=cDERw_wxx2OA zH2xw45RVIdLSlE1drQ#!p&@8&|JWRpk{B8i>5I3Rj50OnW+$gZWl z!f~lS_%@R-PKeZ-<%l+V-{@y&Vd(h@$;q5DN7usY$?a|Q#zEd#)3OUhdI^dJxOc=F&sqeQwZY6pn|(iUF8Sy7>pY)#T8gc$Ha*; zbLL`nq)d#Uw$N!5kwK{|Y^e{}QlF;ODF|dsT__WCbiZ7bqell{BNxLRv%{UW!!@`T z;i_we``6THx!<#VN_gpA^mU;NYpMpLB-=uNQNkijgN(NLJSbu1^aN-Jy~231%mm0Q zNIzm|+}~iVV9Jevha}z|SwUbM^E!i<#rIPvowbTW6lksI!kd+kEa*3>D$7Hj79u|JY9;&-9}?UL3GR3VG0|xv zYw+~|_bhcHty)v(4e$v=JormjNdu0iGF4Q~LTHt?B}iN6ZSYWO>ym*K?gWAiaCQBn z5guK|Zn#*nK8kRehXFHHqZ;gXd66$zs5E0KTq5XYalS{+Q?)sVqAkLDC3p{6Bb8OC zRv1kXWr3nvA5KNJKAgJQUr3(4-FiZAz@In%A|Ch0UzjesZG;&9Ja1{=p;Qg6S(ft+ zWuIQlJ0|fLsYQ0f%)Rb_ayDms7ZvG0>R_EedKVSbW`9quMH8w!pq%%n)*Vn@cR;z$ zSK|-aMfE!lDF46k_}buwj<5ZdqtCGj79)qM6!j@E4`XkFIxzNF1W#?)fFUxmYz#gQ zjzwTp(GCG8T^x;&CnF{T`{n|_Pf6b@+?V)M;(tVNFWKC=emJNTZ@*Ix_kkgKjg0J~ zuL@EpAd149-hiPQ8U|5yGlnlK_M&jcz5~pOQ^&#byC|I76e3_BkbzH=%fbg?tnNcJ z5lQPE&ZKQ2oQZ{^%N6{PP#s;{C!Bd~44eNXZsZevRp{>Xuvn9Hf=TVC0z5#5ABg)a z-1>S5P)E-WPywb9tD|WLD!dms>0|N_QW3PfL6&vZ`&b9R4m6pUOm*bzYwD=hOm*1n z>lUq!dEKjydCgRZx4x|oZ_TQ8JZm;jZ?#Tv&8mG;>sB?yFKq1$@$KU+HAysz8-I;7 zy~l-g^m&7fNr~`$zZ8tR*O-Aa4OvxCD-^P-=tk1Asvv1!MZz%Wzl!8wp>7?NYSg+E z-M)%MU5aj9MG~^8m=8hcy%e3BB4AO`x9HzqioT%8wx~E~zce#o?OOZab1C{CJ<_WR z2wjT)J4SjHtj35A`=y)6!0jSNnN>J9qZgnWk5PGOnN_%tZ^5^OWmZvhRr-Irpai?9 zTlpDZXMgCz^z-edCc|&qOP$o+F|PQRYf32M_wJ=;nDg(YrqB8JQlEQa`h~A4p#(>( z!ba*GqI%9XC1m@Zd#SN0?YCT0g09f*bxp$zM;TX4#hY#!SFGq!bA9?jROx@dwuFiN zZ|tqU>*AV2RoM|ZhpMuZcMes(@Ig(C*3q(D0pMs^e*4~PdoLQUPrvZBC5-5g_$t6a z;r>QlgN(gsEbxas@`X!u>l!KCs9tNkbu-ztr|#USUUQvEft=S9I{%teoT7{ssKOTZ zVNOp1gbs7!0ZjH`PLo06=H7pQij%6w&?!y~z*C$mAtZrkC1FVyPFX=1m>ZgGQmoex zuDAgsDQES0eKCIgN~E^(wX;U=Og-zlhP;xd#Cef9gF`k9MX$~H+P(EL*C$+el&VD*q zknJGhbiztP_tR&J_3K5@p6q&ck!dehhc#-|1dRN7-J+GfyZ}qYOxw`hlad($Z~nsK zTG@8y=30?y+pUMiYTW!{XdAIe5?b-T8SGqjNI)+5*Q00MsspN`Zq)&8fyRe*hm33P zw{)&8oc|iHx>bjo@$moSL&odXT6Ji#Q4SucCl!ys5yQ@W^sgd065a0YfJq#a(!D^h z5@86+NX3g5brXPEfmSd;2z_@;DxSyPBzFwdGsQFV7=_*hzr;Bd+I1tu>?JFOUPPgu zMV8R+6nZ&<5~|=_LI~|ap${yQg9qvPvGdwG1HlWB$@8eL?L%&7n`TX@~c65 zl)CQ1h?Hjs=?$B1sS&WV2~_5d@l6;SN#2vWgY~2f-xyqXTZOZeiPcrNd|h{2g)`B* z1mB*P6}!9k=^yTQmAR2~A7tHa6*Uv9|3{XugY`C`4=pfzb9cIr$1s?EMzBZq#bP_I z*_X88t55fSq`kh^z;E^^9pO8TPTU+oI@*^_o`IzOz8HobL^{qFN%z5|oBAe`zJhdu zZy@O*L4p+D4Gb`}1ayY4ob)i#Eqx~$*_EVQ`5s_^;iNNtPmvx$I?Hz_c}9}X_UUxL zigarqk4HC0k#6IAobIDZ=lK3a{xPI;eV6Bwsf3`d?>Ifil5Xd_mjOyi=lRaieH`ib zT8lPv%T;=O|;>6PLgr^sQjfS}d2JU8Q#{coD;2^0O$@uSvWDB7QM4S^%CB zD1nnV!(}*J6wfsz$g^az96U-N)TlF3CAPR&Hpds^wlN46RV}ZR)uVKOTp^MsUQwRj zn{CgGzcrS}N9k?E7}<2R9@k8zFG`&>u@=&^R2riUrty`bR#HH~*~6**#B zvo(kVysE_Q%IfUWyv+70OxVKSWbU*!kC7ox+yp1LD*_yC&M-ZVLoUZVxwM?CnfxYV zCwR2@RQ$`Wwfx$4Bz^a!Vs;A&6}*J22_?KmMz^bsH*VcZhrO1=6gng`%s!Tf+cgmm z?QTOd`13Z^4xl^@?V9$^r^R<*<{Xm~Z2pz>zM#f7_?tDhdm+^DQ^{w{Z-#$y0g}t< z+C-5TR_T5P&d^4=9VFF8xq)=mWb+c3@2|lp(z(jr*Wu@Em*aVK|4F&aqeQJ6nA?yH zosr3DzI{d}Y5R;!()JmdBQ^PaNzECV>Ckh9&d4O!|4yCn1V(LLKTXoUewws>{d9nN z<1V@tW1U@e?%_`|pmqH;NzFHz`lXRp?q4%NkJ=7*uI_$3*4{fZeb{jciY%2MY(>YZqZ)-F2t&qqP4U34#&)_i}^NQk@W&X(5P zN6l1(6uk`+`ymg5{CX6U+CcE!Gv`)n9!SQ$bXSh6t?sQrEcUI`suumrSqd-d)7jGe zaqtcS=T>U^IJZ(WjHB+Q7S_3ynm&%YmnW?`w^E<0?wx`_&aKpJk+P%zG|)QtB0>fPCh!nu{2ZNa&fn!vf08laYX_jN?%+)Di+fVFoHw^B1A zN4-l4&e53!&e53!&e53!&e53!*gMCt>jU=l` zeo#vC4w4@$2~-w)@-pzhUcY2CP;Xkf2;KAOp3v7fgdXkbk>Y!W9{Kdh@b#v92hv%-Ep#s+o#UHH_m0d=p5~>~ zF_2-WK?tqIvodkA-n`R47DF`W?yWXq#LT-H6Z_WaQAF#>V7r!ZJ0x202|y~T+Y|`M zx>?Sfte5q>6_M~4R+&B;5B|b#&#=|U;kv*LohT`-yaWDQCyZ>$fU4u)+kH^1 z|K2`I-E&zvMRx}q55sES84p2s0t6qEEXfhX=sC{BfM5r9XX z(pH=WI0Z0l<_!2=o&eTI39+W#XCVCYD^P!rQcQnt)+`}Bh|dTyU^;wnjRX$EhPQ#p zj<&8sCQZd2|4gj)h4LnvTY1|S%G*e+c>k8X@eWUQIIC&g3CW)2_~#udKc1?`jW2>s zk)MdvJjvKQDaa20ZNmNvGF$bQBCVw}v4^1;HKmOrRJrWMcVZQw@1M-rrEL-REaAlr zdl{?qfn{>UG(9Wh&6=!S0Z&%b?od{~=>OZZVpUVEtZ+rZ6~`LOTZVIk5TmGKj>1+l z37zTD77J`{0>2adl>}#-B%s; zVx(OG0Bg5Z3_qG+ObDz6Xo;czPWrq|Fo)aO6?9huV*mVFp4Qlj0OvET<;TQC<%3{V zMAs9+K2CwP1SPPH7xyscZI$xwd3t00-Aeh)JU!XZ6XG&ygCCKJqX*TIqVe+NJR~@B zC6a}xU*b6>7ZXe?%>iV>Hd-)*z9G7E)W)7GxSFd4;g5a`Xfr9+BQ@*_c3)FELeN?J$qBpWlI8`A-m`j84-4b-752kZ}vMe_0mdQy)9d`;%Ojj}A^XJsc=$7i?eS^}%% zDG`H|scI-E8!Kith|V@g7v4#^@lLsEfu5Y03trVc@qe&>BmQ5(6RunR8RA&4{pEKH z^sd20U<*48@wyhzjJM@c2;xnZo9IBvG~pqp-A3TxoW@G z%Hv*zt|FR@60l$eA(k*Lv}G+~%$w%;{$s7&5!B-cWZwnnxp3aEs&*(~hq*X2#DZcd z+rWOoR{IpZI_*M1oJ3kvSW0evHWHijG2D*9Qx)c!yJV|!Jvn%UKHTaOQw5?Eh(Zuu zE(S4`L_ZL#NsIuomBa)P`$^0M;l3Nhd=NuGXl;2ogviiU-*AVn{h~^0NPWAcGITbc zm0;x?LOy6q-z7xBS-}$SK|ay;GnJ6pL}(mYYVh7j#Mj1xyA?!83w{V#ppKl$*4Yi5 zpf}zV3k|&&i0?vcov+5V791C}9Uf5*5N7%BupkQ9!apjEbXhQb9i*Yeb5uRaZ#PH6 z9#S5068d!kST(SLRTmGb;(i5;`N;D_I^DkqQbqQtDzeN66hyCW;jItI$8OXcW*?zD z%HmO-t*_yqfza?DQ#Do!l!|%jCVe>?U*RXs<+nHLi9sGxB7Rg+rmWGS*6X#O5B9+rG+~IGorI9qCC{2=3*@4Px_|Q*Idc(SIM&g3$ZAbTv~r@B^$29q zhpr1%_k_u!)-9q1#J{a#^TWJ%mWr)AV^b#ty{$6UVLj4~1GV2(d~<=ci&`+?5myBQ z%~gSTw0S<-Z$mgDce}xrk51=^l8~`MW-f*_Y66|&34!-3tdtvKvEo~i53Q*^{m7mtp1yAImU zDs%opckL zegAkRhK;(TW>H6VN6nt%LY=)p@*HTjK(cpf%>v0mIC6mmw}Qk+_GyNu>QhH&aDgQH zR+n|mAeKhBQsyj;{D8vc(n!pF=Xw@c!gCGryh|h9(K4N-5dvpvgy8&3BdJ~p^Vjnr zLA#Xl+*umoLhA*VMv4%~SsFRV(r+YE>@1C3*wT+|&e90;<1CH*5e6C!+{*ZU_5C%A zBm1C;brwfx&t)%;kp3@=BSph&EsiW8cW7~hw6i!upcY3yNxZ<~2y^Bvj$GK*kEL<` z#gRYQM1v7Iiz94Y&f*Axvp7QFERGO3iz5VB9FgB9g$@>kq5G$3J6s7#T-U<**9x+(8*TfGinzGJ>B7)jjrW88qS%hcCjvP_;=GTlUsc2rm zX>Zluw`z|l+>l|9C=~H~_f|2Cv)F#lJ(cuvMidT`&WLjEi8UAATSW=Zh;re*Rb+EU z6z0bnQ6ll$Ig9O>wbfp1|1Eo~WO;$L*e=%p-g_#UsQ<=Zs(qh})L<@$x?JY0{l1jQrQR9{@cA&jK~>J_~qh^Cj`!TLg0)i1kQLu!13gF?67Xy zcrw%`(c+l#0xH%&ED~S!U#lwuG=FRP{2DzoKD42k{_d^xZ()*d(L{GV!zr%TuI!G;r6S2zMCG!7%>fVppUF=z>i_A|ZLH=E$NxIC532gWZFCKaEn@I?fvN;4AiBeJ=x9q zK-!PS!)^4hbIoSv|F%g*cd*7-%-p(2|y6T8(TN)fpj#61^G1aB-1 znaHIjT4NLU&hxK(>4kTD_vXFd|8A3>F#*zC>i%qXXo_K9MdRxCDr74Qd)I(_NXE#{ z8$ySsxca6tX5XXGSGWc}1Tth-?;3oVfY;EthRp!!9Gb%HSl7_FvqOibym-H87{3f6 z+&L6y+tHKeH8kQ8ksTCBf66(BrdToa8X9-4tG?YGEfKu6;*Z_Q7 z6Z}7&m-1U@^L-u;T|)!?a0GJi=!ZFdqJFq;Hm|w=`zNKi)HO7i-51F8NA;`nczw5f zg!pa8rKko5d-@fhi+JaDvZat`{ZbuIkUp2Gx3T*A}E z#Gtg<@{P@Ux+s(XWTYdWvm@=tNLK+`k@ll%YX>1B?WZCIP?282NVA`p1Geaw>R%+Ab;5c!?LSn_T#X&y5t30 z(qc-Q0H}DElD7h=)hT2z4Z|AL**x?Wvo|9VMT(zyP39+vg+K3~GGpPwpZDI(2t4@n zF4qog;MHEb1ph62`0LWNScRN#&ju%*Ec}kF<1@0^dq2lJBZ+S8Bu>ANZ^pB^ z)Nv^0l>FN;p_XnPDb?Ta09V8Cbi~8`1YL>+3RQ-;bk$dBHmnZ`10NESYWLn#A=mX9 zgSj}K8)~?8JqOYqJ&Uf~Cg)BkX`g&XX47!@JB+6q#N7j+E#V+OX{+8!ZH{x-8pPd$ zUWBiqx!O|F;2!~89K_wj?f@BKxK6>{YkB?YrgJ zYOKw1beHv?v^U3@?VE9&EJkFe`V6r)$C+v#*#V zZC^1*I>EkGG-wu&WYpXoXZ9j#Uol78zG5zidBf&7GW8|Ey@6iZ#7_lN*hzS{(4v=h z!TT=E;SW=ub@LoaYsfc8GFtoQIi6yMJ#!Ez^PkewvYMk{z2?lX88Q$5%vmJu%jZ-` zd@zrK6Gr`tr}V6P*5z~K;et_LzW9{hsB0Wk_cfU@$dmq_DQxPy1^>+-ZgC^mzU}DL z%~Q{UwlAOi7_`#iH+)*pY0vY~aQWOBI6{Z-0dR{*B(8gdQBz7Z(<^o(T|N9s3*l?Zu=qSOO&f-2g`Z)K|Q48eU zM@KeGt#7`o@?)v>&7X8GTs!yCvHok_N5|Gub06Jx;HWLNOH{GWS_*Z{`vJ?y6(&;@nloI<=Mn%#YO-a?V|Ke?}oX zchxaT*7t$=3004w?*sFQ&W)wcU3F|X&Rul`&Rul?_I^9uRmTr~=dQYa0M1=?jL2F7 zz+H8e;M`S5;M`S5;MiUhICs?%So`hFZDHHPFQcBfIsOW;UwO-COe_Rvy# z-pPO^e}c1h>{@u-8PMw3wea}oKw8JHg*WDBntkkAc+)l*UVT04V_#1*lDV3%BSCYP zhoR103va;?Ja_E_NHMG#sA0`O6aU^oe(;>WrrC51Zt0^T;0+R!L1b2=pO^z;ZneDa zc|E?_YC5ce!&VaagV;ra=aPL}Eq6Yzck)NQ7?RS71f@)PQ8su%Z>{f5l-*x|DcUM{ zs6*QggAG)F308tS3IX<3e0?Vaw;O}?cf)uSi9G7a)mivL6_JWN?hQE5LQO;|hCXkCU!A$rSovS| z7W{9;3j?(=%kov?c}!_jg%|C`QoFi&ubIJS;##>j*#1ZZ_~#pJ=hyC&{dVZdm$%p9 z)WO(+Z-bZiL&S9yQJ_RT_BL=9lh9G&KfeR4_7MgY?))zBn-tkeC9%_cvKk`mJwT@- zgVnwKeR*;RzP<)fYO&(d@*kkcenuJCV21|#vivBz3@~OZmpyRll-C+ArQXg@!pQup zbkHT<&Ic$r)7`m%!m`|*+c8vyr}GPRztPiW;y73;&i3SO(zQ zzWwCOu=&3KNcMkG@1EuVIKHQCMvA{RybeO+c9yPs@cb>k0Dki+X(0lXkFw z*ungV5Nx6yEdP*f@)DA91zc4!R*|6iC&@?4L6zM14$1PD^e(#Vu-x&Io|~0;IK+Py z3G$bND6F>m?+3DyGpHsxZrSZ+-JkTP9oF|rC~P)}!uRd4eLs#(O{X`D<{q2x z2@u^*Zq+puyp^uqpUA`HJ!td#j)Y_;fasQjdd9c1#oPXf?6nhl?L`*Kz1im70HW}e z9sg@bZ&rC@TqL@SG`--_4KB^Z8qQE$d_UB{Q_i_#nxx6 zs#*6}R88JzpBk5P|ARjuFy+3P9m z8FRC&_q5ftSt$s#dO0AdUZw+A>g9l-dbu8GFI$d$+G;heH9K^PI?g(73+|(Yu*}te zMPU0x9m7uj1k>|)P>G=S`bnWwPbG?d`+s`uOBDNIK($IaUh%B}-ti{+$chC5 z)`UtPRl@=l%zI{xBw z`iDK534byT-k1XaTz~x43#K2znoE>55G?=wGTShcj~yI64Wc-)DR>)7@drqej+ zGl{w(^<}6x9P}CMKz*d1j=#V8X)2B(-wQYbi0=jb-*|BJ9NG6Jt7*@5Q0Liry&<2q z|CR_XLw+~t-IG6rcE-fCHKQbu+!au|U&7=xRa2xwZf-sJp}hMg>vZd@92x(z)g;l{ z=dma!i#GdYx0kI(W1~)bwhEhqz;gv*P0ve$!?qxpXXGiRKYXCC^b2$K-H@9+r9XXM zlwV3N*=Od|>3nKUkCTXaob1G@sEP78*)0^v9VFY^L6U{9SSfMmv#$)j19b2=4SB`$ zH`!7CCcErG#CrZFd-x}0Nq>_)`h0+%zsYGZ3rKj=XsHQ%sO}?bOVGQFsTrW~WlV$* z*+gPTroSmAM>G%bB_&y4M1PYLDb^eY7N zsA$*M>O3l%BCl*J+=kxeOmrjrJ51beqGM@8Q&CvCH4XXLcmrWB_|C*P+9-HXjcqR{|yAj_~%7$MK(t`FFFE={5KHTL;cH{$iY7+!5IH?rm;v< z_Z5+>kAGe?17!YrQMP~hawhCBjRzXJoM{FqBbPJL)#Evqa2G$FPRg;g2)wmpDXW>d zF1)$)AsG4ZBXFFB-$x+qzmLGW@ciiWd!pV)xQ5i;`v`>n%_SmgbE)3zk{L7kvM4caf5E=QGH9ZN$ zzpRNF`R7N;!9PDr#6Leu#6Leu#6Leugy%<_yb*aH;RobEPtoNqM8|k`S=`LD7UhPg zXjcm{#;h-s-n4StjM+!EkM{@*S&CJ})c#Rn40QRUL?_O~3ZsxtoR9X&@o!q4O6Z|A zQ%T=^3&QyeJZZd%rnZez>;`)?{xfs6^5>yN{wtTekmF%HB-mlh?(us^1`a(Z@EG`c z=LD`Mm?VF>MCgyJ)IKLrjadJjz^g=l=bXTF$Pyn{nRBW5t#blvE*1VcfuES-e@3@= z#rW}bJHfDSCmh!82`HV??W^`j>Gn&QP#Lzd1nbi6xBx)u_9c7FmU_p)nfEM{Y28ln zP~F}aLD20>{_gD(k6jR-^Ik+rQ^)+#xqmGseHPOD zO8VbC_pha-ze7g8k|yFSX(GOoCgLk;B2?0a|A;8*sF%ikB^`NRtmL})amz-WY>G!> z%txN0R@34hAIaee?G;hQ%`R?_lWh0}8XGUEd3f_vd{rEN_bL$%82%f&@TlhDN-h7^ zJiPYKuN^tQWrvS%mEUECzj;Lo>f>ACZ(gaLU;WLi-HXB_BIl~lMNWV+ zr-x>)`dH*Ls`guRF-_C>THw61b734`ta_5zM1HVwk)lrcSZ@E!%IGlaW2{y7U~YXw z2&bCvX7YO!!2(7cKm_-pa%UjnJ#h~Kto~TWe{OZ}8vZ=8DJ=iaPrRvOG(i&EXbWxO zP2lebPrB@fcvj=bMMpmLdu#^pM4bk5qD})j$J6lX(?HG%gtNn^fm%C#kImqZ;^K!5 zNzrZ>dWGwByBy`k4{QS8?ZWdjb-P_^UHlMrp2vC4Dn%mS?ZO3P-EJ4g_->bDU*?Fo zS?G_d+s*R7a0Z{#J^B+*pFpR&(qtbrH=`S6tNq>n^vJ944)$kY?p)kpN0O#_qh<2< zR?Abme*$OApAdB|fEH^3*WDZLSO+z@-s)BDVd0B)q@4_%X#BZ%7oKl$4LQxFn*MbLWP;4!0btWd?Ep*w8rcDu3ChS0Koit(uRdCe9e{HXr*;6&25-Fsu)QR* z1F#Q_{2hQYqzvx>5I%ed;FqW!fNM$Z?Enz=cL0d!9e`gW&ZSNu@SRJnr@sSm8`cy4 z4!{i%RNba&DboASrE$o@-vMCX@OJ=+_&WeVqILitieAM$b;MN+Irys>BK|6dh`)*< z;;&+eU=?$16VWH(W>gLr68(q_xB*buHL?Nl7Zf||;%grgW2}8SGT9WJ%u;!>DRTS1 z28GvjA@-9WJ08j6KLf`7v-QB*s4I%T z=?bIxPoQn5!cYu_6=|ckufNmb@(D|{NUisUms4v3w2-5}kiUX$cUalX-d4v(f=RNCUFTNEHpKc{9rQN- z-d4xu=rpijH`~8yzjoOX`_%}e^?%5Ijb+x_ei01YFT!E_)fV+p_UjHuWVP4tM>wb6 z$%NW|5v#|?1 zQQENmx)eh;Y`-1`9JXI?16KCyO;_l*B^=xQ8G-t33CA(x(QowI5>C)$R{CuTT&vd% zCFI)@rGbb^55vkjxanVz^N#kby5lq^6 zB=Suf`)J)JjWNDSI|FIzHfgMnZ_@HW=9@J3hA5LZ9caX)Edpi4q_sp1BPMM*;*?2S z2HyI$$#;VhllB!D`6lgjqzs!h!iSl(yFw!J#$+|AJ(EV*H)%waN&74${7aFjfPIt3 zdio}9L$pb|6@seUq@9KIzDYY1S@YAME=AfFZPIof(WH@sZ_x;%-xGb*yp|`R)oJm zM8)cTfk>&_N5RP5#B)F17l>96)L$UthO+ksqMHcnFA!1K-WQ0-L4S5AkohDGqWbJm zpb@_iq(3_p$l?co)K`Zpu^+=v3hB=d1)A^^MEbKsf$VL7^=F3yIs62X{_Id7myGmh zhXPIc`6B(F2Zrm<4h7T~?X%TahXTb6@1;LG6zIqV`m;lU zPK5PmhXN&p^=F3yomm-tc8Ec*0t~^V8u;wc<+5G8$ZfI^s5&a3UX!~ASWZ7!Mzb@| zO26@ioD(nFc2*lwnQX4+gAvJJ;2Q62KqXH1cqa8)&!q13OzI1jM>eU)T#LtCi?I(< z5B#(R!&ceD*`p%sxwjC=^<4Cwvh09Ib*Jnx*P`KI7pi|PPYRS#AeUJm!JyIhX@`5 zsxLKBvG47dW15I|QRldt<2?$4zrYeB3zKl$7<0O>suK`SPt-n7RX?~aYUAYC`xI(n zV#khz%kMvTH`lTEDH3>X2OLqy-ls5}m#t&(QyhDr0`F7UvQ@swZ6m&nR{mXx>Gvt< zdYwWv@;=2?U&?dyMLRP=uFn?@yOfUe_548Sd4A&dfT!ngzc5PA=KwyoK@MNzzjum$ zY=bm`7u-S|ogh~4}U^#uD#LYymd+z#5ZY&gY%@wjmdy(DkUD7gT0aG^r+Dlnb z2tWE+z;wzYro5Y|+6^z}M)p6xmdo0U`R0T2hxVe)*ec`@NSKXe_3WPb+o~Hjl=%w; zjl0#_VfF;yrrht@ltio_`0tsEkJ*&}^jhJVO*v*$c0gBPnQ-jT)&KcJSG8@*t@4eo zqD}YDX(h5~2+R>VA?R*1i^u)UkvTn~@Xf3rF&D8`8M$AOuEm{-4(k3=1UI=s_UP3%x~FcWz7L ze!O##tX};ncybgxE((4q3cgQfoglKnsVg4M6sJ?8IC-$*M4e!8;-K|;B zXBLL})=C)e83k{Pg7c!_%~5a@8Q)iQ?(j1^mg`hO#^H`)uKp)xQmJ^|NrzXVOQzz+ z0gYY%t(@6Iq*X4)iM0kifxL!5LjdXDq@a2;gD>V}1f~6@V)U{29P61l9p)d=S9>0J;H4f0SohGE0$FYX{-aBYYJJ zUI*|A03&l8;ubLO69oOjxbFe9_!)pe*FS?mJpfw>Gz74pKnnmPe*w?|z+wPK=3+3q zij2|(uCioYQvhH8Qpw0Qh~&YjjNYJke+58iV-Wx&a~tBmKwLV{L#O{0e==UhpOZ+% zdsZ$Yz-xRTA@Bo$9K$p+9B@AwfRVWu3~oY0WSoeg3mAuSZ>$E8X~d)cJR+BII)e5v z?o0r2csDL%0f1rxO9506xB|cf1pWjd(*kfCfJp$1v=TTiGByFdp5adbc!Be&Z_{{S)1GywZ5zO+HNH z?ZjONB@lhA%;_as9@BMNar~dxb(LeAL)ZOiQ`d#Nh`G8udQs~9aQGN>!c=^zTvJVO zO`|@(vvN?SIWu4y4Pww052Al9MrSvFvD0{l^%g{=Q58NzfU0n45F>>o8B~QCRD~Hs zD)Bdyy6}+@#xQl^3UJG$E=-LDKwa1tuU=WKys0%+MKwW6m13+Dv zLV&t(C;{ria{(9)cY)LMTn+Z_0?Iu9g_8|ePwjT*lwY5nEP)Xf31CY^h zA2@wPPSmQGF_K#K8OAm0_PoBK)4=G@EJpCxDoHQ_aST$rHVH|nYr6n28s;PJ2gXrV z4rClv(|kjER}?L@L@plj`y_1R0HmxX;YL{i;JuD-$F@j1NWTbCG&Y4uK!+>W}u}%S?6l*4x>e&pYQe8=aO7%ek zRH`2V;J?N=19_$~5PiJ~|M*%pTWi&Fge7w%7&jqX+gN-CmFioV^u;~WTu&p7mn>sF zW~9AWa+;^-cltB(_iZ*CUOrBYJn|PtKTk=g{Djg>;9(!jA@9M)vVD|0pd`&>^wkZ zrvw_9MjXCz*^~rd;0S8`mvTBH+NR-cpn5&ZCmUw-t5KyFfhPWh%+2P10@@=J=!ZV~ z6F#vyQKVOzjghD)6SZKX>wzZLM_J4kOw^?b&`ckFE*r!{%Xy_AiT|0SP)zF;>gFmG ztQ4Bl6lv!&Z9ZAOj~m<)7y4*P3lPsWD$SOx#@Q`RBk4XCuFZK6deRKiwK?w8Ijs|x7GvQYDb>ZO0Gd_Yf>xu zD4vxINnAg;M-xYJxs(woI`}^Z7AeInSzDH@eicN8U@y9HjvOpftceA3`d}1lL4mvk&xV&2uxi01d=wJAqYAa9KyC#= zEBrwlSVeSrU#3ruvxAct z%{Igcg~pn8fMZ!i#&SrFWzp{~kjHQ=i~iFf5hIp^S&XK}FygM29}W@Om3WiYi05Di zg|QAu!k27;W^6MKW(>9Fn;?lHZ7^JVlw+tV^dpUOs55qSTZ}C|)VBh?ji?^#M3=Qg z+MZ<9g2c-U6$(}@Ht}x4FEvgL!07eukyvX0CjNn_)&NAm>43yq1CY39u|lZ*Rng?2pw z=zYz?rC$vcV-lDDtJqI~8cD%q*mw-qM77vv#B^gsDblG0w<0X;0aUHI6?#QaplaEz z(BUTnRV(i{Ft)~2qH6K2(0>wD>u-g2?FF=nDiMj_?Ck)wfpd7Xvj?_W#{7dSOv%6r#Z*A}}^0HcA4s z`T>ndVEoBIBNA9cG$Mfqh(;t3*B@v^0{w|bB=9s)qf<)yUW=0@V-SkrsZDmFW&>Er zDnwFUo>eUr*D%mX9s5qSrfo}}4-Akuj}|F%*Kpx>{t&~? zQ?0k7AJcDk&3l%y0%>Pl1?BkLGad6z88=2`Be-DP zKT0%+kDkp`%Uec>67x&><_OU~6hmG=Qe=0ohx2@1ZQt~2+vp#bK^>iT^?#(c z3DLEEC0|}R3a_$$DIXmrTBo!@nZ4@%7_RQmqeRY$GZ5iT$G&F7up})2MHLo+LLvhA z3k9$Sb$wU~K#m_RQVYTp9MmuM0OINgT7q3`3TzYT>u31rKL)Z>WOVK`-Iq`+M6y2| zfP~-)Gv52pNT^nS7%49rqx!?vF(Ny+#URK*&t<2azT@KLr*@$%}eecbMdH2;AidVtPpFRUt4+di4fJ zNe|<;%V0I8D!;_|vW@d+_(ClAg!t+ESl$G1NzygwW=B6?`GeDya!f7$t@D-I)0GDD zy0Mt9T#Cy3)0Or^>YT2;2tmAoC~vw#wD1(aHHmHq>b2%;Kx2mj)zcNCjfSZq!08H! z-_tfvz6{||R8#+{Cpet4Bum-ubdg%Ar!*|wO(aU&U7%7rf`@g^Uon>w+Hj}{Q^>Z5~CtwbdkgUe-D8h93xTqclC z&k=~uC#o$Z(M?3Pg(UhW(Bz1PjIxfOlQ3c(3&sMCSjQ89RvJlbkbNjJMYMMNXnUk0@9>~A7rB>U||BiZi;YE&leLG~Bd&i+FZMza5rXe9fr(||^@ZwIvQ z><_8^iA)#Cegse>sWKfO7;;eMDB({dVI=$Wh(@x%l4vCRTY%P`{UNn~km(}X?*wWj zl~y8qZZ{;}LTk}RH75y2`hDbc64oCDx+t1Or`Mvn3@C^efhc*UV$^~7^-LrbC-!4e zjzkZtXrgIEd%xx5t%yJ4qbCskQlTtWIZ*sdeIM)Y$m&v!uI1%&*?7^ebjwU%Gw(pA zG;cQEkUkj1y6d{5(9D0#zf=4#_;(&TPA;Axnxsfn#&_^+1rm1fdryKmVs{CDAw~Tm?yvBekBVYaX4M1B6cETUF{U# zG9vYWSEVPzkwNW_N|`TbO%`dRo6jfJq%U-le+pA+qH-0v8v0ld@DUb zjc>8*0Fohpip;swSoPlBpPj(gqG~$Hpe1^!!Tz$eBqWy@+P~2oa%x*({z9p)M8PT2#(6KSI zY%vfi7XnGihcEjQ%2jo`O3&y@PrrXy?=2D4{o#BiMK$Mzx-o6CDB6Q$ZVnRuI*)A-WN0!g$Dr z5>C1pRWn;AkAfs5A``nRoMKB~1hK6_joHx>uW6M*LW-#)BG5tJM^qyKsZv%?6WQJS z&h_QB)|1!R?<`{-NG`rH(o+ui(IeSzc@QknWwOo`8RNB^k7dwZM!ESE8gcU}G~(t{ zXmn4Ca`R09qgr0R*Q7iX@+?C=JbB)X8hP?0crRi+c@o_L)RX5rpkEQy@+8{+Y)_ud zCoIoJkmqHH^yJwCQCEU4Wi})d2P7;{uKac*0`gpsD2f{L9DJ6@uFp^2cx&VE37U-6 ze8D!mH#Rmf&a^8)otiP{cScH~Pt6GJKoxqeBJ_b6p%26ez0?sn5Fo ztw(Z4e2Pyj2A2Ueu%&<@@YlnOV1I8JlRz+C7iIimMX~0yvbBri9pFJ$Xlj^v>s;3R z_X-Gd=lxc4>vWMb?WuQh%$a5Vd1 z{D}h;$3MRsLT};6x0LN>i28*WS5U+~Ak4UhC{caJ zUY>&Zk^KCHGb|0cr-%67o>SHlaHG)Rrhtc^3OGBIfJvD%;vB$vMsPF|#5p~w#$qN- zUSK%A2pXXv8TGyrupK(1Kt47Tr-zE=Ycs_*bBL6)L`$>3+&)WWTFCkBSt6~+Y$UKs zxy56xsz|~|JglCNA+@z?dU7{Lov5Q`8!6W!=hPkuGf#xo!n<2=&l#x+vUs-0ZgUWo za_7_F8rR$GFSpJ2<@q?$P@XFeSOy-(B{v=U-SFmH9AuivOl{tGWkv40vLg3gS+c4U zwmK69aP3Dx8@QVZinGv@&QWP^CwSushVq&gu?ZTy_k~A3FmM;(5LdB*K@9*JA$I4$;Ee!vcMc5Uh8Vju z!cRE`aAIgB>4(k+oElPJcNxa1k?!7svU>pQ?j0EZ0$|;}10y~YCr0{rpr?tbIQH*A zFM_&%2YLqpvwtIpJ{b4-GO%k8P@TcRU%Ob&nkPQ6?k$ibD#V0x_DyryN$8e1r-*_k zU512aXI8}#Rf7;98L%K$1z;o3NVoq9=i-JQ8` zi)fyz?sY(+fp2jIhrZdLt~Jb(itThM?Mrg<=4h1#BLZ{3CtVdXA=t{tPgoTFP1z3-;P}wtp^#}_M z{}`|yVWANr8(ohUL{=>lY0YUcLcMv?MGvS@pVN>(2h>y)#I+mYF9y`}i^K<6{XuIE z;WfkTnnPIo)vitYq=N!EL*$^knhB#3+#EG&YPLvICij;i_kB5j2aiVO96J$4y&V&Rz~;H3ii<+(3!R|>>R(3 zKIQe%bPT9ZL2%AmQ?Dm#< z%SGGDUlC`WxEOKERa{Iv26W)OGv;K1MG6e!oR?Lsz{?rhQGpK-?4-cg36?0Zf?#I_ zo<^{X0zW3$m7swc9P0TM>sg>JM+Vr_a@aq^r3EPj{{rH}D#|5Yk!5d@MHh-(Jw19< zj=fNHD&R%ZZjblLJOmen;r1kKLpyL1Z0jlWw*aXCw_fNez`)>}7^GHwfgx`oU!?%Q zKzya161RrM5*x52EWTJR3Ck}QnVDR8hL&(0R0c8a#?xF~528%Wmsejb z`X%cQ$8&M6&2X&!KEGIG_oNmK&hLs49+rYslFq|lE%+{;;PZd641^lfZ#$CUd&b&4 zz^^B!^3N+U7RuzN6__ry1`oRz%Pm6XfX$&{ZsuzC+8Dbl0*8|TgT;t_5QPwzT?904 zAj#G0))5tRgb_D_0X;H6;fO^Dux)5;BW@~#d+Olx5NzBZWJR83MbdRm=75A!_pAkHS`_n-^Jd3Xskps&z*WFP{aoqit+)5qI`f6mj$O#u1!yjn(>5A zqUSQ66N8RIHn~>3idpuz*NP5sQ?$HJbZo9X+}^}tDh}-1Sk>uS4!1*HdjGxbg50KTe6OtX`ylWvdBs?Z%vQUcV zX`Dz0)`CiKGHG|&4A918a;Ee|YwO9RLvN5h0q5a;Y2*=S_ry^HBS`nE-HRYziDBNo&jM^a*glB8UNpw` z!Ex7%r!ZIi@_NzAs%aw|-yk}vNuM+S2Cy@@^JN>CEyiCxRZ42Br%J0K4z8^qjfmqA z85GOsZV(BTAtVr2EoTYrOYt{6GCzP^t$u7j_gjKc5tvDZbi(BTgMsTn zu{BdU7JCI4!5^5p2ZH0Ew7}yJZj_>_+$% zhR17}lSW*DxCAY8m8Rb5NMrV7puv%Lli z&dc}1FVhZ@_kyDCrJd?*@eRVA>nkL*8kwBSJD;?bMK_5Sg(H{we1G=%W($EYJm4!A zcOQxGF>3@79`?e*C51r6@P5w(&c{7-il$u5i`{mYL#Dd zZ{3=y$1YJw&2-hRqAN7g3%82K*8Oee=eLRm&8dOh6SRAS8pti}MC}ox26B5}jcgO4 zisf-@Jq;A-`VPY4UO?6*iNP^%f;dzRB@i5of&ebZ&S7vIVLL=k5FFnfB*D<}9r2gj zjc|wDhs42&g!4lE3C|##{Lr0*XEMAXbSB|hgxiHaCp`Pj6c81Kjw=K*XD{HA_)`nz zTWdwGnIwN*3;zPyhe}rKIZUy5ux2a=7<*nhuuDA z&$p3tGA*^)i=cMt z6CEO^@ zrTogc)At~5l8Vdvww?Ub?V@x>rIxP0?5hIJ?s3*65A)}O_uIQ25PeOcf@;> zB~B8$Q(vzkBcc{tInRlqkQsJGVbJ%`A z4?d2z-}8{raJ2m%ri!TT_gf%|bF}?t?@e?tde+_P+wbLwPtc<$FlI4Y%i-t=j9q}g zhQrYl7)RK4IC=u(@tzQ>&(RZ@!0>E`qbD$taGt}_6PQ6Z`3^@w5{BCp<{By^(=|AfG-RkQ2gBEPvydYRr#udi<$mQYt>egc6`PJ2Ts}nj`Zi&pRxK{DzRccaG z$GoaJNDiA zC$`26EYOQS1(A3oWMmEFoKYkt$n<+fj?5XH|rFHV}_i@Dw;ev1Z;Zxx^#^7Guq1y_lg1x(6{du=>@lBf?~a< zpn_<460>-YxmSdQItXa4?!~@=Zl1FNGhZ<1wgD|ZtGyhvLF8Fy7RbdLMCYv6pqD+J z@r>8v%W=$TKRESz;I~`6!+oONX`Ez=9y>v91e)WI=t0fB4-rd*bAr`MNsQRb~_`e zbs}LqsCA;6Q3SP4WO#OvV=th`UQp{qHKPb>ok*I3pw@|m+Xb~w)H8~p)`@$=V^2IN zatBc2fdyPHsIeE)V=u{Dcx6L)$$H_XtYV5@U=bGG5SOYKSSqf5s+{wnXx2sP%qi!B z1Y<2tjjJgf&`^?TJY`{eSVj8m3Y>DDswoF#KvcUP6!&DUy3B9rbG(MGiWf#6vis+Q zbvJZaV;*=2;}EUdxJIo=PpHxJzw#Py{^y*)u@VgF*6M~z>-nG4o8w%~|87K5Zw2~3 z!o2xkU<{?E=6`{x`ClMv{udbE6C`^67l@kwd99`9e}NffqvwBtsQF(YYW^3Py*D!d z3(WZd3Do>=;3knfP`B1Vge6f^daaf0wN^8TNNGW<>QJX1Uej#EzM75l zjHvnTrlGSyp7MxtA5D7%b6D*uVZI@+_+J8!QAMnJ0iY49i%(d@BMa>TsL*krAb)Y1 zG88Ql7xNnah|}1NM*L5RGdr?mi-4M|Z$MmvG65a6b*QL!o7q7IHjDnHFOzn3L835L zD%xLt+R>VJYQiR3g3)A+4&7kd+&Pep#%3qER@p!rF zQJgEjwXMACQIXk-1I+9}1H?1Bsx$S};#`!hoGiV_+FCU>d63-ysJOQcy(VtCvShD- zxozyf5`VRG?le!L2a<#_3dsgOd_;*huOB|ZI00NNrRJTgB3ZIk6qGFhAG5pH(}RnN z9lw}ltEL;q%_`TKRerA3UamthpX`gs&mIP4og47Y<=?l8W&s)+=dmIgvrXg{?u;%Fk*n;y82u)XQoivzo^QwX(W^Azi{m zUREn~Rs%0*q|RzEL7f%GEo${bB&!XGV^#y|3!I$9yW7m_$j#oUTi7{wBbl}gCo@+Y zTtC}J;?;gF`avLMy%?-%2eP*uu^+cmr~DjtVq16Oh`MyXK~B~{>SldbBB1&RhnKHP zp9AcvQq>1MRjPErKE!#dRL7l9c%`@y%~ zqes)MdJ3vkwxv||Z#L^rs`2ZsWS#p{ZsGEqt6u?8Vl(;9)1qafYLo$9qa?Ml-#+NAMUC+SpQzoCm!+Upvj$iFE z3=Dh{u=nl+T~2kqJ5dPLrd@`CQ~Cf7zdJD*aQNMc<$%Kn#cl;0J}9;WaQL9udjk4J z9hIH&tVlbKdwGGVqq31#B{+KxAC)DM{B?oAU8=>r*#Ig33aEf{r=h;Akm%HIpqt$ zy3;yC6HqMOX`Nw_(~V9U$As>*&hW_TMrTBo74EdBzvP|oc6$E>4E1S7$2;Hc*gVbX z*s%X_vB?`>Qhx5SFN>DmTM2i8KgFUAp<}bl)~oC!Z+Tf1cI2M9HH|^*Gxe+&8!Tw8 z6m`sY+6qLVv!|%H78Dsed&&wKdPU?{cCUxX8F~baO{EF9W@>C)>Xqy_votozsLwKl z&kumRV>6Eik)z`ccrwxIM3JW51#m5*(~Slk7Vi8TNXr+I+?$mHK+mPtH@d;^N;|yP zw;N&o&bn-`yYj6eC={=>^Q zAK6wR@rK9i&NjSVbn2@j!|WnY-=khBTfE0REn;_J3-WyIsyBTvJtePCi`YZx#Z>2G zw#76gydhdP{E;H+Na}DE&DGpwsW+iOp7%F(4psh5w9eWekx8ILYobk%3CGps z9sj#zlHUXs)G`TI(OmtamI)*Dh^a^{lXKq?E!td$ZtJX4e!MtzW82t!qu+g6d!l+S zOBn3=9iRB$C93$=SoFJk=3cL-?Ebbm!5k>he_Q0JBSX%7zAT%{>Hg^_#5xO4VnbEKWOT?%aJP4y{F3*%pTl^ zh)8z#ASlJX2Z>YNZ3wCFR)DmD5zto!h(Z=%#8gV~8St}9Smx9D*L4tOxPuXD8s3$n z;yaR0Xo%?G=UwI7?})U5cS+EzFKDr1i8%oTW*?1Bk7*f&%{F2aWcFT>Zk`}J?-eb& z+)OzX?xlFdN*L*S_CtV;A6XV-I8?T6&WS}un|6m?j>p0&12Op5=P7wg$jYggf|fhv z^i!t%xXg-^`}c~NyEWfMZ@W2J` zKQALqcf#qhspNA!;YP9iK(TWI;cO#u3Nj0tt)0GI(ZI2fGwXf?jo1xPh0e)@7bm^L zDo+{Hh^%5rJmlK?^1aXfv;nT?9j(vs<%dbRuFm{Suvd3&$ z&=}D{XWp|+R)jxJ1wkXWHD)T#e3cBnlbt%gyX?`}YACP$UL?g&LyYtBUb1Q>4dM$Q)s%Wo{@3cijiV4S$a5EfAHaE|KO}Rz||0EToGy z$!kFBjWY3aflpYlKq)5Q=^d5Yo0Y0>eg}fFsh7%|4~W9bt!c={b~amM!74SL6pvbD zfx`$a+T+%V2(weu*(e$brtXQv#HCJQnkQ76#8e)caGtc9VH~8U#hUULm{eccJ(-*R^mKX`jx2$x&UFZZv5Xtvi z>Eq>nUt1}T@{GxZAH{V=t;lz*`4ZA*o{p4p&IGeN!ctWynxtSOHJ@2bRxM(uHj|~N zS{W^$f`k&B3(N~heHGbMD%ePUj>uw#xT#;eGUq+3S!E%M^_DuvZ=}9VinmqO?9|iA z{O{J0Kh;ff{8taMR~i+mGgyRwTGe=*m&(iXoDZ#gfhd)J!O z93mepWL@g5L_Sf-hSUS>A)hK_Q)+-QpDAQpYAkE_x#G4HCytO&bwJ*|*zAySGc*0p z`UkSZRZKExmB^Ae9uyhz?7hwx))h#Zb+O!jP>jhL1;G^q`W}np#aUELBHV7jcYa%iTDd78x7C{ZR7nl_^Zvzdm!17$Tbca z<6qOiLQ#8Gaa!G9?Y?iH*<8N;u?Q(=y8C8#`Ps+V;<)`B+@CU|yVg)AN z>{p->q(Ea7v&O5zb5tkSX8T{YJJXNG?l7Z& zhux8d|FHVhVPrZUVqXfEm?hV}Z{=2|!V=gNjq6-T^P(0B*=muHtrrP7To9N&S;C5J zVWdMXo2wf@A?L1d9*%e(D!b_vDE^-OHVeP=({%jYGl0@4%pi)dt0`m~ki1ziRc1f( zn3Qt9X*9!eu#-8JX$hpckt1*n16L}VWg?~u>Fe1);ctGFnQJ)(=99m`S;lx}8kXGZ zn}#W#Y1rjihWnp8woY+6vx$$bQ;w}uj;&KTH9xja;o9ckv`(qyg2U{$5_)^FG7iO1 z$$FjAk7{Qqw0aKoe?J<7OMv7;-w&X^-v*@VC@yFQGELeAK$UG6)D>tk&|KIQ<@LFU z$TY(oLXK;R(A=Cta}#(SXx{0^%bS13F(<21ZvI)c>2o{TCXm`VF3NPY3SgbZpF7Uj zhdA4~_b)UZ+z*J`iZt93jBBb7n0)j?-HQtDiF6O|iM)(NR*|>`%$x@mOjGI3ZEsi| z2^j&d!px#DQ+QY(Jig}fU&K7ybZR;Z`#xK~B|d8 zS@mZ-R#pi+zGiWXeTFF$I@gO;8KD`rb4o96%C<0~yC{;kZVe}}fS=Pkv z6VvLFrKXu=lD2IY9z#JtDt5GEBA{J#0B-MRX&Mf1?-(N3CAMp9KgF>_Q7yD6%#RF; zV+@f%(j+u+M{-LtlU=ZnWo##q8anF|Ib^k6zm%b!qcTN>yXbm!)%ED6lU&;~g9Yy1 z2DKu`(sbDqM*VpCOIJIiJu0hmb^0lhp~_+Ogp6(=>(Ry_Ff&1y%`ke(*&Xe^H3@Nc zZ&O|wvK!QFD6qdtD2|0-lA#s4hou*mrdKV8)hdNJSV!bW0r!yaHn&sdxW;zC?5WDr z^u*`_Sde|MS_N?MVY!;|MfT+??~7^%9&ex3yokKgbfwdoJ>H24mm-tF6jejh?3Y5I zQI63srERSI`^9!!nYh4iBugjT2jt_E?7XJB5~Rus*9Y%`>vT=LbUjvv)76`4|5WqB z8TMa#1~?8a<1v{t%t@`B*kvp}bT@hWl-XyDFP}cGV)EF+j%^CZ&YU)DUi-dF;Hi=NW<}z+e^l1~u zo-uJ^6yM@D9on=DbH|m!x7laPJMXe@HCxI->+Ry^i@pnfux!h+0o(eoxVV3?=QrPM z-u(49%f4RrO>o0S%f5N6|FZs@2Z)9>cdWNNJ2+r!-r=Oye1D&vX@)v@UiM{TwDMzKE{S?Pr1w%gA{B|IuoFvpsqJM64~t*r7% z`yYQa%bbwYPu}{p{n+m&RFuvCYTx#|lrQbE%cF=6k(m-}Vm+s_=FMmAOJa^pShvWO zj8^{5?iMYh-_G$j7>#Pl-e)hZRqeWl>Y?LHWo&<9XGCXwNEUUML#6EVxqVi2o=20iX4QWC&gevS^FM?Y z>sd4DYrEg?rvzz#S@EsCBdY1@&O=fD@}2$o(NSJ}pf=Cn?8!&Wv0u%bKic0qQCS{R z)w)xvkpaD7%Y!D|?VnrnrAE$}C@ys;1MmJa#&#yf59|wnw&|Yiirip@JjZsLw-Yf- z`}f=Y*s_7*hYPHBrbt@4e0jfq%f(}rCodDXnoIi)=(oB5vL(yJMU_i077ZVfyKJY2 zxk)BF&WUD)9N{=`M-||xt5I`mz!_ev9cxPx%H+pC%9jeLrdOBF2W)FRC`a)be{cJvXteGoadqv9x+GNH%^d+ zjh&mL$PXz>-KmuV_^F8#|A(ulQWoYqXGfOAEudCn_;w6IE=FEp1fJ82o%I$-GD@5~@Yqq}JcGv-ot^janA64S5kFKcIywBiv}S7;=NhoA zDeLCkj`Z^2368|$no{Q`JUaAnR^jnv4`(PIx)eIwik?nEU;>s6xUE$t-EG>TgcC z%f(Zij6r{NQtZ!()hztbIkqhA55_}JbRG_TgSDn*yeYq&tHuccfu9QQxHV#xQU^E)dBS=i5MBd7Io_6AN>_rZ<)-@iZBoTfQWWg7dR$y8OYW_W++R5Q?6 zW#llURN1cF3I0!ag`D5*3iAAcPI=&URq(Twg#Pt1|4w&1%B63!qgqN!zhl*WI>@=u z41A$R`rT@z%QaJ-(2%zO%5vKm*x{O`=Bpvj0yA)j%4pTUU!}Y`)HxxrN43N`|Nm@> zB^#W~G6^zIz__r#Qu;R7lFN5K(7(U6#3`QABWjwb{cdm{KJH)=$ZA(?cb7wj) zMJ248QY{)%W;^SnD33mi59c`F{3{7-M1_-Q%EhZt>2C9#Mkx)h3Rat|Eh({uw$)E0U2FRgzIvFYD<@2V^oK|rtNm-`x`=x0d8&we5<*r&Y&Tx?%*N-BK zlvWmgVW%`6&+7vIg^V%E*qSbqmXFr zI_$gGXmwmOqM?F)VF7pPbrjNKjmx;CwlWKCsUM9o`bgz zois4n@wC$ckMEy$x`jyw?{qS(^6B#LPdn*|TD#L}Cg<&RvT7dP={TnR;;&AlG{3BT zF{|~I*=^^|pMcC|(`OXBxM!TkX?=zc9$4OQP+9r>x%kTGlX^PzbS0}ae zVGR8EHWQ86<0qa~K5z1jX>-RfG|Kx7Dfd}TKSw1;v0iw_$&OWt=Fe1YKY7M!U;BNP zanCwEYX14Gv)q;|b~(-E&|Ub1#$34zAB#d+y}%lVsw{sO6TIp=J)e z>{Ofbp50Ef27P-BXgd!hpPFRStn!H!v*yl2kA8W#)5w+|yy4WVIk3kuP5JpACsY3L zy7QL2>P@J*;G0g!Hb>PYzvDb$n!Dsvd!5&0yZ4;*nrq&5-ib-Ork!P&#)Nk5@$Cux z0B*x$SIwoLI)5R3yU(3+JgPp&LUUJ5%ok3#wv|=5e#$kz+I%6bxG@|bfx|D1uLis} z3ST$EGB)BDj^75j9X{I>#>)V&i^5lqvWzG23&*bgRyrh{k%xKNXpHsZznz0D!>eq!Ln^EAsLCol zsXtr?KB>#t-^INbU}8bFs3n#50*(7t5#NqtF92Q=Gi%JyL{GM z1%~CTkZ^VyVP0}kj(dx_MmEfK^UNw)n(H=kJwBJ@x_v6iCupqNRC%>M@oGEFED{pt z7!~4GArcZ@39sZ;(Iu~{49gMas;UeNkx1*xun1LDIDdtNv(|{J%*Lkf$aH358soyE z0q9kzbDrBU=X$RN5FBPe&?_`RxgpQpY<82=o4L)+IC*t5ca+(!=F?_wy|yI58Wd@D|c6&)4^Rvwj6AWs>*ZX?sKd25l|(aKD{hLZC$-H${% zXhKcjbKM#{uG_UF7_s6y%eY>)UF^2aP#{p~jc{3c$!xc4<*kd|rt=Qz3!-=oS3Gtp=9Bq|!-hW-4zDJ(4nNbF%*T5C zgmK}bY68p+;d}%YIGm4gro*cVjZ+bm`IwHMFqQ?Y0aO~xf$1y=rQfVr@i7TMMMhwg z4(DTw3cql6HG#c4oR4pG_|$3w`KpeS`6$2-MV(zupqCEkgJKYtaiM(Sa<_>&L4JO@ zn~v)wqr@>niDQEj#{@pM;m5L$s3xT4G$C3}Bb1yfl$<8;p~Rz75Zb3B_@J;fD?+-h zMs!LC92UrkYC@avLobqlzQRpMAs@QJ&8{4ukK9M$hhE7>e_w-f9$SP`;_Fn(@qFBi zpD-58t|qrKC9?69s_qGX&+hwYNK5gW7#4%e#xH3U)h{OQsssu13da#PRAv+TV0~2A zU?JGnrZJHZ)+U^U@CqHp2aBbW5YUC17#;AY&P(%2%&++f+{62u@q@6UN*{)db$c52k~UMqnk3rHiTwOu`R^W_IT( zHWT?+ufQpMysty~U~Nrf3iBC@pW;D)HAHx%qLmX5tLY9!GmMX&_^Gr6_NnlRe6W?k zhL7d=DG~xJRQNDHs>0#d16ZxXC-TAJfuwxw!LL%KCB$)n2tK+ga3UXC>{Ft}-dD*X zEOw+hTVcKD4;TMZ|T6FRnJmxQM*Y2+IpRBhos9(qdmVjZyNBRqp%~Cqy_+ z2#*?!2By{mp0EiZWromC@t`mOvi`c76Xf`--1-Zm( zTw|HB*vM5nZ5wdfG-k6#@h{_p*#)69%&Xl-Ihva4hIxfkhlL4T9)Go)(Qvh&>F`u9 zC({^C!EBRruf}Ym2p~~3$|gK)mEDwxT&A#sUQdW>*{HS*=Lrts2J8k-Ws2!gKE~qb z8Vd{#6~e4K$-7SY)<7QMUqD-Y$1E{B$DziEc2rKRf zgiGNEgv+uA5;%Y#s?A3LISFI_BH!w^L*NhZzXrY3jf{1`b*rhWEY}5PdB2x= z*Sclh*ZTy+Mj>>%A{fTUZ2T-^Zjetc;$gc1evcnUK1DoEK6tG=)lnT%=3eLa88vwy z6vBS|gfY*qCU8(AL1oEGkqFAlt|l-_Bf)9{WCyNnspuhz zZj(_HBALw9nHZ=9R8w>&OLZn?DwCzbY63cwp^;3M>P*g4nJkrqrJGi{G`0E(;Orr2 z(r`0yFtOb+Si?aaRG-!kBEy|fgir`<(nw-8fi3u92Ep>ulp{rIHG%gva-QE)B88g}DcnVI)hygvRk({1 ztJjwMr5hS4-Gp%{3(Eu{M;8tfj}-2rR8_c*D&LFJs|o1B4Q1hUc`lMWu6JitPCfu; zhAyF9O(0z(!D<58;TQt#!ZFkq2k}E~Iet>{Lv7=#32?RoB(XX?Z2=L-6lW_+Bn0|u zZ>;%TJ=(d^ukYZSq^|CwOB?`tgTcO^6BmFzykZhLwW5-Qij$_ zt^#H0<8F_FqQg?;A%vl|Qm8FE7hg-i5sa0`EY#iDT-a;TLOk=u=mz#IE z&8@PB>43}61)x7Yen;S6K%P*gEv+$_* zJQ`ztP091_FQHVbNzSGCL7zo9m`hj|>~qs=dj8G*F0qmNSh4ZdGu)uM9yBGOf$K-% zHK5zr!hBA?TQ5+*#!L)kndVU0Bq>lGT!}-(m_Js@%}IfNaU+Ky^*H=YW28(>4m4;z z68F0pBk@BB_!$L?e&i55rfM(|?=%(Q$0Wm&1J8FIx(T(~f}d^7{WVZcXcvCaibW{b z8TbKYb+&2n3GjJE@fs>$NC~u-ZfYPWpd&}r^hyonnr2^lM*Tp(xlvZt50nQtDeCcC z6jQT^K^Z)*^^EP*ey<25dnDF$Vd*34iw{y?=o!>p@x63)Odj<@equ3^xgq(_1s|geJ3{sUgLDrnN zEBtvc)%Hf`5VGYWDlTSB7g1@lY&MC?d;LkX?`RGvGbbXNzxg1HIE0Ou7oLI;OhF@L zQ_`AHCSB4g3Cb9mX$F4c+co59>XelFW0t42X+$BBNS!6{hiRuZ;mTUMFbAP4fgM3h zS3F-s>q}EOM0ZQZkLcwt@D*T(mpql4WRu*CIF?$-0SVI+(03|r&*$_IhMmJ=9+)qgrKZ`qBOU-JZ@t~IQ=Qp86yx8Y5 zLunP1L0005<%Y1z?#+l1gs}RSxUZXLyG%mPD&^k?sd~FaX&xk?>^Y~DXBP2s2}1e^ zmLa?}AXj*YkA_u^XMHqPJb}S=D%ya(WxzhccMs8tqHq>&=SwPqH_ zXk&xyA|gp5UAZ XOd+2fr^o diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map index 1e69961..c2d815c 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map @@ -1,231 +1,231 @@ Archive member included to satisfy reference by file (symbol) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) obj/default/I2C_core.o (__divsi3) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) obj/default/main.o (getc) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) obj/default/main.o (_impure_ptr) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) obj/default/queue.o (malloc) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) (_malloc_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) (_malloc_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) obj/default/queue.o (memset) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) obj/default/auto_focus.o (printf) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) obj/default/main.o (putchar) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) obj/default/I2C_core.o (puts) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) (__srget_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) (_sbrk_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) (strlen) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) (___vfprintf_internal_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__swsetup_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (_dtoa_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (_fflush_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) (__sinit) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) (_free_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) (__sfvwrite_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) (_fwalk) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) (errno) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (_localeconv_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) (__smakebuf_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (memchr) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (memcpy) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) (memmove) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (_Balloc) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) (_putc_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) (_realloc_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) (__srefill_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__fpclassifyd) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) (__sread) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) (strcmp) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__sprint_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) (__swbuf_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_write_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_close_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) (_calloc_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) (_fclose_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) (_fputwc_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) (_fstat_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) (_isatty_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_lseek_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_read_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) (_wcrtomb_r) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) (__wctomb) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__udivdi3) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__umoddi3) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__adddf3) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__divdf3) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__eqdf2) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__gedf2) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__ltdf2) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__muldf3) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__subdf3) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__fixdfsi) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__floatsidf) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__floatunsidf) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) (__clz_tab) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) (__clzsi2) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) (close) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) (alt_fd_list) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) (alt_errno) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) (__srget_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) (_sbrk_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) (strlen) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) (___vfprintf_internal_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__swsetup_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (_dtoa_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (_fflush_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) (__sinit) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) (_free_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) (__sfvwrite_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) (_fwalk) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) (errno) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (_localeconv_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) (__smakebuf_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (memchr) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (memcpy) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) (memmove) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (_Balloc) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) (_putc_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) (_realloc_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) (__srefill_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__fpclassifyd) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) (__sread) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) (strcmp) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__sprint_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) (__swbuf_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_write_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_close_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) (_calloc_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) (_fclose_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) (_fputwc_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) (_fstat_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) (_isatty_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_lseek_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_read_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) (_wcrtomb_r) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) (__wctomb) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__udivdi3) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__umoddi3) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__adddf3) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__divdf3) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__eqdf2) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__gedf2) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__ltdf2) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__muldf3) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__subdf3) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__fixdfsi) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__floatsidf) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__floatunsidf) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) (__clz_tab) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) (__clzsi2) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) (close) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) (alt_fd_list) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) (alt_errno) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) obj/default/main.o (fcntl) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) (fstat) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) (isatty) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) (fstat) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) (isatty) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o (alt_load) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) (lseek) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) (lseek) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o (alt_main) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) (__malloc_lock) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) (read) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) (alt_release_fd) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) (sbrk) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) (__malloc_lock) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) (read) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) (alt_release_fd) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) (sbrk) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) obj/default/auto_focus.o (_alt_tick_rate) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) obj/default/I2C_core.o (usleep) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) (write) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (alt_irq_init) -../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) -../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) -../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) -../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) -../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) -../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) (alt_busy_sleep) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) (alt_dcache_flush_all) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (_do_ctors) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (_do_dtors) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) (alt_icache_flush_all) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (alt_io_redirect) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) (alt_irq_active) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) (open) -../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) (alt_exception) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) (alt_find_dev) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) (alt_find_file) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) (alt_get_fd) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) (alt_icache_flush) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (atexit) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (exit) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) (memcmp) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) (__register_exitproc) -c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) (__call_exitprocs) -../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) - c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) (_exit) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) (write) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (alt_irq_init) +../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) +../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) +../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) +../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) +../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) +../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) (alt_busy_sleep) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) (alt_dcache_flush_all) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (_do_ctors) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (_do_dtors) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) (alt_icache_flush_all) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (alt_io_redirect) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) (alt_irq_active) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) (open) +../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) (alt_exception) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) (alt_find_dev) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) (alt_find_file) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) (alt_get_fd) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) (alt_icache_flush) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (atexit) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (exit) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) (memcmp) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) (__register_exitproc) +/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) (__call_exitprocs) +../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) (_exit) Allocating common symbols Common symbol size file -alt_irq 0x100 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) -errno 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) +alt_irq 0x100 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) +errno 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) Memory Configuration @@ -243,16 +243,16 @@ LOAD obj/default/main.o LOAD obj/default/mipi_bridge_config.o LOAD obj/default/mipi_camera_config.o LOAD obj/default/queue.o -LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libstdc++.a -LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libm.a -LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a +LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libstdc++.a +LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libm.a +LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a START GROUP -LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a -LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a -LOAD ../D8M_Camera_Test_bsp/\libhal_bsp.a -LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libm.a +LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a +LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a +LOAD ../D8M_Camera_Test_bsp//libhal_bsp.a +LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libm.a END GROUP -LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a +LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a 0x0000000000020000 __alt_mem_onchip_memory2_0 = 0x20000 .entry 0x0000000000020000 0x20 @@ -266,54 +266,54 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio *(.irq) *(.exceptions.entry.label) .exceptions.entry.label - 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) 0x0000000000020020 alt_irq_entry .exceptions.entry.label - 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) 0x0000000000020020 alt_exception *(.exceptions.entry.user) *(.exceptions.entry.ecc_fatal) *(.exceptions.entry) .exceptions.entry - 0x0000000000020020 0x54 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000020020 0x54 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.irqtest.user) *(.exceptions.irqtest) .exceptions.irqtest - 0x0000000000020074 0x10 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020074 0x10 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.irqhandler.user) *(.exceptions.irqhandler) .exceptions.irqhandler - 0x0000000000020084 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020084 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.irqreturn.user) *(.exceptions.irqreturn) .exceptions.irqreturn - 0x0000000000020088 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020088 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.notirq.label) .exceptions.notirq.label - 0x000000000002008c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x000000000002008c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.notirq.user) *(.exceptions.notirq) .exceptions.notirq - 0x000000000002008c 0x8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x000000000002008c 0x8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.soft.user) *(.exceptions.soft) *(.exceptions.unknown.user) *(.exceptions.unknown) .exceptions.unknown - 0x0000000000020094 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000020094 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.exit.label) .exceptions.exit.label - 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) .exceptions.exit.label - 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.exit.user) *(.exceptions.exit) .exceptions.exit - 0x00000000000200a8 0x54 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x00000000000200a8 0x54 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions) - .exceptions 0x00000000000200fc 0xd4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .exceptions 0x00000000000200fc 0xd4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) 0x00000000000200fc alt_irq_handler - .exceptions 0x00000000000201d0 0x74 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .exceptions 0x00000000000201d0 0x74 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) 0x00000000000201d0 alt_instruction_exception_entry 0x0000000000020244 PROVIDE (__ram_exceptions_end, ABSOLUTE (.)) 0x0000000000020020 PROVIDE (__flash_exceptions_start, LOADADDR (.exceptions)) @@ -413,475 +413,475 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio 0x00000000000227d0 QUEUE_Push 0x0000000000022864 QUEUE_Pop 0x00000000000228f4 QUEUE_Empty - .text 0x0000000000022928 0x1b4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .text 0x0000000000022928 0x1b4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) 0x0000000000022928 __divsi3 0x00000000000229ac __modsi3 0x0000000000022a20 __udivsi3 0x0000000000022a84 __umodsi3 - .text 0x0000000000022adc 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .text._getc_r 0x0000000000022adc 0x70 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) + .text 0x0000000000022adc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .text._getc_r 0x0000000000022adc 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) 0x0000000000022adc _getc_r - .text.getc 0x0000000000022b4c 0x88 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) + .text.getc 0x0000000000022b4c 0x88 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) 0x0000000000022b4c getc - .text 0x0000000000022bd4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - .text 0x0000000000022bd4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .text.malloc 0x0000000000022bd4 0x14 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .text 0x0000000000022bd4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + .text 0x0000000000022bd4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .text.malloc 0x0000000000022bd4 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) 0x0000000000022bd4 malloc - .text.free 0x0000000000022be8 0x14 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .text.free 0x0000000000022be8 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) 0x0000000000022be8 free - .text 0x0000000000022bfc 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .text 0x0000000000022bfc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) .text._malloc_r - 0x0000000000022bfc 0x80c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000022bfc 0x80c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x0000000000022bfc _malloc_r - .text 0x0000000000023408 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .text.memset 0x0000000000023408 0x128 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .text 0x0000000000023408 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .text.memset 0x0000000000023408 0x128 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) 0x0000000000023408 memset - .text 0x0000000000023530 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .text 0x0000000000023530 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) .text._printf_r - 0x0000000000023530 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + 0x0000000000023530 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) 0x0000000000023530 _printf_r - .text.printf 0x0000000000023560 0x3c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .text.printf 0x0000000000023560 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) 0x0000000000023560 printf - .text 0x000000000002359c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .text 0x000000000002359c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) .text._putchar_r - 0x000000000002359c 0x8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + 0x000000000002359c 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) 0x000000000002359c _putchar_r - .text.putchar 0x00000000000235a4 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .text.putchar 0x00000000000235a4 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) 0x00000000000235a4 putchar - .text 0x00000000000235bc 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .text._puts_r 0x00000000000235bc 0xc0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .text 0x00000000000235bc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .text._puts_r 0x00000000000235bc 0xc0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) 0x00000000000235bc _puts_r - .text.puts 0x000000000002367c 0x14 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .text.puts 0x000000000002367c 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) 0x000000000002367c puts - .text 0x0000000000023690 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) + .text 0x0000000000023690 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) .text.__srget_r - 0x0000000000023690 0x74 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) + 0x0000000000023690 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) 0x0000000000023690 __srget_r - .text.__srget 0x0000000000023704 0x14 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) + .text.__srget 0x0000000000023704 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) 0x0000000000023704 __srget - .text 0x0000000000023718 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .text._sbrk_r 0x0000000000023718 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .text 0x0000000000023718 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .text._sbrk_r 0x0000000000023718 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) 0x0000000000023718 _sbrk_r - .text 0x000000000002376c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .text.strlen 0x000000000002376c 0x98 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .text 0x000000000002376c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .text.strlen 0x000000000002376c 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) 0x000000000002376c strlen - .text 0x0000000000023804 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .text 0x0000000000023804 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) .text.___vfprintf_internal_r - 0x0000000000023804 0x21f8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x0000000000023804 0x21f8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) 0x0000000000023804 ___vfprintf_internal_r .text.__vfprintf_internal - 0x00000000000259fc 0x1c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x00000000000259fc 0x1c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) 0x00000000000259fc __vfprintf_internal .text.__sbprintf - 0x0000000000025a18 0xbc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .text 0x0000000000025ad4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + 0x0000000000025a18 0xbc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .text 0x0000000000025ad4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) .text.__swsetup_r - 0x0000000000025ad4 0x154 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + 0x0000000000025ad4 0x154 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) 0x0000000000025ad4 __swsetup_r - .text 0x0000000000025c28 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .text.quorem 0x0000000000025c28 0x200 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .text._dtoa_r 0x0000000000025e28 0x1688 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .text 0x0000000000025c28 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .text.quorem 0x0000000000025c28 0x200 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .text._dtoa_r 0x0000000000025e28 0x1688 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) 0x0000000000025e28 _dtoa_r - .text 0x00000000000274b0 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .text 0x00000000000274b0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) .text.__sflush_r - 0x00000000000274b0 0x21c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + 0x00000000000274b0 0x21c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) 0x00000000000274b0 __sflush_r .text._fflush_r - 0x00000000000276cc 0x5c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + 0x00000000000276cc 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) 0x00000000000276cc _fflush_r - .text.fflush 0x0000000000027728 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .text.fflush 0x0000000000027728 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) 0x0000000000027728 fflush - .text 0x0000000000027758 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .text 0x0000000000027758 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) .text.__fp_unlock - 0x0000000000027758 0x8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027758 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) .text._cleanup_r - 0x0000000000027760 0xc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027760 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027760 _cleanup_r .text.__sinit.part.1 - 0x000000000002776c 0x19c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x000000000002776c 0x19c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) .text.__fp_lock - 0x0000000000027908 0x8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027908 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) .text.__sfmoreglue - 0x0000000000027910 0x68 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027910 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027910 __sfmoreglue - .text.__sfp 0x0000000000027978 0x118 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .text.__sfp 0x0000000000027978 0x118 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027978 __sfp .text._cleanup - 0x0000000000027a90 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027a90 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027a90 _cleanup - .text.__sinit 0x0000000000027aa8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .text.__sinit 0x0000000000027aa8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027aa8 __sinit .text.__sfp_lock_acquire - 0x0000000000027ab8 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027ab8 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027ab8 __sfp_lock_acquire .text.__sfp_lock_release - 0x0000000000027abc 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027abc 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027abc __sfp_lock_release .text.__sinit_lock_acquire - 0x0000000000027ac0 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027ac0 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027ac0 __sinit_lock_acquire .text.__sinit_lock_release - 0x0000000000027ac4 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027ac4 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027ac4 __sinit_lock_release .text.__fp_lock_all - 0x0000000000027ac8 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027ac8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027ac8 __fp_lock_all .text.__fp_unlock_all - 0x0000000000027ae0 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027ae0 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) 0x0000000000027ae0 __fp_unlock_all - .text 0x0000000000027af8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .text 0x0000000000027af8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) .text._malloc_trim_r - 0x0000000000027af8 0x124 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + 0x0000000000027af8 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) 0x0000000000027af8 _malloc_trim_r - .text._free_r 0x0000000000027c1c 0x310 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .text._free_r 0x0000000000027c1c 0x310 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) 0x0000000000027c1c _free_r - .text 0x0000000000027f2c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .text 0x0000000000027f2c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) .text.__sfvwrite_r - 0x0000000000027f2c 0x4bc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + 0x0000000000027f2c 0x4bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) 0x0000000000027f2c __sfvwrite_r - .text 0x00000000000283e8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .text._fwalk 0x00000000000283e8 0xc4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .text 0x00000000000283e8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .text._fwalk 0x00000000000283e8 0xc4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) 0x00000000000283e8 _fwalk .text._fwalk_reent - 0x00000000000284ac 0xc4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + 0x00000000000284ac 0xc4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) 0x00000000000284ac _fwalk_reent - .text 0x0000000000028570 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - .text 0x0000000000028570 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .text 0x0000000000028570 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + .text 0x0000000000028570 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) .text._setlocale_r - 0x0000000000028570 0x7c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028570 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000028570 _setlocale_r .text.__locale_charset - 0x00000000000285ec 0xc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x00000000000285ec 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x00000000000285ec __locale_charset .text.__locale_mb_cur_max - 0x00000000000285f8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x00000000000285f8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x00000000000285f8 __locale_mb_cur_max .text.__locale_msgcharset - 0x0000000000028608 0xc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028608 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000028608 __locale_msgcharset .text.__locale_cjk_lang - 0x0000000000028614 0x8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028614 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000028614 __locale_cjk_lang .text._localeconv_r - 0x000000000002861c 0xc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x000000000002861c 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x000000000002861c _localeconv_r .text.setlocale - 0x0000000000028628 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028628 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000028628 setlocale .text.localeconv - 0x0000000000028640 0xc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028640 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000028640 localeconv - .text 0x000000000002864c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .text 0x000000000002864c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) .text.__smakebuf_r - 0x000000000002864c 0x1bc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + 0x000000000002864c 0x1bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) 0x000000000002864c __smakebuf_r - .text 0x0000000000028808 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .text.memchr 0x0000000000028808 0xe4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .text 0x0000000000028808 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .text.memchr 0x0000000000028808 0xe4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) 0x0000000000028808 memchr - .text 0x00000000000288ec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .text.memcpy 0x00000000000288ec 0x148 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .text 0x00000000000288ec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .text.memcpy 0x00000000000288ec 0x148 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) 0x00000000000288ec memcpy - .text 0x0000000000028a34 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .text.memmove 0x0000000000028a34 0x15c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .text 0x0000000000028a34 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .text.memmove 0x0000000000028a34 0x15c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) 0x0000000000028a34 memmove - .text 0x0000000000028b90 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .text._Balloc 0x0000000000028b90 0xa8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text 0x0000000000028b90 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .text._Balloc 0x0000000000028b90 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028b90 _Balloc - .text._Bfree 0x0000000000028c38 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text._Bfree 0x0000000000028c38 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028c38 _Bfree .text.__multadd - 0x0000000000028c60 0x120 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028c60 0x120 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028c60 __multadd - .text.__s2b 0x0000000000028d80 0x104 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__s2b 0x0000000000028d80 0x104 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028d80 __s2b .text.__hi0bits - 0x0000000000028e84 0x68 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028e84 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028e84 __hi0bits .text.__lo0bits - 0x0000000000028eec 0xb0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028eec 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028eec __lo0bits - .text.__i2b 0x0000000000028f9c 0x3c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__i2b 0x0000000000028f9c 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028f9c __i2b .text.__multiply - 0x0000000000028fd8 0x1f8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028fd8 0x1f8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000028fd8 __multiply .text.__pow5mult - 0x00000000000291d0 0x140 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000291d0 0x140 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x00000000000291d0 __pow5mult .text.__lshift - 0x0000000000029310 0x148 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000029310 0x148 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029310 __lshift - .text.__mcmp 0x0000000000029458 0x60 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__mcmp 0x0000000000029458 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029458 __mcmp - .text.__mdiff 0x00000000000294b8 0x1fc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__mdiff 0x00000000000294b8 0x1fc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x00000000000294b8 __mdiff - .text.__ulp 0x00000000000296b4 0x64 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__ulp 0x00000000000296b4 0x64 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x00000000000296b4 __ulp - .text.__b2d 0x0000000000029718 0x10c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__b2d 0x0000000000029718 0x10c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029718 __b2d - .text.__d2b 0x0000000000029824 0x14c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__d2b 0x0000000000029824 0x14c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029824 __d2b - .text.__ratio 0x0000000000029970 0xa4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text.__ratio 0x0000000000029970 0xa4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029970 __ratio .text._mprec_log10 - 0x0000000000029a14 0x74 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000029a14 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029a14 _mprec_log10 .text.__copybits - 0x0000000000029a88 0x78 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000029a88 0x78 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029a88 __copybits .text.__any_on - 0x0000000000029b00 0x8c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000029b00 0x8c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000029b00 __any_on - .text 0x0000000000029b8c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .text._putc_r 0x0000000000029b8c 0xa0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .text 0x0000000000029b8c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .text._putc_r 0x0000000000029b8c 0xa0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) 0x0000000000029b8c _putc_r - .text.putc 0x0000000000029c2c 0xc8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .text.putc 0x0000000000029c2c 0xc8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) 0x0000000000029c2c putc - .text 0x0000000000029cf4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .text 0x0000000000029cf4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) .text._realloc_r - 0x0000000000029cf4 0x564 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + 0x0000000000029cf4 0x564 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) 0x0000000000029cf4 _realloc_r - .text 0x000000000002a258 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .text.lflush 0x000000000002a258 0x1c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) + .text 0x000000000002a258 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .text.lflush 0x000000000002a258 0x1c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) .text.__srefill_r - 0x000000000002a274 0x1d4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) + 0x000000000002a274 0x1d4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) 0x000000000002a274 __srefill_r - .text 0x000000000002a448 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .text 0x000000000002a448 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) .text.__fpclassifyd - 0x000000000002a448 0x74 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + 0x000000000002a448 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) 0x000000000002a448 __fpclassifyd - .text 0x000000000002a4bc 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .text.__sread 0x000000000002a4bc 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .text 0x000000000002a4bc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .text.__sread 0x000000000002a4bc 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) 0x000000000002a4bc __sread .text.__seofread - 0x000000000002a510 0x8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x000000000002a510 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) 0x000000000002a510 __seofread .text.__swrite - 0x000000000002a518 0x7c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x000000000002a518 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) 0x000000000002a518 __swrite - .text.__sseek 0x000000000002a594 0x5c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .text.__sseek 0x000000000002a594 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) 0x000000000002a594 __sseek .text.__sclose - 0x000000000002a5f0 0x8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x000000000002a5f0 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) 0x000000000002a5f0 __sclose - .text 0x000000000002a5f8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .text.strcmp 0x000000000002a5f8 0xdc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .text 0x000000000002a5f8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .text.strcmp 0x000000000002a5f8 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) 0x000000000002a5f8 strcmp - .text 0x000000000002a6d4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .text 0x000000000002a6d4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) .text.__sprint_r.part.0 - 0x000000000002a6d4 0xfc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x000000000002a6d4 0xfc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) .text.__sprint_r - 0x000000000002a7d0 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x000000000002a7d0 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) 0x000000000002a7d0 __sprint_r .text.___vfiprintf_internal_r - 0x000000000002a7e8 0x145c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x000000000002a7e8 0x145c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) 0x000000000002a7e8 ___vfiprintf_internal_r .text.__vfiprintf_internal - 0x000000000002bc44 0x1c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x000000000002bc44 0x1c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) 0x000000000002bc44 __vfiprintf_internal .text.__sbprintf - 0x000000000002bc60 0xbc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .text 0x000000000002bd1c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + 0x000000000002bc60 0xbc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .text 0x000000000002bd1c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) .text.__swbuf_r - 0x000000000002bd1c 0x140 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + 0x000000000002bd1c 0x140 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) 0x000000000002bd1c __swbuf_r - .text.__swbuf 0x000000000002be5c 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .text.__swbuf 0x000000000002be5c 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) 0x000000000002be5c __swbuf - .text 0x000000000002be74 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .text 0x000000000002be74 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) .text._write_r - 0x000000000002be74 0x60 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + 0x000000000002be74 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) 0x000000000002be74 _write_r - .text 0x000000000002bed4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .text 0x000000000002bed4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) .text._close_r - 0x000000000002bed4 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + 0x000000000002bed4 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) 0x000000000002bed4 _close_r - .text 0x000000000002bf28 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .text 0x000000000002bf28 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) .text._calloc_r - 0x000000000002bf28 0xc4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + 0x000000000002bf28 0xc4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) 0x000000000002bf28 _calloc_r - .text 0x000000000002bfec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .text 0x000000000002bfec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) .text._fclose_r - 0x000000000002bfec 0xf0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + 0x000000000002bfec 0xf0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) 0x000000000002bfec _fclose_r - .text.fclose 0x000000000002c0dc 0x14 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .text.fclose 0x000000000002c0dc 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) 0x000000000002c0dc fclose - .text 0x000000000002c0f0 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .text 0x000000000002c0f0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) .text.__fputwc - 0x000000000002c0f0 0x164 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + 0x000000000002c0f0 0x164 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) 0x000000000002c0f0 __fputwc .text._fputwc_r - 0x000000000002c254 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + 0x000000000002c254 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) 0x000000000002c254 _fputwc_r - .text.fputwc 0x000000000002c278 0x80 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .text.fputwc 0x000000000002c278 0x80 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) 0x000000000002c278 fputwc - .text 0x000000000002c2f8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .text 0x000000000002c2f8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) .text._fstat_r - 0x000000000002c2f8 0x5c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + 0x000000000002c2f8 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) 0x000000000002c2f8 _fstat_r - .text 0x000000000002c354 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .text 0x000000000002c354 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) .text._isatty_r - 0x000000000002c354 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + 0x000000000002c354 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) 0x000000000002c354 _isatty_r - .text 0x000000000002c3a8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .text 0x000000000002c3a8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) .text._lseek_r - 0x000000000002c3a8 0x60 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + 0x000000000002c3a8 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) 0x000000000002c3a8 _lseek_r - .text 0x000000000002c408 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .text._read_r 0x000000000002c408 0x60 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .text 0x000000000002c408 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .text._read_r 0x000000000002c408 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) 0x000000000002c408 _read_r - .text 0x000000000002c468 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .text 0x000000000002c468 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) .text._wcrtomb_r - 0x000000000002c468 0x9c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + 0x000000000002c468 0x9c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) 0x000000000002c468 _wcrtomb_r - .text.wcrtomb 0x000000000002c504 0xc0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .text.wcrtomb 0x000000000002c504 0xc0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) 0x000000000002c504 wcrtomb - .text 0x000000000002c5c4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .text 0x000000000002c5c4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) .text.__ascii_wctomb - 0x000000000002c5c4 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x000000000002c5c4 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) 0x000000000002c5c4 __ascii_wctomb .text._wctomb_r - 0x000000000002c5f4 0x5c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x000000000002c5f4 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) 0x000000000002c5f4 _wctomb_r - .text 0x000000000002c650 0x578 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .text 0x000000000002c650 0x578 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) 0x000000000002c650 __udivdi3 - .text 0x000000000002cbc8 0x538 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .text 0x000000000002cbc8 0x538 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) 0x000000000002cbc8 __umoddi3 - .text 0x000000000002d100 0x8ac c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .text 0x000000000002d100 0x8ac /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) 0x000000000002d100 __adddf3 - .text 0x000000000002d9ac 0x8e8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .text 0x000000000002d9ac 0x8e8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) 0x000000000002d9ac __divdf3 - .text 0x000000000002e294 0x88 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - 0x000000000002e294 __nedf2 + .text 0x000000000002e294 0x88 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) 0x000000000002e294 __eqdf2 - .text 0x000000000002e31c 0xdc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - 0x000000000002e31c __gedf2 + 0x000000000002e294 __nedf2 + .text 0x000000000002e31c 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) 0x000000000002e31c __gtdf2 - .text 0x000000000002e3f8 0xf4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - 0x000000000002e3f8 __ledf2 + 0x000000000002e31c __gedf2 + .text 0x000000000002e3f8 0xf4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) 0x000000000002e3f8 __ltdf2 - .text 0x000000000002e4ec 0x718 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + 0x000000000002e3f8 __ledf2 + .text 0x000000000002e4ec 0x718 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) 0x000000000002e4ec __muldf3 - .text 0x000000000002ec04 0x8fc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .text 0x000000000002ec04 0x8fc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) 0x000000000002ec04 __subdf3 - .text 0x000000000002f500 0x80 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .text 0x000000000002f500 0x80 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) 0x000000000002f500 __fixdfsi - .text 0x000000000002f580 0xdc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .text 0x000000000002f580 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) 0x000000000002f580 __floatsidf - .text 0x000000000002f65c 0xa8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .text 0x000000000002f65c 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) 0x000000000002f65c __floatunsidf - .text 0x000000000002f704 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .text 0x000000000002f704 0x64 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .text 0x000000000002f704 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .text 0x000000000002f704 0x64 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) 0x000000000002f704 __clzsi2 - .text 0x000000000002f768 0x120 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .text 0x000000000002f768 0x120 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) 0x000000000002f7b8 close - .text 0x000000000002f888 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - .text 0x000000000002f8b4 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - .text 0x000000000002f8b4 0x170 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) + .text 0x000000000002f888 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + .text 0x000000000002f8b4 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + .text 0x000000000002f8b4 0x170 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) 0x000000000002f904 fcntl - .text 0x000000000002fa24 0x100 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .text 0x000000000002fa24 0x100 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) 0x000000000002fa74 fstat - .text 0x000000000002fb24 0xf4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .text 0x000000000002fb24 0xf4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) 0x000000000002fb74 isatty - .text 0x000000000002fc18 0xec ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .text 0x000000000002fc18 0xec ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) 0x000000000002fc80 alt_load - .text 0x000000000002fd04 0x124 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .text 0x000000000002fd04 0x124 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) 0x000000000002fd54 lseek - .text 0x000000000002fe28 0x7c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .text 0x000000000002fe28 0x7c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) 0x000000000002fe28 alt_main - .text 0x000000000002fea4 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .text 0x000000000002fea4 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) 0x000000000002fea4 __malloc_lock 0x000000000002fec8 __malloc_unlock - .text 0x000000000002feec 0x14c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .text 0x000000000002feec 0x14c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) 0x000000000002ff3c read - .text 0x0000000000030038 0x64 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .text 0x0000000000030038 0x64 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) 0x0000000000030038 alt_release_fd - .text 0x000000000003009c 0xb0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .text 0x000000000003009c 0xb0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) 0x000000000003009c sbrk - .text 0x000000000003014c 0x1a4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .text 0x000000000003014c 0x1a4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) 0x000000000003014c alt_alarm_stop 0x00000000000301e8 alt_tick - .text 0x00000000000302f0 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .text 0x00000000000302f0 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) 0x00000000000302f0 usleep - .text 0x0000000000030320 0x148 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .text 0x0000000000030320 0x148 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) 0x0000000000030370 write - .text 0x0000000000030468 0xd4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .text 0x0000000000030468 0xd4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) 0x00000000000304a0 alt_irq_init 0x00000000000304d8 alt_sys_init - .text 0x000000000003053c 0x164 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .text 0x000000000003053c 0x164 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) 0x000000000003053c altera_avalon_jtag_uart_read_fd 0x000000000003059c altera_avalon_jtag_uart_write_fd 0x00000000000305fc altera_avalon_jtag_uart_close_fd 0x000000000003064c altera_avalon_jtag_uart_ioctl_fd - .text 0x00000000000306a0 0x3e4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .text 0x00000000000306a0 0x3e4 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) 0x00000000000306a0 altera_avalon_jtag_uart_init 0x0000000000030a1c altera_avalon_jtag_uart_close - .text 0x0000000000030a84 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .text 0x0000000000030a84 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) 0x0000000000030a84 altera_avalon_jtag_uart_ioctl - .text 0x0000000000030b74 0x21c ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .text 0x0000000000030b74 0x21c ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) 0x0000000000030b74 altera_avalon_jtag_uart_read - .text 0x0000000000030d90 0x224 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .text 0x0000000000030d90 0x224 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) 0x0000000000030d90 altera_avalon_jtag_uart_write - .text 0x0000000000030fb4 0x104 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .text 0x0000000000030fb4 0x104 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) 0x000000000003102c alt_avalon_timer_sc_init - .text 0x00000000000310b8 0x140 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .text 0x00000000000310b8 0x140 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) 0x00000000000310b8 alt_alarm_start - .text 0x00000000000311f8 0x158 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .text 0x00000000000311f8 0x158 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) 0x00000000000311f8 alt_busy_sleep - .text 0x0000000000031350 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .text 0x0000000000031350 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) 0x0000000000031350 alt_dcache_flush_all - .text 0x0000000000031398 0xf4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .text 0x0000000000031398 0xf4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) 0x00000000000313e8 alt_dev_llist_insert - .text 0x000000000003148c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .text 0x000000000003148c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) 0x000000000003148c _do_ctors - .text 0x00000000000314ec 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .text 0x00000000000314ec 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) 0x00000000000314ec _do_dtors - .text 0x000000000003154c 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .text 0x000000000003154c 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) 0x000000000003154c alt_icache_flush_all - .text 0x0000000000031580 0x1e0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .text 0x0000000000031580 0x1e0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) 0x0000000000031580 alt_ic_isr_register 0x00000000000315d0 alt_ic_irq_enable 0x0000000000031670 alt_ic_irq_disable 0x0000000000031714 alt_ic_irq_enabled - .text 0x0000000000031760 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .text 0x0000000000031760 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) 0x0000000000031760 alt_iic_isr_register - .text 0x0000000000031850 0x140 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .text 0x0000000000031850 0x140 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) 0x0000000000031914 alt_io_redirect - .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - .text 0x0000000000031990 0x274 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + .text 0x0000000000031990 0x274 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) 0x0000000000031aac open - .text 0x0000000000031c04 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .text 0x0000000000031c04 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) 0x0000000000031c04 altera_nios2_gen2_irq_init - .text 0x0000000000031c28 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - .text 0x0000000000031c28 0x90 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .text 0x0000000000031c28 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + .text 0x0000000000031c28 0x90 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) 0x0000000000031c28 alt_find_dev - .text 0x0000000000031cb8 0x114 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .text 0x0000000000031cb8 0x114 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) 0x0000000000031cb8 alt_find_file - .text 0x0000000000031dcc 0xb4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .text 0x0000000000031dcc 0xb4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) 0x0000000000031dcc alt_get_fd - .text 0x0000000000031e80 0x90 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .text 0x0000000000031e80 0x90 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) 0x0000000000031e80 alt_icache_flush - .text 0x0000000000031f10 0x9c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .text 0x0000000000031f10 0x9c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) 0x0000000000031f10 alt_exception_cause_generated_bad_addr - .text 0x0000000000031fac 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .text.atexit 0x0000000000031fac 0x14 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .text 0x0000000000031fac 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .text.atexit 0x0000000000031fac 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) 0x0000000000031fac atexit - .text 0x0000000000031fc0 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .text.exit 0x0000000000031fc0 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .text 0x0000000000031fc0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .text.exit 0x0000000000031fc0 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) 0x0000000000031fc0 exit - .text 0x0000000000031ff8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .text.memcmp 0x0000000000031ff8 0x7c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .text 0x0000000000031ff8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .text.memcmp 0x0000000000031ff8 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) 0x0000000000031ff8 memcmp - .text 0x0000000000032074 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .text 0x0000000000032074 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) .text.__register_exitproc - 0x0000000000032074 0x118 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + 0x0000000000032074 0x118 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) 0x0000000000032074 __register_exitproc - .text 0x000000000003218c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .text 0x000000000003218c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) .text.__call_exitprocs - 0x000000000003218c 0x180 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + 0x000000000003218c 0x180 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) 0x000000000003218c __call_exitprocs - .text 0x000000000003230c 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .text 0x000000000003230c 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) 0x000000000003230c _exit *(.gnu.warning.*) *(.fini) @@ -927,48 +927,48 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio *fill* 0x0000000000032881 0x3 .rodata 0x0000000000032884 0x9c obj/default/mipi_camera_config.o .rodata.str1.4 - 0x0000000000032920 0x2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + 0x0000000000032920 0x2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) *fill* 0x0000000000032922 0x2 .rodata.str1.4 - 0x0000000000032924 0x2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + 0x0000000000032924 0x2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) *fill* 0x0000000000032926 0x2 .rodata.str1.4 - 0x0000000000032928 0x42 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x0000000000032928 0x42 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) .rodata.zeroes.4404 - 0x000000000003296a 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x000000000003296a 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) .rodata.blanks.4403 - 0x000000000003297a 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x000000000003297a 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) *fill* 0x000000000003298a 0x2 .rodata.str1.4 - 0x000000000003298c 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + 0x000000000003298c 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) 0x12 (size before relaxing) .rodata.str1.4 - 0x000000000003299c 0xc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x000000000003299c 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x12 (size before relaxing) .rodata.p05.2768 - 0x00000000000329a8 0xc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000329a8 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) .rodata.__mprec_tinytens - 0x00000000000329b4 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000329b4 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x00000000000329b4 __mprec_tinytens .rodata.__mprec_bigtens - 0x00000000000329dc 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000329dc 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x00000000000329dc __mprec_bigtens .rodata.__mprec_tens - 0x0000000000032a04 0xc8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000032a04 0xc8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) 0x0000000000032a04 __mprec_tens .rodata.str1.4 - 0x0000000000032acc 0x2f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x0000000000032acc 0x2f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) .rodata.zeroes.4349 - 0x0000000000032acc 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x0000000000032acc 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) .rodata.blanks.4348 - 0x0000000000032adc 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .rodata 0x0000000000032aec 0x100 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + 0x0000000000032adc 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .rodata 0x0000000000032aec 0x100 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) 0x0000000000032aec __clz_tab - .rodata 0x0000000000032bec 0xa ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .rodata 0x0000000000032bec 0xa ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) *fill* 0x0000000000032bf6 0x2 - .rodata 0x0000000000032bf8 0xf ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .rodata 0x0000000000032bf8 0xf ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) *fill* 0x0000000000032c07 0x1 - .rodata 0x0000000000032c08 0xf ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .rodata 0x0000000000032c08 0xf ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) *(.rodata1) 0x0000000000032c18 . = ALIGN (0x4) *fill* 0x0000000000032c17 0x1 @@ -989,128 +989,128 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio .data 0x0000000000032c18 0x34 obj/default/mipi_bridge_config.o .data 0x0000000000032c4c 0x774 obj/default/mipi_camera_config.o .data 0x00000000000333c0 0x0 obj/default/queue.o - .data 0x00000000000333c0 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .data 0x00000000000333c0 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .data 0x00000000000333c0 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .data 0x00000000000333c0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .data 0x00000000000333c0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .data 0x00000000000333c0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) .data.impure_data - 0x00000000000333c0 0x424 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - .data 0x00000000000337e4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .data 0x00000000000337e4 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x00000000000333c0 0x424 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + .data 0x00000000000337e4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .data 0x00000000000337e4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) .data.__malloc_av_ - 0x00000000000337e4 0x408 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x00000000000337e4 0x408 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x00000000000337e4 __malloc_av_ - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - .data 0x0000000000033bec 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) .data.lc_message_charset - 0x0000000000033bec 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000033bec 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) .data.lc_ctype_charset - 0x0000000000033c0c 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .data.lconv 0x0000000000033c2c 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .data 0x0000000000033c64 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .data 0x0000000000033c64 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - .data 0x0000000000033c64 0x1a8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + 0x0000000000033c0c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .data.lconv 0x0000000000033c2c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .data 0x0000000000033c64 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + .data 0x0000000000033c64 0x1a8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) 0x0000000000033c64 alt_dev_null 0x0000000000033c8c alt_fd_list - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - .data 0x0000000000033e0c 0x1060 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .data 0x0000000000034e6c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .data 0x0000000000034e6c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .data 0x0000000000034e6c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .data 0x0000000000034e6c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .data 0x0000000000034e6c 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + .data 0x0000000000033e0c 0x1060 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) 0x000000000003ce6c _gp = ABSOLUTE ((. + 0x8000)) [!provide] PROVIDE (gp, _gp) *(.rwdata .rwdata.*) @@ -1125,33 +1125,33 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio 0x0000000000034e76 focus_th *fill* 0x0000000000034e77 0x1 .sdata._global_impure_ptr - 0x0000000000034e78 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + 0x0000000000034e78 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) 0x0000000000034e78 _global_impure_ptr .sdata._impure_ptr - 0x0000000000034e7c 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + 0x0000000000034e7c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) 0x0000000000034e7c _impure_ptr .sdata.__malloc_sbrk_base - 0x0000000000034e80 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000034e80 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x0000000000034e80 __malloc_sbrk_base .sdata.__malloc_trim_threshold - 0x0000000000034e84 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000034e84 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x0000000000034e84 __malloc_trim_threshold .sdata.__mb_cur_max - 0x0000000000034e88 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000034e88 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000034e88 __mb_cur_max .sdata.__wctomb - 0x0000000000034e8c 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x0000000000034e8c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) 0x0000000000034e8c __wctomb - .sdata 0x0000000000034e90 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .sdata 0x0000000000034e90 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) 0x0000000000034e90 alt_fs_list 0x0000000000034e98 alt_dev_list 0x0000000000034ea0 alt_max_fd - .sdata 0x0000000000034ea4 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .sdata 0x0000000000034ea4 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) 0x0000000000034ea4 alt_errno - .sdata 0x0000000000034ea8 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .sdata 0x0000000000034eac 0x8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .sdata 0x0000000000034ea8 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .sdata 0x0000000000034eac 0x8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) 0x0000000000034eac alt_alarm_list - .sdata 0x0000000000034eb4 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .sdata 0x0000000000034eb4 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) 0x0000000000034eb4 alt_priority_mask *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) 0x0000000000034eb8 . = ALIGN (0x4) @@ -1167,35 +1167,35 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio *(.dynsbss) *(.sbss .sbss.* .gnu.linkonce.sb.*) .sbss.__malloc_max_total_mem - 0x0000000000037158 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000037158 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x0000000000037158 __malloc_max_total_mem .sbss.__malloc_max_sbrked_mem - 0x000000000003715c 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x000000000003715c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x000000000003715c __malloc_max_sbrked_mem .sbss.__malloc_top_pad - 0x0000000000037160 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000037160 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x0000000000037160 __malloc_top_pad - .sbss 0x0000000000037164 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .sbss 0x0000000000037164 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) 0x0000000000037164 errno .sbss._PathLocale - 0x0000000000037168 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000037168 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000037168 _PathLocale .sbss.__mlocale_changed - 0x000000000003716c 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x000000000003716c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x000000000003716c __mlocale_changed .sbss.__nlocale_changed - 0x0000000000037170 0x4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000037170 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) 0x0000000000037170 __nlocale_changed - .sbss 0x0000000000037174 0xc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .sbss 0x0000000000037174 0xc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) 0x0000000000037174 alt_argc 0x0000000000037178 alt_argv 0x000000000003717c alt_envp - .sbss 0x0000000000037180 0x8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .sbss 0x0000000000037180 0x8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) 0x0000000000037180 _alt_tick_rate 0x0000000000037184 _alt_nticks - .sbss 0x0000000000037188 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .sbss 0x0000000000037188 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) 0x0000000000037188 alt_irq_active - .sbss 0x000000000003718c 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .sbss 0x000000000003718c 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) 0x000000000003718c alt_instruction_exception_handler *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) *(.scommon) @@ -1210,121 +1210,121 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio .bss 0x0000000000037190 0x0 obj/default/mipi_bridge_config.o .bss 0x0000000000037190 0x0 obj/default/mipi_camera_config.o .bss 0x0000000000037190 0x0 obj/default/queue.o - .bss 0x0000000000037190 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .bss 0x0000000000037190 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .bss 0x0000000000037190 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - .bss 0x0000000000037190 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .bss 0x0000000000037190 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) .bss.__malloc_current_mallinfo - 0x0000000000037190 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000037190 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) 0x0000000000037190 __malloc_current_mallinfo - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .bss 0x00000000000371b8 0x0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) *(COMMON) - COMMON 0x00000000000371b8 0x100 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + COMMON 0x00000000000371b8 0x100 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) 0x00000000000371b8 alt_irq 0x00000000000372b8 . = ALIGN (0x4) 0x00000000000372b8 __bss_end = ABSOLUTE (.) @@ -1367,114 +1367,114 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio .comment 0x0000000000000023 0x24 obj/default/mipi_bridge_config.o .comment 0x0000000000000023 0x24 obj/default/mipi_camera_config.o .comment 0x0000000000000023 0x24 obj/default/queue.o - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .comment 0x0000000000000023 0x24 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) .debug *(.debug) @@ -1505,350 +1505,350 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio .debug_aranges 0x00000000000000c8 0x20 obj/default/queue.o .debug_aranges - 0x00000000000000e8 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + 0x00000000000000e8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) .debug_aranges - 0x0000000000000108 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) + 0x0000000000000108 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) .debug_aranges - 0x0000000000000130 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + 0x0000000000000130 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) .debug_aranges - 0x0000000000000148 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + 0x0000000000000148 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) .debug_aranges - 0x0000000000000170 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000000170 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) .debug_aranges - 0x0000000000000190 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + 0x0000000000000190 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) .debug_aranges - 0x00000000000001b0 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + 0x00000000000001b0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) .debug_aranges - 0x00000000000001d8 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + 0x00000000000001d8 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) .debug_aranges - 0x0000000000000200 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + 0x0000000000000200 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) .debug_aranges - 0x0000000000000228 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) + 0x0000000000000228 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) .debug_aranges - 0x0000000000000250 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + 0x0000000000000250 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) .debug_aranges - 0x0000000000000270 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + 0x0000000000000270 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) .debug_aranges - 0x0000000000000290 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x0000000000000290 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) .debug_aranges - 0x00000000000002c0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + 0x00000000000002c0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) .debug_aranges - 0x00000000000002e0 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + 0x00000000000002e0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) .debug_aranges - 0x0000000000000308 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + 0x0000000000000308 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) .debug_aranges - 0x0000000000000338 0x68 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000000338 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) .debug_aranges - 0x00000000000003a0 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + 0x00000000000003a0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) .debug_aranges - 0x00000000000003c8 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + 0x00000000000003c8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) .debug_aranges - 0x00000000000003e8 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + 0x00000000000003e8 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) .debug_aranges - 0x0000000000000410 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + 0x0000000000000410 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) .debug_aranges - 0x0000000000000428 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000000428 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) .debug_aranges - 0x0000000000000480 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + 0x0000000000000480 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) .debug_aranges - 0x00000000000004a0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + 0x00000000000004a0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) .debug_aranges - 0x00000000000004c0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + 0x00000000000004c0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) .debug_aranges - 0x00000000000004e0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + 0x00000000000004e0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) .debug_aranges - 0x0000000000000500 0xb0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000000500 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) .debug_aranges - 0x00000000000005b0 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + 0x00000000000005b0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) .debug_aranges - 0x00000000000005d8 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + 0x00000000000005d8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) .debug_aranges - 0x00000000000005f8 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) + 0x00000000000005f8 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) .debug_aranges - 0x0000000000000620 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + 0x0000000000000620 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) .debug_aranges - 0x0000000000000640 0x40 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x0000000000000640 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) .debug_aranges - 0x0000000000000680 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + 0x0000000000000680 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) .debug_aranges - 0x00000000000006a0 0x40 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x00000000000006a0 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) .debug_aranges - 0x00000000000006e0 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + 0x00000000000006e0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) .debug_aranges - 0x0000000000000708 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + 0x0000000000000708 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) .debug_aranges - 0x0000000000000728 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + 0x0000000000000728 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) .debug_aranges - 0x0000000000000748 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + 0x0000000000000748 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) .debug_aranges - 0x0000000000000768 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + 0x0000000000000768 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) .debug_aranges - 0x0000000000000790 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + 0x0000000000000790 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) .debug_aranges - 0x00000000000007c0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + 0x00000000000007c0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) .debug_aranges - 0x00000000000007e0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + 0x00000000000007e0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) .debug_aranges - 0x0000000000000800 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + 0x0000000000000800 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) .debug_aranges - 0x0000000000000820 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + 0x0000000000000820 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) .debug_aranges - 0x0000000000000840 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + 0x0000000000000840 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) .debug_aranges - 0x0000000000000868 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x0000000000000868 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) .debug_aranges - 0x0000000000000890 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + 0x0000000000000890 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) .debug_aranges - 0x00000000000008b0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + 0x00000000000008b0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) .debug_aranges - 0x00000000000008d0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + 0x00000000000008d0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) .debug_aranges - 0x00000000000008f0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + 0x00000000000008f0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) .debug_aranges - 0x0000000000000910 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + 0x0000000000000910 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) .debug_aranges - 0x0000000000000930 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + 0x0000000000000930 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) .debug_aranges - 0x0000000000000950 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + 0x0000000000000950 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) .debug_aranges - 0x0000000000000970 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + 0x0000000000000970 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) .debug_aranges - 0x0000000000000990 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + 0x0000000000000990 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) .debug_aranges - 0x00000000000009b0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + 0x00000000000009b0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) .debug_aranges - 0x00000000000009d0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + 0x00000000000009d0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) .debug_aranges - 0x00000000000009f0 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + 0x00000000000009f0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) .debug_aranges - 0x0000000000000a10 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + 0x0000000000000a10 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) .debug_aranges - 0x0000000000000a28 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + 0x0000000000000a28 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) .debug_aranges - 0x0000000000000a48 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + 0x0000000000000a48 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) .debug_aranges - 0x0000000000000a68 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + 0x0000000000000a68 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) .debug_aranges - 0x0000000000000a88 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + 0x0000000000000a88 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) .debug_aranges - 0x0000000000000aa0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) + 0x0000000000000aa0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) .debug_aranges - 0x0000000000000ac0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + 0x0000000000000ac0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) .debug_aranges - 0x0000000000000ae0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + 0x0000000000000ae0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) .debug_aranges - 0x0000000000000b00 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + 0x0000000000000b00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) .debug_aranges - 0x0000000000000b20 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + 0x0000000000000b20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) .debug_aranges - 0x0000000000000b40 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + 0x0000000000000b40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) .debug_aranges - 0x0000000000000b60 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x0000000000000b60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) .debug_aranges - 0x0000000000000b80 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + 0x0000000000000b80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) .debug_aranges - 0x0000000000000ba0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x0000000000000ba0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) .debug_aranges - 0x0000000000000bc0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x0000000000000bc0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) .debug_aranges - 0x0000000000000be0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + 0x0000000000000be0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) .debug_aranges - 0x0000000000000c00 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + 0x0000000000000c00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) .debug_aranges - 0x0000000000000c20 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + 0x0000000000000c20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) .debug_aranges - 0x0000000000000c40 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x0000000000000c40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) .debug_aranges - 0x0000000000000c60 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000000000000c60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) .debug_aranges - 0x0000000000000c80 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x0000000000000c80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) .debug_aranges - 0x0000000000000ca0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000000000000ca0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) .debug_aranges - 0x0000000000000cc0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x0000000000000cc0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) .debug_aranges - 0x0000000000000ce0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x0000000000000ce0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) .debug_aranges - 0x0000000000000d00 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + 0x0000000000000d00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) .debug_aranges - 0x0000000000000d20 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x0000000000000d20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) .debug_aranges - 0x0000000000000d40 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + 0x0000000000000d40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) .debug_aranges - 0x0000000000000d60 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + 0x0000000000000d60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) .debug_aranges - 0x0000000000000d80 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x0000000000000d80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) .debug_aranges - 0x0000000000000da0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0x0000000000000da0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) .debug_aranges - 0x0000000000000dc0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0x0000000000000dc0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) .debug_aranges - 0x0000000000000de0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + 0x0000000000000de0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) .debug_aranges - 0x0000000000000e00 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + 0x0000000000000e00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) .debug_aranges - 0x0000000000000e20 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x0000000000000e20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) .debug_aranges - 0x0000000000000e40 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x0000000000000e40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) .debug_aranges - 0x0000000000000e60 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000e60 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) .debug_aranges - 0x0000000000000e90 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x0000000000000e90 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) .debug_aranges - 0x0000000000000eb0 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x0000000000000eb0 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) .debug_aranges - 0x0000000000000ec8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + 0x0000000000000ec8 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) .debug_aranges - 0x0000000000000ee8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x0000000000000ee8 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) .debug_aranges - 0x0000000000000f08 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000f08 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) .debug_aranges - 0x0000000000000f40 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x0000000000000f40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) .debug_aranges - 0x0000000000000f60 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + 0x0000000000000f60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) .debug_aranges - 0x0000000000000f80 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x0000000000000f80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) .debug_aranges - 0x0000000000000fa0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + 0x0000000000000fa0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) .debug_aranges - 0x0000000000000fc0 0x28 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x0000000000000fc0 0x28 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) .debug_aranges - 0x0000000000000fe8 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + 0x0000000000000fe8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) .debug_aranges - 0x0000000000001008 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + 0x0000000000001008 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) .debug_aranges - 0x0000000000001028 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + 0x0000000000001028 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) .debug_aranges - 0x0000000000001048 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + 0x0000000000001048 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) .debug_aranges - 0x0000000000001068 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + 0x0000000000001068 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) .debug_aranges - 0x0000000000001088 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + 0x0000000000001088 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) .debug_pubnames *(.debug_pubnames) -.debug_info 0x0000000000000000 0x28ed6 +.debug_info 0x0000000000000000 0x28f70 *(.debug_info .gnu.linkonce.wi.*) - .debug_info 0x0000000000000000 0x54 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o - .debug_info 0x0000000000000054 0x68d obj/default/I2C_core.o - .debug_info 0x00000000000006e1 0x277 obj/default/auto_focus.o - .debug_info 0x0000000000000958 0xa57 obj/default/main.o - .debug_info 0x00000000000013af 0x25d obj/default/mipi_bridge_config.o - .debug_info 0x000000000000160c 0x404 obj/default/mipi_camera_config.o - .debug_info 0x0000000000001a10 0x2bb obj/default/queue.o - .debug_info 0x0000000000001ccb 0x2c6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .debug_info 0x0000000000001f91 0x9a2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .debug_info 0x0000000000002933 0x8a1 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - .debug_info 0x00000000000031d4 0x911 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .debug_info 0x0000000000003ae5 0xd57 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - .debug_info 0x000000000000483c 0x121 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .debug_info 0x000000000000495d 0x991 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .debug_info 0x00000000000052ee 0x922 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .debug_info 0x0000000000005c10 0xa38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .debug_info 0x0000000000006648 0x950 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .debug_info 0x0000000000006f98 0x900 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .debug_info 0x0000000000007898 0xe2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .debug_info 0x000000000000797a 0x16b8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .debug_info 0x0000000000009032 0x936 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .debug_info 0x0000000000009968 0x1247 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .debug_info 0x000000000000abaf 0xa93 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .debug_info 0x000000000000b642 0xd37 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .debug_info 0x000000000000c379 0xbf7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .debug_info 0x000000000000cf70 0xbb8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .debug_info 0x000000000000db28 0x9b0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .debug_info 0x000000000000e4d8 0x8a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - .debug_info 0x000000000000e562 0xc25 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .debug_info 0x000000000000f187 0xad8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .debug_info 0x000000000000fc5f 0x132 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .debug_info 0x000000000000fd91 0x144 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .debug_info 0x000000000000fed5 0x13a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .debug_info 0x000000000001000f 0x16b7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .debug_info 0x00000000000116c6 0x9b4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .debug_info 0x000000000001207a 0xc6b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .debug_info 0x0000000000012ce5 0xa08 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .debug_info 0x00000000000136ed 0xdc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .debug_info 0x00000000000137c9 0xb32 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .debug_info 0x00000000000142fb 0xe4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .debug_info 0x00000000000143df 0x1535 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .debug_info 0x0000000000015914 0x9be c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .debug_info 0x00000000000162d2 0x928 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .debug_info 0x0000000000016bfa 0x8df c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .debug_info 0x00000000000174d9 0x9d5 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .debug_info 0x0000000000017eae 0xa23 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .debug_info 0x00000000000188d1 0xad4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .debug_info 0x00000000000193a5 0xa5d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .debug_info 0x0000000000019e02 0x8df c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .debug_info 0x000000000001a6e1 0x90b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .debug_info 0x000000000001afec 0x921 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .debug_info 0x000000000001b90d 0xa82 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .debug_info 0x000000000001c38f 0x9b1 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .debug_info 0x000000000001cd40 0x70e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .debug_info 0x000000000001d44e 0x765 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .debug_info 0x000000000001dbb3 0x4a2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .debug_info 0x000000000001e055 0x5c7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .debug_info 0x000000000001e61c 0x1e2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .debug_info 0x000000000001e7fe 0x202 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .debug_info 0x000000000001ea00 0x204 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .debug_info 0x000000000001ec04 0x5f5 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .debug_info 0x000000000001f1f9 0x4a2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .debug_info 0x000000000001f69b 0x1ae c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .debug_info 0x000000000001f849 0x1bc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .debug_info 0x000000000001fa05 0x1b0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .debug_info 0x000000000001fbb5 0xb2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .debug_info 0x000000000001fc67 0x124 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .debug_info 0x000000000001fd8b 0x321 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - .debug_info 0x00000000000200ac 0x49c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - .debug_info 0x0000000000020548 0xa6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - .debug_info 0x00000000000205ee 0x4cd ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - .debug_info 0x0000000000020abb 0x488 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_info 0x0000000000020f43 0x48b ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_info 0x00000000000213ce 0x145 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - .debug_info 0x0000000000021513 0x352 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_info 0x0000000000021865 0x131 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - .debug_info 0x0000000000021996 0x8b6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_info 0x000000000002224c 0x4b0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - .debug_info 0x00000000000226fc 0x2b0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_info 0x00000000000229ac 0x1ac ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_info 0x0000000000022b58 0x299 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - .debug_info 0x0000000000022df1 0xb0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_info 0x0000000000022ea1 0x4b7 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - .debug_info 0x0000000000023358 0x4d9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_info 0x0000000000023831 0x52e ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_info 0x0000000000023d5f 0x3a0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_info 0x00000000000240ff 0x280 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_info 0x000000000002437f 0x345 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_info 0x00000000000246c4 0x340 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_info 0x0000000000024a04 0x226 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_info 0x0000000000024c2a 0x2cc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_info 0x0000000000024ef6 0xd7 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_info 0x0000000000024fcd 0xa5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_info 0x0000000000025072 0x1a9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_info 0x000000000002521b 0x83 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_info 0x000000000002529e 0x83 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_info 0x0000000000025321 0x92 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_info 0x00000000000253b3 0x350 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - .debug_info 0x0000000000025703 0x1ef ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_info 0x00000000000258f2 0x4a5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_info 0x0000000000025d97 0x5d ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_info 0x0000000000025df4 0x187 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_info 0x0000000000025f7b 0x88 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_info 0x0000000000026003 0x3bb ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - .debug_info 0x00000000000263be 0x92 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_info 0x0000000000026450 0x63 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_info 0x00000000000264b3 0x2e9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_info 0x000000000002679c 0x2e6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_info 0x0000000000026a82 0x310 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_info 0x0000000000026d92 0xdc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - .debug_info 0x0000000000026e6e 0x1e7 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_info 0x0000000000027055 0xfe c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .debug_info 0x0000000000027153 0x8e4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .debug_info 0x0000000000027a37 0x11a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .debug_info 0x0000000000027b51 0x934 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .debug_info 0x0000000000028485 0x965 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - .debug_info 0x0000000000028dea 0xec ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .debug_info 0x0000000000000000 0x8b ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o + .debug_info 0x000000000000008b 0x68d obj/default/I2C_core.o + .debug_info 0x0000000000000718 0x277 obj/default/auto_focus.o + .debug_info 0x000000000000098f 0xa56 obj/default/main.o + .debug_info 0x00000000000013e5 0x25d obj/default/mipi_bridge_config.o + .debug_info 0x0000000000001642 0x404 obj/default/mipi_camera_config.o + .debug_info 0x0000000000001a46 0x2bb obj/default/queue.o + .debug_info 0x0000000000001d01 0x2c3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .debug_info 0x0000000000001fc4 0x9a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .debug_info 0x0000000000002966 0x8a1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + .debug_info 0x0000000000003207 0x911 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .debug_info 0x0000000000003b18 0xd57 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .debug_info 0x000000000000486f 0x121 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .debug_info 0x0000000000004990 0x991 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .debug_info 0x0000000000005321 0x922 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .debug_info 0x0000000000005c43 0xa38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .debug_info 0x000000000000667b 0x950 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .debug_info 0x0000000000006fcb 0x900 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .debug_info 0x00000000000078cb 0xe2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .debug_info 0x00000000000079ad 0x16bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .debug_info 0x0000000000009069 0x936 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .debug_info 0x000000000000999f 0x1247 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .debug_info 0x000000000000abe6 0xa93 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .debug_info 0x000000000000b679 0xd25 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .debug_info 0x000000000000c39e 0xbf7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .debug_info 0x000000000000cf95 0xbb8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .debug_info 0x000000000000db4d 0x9b0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .debug_info 0x000000000000e4fd 0x8a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + .debug_info 0x000000000000e587 0xc25 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .debug_info 0x000000000000f1ac 0xad8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .debug_info 0x000000000000fc84 0x132 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .debug_info 0x000000000000fdb6 0x144 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .debug_info 0x000000000000fefa 0x13a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .debug_info 0x0000000000010034 0x16b3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .debug_info 0x00000000000116e7 0x9b4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .debug_info 0x000000000001209b 0xc76 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .debug_info 0x0000000000012d11 0xa08 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .debug_info 0x0000000000013719 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .debug_info 0x00000000000137f5 0xb32 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .debug_info 0x0000000000014327 0xe4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .debug_info 0x000000000001440b 0x1535 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .debug_info 0x0000000000015940 0x9be /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .debug_info 0x00000000000162fe 0x928 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .debug_info 0x0000000000016c26 0x8df /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .debug_info 0x0000000000017505 0x9d5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .debug_info 0x0000000000017eda 0xa23 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .debug_info 0x00000000000188fd 0xad4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .debug_info 0x00000000000193d1 0xa5d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .debug_info 0x0000000000019e2e 0x8df /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .debug_info 0x000000000001a70d 0x90b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .debug_info 0x000000000001b018 0x921 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .debug_info 0x000000000001b939 0xa82 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .debug_info 0x000000000001c3bb 0x9b1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .debug_info 0x000000000001cd6c 0x70b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .debug_info 0x000000000001d477 0x768 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .debug_info 0x000000000001dbdf 0x4a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .debug_info 0x000000000001e081 0x5c7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .debug_info 0x000000000001e648 0x1e2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .debug_info 0x000000000001e82a 0x202 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .debug_info 0x000000000001ea2c 0x204 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .debug_info 0x000000000001ec30 0x5f5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .debug_info 0x000000000001f225 0x4a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .debug_info 0x000000000001f6c7 0x1ae /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .debug_info 0x000000000001f875 0x1bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .debug_info 0x000000000001fa31 0x1b0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .debug_info 0x000000000001fbe1 0xb2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .debug_info 0x000000000001fc93 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .debug_info 0x000000000001fdb7 0x321 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + .debug_info 0x00000000000200d8 0x49c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + .debug_info 0x0000000000020574 0xa6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + .debug_info 0x000000000002061a 0x4cd ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + .debug_info 0x0000000000020ae7 0x488 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + .debug_info 0x0000000000020f6f 0x48b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + .debug_info 0x00000000000213fa 0x145 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + .debug_info 0x000000000002153f 0x352 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + .debug_info 0x0000000000021891 0x131 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + .debug_info 0x00000000000219c2 0x8b6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_info 0x0000000000022278 0x4b0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + .debug_info 0x0000000000022728 0x2b0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_info 0x00000000000229d8 0x1ac ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_info 0x0000000000022b84 0x299 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + .debug_info 0x0000000000022e1d 0xb0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + .debug_info 0x0000000000022ecd 0x4b7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + .debug_info 0x0000000000023384 0x4d9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_info 0x000000000002385d 0x52e ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_info 0x0000000000023d8b 0x3a0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_info 0x000000000002412b 0x280 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_info 0x00000000000243ab 0x345 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_info 0x00000000000246f0 0x340 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_info 0x0000000000024a30 0x226 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_info 0x0000000000024c56 0x2cc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_info 0x0000000000024f22 0xd7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + .debug_info 0x0000000000024ff9 0xa5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + .debug_info 0x000000000002509e 0x1a9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_info 0x0000000000025247 0x83 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_info 0x00000000000252ca 0x83 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_info 0x000000000002534d 0x92 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + .debug_info 0x00000000000253df 0x350 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + .debug_info 0x000000000002572f 0x1ef ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_info 0x000000000002591e 0x4a5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_info 0x0000000000025dc3 0x94 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_info 0x0000000000025e57 0x187 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_info 0x0000000000025fde 0x88 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_info 0x0000000000026066 0x3bb ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + .debug_info 0x0000000000026421 0x92 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_info 0x00000000000264b3 0x9a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_info 0x000000000002654d 0x2e9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_info 0x0000000000026836 0x2e6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + .debug_info 0x0000000000026b1c 0x310 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_info 0x0000000000026e2c 0xdc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + .debug_info 0x0000000000026f08 0x1e7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_info 0x00000000000270ef 0xfe /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .debug_info 0x00000000000271ed 0x8e4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .debug_info 0x0000000000027ad1 0x11a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .debug_info 0x0000000000027beb 0x934 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .debug_info 0x000000000002851f 0x965 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .debug_info 0x0000000000028e84 0xec ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) -.debug_abbrev 0x0000000000000000 0xa658 +.debug_abbrev 0x0000000000000000 0xa67c *(.debug_abbrev) .debug_abbrev 0x0000000000000000 0x12 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o .debug_abbrev 0x0000000000000012 0x138 obj/default/I2C_core.o @@ -1857,236 +1857,236 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio .debug_abbrev 0x000000000000046e 0x12a obj/default/mipi_bridge_config.o .debug_abbrev 0x0000000000000598 0x140 obj/default/mipi_camera_config.o .debug_abbrev 0x00000000000006d8 0x135 obj/default/queue.o - .debug_abbrev 0x000000000000080d 0x10e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .debug_abbrev 0x000000000000091b 0x235 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .debug_abbrev 0x0000000000000b50 0x18f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - .debug_abbrev 0x0000000000000cdf 0x1f4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .debug_abbrev 0x0000000000000ed3 0x29d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - .debug_abbrev 0x0000000000001170 0xae c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .debug_abbrev 0x000000000000121e 0x233 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .debug_abbrev 0x0000000000001451 0x205 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .debug_abbrev 0x0000000000001656 0x249 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .debug_abbrev 0x000000000000189f 0x224 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .debug_abbrev 0x0000000000001ac3 0x20a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .debug_abbrev 0x0000000000001ccd 0x90 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .debug_abbrev 0x0000000000001d5d 0x3bf c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .debug_abbrev 0x000000000000211c 0x218 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .debug_abbrev 0x0000000000002334 0x2b8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .debug_abbrev 0x00000000000025ec 0x2a0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .debug_abbrev 0x000000000000288c 0x391 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .debug_abbrev 0x0000000000002c1d 0x264 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .debug_abbrev 0x0000000000002e81 0x228 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .debug_abbrev 0x00000000000030a9 0x1d1 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .debug_abbrev 0x000000000000327a 0x37 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - .debug_abbrev 0x00000000000032b1 0x2c0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .debug_abbrev 0x0000000000003571 0x20f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .debug_abbrev 0x0000000000003780 0xab c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .debug_abbrev 0x000000000000382b 0xa3 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .debug_abbrev 0x00000000000038ce 0x9c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .debug_abbrev 0x000000000000396a 0x3d7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .debug_abbrev 0x0000000000003d41 0x243 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .debug_abbrev 0x0000000000003f84 0x231 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .debug_abbrev 0x00000000000041b5 0x256 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .debug_abbrev 0x000000000000440b 0x7e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .debug_abbrev 0x0000000000004489 0x239 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .debug_abbrev 0x00000000000046c2 0x74 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .debug_abbrev 0x0000000000004736 0x3ce c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .debug_abbrev 0x0000000000004b04 0x251 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .debug_abbrev 0x0000000000004d55 0x1eb c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .debug_abbrev 0x0000000000004f40 0x1e6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .debug_abbrev 0x0000000000005126 0x209 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .debug_abbrev 0x000000000000532f 0x2a2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .debug_abbrev 0x00000000000055d1 0x2b9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .debug_abbrev 0x000000000000588a 0x1f5 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .debug_abbrev 0x0000000000005a7f 0x1e6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .debug_abbrev 0x0000000000005c65 0x1f5 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .debug_abbrev 0x0000000000005e5a 0x1e6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .debug_abbrev 0x0000000000006040 0x230 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .debug_abbrev 0x0000000000006270 0x21f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .debug_abbrev 0x000000000000648f 0x167 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .debug_abbrev 0x00000000000065f6 0x18a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .debug_abbrev 0x0000000000006780 0x13a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .debug_abbrev 0x00000000000068ba 0x12d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .debug_abbrev 0x00000000000069e7 0x128 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .debug_abbrev 0x0000000000006b0f 0x137 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .debug_abbrev 0x0000000000006c46 0x137 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .debug_abbrev 0x0000000000006d7d 0x13f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .debug_abbrev 0x0000000000006ebc 0x133 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .debug_abbrev 0x0000000000006fef 0x135 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .debug_abbrev 0x0000000000007124 0x124 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .debug_abbrev 0x0000000000007248 0x124 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .debug_abbrev 0x000000000000736c 0x5d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .debug_abbrev 0x00000000000073c9 0xbe c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .debug_abbrev 0x0000000000007487 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - .debug_abbrev 0x00000000000075a6 0xd9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - .debug_abbrev 0x000000000000767f 0x5a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - .debug_abbrev 0x00000000000076d9 0x13c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - .debug_abbrev 0x0000000000007815 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_abbrev 0x0000000000007934 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_abbrev 0x0000000000007a53 0x9f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - .debug_abbrev 0x0000000000007af2 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_abbrev 0x0000000000007c11 0xba ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - .debug_abbrev 0x0000000000007ccb 0x1b4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_abbrev 0x0000000000007e7f 0x12e ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - .debug_abbrev 0x0000000000007fad 0xde ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_abbrev 0x000000000000808b 0x112 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_abbrev 0x000000000000819d 0x15a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - .debug_abbrev 0x00000000000082f7 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_abbrev 0x0000000000008357 0x133 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - .debug_abbrev 0x000000000000848a 0x187 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_abbrev 0x0000000000008611 0x127 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_abbrev 0x0000000000008738 0x19e ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_abbrev 0x00000000000088d6 0x111 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_abbrev 0x00000000000089e7 0x1aa ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_abbrev 0x0000000000008b91 0x1a8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_abbrev 0x0000000000008d39 0x155 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_abbrev 0x0000000000008e8e 0x162 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_abbrev 0x0000000000008ff0 0x7e ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_abbrev 0x000000000000906e 0x5a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_abbrev 0x00000000000090c8 0x114 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_abbrev 0x00000000000091dc 0x79 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_abbrev 0x0000000000009255 0x79 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_abbrev 0x00000000000092ce 0x42 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_abbrev 0x0000000000009310 0x163 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - .debug_abbrev 0x0000000000009473 0x169 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_abbrev 0x00000000000095dc 0x114 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_abbrev 0x00000000000096f0 0x12 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_abbrev 0x0000000000009702 0x122 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_abbrev 0x0000000000009824 0x40 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_abbrev 0x0000000000009864 0x148 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - .debug_abbrev 0x00000000000099ac 0x42 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_abbrev 0x00000000000099ee 0x12 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_abbrev 0x0000000000009a00 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_abbrev 0x0000000000009af0 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_abbrev 0x0000000000009be0 0x10d ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_abbrev 0x0000000000009ced 0x8c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - .debug_abbrev 0x0000000000009d79 0xdc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_abbrev 0x0000000000009e55 0xaa c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .debug_abbrev 0x0000000000009eff 0x1e5 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .debug_abbrev 0x000000000000a0e4 0x7f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .debug_abbrev 0x000000000000a163 0x21e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .debug_abbrev 0x000000000000a381 0x22e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - .debug_abbrev 0x000000000000a5af 0xa9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .debug_abbrev 0x000000000000080d 0x10e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .debug_abbrev 0x000000000000091b 0x235 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .debug_abbrev 0x0000000000000b50 0x18f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + .debug_abbrev 0x0000000000000cdf 0x1f4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .debug_abbrev 0x0000000000000ed3 0x29d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .debug_abbrev 0x0000000000001170 0xae /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .debug_abbrev 0x000000000000121e 0x233 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .debug_abbrev 0x0000000000001451 0x205 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .debug_abbrev 0x0000000000001656 0x249 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .debug_abbrev 0x000000000000189f 0x224 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .debug_abbrev 0x0000000000001ac3 0x20a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .debug_abbrev 0x0000000000001ccd 0x90 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .debug_abbrev 0x0000000000001d5d 0x3bf /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .debug_abbrev 0x000000000000211c 0x218 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .debug_abbrev 0x0000000000002334 0x2b8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .debug_abbrev 0x00000000000025ec 0x2a0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .debug_abbrev 0x000000000000288c 0x39a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .debug_abbrev 0x0000000000002c26 0x264 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .debug_abbrev 0x0000000000002e8a 0x228 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .debug_abbrev 0x00000000000030b2 0x1d1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .debug_abbrev 0x0000000000003283 0x37 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + .debug_abbrev 0x00000000000032ba 0x2c0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .debug_abbrev 0x000000000000357a 0x20f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .debug_abbrev 0x0000000000003789 0xab /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .debug_abbrev 0x0000000000003834 0xa3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .debug_abbrev 0x00000000000038d7 0x9c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .debug_abbrev 0x0000000000003973 0x3e0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .debug_abbrev 0x0000000000003d53 0x243 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .debug_abbrev 0x0000000000003f96 0x231 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .debug_abbrev 0x00000000000041c7 0x256 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .debug_abbrev 0x000000000000441d 0x7e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .debug_abbrev 0x000000000000449b 0x239 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .debug_abbrev 0x00000000000046d4 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .debug_abbrev 0x0000000000004748 0x3ce /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .debug_abbrev 0x0000000000004b16 0x251 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .debug_abbrev 0x0000000000004d67 0x1eb /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .debug_abbrev 0x0000000000004f52 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .debug_abbrev 0x0000000000005138 0x209 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .debug_abbrev 0x0000000000005341 0x2a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .debug_abbrev 0x00000000000055e3 0x2b9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .debug_abbrev 0x000000000000589c 0x1f5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .debug_abbrev 0x0000000000005a91 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .debug_abbrev 0x0000000000005c77 0x1f5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .debug_abbrev 0x0000000000005e6c 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .debug_abbrev 0x0000000000006052 0x230 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .debug_abbrev 0x0000000000006282 0x21f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .debug_abbrev 0x00000000000064a1 0x170 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .debug_abbrev 0x0000000000006611 0x193 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .debug_abbrev 0x00000000000067a4 0x13a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .debug_abbrev 0x00000000000068de 0x12d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .debug_abbrev 0x0000000000006a0b 0x128 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .debug_abbrev 0x0000000000006b33 0x137 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .debug_abbrev 0x0000000000006c6a 0x137 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .debug_abbrev 0x0000000000006da1 0x13f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .debug_abbrev 0x0000000000006ee0 0x133 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .debug_abbrev 0x0000000000007013 0x135 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .debug_abbrev 0x0000000000007148 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .debug_abbrev 0x000000000000726c 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .debug_abbrev 0x0000000000007390 0x5d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .debug_abbrev 0x00000000000073ed 0xbe /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .debug_abbrev 0x00000000000074ab 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + .debug_abbrev 0x00000000000075ca 0xd9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + .debug_abbrev 0x00000000000076a3 0x5a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + .debug_abbrev 0x00000000000076fd 0x13c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + .debug_abbrev 0x0000000000007839 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + .debug_abbrev 0x0000000000007958 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + .debug_abbrev 0x0000000000007a77 0x9f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + .debug_abbrev 0x0000000000007b16 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + .debug_abbrev 0x0000000000007c35 0xba ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + .debug_abbrev 0x0000000000007cef 0x1b4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_abbrev 0x0000000000007ea3 0x12e ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + .debug_abbrev 0x0000000000007fd1 0xde ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_abbrev 0x00000000000080af 0x112 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_abbrev 0x00000000000081c1 0x15a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + .debug_abbrev 0x000000000000831b 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + .debug_abbrev 0x000000000000837b 0x133 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + .debug_abbrev 0x00000000000084ae 0x187 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_abbrev 0x0000000000008635 0x127 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_abbrev 0x000000000000875c 0x19e ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_abbrev 0x00000000000088fa 0x111 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_abbrev 0x0000000000008a0b 0x1aa ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_abbrev 0x0000000000008bb5 0x1a8 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_abbrev 0x0000000000008d5d 0x155 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_abbrev 0x0000000000008eb2 0x162 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_abbrev 0x0000000000009014 0x7e ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + .debug_abbrev 0x0000000000009092 0x5a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + .debug_abbrev 0x00000000000090ec 0x114 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_abbrev 0x0000000000009200 0x79 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_abbrev 0x0000000000009279 0x79 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_abbrev 0x00000000000092f2 0x42 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + .debug_abbrev 0x0000000000009334 0x163 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + .debug_abbrev 0x0000000000009497 0x169 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_abbrev 0x0000000000009600 0x114 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_abbrev 0x0000000000009714 0x12 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_abbrev 0x0000000000009726 0x122 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_abbrev 0x0000000000009848 0x40 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_abbrev 0x0000000000009888 0x148 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + .debug_abbrev 0x00000000000099d0 0x42 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_abbrev 0x0000000000009a12 0x12 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_abbrev 0x0000000000009a24 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_abbrev 0x0000000000009b14 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + .debug_abbrev 0x0000000000009c04 0x10d ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_abbrev 0x0000000000009d11 0x8c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + .debug_abbrev 0x0000000000009d9d 0xdc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_abbrev 0x0000000000009e79 0xaa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .debug_abbrev 0x0000000000009f23 0x1e5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .debug_abbrev 0x000000000000a108 0x7f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .debug_abbrev 0x000000000000a187 0x21e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .debug_abbrev 0x000000000000a3a5 0x22e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .debug_abbrev 0x000000000000a5d3 0xa9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) -.debug_line 0x0000000000000000 0xcf5a +.debug_line 0x0000000000000000 0xcfb3 *(.debug_line) .debug_line 0x0000000000000000 0x72 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o .debug_line 0x0000000000000072 0x2f8 obj/default/I2C_core.o .debug_line 0x000000000000036a 0x195 obj/default/auto_focus.o - .debug_line 0x00000000000004ff 0x28d obj/default/main.o - .debug_line 0x000000000000078c 0x13a obj/default/mipi_bridge_config.o - .debug_line 0x00000000000008c6 0x228 obj/default/mipi_camera_config.o - .debug_line 0x0000000000000aee 0x148 obj/default/queue.o - .debug_line 0x0000000000000c36 0x102 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .debug_line 0x0000000000000d38 0x24d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .debug_line 0x0000000000000f85 0x15d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - .debug_line 0x00000000000010e2 0x1f0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .debug_line 0x00000000000012d2 0x3f9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - .debug_line 0x00000000000016cb 0x18c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .debug_line 0x0000000000001857 0x208 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .debug_line 0x0000000000001a5f 0x1f2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .debug_line 0x0000000000001c51 0x245 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .debug_line 0x0000000000001e96 0x20b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .debug_line 0x00000000000020a1 0x1e6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .debug_line 0x0000000000002287 0x16c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .debug_line 0x00000000000023f3 0xc98 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .debug_line 0x000000000000308b 0x263 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .debug_line 0x00000000000032ee 0x7ca c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .debug_line 0x0000000000003ab8 0x2e7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .debug_line 0x0000000000003d9f 0x36f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .debug_line 0x000000000000410e 0x2f4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .debug_line 0x0000000000004402 0x342 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .debug_line 0x0000000000004744 0x237 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .debug_line 0x000000000000497b 0x5c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - .debug_line 0x00000000000049d7 0x28b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .debug_line 0x0000000000004c62 0x271 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .debug_line 0x0000000000004ed3 0x18a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .debug_line 0x000000000000505d 0x189 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .debug_line 0x00000000000051e6 0x18a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .debug_line 0x0000000000005370 0x787 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .debug_line 0x0000000000005af7 0x272 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .debug_line 0x0000000000005d69 0x2f2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .debug_line 0x000000000000605b 0x27c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .debug_line 0x00000000000062d7 0x16c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .debug_line 0x0000000000006443 0x267 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .debug_line 0x00000000000066aa 0xfe c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .debug_line 0x00000000000067a8 0x956 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .debug_line 0x00000000000070fe 0x26e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .debug_line 0x000000000000736c 0x1f3 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .debug_line 0x000000000000755f 0x1f3 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .debug_line 0x0000000000007752 0x1a8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .debug_line 0x00000000000078fa 0x236 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .debug_line 0x0000000000007b30 0x2a2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .debug_line 0x0000000000007dd2 0x1fc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .debug_line 0x0000000000007fce 0x1f4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .debug_line 0x00000000000081c2 0x1f3 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .debug_line 0x00000000000083b5 0x1f2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .debug_line 0x00000000000085a7 0x223 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .debug_line 0x00000000000087ca 0x217 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .debug_line 0x00000000000089e1 0x12a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .debug_line 0x0000000000008b0b 0x13a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .debug_line 0x0000000000008c45 0x37b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .debug_line 0x0000000000008fc0 0x2ad c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .debug_line 0x000000000000926d 0xaa c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .debug_line 0x0000000000009317 0xcb c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .debug_line 0x00000000000093e2 0xe3 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .debug_line 0x00000000000094c5 0x1e3 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .debug_line 0x00000000000096a8 0x3a8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .debug_line 0x0000000000009a50 0x98 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .debug_line 0x0000000000009ae8 0x9f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .debug_line 0x0000000000009b87 0x92 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .debug_line 0x0000000000009c19 0x53 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .debug_line 0x0000000000009c6c 0xb7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .debug_line 0x0000000000009d23 0x166 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - .debug_line 0x0000000000009e89 0xf8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - .debug_line 0x0000000000009f81 0x51 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - .debug_line 0x0000000000009fd2 0x1e6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - .debug_line 0x000000000000a1b8 0x15f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_line 0x000000000000a317 0x163 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_line 0x000000000000a47a 0x8e ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - .debug_line 0x000000000000a508 0x164 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_line 0x000000000000a66c 0xa4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - .debug_line 0x000000000000a710 0x122 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_line 0x000000000000a832 0x1dd ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - .debug_line 0x000000000000aa0f 0xae ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_line 0x000000000000aabd 0xf3 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_line 0x000000000000abb0 0xff ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - .debug_line 0x000000000000acaf 0xad ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_line 0x000000000000ad5c 0x1dc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - .debug_line 0x000000000000af38 0x152 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_line 0x000000000000b08a 0x12f ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_line 0x000000000000b1b9 0x18b ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_line 0x000000000000b344 0x131 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_line 0x000000000000b475 0x171 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_line 0x000000000000b5e6 0x170 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_line 0x000000000000b756 0xfd ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_line 0x000000000000b853 0xfa ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_line 0x000000000000b94d 0xa0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_line 0x000000000000b9ed 0x61 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_line 0x000000000000ba4e 0xd0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_line 0x000000000000bb1e 0x59 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_line 0x000000000000bb77 0x59 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_line 0x000000000000bbd0 0x50 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_line 0x000000000000bc20 0xef ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - .debug_line 0x000000000000bd0f 0xdb ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_line 0x000000000000bdea 0x133 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_line 0x000000000000bf1d 0x6b ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_line 0x000000000000bf88 0xaa ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_line 0x000000000000c032 0x50 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_line 0x000000000000c082 0x12a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - .debug_line 0x000000000000c1ac 0x51 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_line 0x000000000000c1fd 0xb3 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_line 0x000000000000c2b0 0xb5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_line 0x000000000000c365 0xd1 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_line 0x000000000000c436 0xd5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_line 0x000000000000c50b 0x81 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - .debug_line 0x000000000000c58c 0xba ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_line 0x000000000000c646 0xe4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .debug_line 0x000000000000c72a 0x1f9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .debug_line 0x000000000000c923 0x173 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .debug_line 0x000000000000ca96 0x21c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .debug_line 0x000000000000ccb2 0x237 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - .debug_line 0x000000000000cee9 0x71 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .debug_line 0x00000000000004ff 0x297 obj/default/main.o + .debug_line 0x0000000000000796 0x13a obj/default/mipi_bridge_config.o + .debug_line 0x00000000000008d0 0x228 obj/default/mipi_camera_config.o + .debug_line 0x0000000000000af8 0x148 obj/default/queue.o + .debug_line 0x0000000000000c40 0x102 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .debug_line 0x0000000000000d42 0x24d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .debug_line 0x0000000000000f8f 0x15d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + .debug_line 0x00000000000010ec 0x1f0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .debug_line 0x00000000000012dc 0x3f9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .debug_line 0x00000000000016d5 0x18c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .debug_line 0x0000000000001861 0x208 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .debug_line 0x0000000000001a69 0x1f2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .debug_line 0x0000000000001c5b 0x245 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .debug_line 0x0000000000001ea0 0x20b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .debug_line 0x00000000000020ab 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .debug_line 0x0000000000002291 0x16c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .debug_line 0x00000000000023fd 0xc98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .debug_line 0x0000000000003095 0x263 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .debug_line 0x00000000000032f8 0x7ca /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .debug_line 0x0000000000003ac2 0x2e7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .debug_line 0x0000000000003da9 0x36f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .debug_line 0x0000000000004118 0x2f4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .debug_line 0x000000000000440c 0x342 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .debug_line 0x000000000000474e 0x237 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .debug_line 0x0000000000004985 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + .debug_line 0x00000000000049e1 0x28b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .debug_line 0x0000000000004c6c 0x271 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .debug_line 0x0000000000004edd 0x18a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .debug_line 0x0000000000005067 0x189 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .debug_line 0x00000000000051f0 0x18a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .debug_line 0x000000000000537a 0x787 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .debug_line 0x0000000000005b01 0x272 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .debug_line 0x0000000000005d73 0x2f1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .debug_line 0x0000000000006064 0x27c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .debug_line 0x00000000000062e0 0x16c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .debug_line 0x000000000000644c 0x267 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .debug_line 0x00000000000066b3 0xfe /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .debug_line 0x00000000000067b1 0x956 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .debug_line 0x0000000000007107 0x26e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .debug_line 0x0000000000007375 0x1f3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .debug_line 0x0000000000007568 0x1f3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .debug_line 0x000000000000775b 0x1a8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .debug_line 0x0000000000007903 0x236 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .debug_line 0x0000000000007b39 0x2a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .debug_line 0x0000000000007ddb 0x1fc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .debug_line 0x0000000000007fd7 0x1f4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .debug_line 0x00000000000081cb 0x1f3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .debug_line 0x00000000000083be 0x1f2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .debug_line 0x00000000000085b0 0x223 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .debug_line 0x00000000000087d3 0x217 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .debug_line 0x00000000000089ea 0x12a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .debug_line 0x0000000000008b14 0x13a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .debug_line 0x0000000000008c4e 0x37b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .debug_line 0x0000000000008fc9 0x2ad /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .debug_line 0x0000000000009276 0xaa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .debug_line 0x0000000000009320 0xcb /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .debug_line 0x00000000000093eb 0xe3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .debug_line 0x00000000000094ce 0x1e3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .debug_line 0x00000000000096b1 0x3a8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .debug_line 0x0000000000009a59 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .debug_line 0x0000000000009af1 0x9f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .debug_line 0x0000000000009b90 0x92 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .debug_line 0x0000000000009c22 0x53 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .debug_line 0x0000000000009c75 0xb7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .debug_line 0x0000000000009d2c 0x16b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + .debug_line 0x0000000000009e97 0xfd ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + .debug_line 0x0000000000009f94 0x51 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + .debug_line 0x0000000000009fe5 0x1f0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + .debug_line 0x000000000000a1d5 0x164 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + .debug_line 0x000000000000a339 0x168 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + .debug_line 0x000000000000a4a1 0x8e ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + .debug_line 0x000000000000a52f 0x169 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + .debug_line 0x000000000000a698 0xa4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + .debug_line 0x000000000000a73c 0x12c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_line 0x000000000000a868 0x1e7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + .debug_line 0x000000000000aa4f 0xae ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_line 0x000000000000aafd 0xf8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_line 0x000000000000abf5 0xff ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + .debug_line 0x000000000000acf4 0xb2 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + .debug_line 0x000000000000ada6 0x1e6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + .debug_line 0x000000000000af8c 0x152 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_line 0x000000000000b0de 0x12f ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_line 0x000000000000b20d 0x18b ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_line 0x000000000000b398 0x131 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_line 0x000000000000b4c9 0x171 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_line 0x000000000000b63a 0x170 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_line 0x000000000000b7aa 0xfd ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_line 0x000000000000b8a7 0xfa ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_line 0x000000000000b9a1 0xa0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + .debug_line 0x000000000000ba41 0x61 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + .debug_line 0x000000000000baa2 0xd0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_line 0x000000000000bb72 0x59 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_line 0x000000000000bbcb 0x59 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_line 0x000000000000bc24 0x50 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + .debug_line 0x000000000000bc74 0xef ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + .debug_line 0x000000000000bd63 0xdb ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_line 0x000000000000be3e 0x138 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_line 0x000000000000bf76 0x6b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_line 0x000000000000bfe1 0xaa ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_line 0x000000000000c08b 0x50 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_line 0x000000000000c0db 0x12a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + .debug_line 0x000000000000c205 0x51 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_line 0x000000000000c256 0xb3 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_line 0x000000000000c309 0xb5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_line 0x000000000000c3be 0xd1 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + .debug_line 0x000000000000c48f 0xd5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_line 0x000000000000c564 0x81 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + .debug_line 0x000000000000c5e5 0xba ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_line 0x000000000000c69f 0xe4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .debug_line 0x000000000000c783 0x1f9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .debug_line 0x000000000000c97c 0x173 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .debug_line 0x000000000000caef 0x21c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .debug_line 0x000000000000cd0b 0x237 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .debug_line 0x000000000000cf42 0x71 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) .debug_frame 0x0000000000000000 0x29b0 *(.debug_frame) @@ -2096,404 +2096,404 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio .debug_frame 0x0000000000000364 0xac obj/default/mipi_bridge_config.o .debug_frame 0x0000000000000410 0x1a4 obj/default/mipi_camera_config.o .debug_frame 0x00000000000005b4 0x120 obj/default/queue.o - .debug_frame 0x00000000000006d4 0x50 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .debug_frame 0x0000000000000724 0x6c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .debug_frame 0x0000000000000790 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .debug_frame 0x00000000000007c0 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - .debug_frame 0x0000000000000814 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .debug_frame 0x0000000000000834 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .debug_frame 0x000000000000087c 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .debug_frame 0x00000000000008ac 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .debug_frame 0x00000000000008f4 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .debug_frame 0x000000000000093c 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .debug_frame 0x0000000000000974 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .debug_frame 0x0000000000000994 0x98 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .debug_frame 0x0000000000000a2c 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .debug_frame 0x0000000000000a64 0x98 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .debug_frame 0x0000000000000afc 0x7c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .debug_frame 0x0000000000000b78 0x160 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .debug_frame 0x0000000000000cd8 0x70 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .debug_frame 0x0000000000000d48 0x78 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .debug_frame 0x0000000000000dc0 0x90 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .debug_frame 0x0000000000000e50 0xa8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .debug_frame 0x0000000000000ef8 0x4c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .debug_frame 0x0000000000000f44 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .debug_frame 0x0000000000000f64 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .debug_frame 0x0000000000000f9c 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .debug_frame 0x0000000000000fbc 0x2e0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .debug_frame 0x000000000000129c 0x70 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .debug_frame 0x000000000000130c 0x70 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .debug_frame 0x000000000000137c 0x4c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .debug_frame 0x00000000000013c8 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .debug_frame 0x00000000000013e8 0xb4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .debug_frame 0x000000000000149c 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .debug_frame 0x00000000000014bc 0xec c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .debug_frame 0x00000000000015a8 0x50 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .debug_frame 0x00000000000015f8 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .debug_frame 0x0000000000001630 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .debug_frame 0x0000000000001668 0x40 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .debug_frame 0x00000000000016a8 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .debug_frame 0x00000000000016fc 0x80 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .debug_frame 0x000000000000177c 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .debug_frame 0x00000000000017b4 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .debug_frame 0x00000000000017ec 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .debug_frame 0x0000000000001824 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .debug_frame 0x000000000000185c 0x70 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .debug_frame 0x00000000000018cc 0x44 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .debug_frame 0x0000000000001910 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .debug_frame 0x0000000000001964 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .debug_frame 0x00000000000019b8 0x40 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .debug_frame 0x00000000000019f8 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .debug_frame 0x0000000000001a50 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .debug_frame 0x0000000000001a70 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .debug_frame 0x0000000000001a90 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .debug_frame 0x0000000000001ab0 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .debug_frame 0x0000000000001b08 0x44 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .debug_frame 0x0000000000001b4c 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .debug_frame 0x0000000000001b6c 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .debug_frame 0x0000000000001ba4 0x34 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .debug_frame 0x0000000000001bd8 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .debug_frame 0x0000000000001bf8 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - .debug_frame 0x0000000000001c58 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - .debug_frame 0x0000000000001c8c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - .debug_frame 0x0000000000001cec 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_frame 0x0000000000001d4c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_frame 0x0000000000001dac 0x5c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - .debug_frame 0x0000000000001e08 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_frame 0x0000000000001e68 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - .debug_frame 0x0000000000001e94 0x58 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_frame 0x0000000000001eec 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - .debug_frame 0x0000000000001f4c 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_frame 0x0000000000001f80 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_frame 0x0000000000001fb4 0x5c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - .debug_frame 0x0000000000002010 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - .debug_frame 0x0000000000002048 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - .debug_frame 0x00000000000020a8 0x88 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_frame 0x0000000000002130 0xb0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_frame 0x00000000000021e0 0xa4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_frame 0x0000000000002284 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_frame 0x00000000000022b8 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_frame 0x00000000000022f0 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_frame 0x0000000000002328 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_frame 0x0000000000002388 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_frame 0x00000000000023bc 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - .debug_frame 0x00000000000023f4 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - .debug_frame 0x0000000000002428 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_frame 0x0000000000002488 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_frame 0x00000000000024c0 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_frame 0x00000000000024f8 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - .debug_frame 0x0000000000002530 0xa4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - .debug_frame 0x00000000000025d4 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_frame 0x000000000000260c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_frame 0x000000000000266c 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_frame 0x00000000000026a4 0x84 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - .debug_frame 0x0000000000002728 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_frame 0x000000000000275c 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_frame 0x0000000000002794 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_frame 0x00000000000027cc 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_frame 0x0000000000002800 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - .debug_frame 0x0000000000002834 0x5c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_frame 0x0000000000002890 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .debug_frame 0x00000000000028b0 0x28 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .debug_frame 0x00000000000028d8 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .debug_frame 0x00000000000028f8 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .debug_frame 0x0000000000002930 0x54 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - .debug_frame 0x0000000000002984 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + .debug_frame 0x00000000000006d4 0x50 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .debug_frame 0x0000000000000724 0x6c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .debug_frame 0x0000000000000790 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .debug_frame 0x00000000000007c0 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .debug_frame 0x0000000000000814 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .debug_frame 0x0000000000000834 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .debug_frame 0x000000000000087c 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .debug_frame 0x00000000000008ac 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .debug_frame 0x00000000000008f4 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .debug_frame 0x000000000000093c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .debug_frame 0x0000000000000974 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .debug_frame 0x0000000000000994 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .debug_frame 0x0000000000000a2c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .debug_frame 0x0000000000000a64 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .debug_frame 0x0000000000000afc 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .debug_frame 0x0000000000000b78 0x160 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .debug_frame 0x0000000000000cd8 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .debug_frame 0x0000000000000d48 0x78 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .debug_frame 0x0000000000000dc0 0x90 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .debug_frame 0x0000000000000e50 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .debug_frame 0x0000000000000ef8 0x4c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .debug_frame 0x0000000000000f44 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .debug_frame 0x0000000000000f64 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .debug_frame 0x0000000000000f9c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .debug_frame 0x0000000000000fbc 0x2e0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .debug_frame 0x000000000000129c 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .debug_frame 0x000000000000130c 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .debug_frame 0x000000000000137c 0x4c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .debug_frame 0x00000000000013c8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .debug_frame 0x00000000000013e8 0xb4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .debug_frame 0x000000000000149c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .debug_frame 0x00000000000014bc 0xec /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .debug_frame 0x00000000000015a8 0x50 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .debug_frame 0x00000000000015f8 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .debug_frame 0x0000000000001630 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .debug_frame 0x0000000000001668 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .debug_frame 0x00000000000016a8 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .debug_frame 0x00000000000016fc 0x80 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .debug_frame 0x000000000000177c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .debug_frame 0x00000000000017b4 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .debug_frame 0x00000000000017ec 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .debug_frame 0x0000000000001824 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .debug_frame 0x000000000000185c 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .debug_frame 0x00000000000018cc 0x44 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .debug_frame 0x0000000000001910 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .debug_frame 0x0000000000001964 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .debug_frame 0x00000000000019b8 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .debug_frame 0x00000000000019f8 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .debug_frame 0x0000000000001a50 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .debug_frame 0x0000000000001a70 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .debug_frame 0x0000000000001a90 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .debug_frame 0x0000000000001ab0 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .debug_frame 0x0000000000001b08 0x44 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .debug_frame 0x0000000000001b4c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .debug_frame 0x0000000000001b6c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .debug_frame 0x0000000000001ba4 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .debug_frame 0x0000000000001bd8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .debug_frame 0x0000000000001bf8 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + .debug_frame 0x0000000000001c58 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + .debug_frame 0x0000000000001c8c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + .debug_frame 0x0000000000001cec 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + .debug_frame 0x0000000000001d4c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + .debug_frame 0x0000000000001dac 0x5c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + .debug_frame 0x0000000000001e08 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + .debug_frame 0x0000000000001e68 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + .debug_frame 0x0000000000001e94 0x58 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_frame 0x0000000000001eec 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + .debug_frame 0x0000000000001f4c 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_frame 0x0000000000001f80 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_frame 0x0000000000001fb4 0x5c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + .debug_frame 0x0000000000002010 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + .debug_frame 0x0000000000002048 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + .debug_frame 0x00000000000020a8 0x88 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_frame 0x0000000000002130 0xb0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_frame 0x00000000000021e0 0xa4 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_frame 0x0000000000002284 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_frame 0x00000000000022b8 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_frame 0x00000000000022f0 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_frame 0x0000000000002328 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_frame 0x0000000000002388 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_frame 0x00000000000023bc 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + .debug_frame 0x00000000000023f4 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + .debug_frame 0x0000000000002428 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_frame 0x0000000000002488 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_frame 0x00000000000024c0 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_frame 0x00000000000024f8 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + .debug_frame 0x0000000000002530 0xa4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + .debug_frame 0x00000000000025d4 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_frame 0x000000000000260c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_frame 0x000000000000266c 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_frame 0x00000000000026a4 0x84 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + .debug_frame 0x0000000000002728 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_frame 0x000000000000275c 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_frame 0x0000000000002794 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + .debug_frame 0x00000000000027cc 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_frame 0x0000000000002800 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + .debug_frame 0x0000000000002834 0x5c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_frame 0x0000000000002890 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .debug_frame 0x00000000000028b0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .debug_frame 0x00000000000028d8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .debug_frame 0x00000000000028f8 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .debug_frame 0x0000000000002930 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .debug_frame 0x0000000000002984 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) -.debug_str 0x0000000000000000 0x3edf +.debug_str 0x0000000000000000 0x3f8b *(.debug_str) - .debug_str 0x0000000000000000 0x2fb obj/default/I2C_core.o - 0x345 (size before relaxing) - .debug_str 0x00000000000002fb 0xb5 obj/default/auto_focus.o - 0x23e (size before relaxing) - .debug_str 0x00000000000003b0 0x41b obj/default/main.o - 0x622 (size before relaxing) - .debug_str 0x00000000000007cb 0x79 obj/default/mipi_bridge_config.o - 0x20d (size before relaxing) - .debug_str 0x0000000000000844 0x110 obj/default/mipi_camera_config.o - 0x2bd (size before relaxing) - .debug_str 0x0000000000000954 0x98 obj/default/queue.o - 0x208 (size before relaxing) - .debug_str 0x00000000000009ec 0x16d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - 0x1b8 (size before relaxing) - .debug_str 0x0000000000000b59 0x149 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - 0x5bb (size before relaxing) - .debug_str 0x0000000000000ca2 0xdb c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) - 0x59b (size before relaxing) - .debug_str 0x0000000000000d7d 0xdc c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - 0x5af (size before relaxing) - .debug_str 0x0000000000000e59 0x223 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - 0x7a0 (size before relaxing) - .debug_str 0x000000000000107c 0xd9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - 0x1de (size before relaxing) - .debug_str 0x0000000000001155 0x8f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - 0x5e1 (size before relaxing) - .debug_str 0x00000000000011e4 0x55 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - 0x59e (size before relaxing) - .debug_str 0x0000000000001239 0x94 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - 0x602 (size before relaxing) - .debug_str 0x00000000000012cd 0x4b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - 0x5b5 (size before relaxing) - .debug_str 0x0000000000001318 0x4c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - 0x591 (size before relaxing) - .debug_str 0x0000000000001364 0x3a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - 0x1dd (size before relaxing) - .debug_str 0x000000000000139e 0x21c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - 0x823 (size before relaxing) - .debug_str 0x00000000000015ba 0x46 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - 0x5ba (size before relaxing) - .debug_str 0x0000000000001600 0x15d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - 0x6da (size before relaxing) - .debug_str 0x000000000000175d 0x69 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - 0x5fb (size before relaxing) - .debug_str 0x00000000000017c6 0x112 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - 0x6b1 (size before relaxing) - .debug_str 0x00000000000018d8 0x34 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - 0x6ea (size before relaxing) - .debug_str 0x000000000000190c 0x6b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - 0x62d (size before relaxing) - .debug_str 0x0000000000001977 0x47 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - 0x5a0 (size before relaxing) - .debug_str 0x00000000000019be 0x3c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) - 0x1c3 (size before relaxing) - .debug_str 0x00000000000019fa 0x292 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - 0x7f8 (size before relaxing) - .debug_str 0x0000000000001c8c 0x121 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - 0x69d (size before relaxing) - .debug_str 0x0000000000001dad 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - 0x1e4 (size before relaxing) - .debug_str 0x0000000000001df5 0x61 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - 0x1f1 (size before relaxing) - .debug_str 0x0000000000001e56 0x44 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - 0x1fd (size before relaxing) - .debug_str 0x0000000000001e9a 0xa4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - 0x694 (size before relaxing) - .debug_str 0x0000000000001f3e 0x46 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - 0x5bb (size before relaxing) - .debug_str 0x0000000000001f84 0x4e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - 0x67c (size before relaxing) - .debug_str 0x0000000000001fd2 0x4b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - 0x5f6 (size before relaxing) - .debug_str 0x000000000000201d 0xc4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - 0x1e8 (size before relaxing) - .debug_str 0x00000000000020e1 0x98 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - 0x5ef (size before relaxing) - .debug_str 0x0000000000002179 0x3a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - 0x1c3 (size before relaxing) - .debug_str 0x00000000000021b3 0x3f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - 0x777 (size before relaxing) - .debug_str 0x00000000000021f2 0x3f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - 0x5bf (size before relaxing) - .debug_str 0x0000000000002231 0x39 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - 0x595 (size before relaxing) - .debug_str 0x000000000000226a 0x39 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - 0x585 (size before relaxing) - .debug_str 0x00000000000022a3 0xf c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - 0x5d4 (size before relaxing) - .debug_str 0x00000000000022b2 0x4a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - 0x5e8 (size before relaxing) - .debug_str 0x00000000000022fc 0x4d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - 0x5ec (size before relaxing) - .debug_str 0x0000000000002349 0x45 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - 0x671 (size before relaxing) - .debug_str 0x000000000000238e 0x41 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - 0x588 (size before relaxing) - .debug_str 0x00000000000023cf 0x3f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - 0x58c (size before relaxing) - .debug_str 0x000000000000240e 0x38 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .debug_str 0x0000000000000000 0x324 obj/default/I2C_core.o + 0x36e (size before relaxing) + .debug_str 0x0000000000000324 0xb5 obj/default/auto_focus.o + 0x267 (size before relaxing) + .debug_str 0x00000000000003d9 0x41b obj/default/main.o + 0x64b (size before relaxing) + .debug_str 0x00000000000007f4 0x79 obj/default/mipi_bridge_config.o + 0x236 (size before relaxing) + .debug_str 0x000000000000086d 0x110 obj/default/mipi_camera_config.o + 0x2e6 (size before relaxing) + .debug_str 0x000000000000097d 0x98 obj/default/queue.o + 0x231 (size before relaxing) + .debug_str 0x0000000000000a15 0x16e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + 0x1b9 (size before relaxing) + .debug_str 0x0000000000000b83 0x14a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + 0x5bc (size before relaxing) + .debug_str 0x0000000000000ccd 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + 0x59c (size before relaxing) + .debug_str 0x0000000000000da9 0xdd /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + 0x5b0 (size before relaxing) + .debug_str 0x0000000000000e86 0x223 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + 0x7a1 (size before relaxing) + .debug_str 0x00000000000010a9 0xda /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + 0x1df (size before relaxing) + .debug_str 0x0000000000001183 0x8f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + 0x5e2 (size before relaxing) + .debug_str 0x0000000000001212 0x55 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + 0x59f (size before relaxing) + .debug_str 0x0000000000001267 0x94 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + 0x603 (size before relaxing) + .debug_str 0x00000000000012fb 0x4b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + 0x5b6 (size before relaxing) + .debug_str 0x0000000000001346 0x4c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) 0x592 (size before relaxing) - .debug_str 0x0000000000002446 0x53 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - 0x5d2 (size before relaxing) - .debug_str 0x0000000000002499 0x5c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - 0x5db (size before relaxing) - .debug_str 0x00000000000024f5 0x167 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - 0x282 (size before relaxing) - .debug_str 0x000000000000265c 0xa c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - 0x282 (size before relaxing) - .debug_str 0x0000000000002666 0x116 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - 0x28f (size before relaxing) - .debug_str 0x000000000000277c 0x123 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - 0x347 (size before relaxing) - .debug_str 0x000000000000289f 0x34 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - 0x1f5 (size before relaxing) - .debug_str 0x00000000000028d3 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - 0x219 (size before relaxing) - .debug_str 0x000000000000292b 0x34 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - 0x219 (size before relaxing) - .debug_str 0x000000000000295f 0x15b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - 0x3a8 (size before relaxing) - .debug_str 0x0000000000002aba 0x36 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - 0x28f (size before relaxing) - .debug_str 0x0000000000002af0 0x4b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - 0x211 (size before relaxing) - .debug_str 0x0000000000002b3b 0x69 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - 0x228 (size before relaxing) - .debug_str 0x0000000000002ba4 0x40 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - 0x225 (size before relaxing) - .debug_str 0x0000000000002be4 0x1c9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) - .debug_str 0x0000000000002be4 0x9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - 0x1e6 (size before relaxing) - .debug_str 0x0000000000002bed 0x9e ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) - 0x1ed (size before relaxing) - .debug_str 0x0000000000002c8b 0x49 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) - 0x2e7 (size before relaxing) - .debug_str 0x0000000000002cd4 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) - 0x127 (size before relaxing) - .debug_str 0x0000000000002ce8 0x1f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fcntl.o) - 0x310 (size before relaxing) - .debug_str 0x0000000000002d07 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) - 0x2ce (size before relaxing) - .debug_str 0x0000000000002d1b 0x15 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) - 0x2d6 (size before relaxing) - .debug_str 0x0000000000002d30 0xe9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) - 0x1f4 (size before relaxing) - .debug_str 0x0000000000002e19 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) - 0x1f3 (size before relaxing) - .debug_str 0x0000000000002e2d 0x44 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) - 0x17f (size before relaxing) - .debug_str 0x0000000000002e71 0x1a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) - 0x4f1 (size before relaxing) - .debug_str 0x0000000000002e8b 0x13 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) - 0x2d9 (size before relaxing) - .debug_str 0x0000000000002e9e 0x28 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) - 0x1b5 (size before relaxing) - .debug_str 0x0000000000002ec6 0x98 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) - 0x1b6 (size before relaxing) - .debug_str 0x0000000000002f5e 0x78 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) - 0x234 (size before relaxing) - .debug_str 0x0000000000002fd6 0x27 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) - 0x12a (size before relaxing) - .debug_str 0x0000000000002ffd 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) - 0x2da (size before relaxing) - .debug_str 0x0000000000003011 0x151 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) - 0x370 (size before relaxing) - .debug_str 0x0000000000003162 0xac ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - 0x386 (size before relaxing) - .debug_str 0x000000000000320e 0xbc ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - 0x31a (size before relaxing) - .debug_str 0x00000000000032ca 0x4a ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - 0x275 (size before relaxing) - .debug_str 0x0000000000003314 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - 0x2ca (size before relaxing) - .debug_str 0x000000000000335c 0x4a ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - 0x2cb (size before relaxing) - .debug_str 0x00000000000033a6 0x6d ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - 0x22d (size before relaxing) - .debug_str 0x0000000000003413 0x4a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) - 0x260 (size before relaxing) - .debug_str 0x000000000000345d 0x42 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) - 0x14d (size before relaxing) - .debug_str 0x000000000000349f 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) - 0x137 (size before relaxing) - .debug_str 0x00000000000034d3 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - 0x1ae (size before relaxing) - .debug_str 0x0000000000003507 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) - 0xb2 (size before relaxing) - .debug_str 0x000000000000354f 0x4c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) - 0xb1 (size before relaxing) - .debug_str 0x000000000000359b 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) - 0x137 (size before relaxing) - .debug_str 0x00000000000035cf 0xbd ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) - 0x220 (size before relaxing) - .debug_str 0x000000000000368c 0x4c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - 0x1ca (size before relaxing) - .debug_str 0x00000000000036d8 0x56 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) - 0x2f8 (size before relaxing) - .debug_str 0x000000000000372e 0x3a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - 0x179 (size before relaxing) - .debug_str 0x0000000000003768 0x17 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) - 0x11c (size before relaxing) - .debug_str 0x000000000000377f 0x29 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) - 0x22d (size before relaxing) - .debug_str 0x00000000000037a8 0x3b ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - 0x13e (size before relaxing) - .debug_str 0x00000000000037e3 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) - 0x1ce (size before relaxing) - .debug_str 0x0000000000003807 0x26 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) - 0x1dc (size before relaxing) - .debug_str 0x000000000000382d 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_str 0x0000000000001392 0x3a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + 0x1de (size before relaxing) + .debug_str 0x00000000000013cc 0x21c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + 0x824 (size before relaxing) + .debug_str 0x00000000000015e8 0x46 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + 0x5bb (size before relaxing) + .debug_str 0x000000000000162e 0x15d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + 0x6db (size before relaxing) + .debug_str 0x000000000000178b 0x69 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + 0x5fc (size before relaxing) + .debug_str 0x00000000000017f4 0x112 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + 0x6b2 (size before relaxing) + .debug_str 0x0000000000001906 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + 0x6eb (size before relaxing) + .debug_str 0x000000000000193a 0x6b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + 0x62e (size before relaxing) + .debug_str 0x00000000000019a5 0x47 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + 0x5a1 (size before relaxing) + .debug_str 0x00000000000019ec 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + 0x1c4 (size before relaxing) + .debug_str 0x0000000000001a28 0x293 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + 0x7f9 (size before relaxing) + .debug_str 0x0000000000001cbb 0x121 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + 0x69e (size before relaxing) + .debug_str 0x0000000000001ddc 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + 0x1e5 (size before relaxing) + .debug_str 0x0000000000001e24 0x61 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + 0x1f2 (size before relaxing) + .debug_str 0x0000000000001e85 0x44 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) 0x1fe (size before relaxing) - .debug_str 0x000000000000385d 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) - 0x13d (size before relaxing) - .debug_str 0x0000000000003889 0x48b ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - 0x582 (size before relaxing) - .debug_str 0x0000000000003d14 0x7e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - 0x207 (size before relaxing) - .debug_str 0x0000000000003d92 0x49 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - 0x5a4 (size before relaxing) - .debug_str 0x0000000000003ddb 0x41 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - 0x1ca (size before relaxing) - .debug_str 0x0000000000003e1c 0x3c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .debug_str 0x0000000000001ec9 0xa4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + 0x695 (size before relaxing) + .debug_str 0x0000000000001f6d 0x46 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + 0x5bc (size before relaxing) + .debug_str 0x0000000000001fb3 0x4e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + 0x67d (size before relaxing) + .debug_str 0x0000000000002001 0x4b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + 0x5f7 (size before relaxing) + .debug_str 0x000000000000204c 0xc5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + 0x1e9 (size before relaxing) + .debug_str 0x0000000000002111 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + 0x5f0 (size before relaxing) + .debug_str 0x00000000000021a9 0x3a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + 0x1c4 (size before relaxing) + .debug_str 0x00000000000021e3 0x3f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + 0x778 (size before relaxing) + .debug_str 0x0000000000002222 0x3f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + 0x5c0 (size before relaxing) + .debug_str 0x0000000000002261 0x39 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + 0x596 (size before relaxing) + .debug_str 0x000000000000229a 0x39 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + 0x586 (size before relaxing) + .debug_str 0x00000000000022d3 0xf /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + 0x5d5 (size before relaxing) + .debug_str 0x00000000000022e2 0x4a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + 0x5e9 (size before relaxing) + .debug_str 0x000000000000232c 0x4d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + 0x5ed (size before relaxing) + .debug_str 0x0000000000002379 0x45 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + 0x672 (size before relaxing) + .debug_str 0x00000000000023be 0x41 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + 0x589 (size before relaxing) + .debug_str 0x00000000000023ff 0x3f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + 0x58d (size before relaxing) + .debug_str 0x000000000000243e 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + 0x593 (size before relaxing) + .debug_str 0x0000000000002476 0x53 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + 0x5d3 (size before relaxing) + .debug_str 0x00000000000024c9 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) 0x5dc (size before relaxing) - .debug_str 0x0000000000003e58 0x5d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) - 0x5c8 (size before relaxing) - .debug_str 0x0000000000003eb5 0x2a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) - 0x133 (size before relaxing) + .debug_str 0x0000000000002525 0x167 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + 0x283 (size before relaxing) + .debug_str 0x000000000000268c 0xa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + 0x283 (size before relaxing) + .debug_str 0x0000000000002696 0x116 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + 0x290 (size before relaxing) + .debug_str 0x00000000000027ac 0x123 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + 0x348 (size before relaxing) + .debug_str 0x00000000000028cf 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + 0x1f6 (size before relaxing) + .debug_str 0x0000000000002903 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + 0x21a (size before relaxing) + .debug_str 0x000000000000295b 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + 0x21a (size before relaxing) + .debug_str 0x000000000000298f 0x15b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + 0x3a9 (size before relaxing) + .debug_str 0x0000000000002aea 0x36 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + 0x290 (size before relaxing) + .debug_str 0x0000000000002b20 0x4b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + 0x212 (size before relaxing) + .debug_str 0x0000000000002b6b 0x69 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + 0x229 (size before relaxing) + .debug_str 0x0000000000002bd4 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + 0x226 (size before relaxing) + .debug_str 0x0000000000002c14 0x1ca /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + .debug_str 0x0000000000002c14 0x9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + 0x1e7 (size before relaxing) + .debug_str 0x0000000000002c1d 0x11a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + 0x224 (size before relaxing) + .debug_str 0x0000000000002d37 0x49 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + 0x31e (size before relaxing) + .debug_str 0x0000000000002d80 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + 0x15e (size before relaxing) + .debug_str 0x0000000000002d94 0x1f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + 0x347 (size before relaxing) + .debug_str 0x0000000000002db3 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + 0x305 (size before relaxing) + .debug_str 0x0000000000002dc7 0x15 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + 0x30d (size before relaxing) + .debug_str 0x0000000000002ddc 0xe9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + 0x22b (size before relaxing) + .debug_str 0x0000000000002ec5 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + 0x22a (size before relaxing) + .debug_str 0x0000000000002ed9 0x44 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + 0x1b6 (size before relaxing) + .debug_str 0x0000000000002f1d 0x1a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + 0x528 (size before relaxing) + .debug_str 0x0000000000002f37 0x13 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + 0x310 (size before relaxing) + .debug_str 0x0000000000002f4a 0x28 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + 0x1ec (size before relaxing) + .debug_str 0x0000000000002f72 0x98 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + 0x1ed (size before relaxing) + .debug_str 0x000000000000300a 0x78 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + 0x26b (size before relaxing) + .debug_str 0x0000000000003082 0x27 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + 0x161 (size before relaxing) + .debug_str 0x00000000000030a9 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + 0x311 (size before relaxing) + .debug_str 0x00000000000030bd 0x151 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + 0x3a7 (size before relaxing) + .debug_str 0x000000000000320e 0xac ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x3bd (size before relaxing) + .debug_str 0x00000000000032ba 0xbc ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x351 (size before relaxing) + .debug_str 0x0000000000003376 0x4a ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x2ac (size before relaxing) + .debug_str 0x00000000000033c0 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x301 (size before relaxing) + .debug_str 0x0000000000003408 0x4a ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x302 (size before relaxing) + .debug_str 0x0000000000003452 0x6d ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + 0x264 (size before relaxing) + .debug_str 0x00000000000034bf 0x4a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + 0x297 (size before relaxing) + .debug_str 0x0000000000003509 0x42 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + 0x184 (size before relaxing) + .debug_str 0x000000000000354b 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + 0x16e (size before relaxing) + .debug_str 0x000000000000357f 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + 0x1e5 (size before relaxing) + .debug_str 0x00000000000035b3 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + 0xe9 (size before relaxing) + .debug_str 0x00000000000035fb 0x4c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + 0xe8 (size before relaxing) + .debug_str 0x0000000000003647 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + 0x16e (size before relaxing) + .debug_str 0x000000000000367b 0xbd ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + 0x257 (size before relaxing) + .debug_str 0x0000000000003738 0x4c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + 0x201 (size before relaxing) + .debug_str 0x0000000000003784 0x56 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + 0x32f (size before relaxing) + .debug_str 0x00000000000037da 0x3a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + 0x1b0 (size before relaxing) + .debug_str 0x0000000000003814 0x17 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x153 (size before relaxing) + .debug_str 0x000000000000382b 0x29 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + 0x264 (size before relaxing) + .debug_str 0x0000000000003854 0x3b ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x175 (size before relaxing) + .debug_str 0x000000000000388f 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + 0x205 (size before relaxing) + .debug_str 0x00000000000038b3 0x26 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + 0x213 (size before relaxing) + .debug_str 0x00000000000038d9 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + 0x235 (size before relaxing) + .debug_str 0x0000000000003909 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + 0x174 (size before relaxing) + .debug_str 0x0000000000003935 0x48b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x5b9 (size before relaxing) + .debug_str 0x0000000000003dc0 0x7e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + 0x208 (size before relaxing) + .debug_str 0x0000000000003e3e 0x49 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + 0x5a5 (size before relaxing) + .debug_str 0x0000000000003e87 0x41 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + 0x1cb (size before relaxing) + .debug_str 0x0000000000003ec8 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + 0x5dd (size before relaxing) + .debug_str 0x0000000000003f04 0x5d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + 0x5c9 (size before relaxing) + .debug_str 0x0000000000003f61 0x2a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + 0x16a (size before relaxing) -.debug_loc 0x0000000000000000 0x17f20 +.debug_loc 0x0000000000000000 0x181fe *(.debug_loc) - .debug_loc 0x0000000000000000 0x4ce c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .debug_loc 0x00000000000004ce 0x1a2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .debug_loc 0x0000000000000670 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .debug_loc 0x00000000000006c8 0xb1b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - .debug_loc 0x00000000000011e3 0x1a6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .debug_loc 0x0000000000001389 0xd3 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .debug_loc 0x000000000000145c 0x81 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .debug_loc 0x00000000000014dd 0x117 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .debug_loc 0x00000000000015f4 0xf2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .debug_loc 0x00000000000016e6 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .debug_loc 0x000000000000173e 0x47 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .debug_loc 0x0000000000001785 0x30cf c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .debug_loc 0x0000000000004854 0xa2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .debug_loc 0x00000000000048f6 0x2855 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .debug_loc 0x000000000000714b 0x36f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .debug_loc 0x00000000000074ba 0x2ee c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .debug_loc 0x00000000000077a8 0x4e7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .debug_loc 0x0000000000007c8f 0x5d7 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .debug_loc 0x0000000000008266 0x25b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .debug_loc 0x00000000000084c1 0x131 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .debug_loc 0x00000000000085f2 0x122 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .debug_loc 0x0000000000008714 0x1a1 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .debug_loc 0x00000000000088b5 0x266 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .debug_loc 0x0000000000008b1b 0x308 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .debug_loc 0x0000000000008e23 0x1bee c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .debug_loc 0x000000000000aa11 0x224 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .debug_loc 0x000000000000ac35 0xde4 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .debug_loc 0x000000000000ba19 0x127 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .debug_loc 0x000000000000bb40 0x2f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .debug_loc 0x000000000000bb6f 0x33a c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .debug_loc 0x000000000000bea9 0xc6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .debug_loc 0x000000000000bf6f 0x2739 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .debug_loc 0x000000000000e6a8 0x1b2 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .debug_loc 0x000000000000e85a 0xb0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .debug_loc 0x000000000000e90a 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .debug_loc 0x000000000000e962 0x1db c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .debug_loc 0x000000000000eb3d 0x199 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .debug_loc 0x000000000000ecd6 0x2a1 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .debug_loc 0x000000000000ef77 0x84 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .debug_loc 0x000000000000effb 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .debug_loc 0x000000000000f053 0xb0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .debug_loc 0x000000000000f103 0xb0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .debug_loc 0x000000000000f1b3 0x24e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .debug_loc 0x000000000000f401 0x8f c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .debug_loc 0x000000000000f490 0x10ca c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .debug_loc 0x000000000001055a 0x10ad c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .debug_loc 0x0000000000011607 0x16f9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .debug_loc 0x0000000000012d00 0x1fc6 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .debug_loc 0x0000000000014cc6 0x68 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .debug_loc 0x0000000000014d2e 0xf9 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .debug_loc 0x0000000000014e27 0x163 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .debug_loc 0x0000000000014f8a 0x100d c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .debug_loc 0x0000000000015f97 0x1627 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .debug_loc 0x00000000000175be 0x121 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .debug_loc 0x00000000000176df 0x175 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .debug_loc 0x0000000000017854 0x19e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .debug_loc 0x00000000000179f2 0x6b c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .debug_loc 0x0000000000017a5d 0x2c c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .debug_loc 0x0000000000017a89 0x1e c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .debug_loc 0x0000000000017aa7 0x126 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .debug_loc 0x0000000000017bcd 0x185 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .debug_loc 0x0000000000017d52 0x1ce c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .debug_loc 0x0000000000000000 0x4ae /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .debug_loc 0x00000000000004ae 0x1a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .debug_loc 0x0000000000000650 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .debug_loc 0x00000000000006a8 0xb1b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .debug_loc 0x00000000000011c3 0x1a6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .debug_loc 0x0000000000001369 0xd3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .debug_loc 0x000000000000143c 0x81 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .debug_loc 0x00000000000014bd 0x117 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .debug_loc 0x00000000000015d4 0xf2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .debug_loc 0x00000000000016c6 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .debug_loc 0x000000000000171e 0x47 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .debug_loc 0x0000000000001765 0x3250 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .debug_loc 0x00000000000049b5 0xa2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .debug_loc 0x0000000000004a57 0x2855 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .debug_loc 0x00000000000072ac 0x36f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .debug_loc 0x000000000000761b 0x276 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .debug_loc 0x0000000000007891 0x4e7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .debug_loc 0x0000000000007d78 0x5d7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .debug_loc 0x000000000000834f 0x25b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .debug_loc 0x00000000000085aa 0x131 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .debug_loc 0x00000000000086db 0x122 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .debug_loc 0x00000000000087fd 0x1a1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .debug_loc 0x000000000000899e 0x266 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .debug_loc 0x0000000000008c04 0x308 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .debug_loc 0x0000000000008f0c 0x1ccb /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .debug_loc 0x000000000000abd7 0x224 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .debug_loc 0x000000000000adfb 0xdf3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .debug_loc 0x000000000000bbee 0x127 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .debug_loc 0x000000000000bd15 0x2f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .debug_loc 0x000000000000bd44 0x33a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .debug_loc 0x000000000000c07e 0xc6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .debug_loc 0x000000000000c144 0x27b3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .debug_loc 0x000000000000e8f7 0x1b2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .debug_loc 0x000000000000eaa9 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .debug_loc 0x000000000000eb59 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .debug_loc 0x000000000000ebb1 0x1db /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .debug_loc 0x000000000000ed8c 0x199 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .debug_loc 0x000000000000ef25 0x2a1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .debug_loc 0x000000000000f1c6 0x84 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .debug_loc 0x000000000000f24a 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .debug_loc 0x000000000000f2a2 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .debug_loc 0x000000000000f352 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .debug_loc 0x000000000000f402 0x24e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .debug_loc 0x000000000000f650 0x8f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .debug_loc 0x000000000000f6df 0x10aa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .debug_loc 0x0000000000010789 0x1085 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .debug_loc 0x000000000001180e 0x16f9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .debug_loc 0x0000000000012f07 0x20b2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .debug_loc 0x0000000000014fb9 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .debug_loc 0x0000000000015021 0xf9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .debug_loc 0x000000000001511a 0x163 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .debug_loc 0x000000000001527d 0xff8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .debug_loc 0x0000000000016275 0x1627 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .debug_loc 0x000000000001789c 0x121 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .debug_loc 0x00000000000179bd 0x175 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .debug_loc 0x0000000000017b32 0x19e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .debug_loc 0x0000000000017cd0 0x6b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .debug_loc 0x0000000000017d3b 0x2c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .debug_loc 0x0000000000017d67 0x1e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .debug_loc 0x0000000000017d85 0x126 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .debug_loc 0x0000000000017eab 0x185 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .debug_loc 0x0000000000018030 0x1ce /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) .debug_macinfo *(.debug_macinfo) @@ -2516,7 +2516,7 @@ LOAD c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nio .debug_alt_sim_info 0x0000000000000000 0x30 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o .debug_alt_sim_info - 0x0000000000000030 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + 0x0000000000000030 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) 0x00000000000386a0 __alt_data_end = 0x386a0 0x00000000000386a0 PROVIDE (__alt_stack_pointer, __alt_data_end) [!provide] PROVIDE (__alt_stack_limit, __alt_stack_base) @@ -2526,71 +2526,71 @@ OUTPUT(D8M_Camera_Test.elf elf32-littlenios2) .debug_ranges 0x0000000000000000 0x19e0 .debug_ranges 0x0000000000000000 0x20 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o - .debug_ranges 0x0000000000000020 0x60 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) - .debug_ranges 0x0000000000000080 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-getc.o) - .debug_ranges 0x00000000000000c8 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) - .debug_ranges 0x00000000000000e0 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) - .debug_ranges 0x0000000000000128 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) - .debug_ranges 0x0000000000000138 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) - .debug_ranges 0x0000000000000150 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) - .debug_ranges 0x0000000000000168 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) - .debug_ranges 0x0000000000000198 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-rget.o) - .debug_ranges 0x00000000000001c8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) - .debug_ranges 0x00000000000001d8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) - .debug_ranges 0x00000000000001e8 0x178 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) - .debug_ranges 0x0000000000000360 0x40 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) - .debug_ranges 0x00000000000003a0 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) - .debug_ranges 0x00000000000003b8 0x58 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) - .debug_ranges 0x0000000000000410 0xc8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) - .debug_ranges 0x00000000000004d8 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) - .debug_ranges 0x00000000000004f0 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) - .debug_ranges 0x0000000000000520 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) - .debug_ranges 0x0000000000000538 0x60 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) - .debug_ranges 0x0000000000000598 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) - .debug_ranges 0x00000000000005a8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) - .debug_ranges 0x00000000000005b8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) - .debug_ranges 0x00000000000005c8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) - .debug_ranges 0x00000000000005d8 0xe8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) - .debug_ranges 0x00000000000006c0 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) - .debug_ranges 0x0000000000000708 0x148 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) - .debug_ranges 0x0000000000000850 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-refill.o) - .debug_ranges 0x0000000000000880 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) - .debug_ranges 0x0000000000000890 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) - .debug_ranges 0x00000000000008c0 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) - .debug_ranges 0x00000000000008d0 0x1b8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) - .debug_ranges 0x0000000000000a88 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) - .debug_ranges 0x0000000000000ab8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) - .debug_ranges 0x0000000000000ac8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) - .debug_ranges 0x0000000000000ad8 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) - .debug_ranges 0x0000000000000b20 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) - .debug_ranges 0x0000000000000b68 0x50 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) - .debug_ranges 0x0000000000000bb8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) - .debug_ranges 0x0000000000000bc8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) - .debug_ranges 0x0000000000000bd8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) - .debug_ranges 0x0000000000000be8 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) - .debug_ranges 0x0000000000000bf8 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) - .debug_ranges 0x0000000000000c10 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) - .debug_ranges 0x0000000000000c28 0x158 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) - .debug_ranges 0x0000000000000d80 0x160 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) - .debug_ranges 0x0000000000000ee0 0x240 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) - .debug_ranges 0x0000000000001120 0x138 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) - .debug_ranges 0x0000000000001258 0x48 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) - .debug_ranges 0x00000000000012a0 0x88 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) - .debug_ranges 0x0000000000001328 0xa8 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) - .debug_ranges 0x00000000000013d0 0x1f0 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) - .debug_ranges 0x00000000000015c0 0x268 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) - .debug_ranges 0x0000000000001828 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) - .debug_ranges 0x0000000000001858 0x20 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) - .debug_ranges 0x0000000000001878 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) - .debug_ranges 0x0000000000001890 0x18 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) - .debug_ranges 0x00000000000018a8 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_ranges 0x00000000000018d8 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_ranges 0x00000000000018f0 0x28 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_ranges 0x0000000000001918 0x10 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_ranges 0x0000000000001928 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_ranges 0x0000000000001958 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_ranges 0x0000000000001970 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) - .debug_ranges 0x0000000000001980 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) - .debug_ranges 0x0000000000001990 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) - .debug_ranges 0x00000000000019a0 0x10 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) - .debug_ranges 0x00000000000019b0 0x30 c:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .debug_ranges 0x0000000000000020 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + .debug_ranges 0x0000000000000080 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + .debug_ranges 0x00000000000000c8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + .debug_ranges 0x00000000000000e0 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .debug_ranges 0x0000000000000128 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + .debug_ranges 0x0000000000000138 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + .debug_ranges 0x0000000000000150 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + .debug_ranges 0x0000000000000168 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + .debug_ranges 0x0000000000000198 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + .debug_ranges 0x00000000000001c8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + .debug_ranges 0x00000000000001d8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + .debug_ranges 0x00000000000001e8 0x178 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + .debug_ranges 0x0000000000000360 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + .debug_ranges 0x00000000000003a0 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + .debug_ranges 0x00000000000003b8 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + .debug_ranges 0x0000000000000410 0xc8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + .debug_ranges 0x00000000000004d8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + .debug_ranges 0x00000000000004f0 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + .debug_ranges 0x0000000000000520 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + .debug_ranges 0x0000000000000538 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + .debug_ranges 0x0000000000000598 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + .debug_ranges 0x00000000000005a8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + .debug_ranges 0x00000000000005b8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + .debug_ranges 0x00000000000005c8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + .debug_ranges 0x00000000000005d8 0xe8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + .debug_ranges 0x00000000000006c0 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + .debug_ranges 0x0000000000000708 0x148 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + .debug_ranges 0x0000000000000850 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + .debug_ranges 0x0000000000000880 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + .debug_ranges 0x0000000000000890 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + .debug_ranges 0x00000000000008c0 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + .debug_ranges 0x00000000000008d0 0x1b8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + .debug_ranges 0x0000000000000a88 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + .debug_ranges 0x0000000000000ab8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + .debug_ranges 0x0000000000000ac8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + .debug_ranges 0x0000000000000ad8 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + .debug_ranges 0x0000000000000b20 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + .debug_ranges 0x0000000000000b68 0x50 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + .debug_ranges 0x0000000000000bb8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + .debug_ranges 0x0000000000000bc8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + .debug_ranges 0x0000000000000bd8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + .debug_ranges 0x0000000000000be8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + .debug_ranges 0x0000000000000bf8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + .debug_ranges 0x0000000000000c10 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + .debug_ranges 0x0000000000000c28 0x158 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + .debug_ranges 0x0000000000000d80 0x160 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + .debug_ranges 0x0000000000000ee0 0x240 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + .debug_ranges 0x0000000000001120 0x138 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + .debug_ranges 0x0000000000001258 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + .debug_ranges 0x00000000000012a0 0x88 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + .debug_ranges 0x0000000000001328 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + .debug_ranges 0x00000000000013d0 0x1f0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + .debug_ranges 0x00000000000015c0 0x268 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + .debug_ranges 0x0000000000001828 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + .debug_ranges 0x0000000000001858 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + .debug_ranges 0x0000000000001878 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + .debug_ranges 0x0000000000001890 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + .debug_ranges 0x00000000000018a8 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_ranges 0x00000000000018d8 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_ranges 0x00000000000018f0 0x28 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_ranges 0x0000000000001918 0x10 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_ranges 0x0000000000001928 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_ranges 0x0000000000001958 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_ranges 0x0000000000001970 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + .debug_ranges 0x0000000000001980 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) + .debug_ranges 0x0000000000001990 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) + .debug_ranges 0x00000000000019a0 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + .debug_ranges 0x00000000000019b0 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump index eefcbd9..918dfb4 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump @@ -35,45 +35,49 @@ Idx Name Size VMA LMA File off Algn CONTENTS, READONLY 8 .debug_aranges 000010a8 00000000 00000000 00015ee0 2**3 CONTENTS, READONLY, DEBUGGING - 9 .debug_info 00028ed6 00000000 00000000 00016f88 2**0 + 9 .debug_info 00028f70 00000000 00000000 00016f88 2**0 CONTENTS, READONLY, DEBUGGING - 10 .debug_abbrev 0000a658 00000000 00000000 0003fe5e 2**0 + 10 .debug_abbrev 0000a67c 00000000 00000000 0003fef8 2**0 CONTENTS, READONLY, DEBUGGING - 11 .debug_line 0000cf5a 00000000 00000000 0004a4b6 2**0 + 11 .debug_line 0000cfb3 00000000 00000000 0004a574 2**0 CONTENTS, READONLY, DEBUGGING - 12 .debug_frame 000029b0 00000000 00000000 00057410 2**2 + 12 .debug_frame 000029b0 00000000 00000000 00057528 2**2 CONTENTS, READONLY, DEBUGGING - 13 .debug_str 00003edf 00000000 00000000 00059dc0 2**0 + 13 .debug_str 00003f8b 00000000 00000000 00059ed8 2**0 CONTENTS, READONLY, DEBUGGING - 14 .debug_loc 00017f20 00000000 00000000 0005dc9f 2**0 + 14 .debug_loc 000181fe 00000000 00000000 0005de63 2**0 CONTENTS, READONLY, DEBUGGING - 15 .debug_alt_sim_info 00000060 00000000 00000000 00075bc0 2**2 + 15 .debug_alt_sim_info 00000060 00000000 00000000 00076064 2**2 CONTENTS, READONLY, DEBUGGING - 16 .debug_ranges 000019e0 00000000 00000000 00075c20 2**3 + 16 .debug_ranges 000019e0 00000000 00000000 000760c8 2**3 CONTENTS, READONLY, DEBUGGING - 17 .thread_model 00000003 00000000 00000000 0007af6b 2**0 + 17 .thread_model 00000003 00000000 00000000 0007b472 2**0 CONTENTS, READONLY - 18 .cpu 0000000a 00000000 00000000 0007af6e 2**0 + 18 .cpu 0000000a 00000000 00000000 0007b475 2**0 CONTENTS, READONLY - 19 .qsys 00000001 00000000 00000000 0007af78 2**0 + 19 .qsys 00000001 00000000 00000000 0007b47f 2**0 CONTENTS, READONLY - 20 .simulation_enabled 00000001 00000000 00000000 0007af79 2**0 + 20 .simulation_enabled 00000001 00000000 00000000 0007b480 2**0 CONTENTS, READONLY - 21 .sysid_hash 00000004 00000000 00000000 0007af7a 2**0 + 21 .sysid_hash 00000004 00000000 00000000 0007b481 2**0 CONTENTS, READONLY - 22 .sysid_base 00000004 00000000 00000000 0007af7e 2**0 + 22 .sysid_base 00000004 00000000 00000000 0007b485 2**0 CONTENTS, READONLY - 23 .sysid_time 00000004 00000000 00000000 0007af82 2**0 + 23 .sysid_time 00000004 00000000 00000000 0007b489 2**0 CONTENTS, READONLY - 24 .stderr_dev 00000009 00000000 00000000 0007af86 2**0 + 24 .stderr_dev 00000009 00000000 00000000 0007b48d 2**0 CONTENTS, READONLY - 25 .stdin_dev 00000009 00000000 00000000 0007af8f 2**0 + 25 .stdin_dev 00000009 00000000 00000000 0007b496 2**0 CONTENTS, READONLY - 26 .stdout_dev 00000009 00000000 00000000 0007af98 2**0 + 26 .stdout_dev 00000009 00000000 00000000 0007b49f 2**0 CONTENTS, READONLY - 27 .sopc_system_name 00000004 00000000 00000000 0007afa1 2**0 + 27 .sopc_system_name 00000004 00000000 00000000 0007b4a8 2**0 CONTENTS, READONLY - 28 .quartus_project_dir 00000003 00000000 00000000 0007afa5 2**0 + 28 .quartus_project_dir 00000039 00000000 00000000 0007b4ac 2**0 + CONTENTS, READONLY + 29 .jdi 00003b41 00000000 00000000 0007b4e5 2**0 + CONTENTS, READONLY + 30 .sopcinfo 00099263 00000000 00000000 0007f026 2**0 CONTENTS, READONLY SYMBOL TABLE: 00020000 l d .entry 00000000 .entry @@ -559,7 +563,7 @@ Disassembly of section .entry: addi r2, r2, -NIOS2_ICACHE_LINE_SIZE 20008: 10bff804 addi r2,r2,-32 bgt r2, zero, 0b - 2000c: 00bffd16 blt zero,r2,20004 <_gp+0xfffe3198> + 2000c: 00bffd16 blt zero,r2,20004 <__reset+0x4> * Jump to the _start entry point in the .text section if reset code * is allowed or if optimizing for RTL simulation. */ @@ -864,14 +868,14 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) 201a0: e0bffd15 stw r2,-12(fp) } while (1); - 201a4: 003fe106 br 2012c <_gp+0xfffe32c0> + 201a4: 003fe106 br 2012c active = alt_irq_pending (); 201a8: e0bffb15 stw r2,-20(fp) } while (active); 201ac: e0bffb17 ldw r2,-20(fp) - 201b0: 103fdb1e bne r2,zero,20120 <_gp+0xfffe32b4> + 201b0: 103fdb1e bne r2,zero,20120 /* * Notify the operating system that interrupt processing is complete. @@ -981,7 +985,7 @@ Disassembly of section .text: 2024c: 10bff804 addi r2,r2,-32 #endif bgt r2, zero, 0b - 20250: 00bffd16 blt zero,r2,20248 <_gp+0xfffe33dc> + 20250: 00bffd16 blt zero,r2,20248 <_start+0x4> /* * Now that the caches are initialized, set up the stack pointer and global pointer. @@ -1019,7 +1023,7 @@ Disassembly of section .text: addi r2, r2, 4 2027c: 10800104 addi r2,r2,4 bltu r2, r3, 0b - 20280: 10fffd36 bltu r2,r3,20278 <_gp+0xfffe340c> + 20280: 10fffd36 bltu r2,r3,20278 <_start+0x34> * section aren't defined until alt_load() has been called). */ mov et, zero @@ -1040,7 +1044,7 @@ Disassembly of section .text: /* Wait in infinite loop in case alt_main does return. */ alt_after_alt_main: br alt_after_alt_main - 2028c: 003fff06 br 2028c <_gp+0xfffe3420> + 2028c: 003fff06 br 2028c 00020290 : #define SLEEP_TIME 250 //us @@ -1429,7 +1433,7 @@ bool ACK_check(alt_32 i2c_base){ 2061c: 10c00044 addi r3,r2,1 20620: e0fffe15 stw r3,-8(fp) 20624: 10800290 cmplti r2,r2,10 - 20628: 103ff61e bne r2,zero,20604 <_gp+0xfffe3798> + 20628: 103ff61e bne r2,zero,20604 bSuccess = ACK_single_check(i2c_base); } @@ -1619,7 +1623,7 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 207ec: 10bfffc4 addi r2,r2,-1 207f0: e0bffb15 stw r2,-20(fp) 207f4: e0bffb17 ldw r2,-20(fp) - 207f8: 103fda0e bge r2,zero,20764 <_gp+0xfffe38f8> + 207f8: 103fda0e bge r2,zero,20764 //wait TIP bit go to 0 to end Tx if(!ACK_check( i2c_base)) return false; @@ -1867,7 +1871,7 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20a30: e0bffa15 stw r2,-24(fp) 20a34: e0fffa17 ldw r3,-24(fp) 20a38: e0800217 ldw r2,8(fp) - 20a3c: 18bfde16 blt r3,r2,209b8 <_gp+0xfffe3b4c> + 20a3c: 18bfde16 blt r3,r2,209b8 Data32=IORD( i2c_base, 3); *(pData8+i) = Data32 & 0xff; @@ -1999,7 +2003,7 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 20b68: e0bffa15 stw r2,-24(fp) 20b6c: e0fffa17 ldw r3,-24(fp) 20b70: e0bfff17 ldw r2,-4(fp) - 20b74: 18bfde16 blt r3,r2,20af0 <_gp+0xfffe3c84> + 20b74: 18bfde16 blt r3,r2,20af0 Data32=IORD( i2c_base, 3); *(pData8+i) = Data32 & 0xff; @@ -2225,7 +2229,7 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20d70: 10bfffc4 addi r2,r2,-1 20d74: e0bffa15 stw r2,-24(fp) 20d78: e0bffa17 ldw r2,-24(fp) - 20d7c: 103fe00e bge r2,zero,20d00 <_gp+0xfffe3e94> + 20d7c: 103fe00e bge r2,zero,20d00 //wait TIP bit go to 0 to end Tx if(!ACK_check( i2c_base)) return FALSE; @@ -2498,7 +2502,7 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20ff0: e0bff915 stw r2,-28(fp) 20ff4: e0fff917 ldw r3,-28(fp) 20ff8: e0800217 ldw r2,8(fp) - 20ffc: 18bfde16 blt r3,r2,20f78 <_gp+0xfffe410c> + 20ffc: 18bfde16 blt r3,r2,20f78 DataLow = Data32 & 0xff; pData16 = (DataHigh << 8) | DataLow; @@ -2838,7 +2842,7 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) 21398: 109c6104 addi r2,r2,29060 2139c: 10c00017 ldw r3,0(r2) 213a0: e0bfff17 ldw r2,-4(fp) - 213a4: 18bff636 bltu r3,r2,21380 <_gp+0xfffe4514> + 213a4: 18bff636 bltu r3,r2,21380 213a8: 008000f4 movhi r2,3 213ac: 109c6104 addi r2,r2,29060 213b0: 10c00017 ldw r3,0(r2) @@ -3100,16 +3104,12 @@ void mipi_show_error_info_more(void){ 000216bc : - - bool MIPI_Init(void){ 216bc: defffd04 addi sp,sp,-12 216c0: dfc00215 stw ra,8(sp) 216c4: df000115 stw fp,4(sp) 216c8: df000104 addi fp,sp,4 bool bSuccess; - - bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K 216cc: 018001b4 movhi r6,6 216d0: 3186a004 addi r6,r6,6784 @@ -3126,39 +3126,29 @@ bool MIPI_Init(void){ 216f4: 010000f4 movhi r4,3 216f8: 2109c804 addi r4,r4,10016 216fc: 002367c0 call 2367c - usleep(50*1000); 21700: 0130d414 movui r4,50000 21704: 00302f00 call 302f0 MipiBridgeInit(); 21708: 0021c9c0 call 21c9c - usleep(500*1000); 2170c: 01000234 movhi r4,8 21710: 21284804 addi r4,r4,-24288 21714: 00302f00 call 302f0 - // bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K // if (!bSuccess) // printf("failed to init MIPI- Camera i2c\r\n"); - MipiCameraInit(); 21718: 00224800 call 22480 MIPI_BIN_LEVEL(DEFAULT_LEVEL); 2171c: 010000c4 movi r4,3 21720: 00222c00 call 222c0 // OV8865_FOCUS_Move_to(340); - // oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - - usleep(1000); 21724: 0100fa04 movi r4,1000 21728: 00302f00 call 302f0 - - // oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); - return bSuccess; 2172c: e0bfff17 ldw r2,-4(fp) } @@ -3170,59 +3160,52 @@ bool MIPI_Init(void){ 00021744

    : - - - int main() { 21744: defff804 addi sp,sp,-32 21748: dfc00715 stw ra,28(sp) 2174c: df000615 stw fp,24(sp) 21750: df000604 addi fp,sp,24 - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); 21754: 01900004 movi r6,16384 21758: 01400104 movi r5,4 2175c: 0009883a mov r4,zero 21760: 002f9040 call 2f904 - - printf("DE10-LITE D8M VGA Demo\n"); + printf("DE10-LITE D8M VGA Demo\n"); 21764: 010000f4 movhi r4,3 21768: 2109d104 addi r4,r4,10052 2176c: 002367c0 call 2367c - printf("Imperial College EEE2 Project version\n"); + printf("Imperial College EEE2 Project version\n"); 21770: 010000f4 movhi r4,3 21774: 2109d704 addi r4,r4,10076 21778: 002367c0 call 2367c - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); 2177c: 0007883a mov r3,zero 21780: 00800134 movhi r2,4 21784: 10842004 addi r2,r2,4224 21788: 10c00035 stwio r3,0(r2) - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); 2178c: 0007883a mov r3,zero 21790: 00800134 movhi r2,4 21794: 10842404 addi r2,r2,4240 21798: 10c00035 stwio r3,0(r2) - - usleep(2000); + usleep(2000); 2179c: 0101f404 movi r4,2000 217a0: 00302f00 call 302f0 - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); 217a4: 00c03fc4 movi r3,255 217a8: 00800134 movhi r2,4 217ac: 10842004 addi r2,r2,4224 217b0: 10c00035 stwio r3,0(r2) - usleep(2000); + usleep(2000); 217b4: 0101f404 movi r4,2000 217b8: 00302f00 call 302f0 - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); 217bc: 00c03fc4 movi r3,255 217c0: 00800134 movhi r2,4 217c4: 10842404 addi r2,r2,4240 217c8: 10c00035 stwio r3,0(r2) - - printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); + printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); 217cc: 00800134 movhi r2,4 217d0: 10880204 addi r2,r2,8200 217d4: 10800037 ldwio r2,0(r2) @@ -3230,51 +3213,47 @@ int main() 217dc: 010000f4 movhi r4,3 217e0: 2109e104 addi r4,r4,10116 217e4: 00235600 call 23560 - //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - - - usleep(2000); + usleep(2000); 217e8: 0101f404 movi r4,2000 217ec: 00302f00 call 302f0 - - // MIPI Init - if (!MIPI_Init()){ + // MIPI Init + if (!MIPI_Init()){ 217f0: 00216bc0 call 216bc 217f4: 1000041e bne r2,zero,21808 - printf("MIPI_Init Init failed!\r\n"); + printf("MIPI_Init Init failed!\r\n"); 217f8: 010000f4 movhi r4,3 217fc: 2109e704 addi r4,r4,10140 21800: 002367c0 call 2367c 21804: 00000306 br 21814 - }else{ - printf("MIPI_Init Init successfully!\r\n"); + }else{ + printf("MIPI_Init Init successfully!\r\n"); 21808: 010000f4 movhi r4,3 2180c: 2109ed04 addi r4,r4,10164 21810: 002367c0 call 2367c - } + } -// while(1){ - mipi_clear_error(); + // while(1){ + mipi_clear_error(); 21814: 00213f40 call 213f4 - usleep(50*1000); + usleep(50*1000); 21818: 0130d414 movui r4,50000 2181c: 00302f00 call 302f0 - mipi_clear_error(); + mipi_clear_error(); 21820: 00213f40 call 213f4 - usleep(1000*1000); + usleep(1000*1000); 21824: 010003f4 movhi r4,15 21828: 21109004 addi r4,r4,16960 2182c: 00302f00 call 302f0 - mipi_show_error_info(); + mipi_show_error_info(); 21830: 00214ac0 call 214ac -// mipi_show_error_info_more(); - printf("\n"); + // mipi_show_error_info_more(); + printf("\n"); 21834: 01000284 movi r4,10 21838: 00235a40 call 235a4 - - - + usleep(50*1000); + } +#endif ////////////////////////////////////////////////////////// alt_u16 bin_level = DEFAULT_LEVEL; @@ -3392,7 +3371,7 @@ int main() 21958: 10800037 ldwio r2,0(r2) 2195c: 1005d23a srai r2,r2,8 21960: 10803fcc andi r2,r2,255 - 21964: 103fec1e bne r2,zero,21918 <_gp+0xfffe4aac> + 21964: 103fec1e bne r2,zero,21918 } printf("%08x ",word); } @@ -3570,7 +3549,7 @@ int main() 21b64: 00302f00 call 302f0 }; - 21b68: 003f4506 br 21880 <_gp+0xfffe4a14> + 21b68: 003f4506 br 21880 00021b6c : {0x0004,0x8047} // Configuration Control Register @@ -3779,7 +3758,7 @@ void MipiBridgeInit(void){ 21d98: e0bffd15 stw r2,-12(fp) 21d9c: e0fffd17 ldw r3,-12(fp) 21da0: e0bfff17 ldw r2,-4(fp) - 21da4: 18bfd016 blt r3,r2,21ce8 <_gp+0xfffe4e7c> + 21da4: 18bfd016 blt r3,r2,21ce8 // MipiBridgeRegWrite(0x005A,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // MipiBridgeRegWrite(0x005C,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // MipiBridgeRegWrite(0x005E,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); @@ -4506,7 +4485,7 @@ void MipiCameraInit(void) 22534: e0bffd15 stw r2,-12(fp) 22538: e0bffd17 ldw r2,-12(fp) 2253c: 10800290 cmplti r2,r2,10 - 22540: 103fe91e bne r2,zero,224e8 <_gp+0xfffe567c> + 22540: 103fe91e bne r2,zero,224e8 OV8865_write_cmos_sensor_8(0x3809,i); usleep(100); printf("%d (%d)\n",OV8865_read_cmos_sensor_8(0x3809),i); @@ -4590,7 +4569,7 @@ void MipiCameraInit(void) 22640: e0bffd15 stw r2,-12(fp) 22644: e0fffd17 ldw r3,-12(fp) 22648: e0bfff17 ldw r2,-4(fp) - 2264c: 18bfc116 blt r3,r2,22554 <_gp+0xfffe56e8> + 2264c: 18bfc116 blt r3,r2,22554 22650: 00000106 br 22658 if (MipiCameraReg[i].Type == TIME_DELAY) usleep(MipiCameraReg[i].Data*100); @@ -4867,7 +4846,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2294c: 294b883a add r5,r5,r5 22950: 10bfffc4 addi r2,r2,-1 22954: 18c7883a add r3,r3,r3 - 22958: 293ffb36 bltu r5,r4,22948 <_gp+0xfffe5adc> + 22958: 293ffb36 bltu r5,r4,22948 <__divsi3+0x20> 2295c: 0005883a mov r2,zero 22960: 18000726 beq r3,zero,22980 <__divsi3+0x58> 22964: 0005883a mov r2,zero @@ -4876,18 +4855,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22970: 10c4b03a or r2,r2,r3 22974: 1806d07a srli r3,r3,1 22978: 280ad07a srli r5,r5,1 - 2297c: 183ffa1e bne r3,zero,22968 <_gp+0xfffe5afc> + 2297c: 183ffa1e bne r3,zero,22968 <__divsi3+0x40> 22980: 38000126 beq r7,zero,22988 <__divsi3+0x60> 22984: 0085c83a sub r2,zero,r2 22988: f800283a ret 2298c: 014bc83a sub r5,zero,r5 22990: 39c0005c xori r7,r7,1 - 22994: 003fe706 br 22934 <_gp+0xfffe5ac8> + 22994: 003fe706 br 22934 <__divsi3+0xc> 22998: 0109c83a sub r4,zero,r4 2299c: 01c00044 movi r7,1 - 229a0: 003fe306 br 22930 <_gp+0xfffe5ac4> + 229a0: 003fe306 br 22930 <__divsi3+0x8> 229a4: 00c00044 movi r3,1 - 229a8: 003fee06 br 22964 <_gp+0xfffe5af8> + 229a8: 003fee06 br 22964 <__divsi3+0x3c> 000229ac <__modsi3>: 229ac: 20001716 blt r4,zero,22a0c <__modsi3+0x60> @@ -4902,23 +4881,23 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 229d0: 294b883a add r5,r5,r5 229d4: 31bfffc4 addi r6,r6,-1 229d8: 18c7883a add r3,r3,r3 - 229dc: 293ffb36 bltu r5,r4,229cc <_gp+0xfffe5b60> + 229dc: 293ffb36 bltu r5,r4,229cc <__modsi3+0x20> 229e0: 18000526 beq r3,zero,229f8 <__modsi3+0x4c> 229e4: 1806d07a srli r3,r3,1 229e8: 11400136 bltu r2,r5,229f0 <__modsi3+0x44> 229ec: 1145c83a sub r2,r2,r5 229f0: 280ad07a srli r5,r5,1 - 229f4: 183ffb1e bne r3,zero,229e4 <_gp+0xfffe5b78> + 229f4: 183ffb1e bne r3,zero,229e4 <__modsi3+0x38> 229f8: 38000126 beq r7,zero,22a00 <__modsi3+0x54> 229fc: 0085c83a sub r2,zero,r2 22a00: f800283a ret 22a04: 014bc83a sub r5,zero,r5 - 22a08: 003fec06 br 229bc <_gp+0xfffe5b50> + 22a08: 003fec06 br 229bc <__modsi3+0x10> 22a0c: 0109c83a sub r4,zero,r4 22a10: 01c00044 movi r7,1 - 22a14: 003fe706 br 229b4 <_gp+0xfffe5b48> + 22a14: 003fe706 br 229b4 <__modsi3+0x8> 22a18: 00c00044 movi r3,1 - 22a1c: 003ff106 br 229e4 <_gp+0xfffe5b78> + 22a1c: 003ff106 br 229e4 <__modsi3+0x38> 00022a20 <__udivsi3>: 22a20: 200d883a mov r6,r4 @@ -4932,7 +4911,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22a40: 294b883a add r5,r5,r5 22a44: 10bfffc4 addi r2,r2,-1 22a48: 18c7883a add r3,r3,r3 - 22a4c: 293ffa36 bltu r5,r4,22a38 <_gp+0xfffe5bcc> + 22a4c: 293ffa36 bltu r5,r4,22a38 <__udivsi3+0x18> 22a50: 18000826 beq r3,zero,22a74 <__udivsi3+0x54> 22a54: 0005883a mov r2,zero 22a58: 31400236 bltu r6,r5,22a64 <__udivsi3+0x44> @@ -4940,12 +4919,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22a60: 10c4b03a or r2,r2,r3 22a64: 1806d07a srli r3,r3,1 22a68: 280ad07a srli r5,r5,1 - 22a6c: 183ffa1e bne r3,zero,22a58 <_gp+0xfffe5bec> + 22a6c: 183ffa1e bne r3,zero,22a58 <__udivsi3+0x38> 22a70: f800283a ret 22a74: 0005883a mov r2,zero 22a78: f800283a ret 22a7c: 00c00044 movi r3,1 - 22a80: 003ff406 br 22a54 <_gp+0xfffe5be8> + 22a80: 003ff406 br 22a54 <__udivsi3+0x34> 00022a84 <__umodsi3>: 22a84: 2005883a mov r2,r4 @@ -4959,17 +4938,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22aa4: 294b883a add r5,r5,r5 22aa8: 31bfffc4 addi r6,r6,-1 22aac: 18c7883a add r3,r3,r3 - 22ab0: 293ffa36 bltu r5,r4,22a9c <_gp+0xfffe5c30> + 22ab0: 293ffa36 bltu r5,r4,22a9c <__umodsi3+0x18> 22ab4: 18000626 beq r3,zero,22ad0 <__umodsi3+0x4c> 22ab8: 1806d07a srli r3,r3,1 22abc: 11400136 bltu r2,r5,22ac4 <__umodsi3+0x40> 22ac0: 1145c83a sub r2,r2,r5 22ac4: 280ad07a srli r5,r5,1 - 22ac8: 183ffb1e bne r3,zero,22ab8 <_gp+0xfffe5c4c> + 22ac8: 183ffb1e bne r3,zero,22ab8 <__umodsi3+0x34> 22acc: f800283a ret 22ad0: f800283a ret 22ad4: 00c00044 movi r3,1 - 22ad8: 003ff706 br 22ab8 <_gp+0xfffe5c4c> + 22ad8: 003ff706 br 22ab8 <__umodsi3+0x34> 00022adc <_getc_r>: 22adc: defffd04 addi sp,sp,-12 @@ -4994,7 +4973,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22b28: d9400015 stw r5,0(sp) 22b2c: 0027aa80 call 27aa8 <__sinit> 22b30: d9400017 ldw r5,0(sp) - 22b34: 003ff006 br 22af8 <_gp+0xfffe5c8c> + 22b34: 003ff006 br 22af8 <_getc_r+0x1c> 22b38: 8009883a mov r4,r16 22b3c: dfc00217 ldw ra,8(sp) 22b40: dc000117 ldw r16,4(sp) @@ -5028,7 +5007,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22ba8: f800283a ret 22bac: 8809883a mov r4,r17 22bb0: 0027aa80 call 27aa8 <__sinit> - 22bb4: 003ff006 br 22b78 <_gp+0xfffe5d0c> + 22bb4: 003ff006 br 22b78 22bb8: 800b883a mov r5,r16 22bbc: 8809883a mov r4,r17 22bc0: dfc00217 ldw ra,8(sp) @@ -5102,7 +5081,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22cb8: 80800117 ldw r2,4(r16) 22cbc: 1184703a and r2,r2,r6 22cc0: 1447c83a sub r3,r2,r17 - 22cc4: 28fff90e bge r5,r3,22cac <_gp+0xfffe5e40> + 22cc4: 28fff90e bge r5,r3,22cac <_malloc_r+0xb0> 22cc8: 3809883a mov r4,r7 22ccc: 018000f4 movhi r6,3 22cd0: 9c000417 ldw r16,16(r19) @@ -5153,7 +5132,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22d84: 294b883a add r5,r5,r5 22d88: 28c4703a and r2,r5,r3 22d8c: 21000104 addi r4,r4,4 - 22d90: 103ffc26 beq r2,zero,22d84 <_gp+0xfffe5f18> + 22d90: 103ffc26 beq r2,zero,22d84 <_malloc_r+0x188> 22d94: 02bfff04 movi r10,-4 22d98: 024003c4 movi r9,15 22d9c: 21800044 addi r6,r4,1 @@ -5174,7 +5153,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22dd8: 80800117 ldw r2,4(r16) 22ddc: 1284703a and r2,r2,r10 22de0: 1447c83a sub r3,r2,r17 - 22de4: 48fff90e bge r9,r3,22dcc <_gp+0xfffe5f60> + 22de4: 48fff90e bge r9,r3,22dcc <_malloc_r+0x1d0> 22de8: 80800317 ldw r2,12(r16) 22dec: 81000217 ldw r4,8(r16) 22df0: 89400054 ori r5,r17,1 @@ -5236,15 +5215,15 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22ed0: 00800304 movi r2,12 22ed4: 90800015 stw r2,0(r18) 22ed8: 0005883a mov r2,zero - 22edc: 003ff006 br 22ea0 <_gp+0xfffe6034> + 22edc: 003ff006 br 22ea0 <_malloc_r+0x2a4> 22ee0: 01002004 movi r4,128 22ee4: 02001004 movi r8,64 22ee8: 01c00fc4 movi r7,63 - 22eec: 003f6106 br 22c74 <_gp+0xfffe5e08> + 22eec: 003f6106 br 22c74 <_malloc_r+0x78> 22ef0: 4009883a mov r4,r8 - 22ef4: 003f7506 br 22ccc <_gp+0xfffe5e60> + 22ef4: 003f7506 br 22ccc <_malloc_r+0xd0> 22ef8: 81000317 ldw r4,12(r16) - 22efc: 003fde06 br 22e78 <_gp+0xfffe600c> + 22efc: 003fde06 br 22e78 <_malloc_r+0x27c> 22f00: 81c5883a add r2,r16,r7 22f04: 11400117 ldw r5,4(r2) 22f08: 9009883a mov r4,r18 @@ -5252,7 +5231,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 22f10: 11400115 stw r5,4(r2) 22f14: 002fec80 call 2fec8 <__malloc_unlock> 22f18: 80800204 addi r2,r16,8 - 22f1c: 003fe006 br 22ea0 <_gp+0xfffe6034> + 22f1c: 003fe006 br 22ea0 <_malloc_r+0x2a4> 22f20: 9c000217 ldw r16,8(r19) 22f24: 00bfff04 movi r2,-4 22f28: 85800117 ldw r22,4(r16) @@ -5353,7 +5332,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 230a4: 9009883a mov r4,r18 230a8: 002fec80 call 2fec8 <__malloc_unlock> 230ac: 0005883a mov r2,zero - 230b0: 003f7b06 br 22ea0 <_gp+0xfffe6034> + 230b0: 003f7b06 br 22ea0 <_malloc_r+0x2a4> 230b4: 88c00054 ori r3,r17,1 230b8: 80c00115 stw r3,4(r16) 230bc: 8463883a add r17,r16,r17 @@ -5363,7 +5342,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 230cc: 9009883a mov r4,r18 230d0: 002fec80 call 2fec8 <__malloc_unlock> 230d4: 80800204 addi r2,r16,8 - 230d8: 003f7106 br 22ea0 <_gp+0xfffe6034> + 230d8: 003f7106 br 22ea0 <_malloc_r+0x2a4> 230dc: 00c00504 movi r3,20 230e0: 18804a2e bgeu r3,r2,2320c <_malloc_r+0x610> 230e4: 00c01504 movi r3,84 @@ -5372,7 +5351,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 230f0: 12001bc4 addi r8,r2,111 230f4: 11c01b84 addi r7,r2,110 230f8: 4209883a add r4,r8,r8 - 230fc: 003edd06 br 22c74 <_gp+0xfffe5e08> + 230fc: 003edd06 br 22c74 <_malloc_r+0x78> 23100: 3804d27a srli r2,r7,9 23104: 00c00104 movi r3,4 23108: 1880442e bgeu r3,r2,2321c <_malloc_r+0x620> @@ -5394,14 +5373,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23148: 1986703a and r3,r3,r6 2314c: 38c0022e bgeu r7,r3,23158 <_malloc_r+0x55c> 23150: 10800217 ldw r2,8(r2) - 23154: 28bffb1e bne r5,r2,23144 <_gp+0xfffe62d8> + 23154: 28bffb1e bne r5,r2,23144 <_malloc_r+0x548> 23158: 11400317 ldw r5,12(r2) 2315c: 98c00117 ldw r3,4(r19) 23160: 81400315 stw r5,12(r16) 23164: 80800215 stw r2,8(r16) 23168: 2c000215 stw r16,8(r5) 2316c: 14000315 stw r16,12(r2) - 23170: 003ef806 br 22d54 <_gp+0xfffe5ee8> + 23170: 003ef806 br 22d54 <_malloc_r+0x158> 23174: 88c00054 ori r3,r17,1 23178: 80c00115 stw r3,4(r16) 2317c: 8463883a add r17,r16,r17 @@ -5416,18 +5395,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 231a0: 9009883a mov r4,r18 231a4: 002fec80 call 2fec8 <__malloc_unlock> 231a8: 80800204 addi r2,r16,8 - 231ac: 003f3c06 br 22ea0 <_gp+0xfffe6034> + 231ac: 003f3c06 br 22ea0 <_malloc_r+0x2a4> 231b0: 30c00117 ldw r3,4(r6) - 231b4: 003ee706 br 22d54 <_gp+0xfffe5ee8> + 231b4: 003ee706 br 22d54 <_malloc_r+0x158> 231b8: 5ac00044 addi r11,r11,1 231bc: 588000cc andi r2,r11,3 231c0: 31800204 addi r6,r6,8 - 231c4: 103efd1e bne r2,zero,22dbc <_gp+0xfffe5f50> + 231c4: 103efd1e bne r2,zero,22dbc <_malloc_r+0x1c0> 231c8: 00002406 br 2325c <_malloc_r+0x660> 231cc: 14000317 ldw r16,12(r2) - 231d0: 143f251e bne r2,r16,22e68 <_gp+0xfffe5ffc> + 231d0: 143f251e bne r2,r16,22e68 <_malloc_r+0x26c> 231d4: 21000084 addi r4,r4,2 - 231d8: 003ebc06 br 22ccc <_gp+0xfffe5e60> + 231d8: 003ebc06 br 22ccc <_malloc_r+0xd0> 231dc: 8085883a add r2,r16,r2 231e0: 10c00117 ldw r3,4(r2) 231e4: 81000317 ldw r4,12(r16) @@ -5439,57 +5418,57 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 231fc: 9009883a mov r4,r18 23200: 002fec80 call 2fec8 <__malloc_unlock> 23204: 80800204 addi r2,r16,8 - 23208: 003f2506 br 22ea0 <_gp+0xfffe6034> + 23208: 003f2506 br 22ea0 <_malloc_r+0x2a4> 2320c: 12001704 addi r8,r2,92 23210: 11c016c4 addi r7,r2,91 23214: 4209883a add r4,r8,r8 - 23218: 003e9606 br 22c74 <_gp+0xfffe5e08> + 23218: 003e9606 br 22c74 <_malloc_r+0x78> 2321c: 3804d1ba srli r2,r7,6 23220: 11400e44 addi r5,r2,57 23224: 10c00e04 addi r3,r2,56 23228: 294b883a add r5,r5,r5 - 2322c: 003fbc06 br 23120 <_gp+0xfffe62b4> - 23230: 84ff5926 beq r16,r19,22f98 <_gp+0xfffe612c> + 2322c: 003fbc06 br 23120 <_malloc_r+0x524> + 23230: 84ff5926 beq r16,r19,22f98 <_malloc_r+0x39c> 23234: 008000f4 movhi r2,3 23238: 108df904 addi r2,r2,14308 2323c: 14000217 ldw r16,8(r2) 23240: 00bfff04 movi r2,-4 23244: 80c00117 ldw r3,4(r16) 23248: 1886703a and r3,r3,r2 - 2324c: 003f9106 br 23094 <_gp+0xfffe6228> + 2324c: 003f9106 br 23094 <_malloc_r+0x498> 23250: 60800217 ldw r2,8(r12) 23254: 213fffc4 addi r4,r4,-1 23258: 1300651e bne r2,r12,233f0 <_malloc_r+0x7f4> 2325c: 208000cc andi r2,r4,3 23260: 633ffe04 addi r12,r12,-8 - 23264: 103ffa1e bne r2,zero,23250 <_gp+0xfffe63e4> + 23264: 103ffa1e bne r2,zero,23250 <_malloc_r+0x654> 23268: 98800117 ldw r2,4(r19) 2326c: 0146303a nor r3,zero,r5 23270: 1884703a and r2,r3,r2 23274: 98800115 stw r2,4(r19) 23278: 294b883a add r5,r5,r5 - 2327c: 117f2836 bltu r2,r5,22f20 <_gp+0xfffe60b4> - 23280: 283f2726 beq r5,zero,22f20 <_gp+0xfffe60b4> + 2327c: 117f2836 bltu r2,r5,22f20 <_malloc_r+0x324> + 23280: 283f2726 beq r5,zero,22f20 <_malloc_r+0x324> 23284: 2886703a and r3,r5,r2 23288: 5809883a mov r4,r11 - 2328c: 183ec31e bne r3,zero,22d9c <_gp+0xfffe5f30> + 2328c: 183ec31e bne r3,zero,22d9c <_malloc_r+0x1a0> 23290: 294b883a add r5,r5,r5 23294: 2886703a and r3,r5,r2 23298: 21000104 addi r4,r4,4 - 2329c: 183ffc26 beq r3,zero,23290 <_gp+0xfffe6424> - 232a0: 003ebe06 br 22d9c <_gp+0xfffe5f30> + 2329c: 183ffc26 beq r3,zero,23290 <_malloc_r+0x694> + 232a0: 003ebe06 br 22d9c <_malloc_r+0x1a0> 232a4: 00c05504 movi r3,340 232a8: 18801236 bltu r3,r2,232f4 <_malloc_r+0x6f8> 232ac: 8804d3fa srli r2,r17,15 232b0: 12001e04 addi r8,r2,120 232b4: 11c01dc4 addi r7,r2,119 232b8: 4209883a add r4,r8,r8 - 232bc: 003e6d06 br 22c74 <_gp+0xfffe5e08> + 232bc: 003e6d06 br 22c74 <_malloc_r+0x78> 232c0: 00c40004 movi r3,4096 - 232c4: 003f4606 br 22fe0 <_gp+0xfffe6174> + 232c4: 003f4606 br 22fe0 <_malloc_r+0x3e4> 232c8: 00800044 movi r2,1 232cc: a0800115 stw r2,4(r20) - 232d0: 003f7406 br 230a4 <_gp+0xfffe6238> + 232d0: 003f7406 br 230a4 <_malloc_r+0x4a8> 232d4: 1805d0ba srai r2,r3,2 232d8: 01c00044 movi r7,1 232dc: 30c00117 ldw r3,4(r6) @@ -5497,76 +5476,76 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 232e4: 2805883a mov r2,r5 232e8: 38c6b03a or r3,r7,r3 232ec: 30c00115 stw r3,4(r6) - 232f0: 003f9b06 br 23160 <_gp+0xfffe62f4> + 232f0: 003f9b06 br 23160 <_malloc_r+0x564> 232f4: 00c15504 movi r3,1364 232f8: 18801a36 bltu r3,r2,23364 <_malloc_r+0x768> 232fc: 8804d4ba srli r2,r17,18 23300: 12001f44 addi r8,r2,125 23304: 11c01f04 addi r7,r2,124 23308: 4209883a add r4,r8,r8 - 2330c: 003e5906 br 22c74 <_gp+0xfffe5e08> + 2330c: 003e5906 br 22c74 <_malloc_r+0x78> 23310: ad400404 addi r21,r21,16 - 23314: 003f1706 br 22f74 <_gp+0xfffe6108> + 23314: 003f1706 br 22f74 <_malloc_r+0x378> 23318: 00c01504 movi r3,84 2331c: 18802336 bltu r3,r2,233ac <_malloc_r+0x7b0> 23320: 3804d33a srli r2,r7,12 23324: 11401bc4 addi r5,r2,111 23328: 10c01b84 addi r3,r2,110 2332c: 294b883a add r5,r5,r5 - 23330: 003f7b06 br 23120 <_gp+0xfffe62b4> + 23330: 003f7b06 br 23120 <_malloc_r+0x524> 23334: 9c000217 ldw r16,8(r19) 23338: 00bfff04 movi r2,-4 2333c: 80c00117 ldw r3,4(r16) 23340: 1886703a and r3,r3,r2 - 23344: 003f5306 br 23094 <_gp+0xfffe6228> + 23344: 003f5306 br 23094 <_malloc_r+0x498> 23348: 3083ffcc andi r2,r6,4095 - 2334c: 103f181e bne r2,zero,22fb0 <_gp+0xfffe6144> + 2334c: 103f181e bne r2,zero,22fb0 <_malloc_r+0x3b4> 23350: 99000217 ldw r4,8(r19) 23354: b545883a add r2,r22,r21 23358: 10800054 ori r2,r2,1 2335c: 20800115 stw r2,4(r4) - 23360: 003f3e06 br 2305c <_gp+0xfffe61f0> + 23360: 003f3e06 br 2305c <_malloc_r+0x460> 23364: 01003f84 movi r4,254 23368: 02001fc4 movi r8,127 2336c: 01c01f84 movi r7,126 - 23370: 003e4006 br 22c74 <_gp+0xfffe5e08> + 23370: 003e4006 br 22c74 <_malloc_r+0x78> 23374: 008000f4 movhi r2,3 23378: 1093a004 addi r2,r2,20096 2337c: 15000015 stw r20,0(r2) - 23380: 003f1106 br 22fc8 <_gp+0xfffe615c> + 23380: 003f1106 br 22fc8 <_malloc_r+0x3cc> 23384: 00800044 movi r2,1 23388: 002b883a mov r21,zero - 2338c: 003f1f06 br 2300c <_gp+0xfffe61a0> + 2338c: 003f1f06 br 2300c <_malloc_r+0x410> 23390: 81400204 addi r5,r16,8 23394: 9009883a mov r4,r18 23398: 0027c1c0 call 27c1c <_free_r> 2339c: 008000f4 movhi r2,3 233a0: 109c6404 addi r2,r2,29072 233a4: 10c00017 ldw r3,0(r2) - 233a8: 003f2c06 br 2305c <_gp+0xfffe61f0> + 233a8: 003f2c06 br 2305c <_malloc_r+0x460> 233ac: 00c05504 movi r3,340 233b0: 18800536 bltu r3,r2,233c8 <_malloc_r+0x7cc> 233b4: 3804d3fa srli r2,r7,15 233b8: 11401e04 addi r5,r2,120 233bc: 10c01dc4 addi r3,r2,119 233c0: 294b883a add r5,r5,r5 - 233c4: 003f5606 br 23120 <_gp+0xfffe62b4> + 233c4: 003f5606 br 23120 <_malloc_r+0x524> 233c8: 00c15504 movi r3,1364 233cc: 18800536 bltu r3,r2,233e4 <_malloc_r+0x7e8> 233d0: 3804d4ba srli r2,r7,18 233d4: 11401f44 addi r5,r2,125 233d8: 10c01f04 addi r3,r2,124 233dc: 294b883a add r5,r5,r5 - 233e0: 003f4f06 br 23120 <_gp+0xfffe62b4> + 233e0: 003f4f06 br 23120 <_malloc_r+0x524> 233e4: 01403f84 movi r5,254 233e8: 00c01f84 movi r3,126 - 233ec: 003f4c06 br 23120 <_gp+0xfffe62b4> + 233ec: 003f4c06 br 23120 <_malloc_r+0x524> 233f0: 98800117 ldw r2,4(r19) - 233f4: 003fa006 br 23278 <_gp+0xfffe640c> + 233f4: 003fa006 br 23278 <_malloc_r+0x67c> 233f8: 8808d0fa srli r4,r17,3 233fc: 20800044 addi r2,r4,1 23400: 1085883a add r2,r2,r2 - 23404: 003e9006 br 22e48 <_gp+0xfffe5fdc> + 23404: 003e9006 br 22e48 <_malloc_r+0x24c> 00023408 : 23408: 20c000cc andi r3,r4,3 @@ -5585,7 +5564,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2343c: 18c00044 addi r3,r3,1 23440: 32400005 stb r9,0(r6) 23444: 1a0000cc andi r8,r3,3 - 23448: 403ff81e bne r8,zero,2342c <_gp+0xfffe65c0> + 23448: 403ff81e bne r8,zero,2342c 2344c: 010000c4 movi r4,3 23450: 21c02d2e bgeu r4,r7,23508 23454: 29003fcc andi r4,r5,255 @@ -5612,7 +5591,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 234a8: 4a400404 addi r9,r9,16 234ac: 5ac00404 addi r11,r11,16 234b0: 52800404 addi r10,r10,16 - 234b4: 413ff71e bne r8,r4,23494 <_gp+0xfffe6628> + 234b4: 413ff71e bne r8,r4,23494 234b8: 63000044 addi r12,r12,1 234bc: 6018913a slli r12,r12,4 234c0: 39c003cc andi r7,r7,15 @@ -5625,7 +5604,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 234dc: 49800015 stw r6,0(r9) 234e0: 423fff04 addi r8,r8,-4 234e4: 4a400104 addi r9,r9,4 - 234e8: 223ffc36 bltu r4,r8,234dc <_gp+0xfffe6670> + 234e8: 223ffc36 bltu r4,r8,234dc 234ec: 393fff04 addi r4,r7,-4 234f0: 2008d0ba srli r4,r4,2 234f4: 39c000cc andi r7,r7,3 @@ -5637,12 +5616,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2350c: 19cf883a add r7,r3,r7 23510: 19400005 stb r5,0(r3) 23514: 18c00044 addi r3,r3,1 - 23518: 38fffd1e bne r7,r3,23510 <_gp+0xfffe66a4> + 23518: 38fffd1e bne r7,r3,23510 2351c: f800283a ret 23520: f800283a ret 23524: 2007883a mov r3,r4 23528: 300f883a mov r7,r6 - 2352c: 003fc706 br 2344c <_gp+0xfffe65e0> + 2352c: 003fc706 br 2344c 00023530 <_printf_r>: 23530: defffd04 addi sp,sp,-12 @@ -5733,9 +5712,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23664: f800283a ret 23668: 8009883a mov r4,r16 2366c: 0027aa80 call 27aa8 <__sinit> - 23670: 003fe906 br 23618 <_gp+0xfffe67ac> + 23670: 003fe906 br 23618 <_puts_r+0x5c> 23674: 00bfffc4 movi r2,-1 - 23678: 003ff606 br 23654 <_gp+0xfffe67e8> + 23678: 003ff606 br 23654 <_puts_r+0x98> 0002367c : 2367c: 008000f4 movhi r2,3 @@ -5771,9 +5750,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 236ec: dec00304 addi sp,sp,12 236f0: f800283a ret 236f4: 0027aa80 call 27aa8 <__sinit> - 236f8: 003fee06 br 236b4 <_gp+0xfffe6848> + 236f8: 003fee06 br 236b4 <__srget_r+0x24> 236fc: 00bfffc4 movi r2,-1 - 23700: 003ff706 br 236e0 <_gp+0xfffe6874> + 23700: 003ff706 br 236e0 <__srget_r+0x50> 00023704 <__srget>: 23704: 008000f4 movhi r2,3 @@ -5801,9 +5780,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23754: dec00304 addi sp,sp,12 23758: f800283a ret 2375c: 80c00017 ldw r3,0(r16) - 23760: 183ff926 beq r3,zero,23748 <_gp+0xfffe68dc> + 23760: 183ff926 beq r3,zero,23748 <_sbrk_r+0x30> 23764: 88c00015 stw r3,0(r17) - 23768: 003ff706 br 23748 <_gp+0xfffe68dc> + 23768: 003ff706 br 23748 <_sbrk_r+0x30> 0002376c : 2376c: 208000cc andi r2,r4,3 @@ -5816,7 +5795,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23788: 18001826 beq r3,zero,237ec 2378c: 10800044 addi r2,r2,1 23790: 10c000cc andi r3,r2,3 - 23794: 183ffb1e bne r3,zero,23784 <_gp+0xfffe6918> + 23794: 183ffb1e bne r3,zero,23784 23798: 10c00017 ldw r3,0(r2) 2379c: 01ffbff4 movhi r7,65279 237a0: 39ffbfc4 addi r7,r7,-257 @@ -5833,15 +5812,15 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 237cc: 00c6303a nor r3,zero,r3 237d0: 28c6703a and r3,r5,r3 237d4: 1986703a and r3,r3,r6 - 237d8: 183ff926 beq r3,zero,237c0 <_gp+0xfffe6954> + 237d8: 183ff926 beq r3,zero,237c0 237dc: 00000106 br 237e4 237e0: 10800044 addi r2,r2,1 237e4: 10c00007 ldb r3,0(r2) - 237e8: 183ffd1e bne r3,zero,237e0 <_gp+0xfffe6974> + 237e8: 183ffd1e bne r3,zero,237e0 237ec: 1105c83a sub r2,r2,r4 237f0: f800283a ret 237f4: 2005883a mov r2,r4 - 237f8: 003fe706 br 23798 <_gp+0xfffe692c> + 237f8: 003fe706 br 23798 237fc: 0005883a mov r2,zero 23800: f800283a ret @@ -5911,7 +5890,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 238fc: 10c00326 beq r2,r3,2390c <___vfprintf_internal_r+0x108> 23900: 84000044 addi r16,r16,1 23904: 80800007 ldb r2,0(r16) - 23908: 103ffc1e bne r2,zero,238fc <_gp+0xfffe6a90> + 23908: 103ffc1e bne r2,zero,238fc <___vfprintf_internal_r+0xf8> 2390c: 8563c83a sub r17,r16,r21 23910: 88000e26 beq r17,zero,2394c <___vfprintf_internal_r+0x148> 23914: d8c02017 ldw r3,128(sp) @@ -6047,7 +6026,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23b1c: d9003115 stw r4,196(sp) 23b20: 94800114 ori r18,r18,4 23b24: ac400007 ldb r17,0(r21) - 23b28: 003f9706 br 23988 <_gp+0xfffe6b1c> + 23b28: 003f9706 br 23988 <___vfprintf_internal_r+0x184> 23b2c: 00800c04 movi r2,48 23b30: d9002d17 ldw r4,180(sp) 23b34: d9402917 ldw r5,164(sp) @@ -6082,9 +6061,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23ba8: b880030b ldhu r2,12(r23) 23bac: 00c00284 movi r3,10 23bb0: 1080068c andi r2,r2,26 - 23bb4: 10ff3c1e bne r2,r3,238a8 <_gp+0xfffe6a3c> + 23bb4: 10ff3c1e bne r2,r3,238a8 <___vfprintf_internal_r+0xa4> 23bb8: b880038f ldh r2,14(r23) - 23bbc: 103f3a16 blt r2,zero,238a8 <_gp+0xfffe6a3c> + 23bbc: 103f3a16 blt r2,zero,238a8 <___vfprintf_internal_r+0xa4> 23bc0: d9c02d17 ldw r7,180(sp) 23bc4: d9002c17 ldw r4,176(sp) 23bc8: a80d883a mov r6,r21 @@ -6097,7 +6076,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23be4: 002a7d00 call 2a7d0 <__sprint_r> 23be8: 1000081e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 23bec: da000404 addi r8,sp,16 - 23bf0: 003f5306 br 23940 <_gp+0xfffe6ad4> + 23bf0: 003f5306 br 23940 <___vfprintf_internal_r+0x13c> 23bf4: d8802017 ldw r2,128(sp) 23bf8: 10000426 beq r2,zero,23c0c <___vfprintf_internal_r+0x408> 23bfc: d9002c17 ldw r4,176(sp) @@ -6122,17 +6101,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23c48: f800283a ret 23c4c: d9002c17 ldw r4,176(sp) 23c50: 0027aa80 call 27aa8 <__sinit> - 23c54: 003f0406 br 23868 <_gp+0xfffe69fc> + 23c54: 003f0406 br 23868 <___vfprintf_internal_r+0x64> 23c58: d8802d17 ldw r2,180(sp) 23c5c: d9002d17 ldw r4,180(sp) 23c60: 10800017 ldw r2,0(r2) 23c64: d8803115 stw r2,196(sp) 23c68: 20800104 addi r2,r4,4 23c6c: d9003117 ldw r4,196(sp) - 23c70: 203fa716 blt r4,zero,23b10 <_gp+0xfffe6ca4> + 23c70: 203fa716 blt r4,zero,23b10 <___vfprintf_internal_r+0x30c> 23c74: d8802d15 stw r2,180(sp) 23c78: ac400007 ldb r17,0(r21) - 23c7c: 003f4206 br 23988 <_gp+0xfffe6b1c> + 23c7c: 003f4206 br 23988 <___vfprintf_internal_r+0x184> 23c80: ac400007 ldb r17,0(r21) 23c84: aac00044 addi r11,r21,1 23c88: 8a872826 beq r17,r10,2592c <___vfprintf_internal_r+0x2128> @@ -6145,13 +6124,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23ca4: a817883a mov r11,r21 23ca8: 2089883a add r4,r4,r2 23cac: 88bff404 addi r2,r17,-48 - 23cb0: 30bff92e bgeu r6,r2,23c98 <_gp+0xfffe6e2c> + 23cb0: 30bff92e bgeu r6,r2,23c98 <___vfprintf_internal_r+0x494> 23cb4: 2005c916 blt r4,zero,253dc <___vfprintf_internal_r+0x1bd8> 23cb8: d9002915 stw r4,164(sp) - 23cbc: 003f3306 br 2398c <_gp+0xfffe6b20> + 23cbc: 003f3306 br 2398c <___vfprintf_internal_r+0x188> 23cc0: 94802014 ori r18,r18,128 23cc4: ac400007 ldb r17,0(r21) - 23cc8: 003f2f06 br 23988 <_gp+0xfffe6b1c> + 23cc8: 003f2f06 br 23988 <___vfprintf_internal_r+0x184> 23ccc: a809883a mov r4,r21 23cd0: d8003115 stw zero,196(sp) 23cd4: 88bff404 addi r2,r17,-48 @@ -6162,9 +6141,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23ce8: a809883a mov r4,r21 23cec: 12d7883a add r11,r2,r11 23cf0: 88bff404 addi r2,r17,-48 - 23cf4: 30bff92e bgeu r6,r2,23cdc <_gp+0xfffe6e70> + 23cf4: 30bff92e bgeu r6,r2,23cdc <___vfprintf_internal_r+0x4d8> 23cf8: dac03115 stw r11,196(sp) - 23cfc: 003f2306 br 2398c <_gp+0xfffe6b20> + 23cfc: 003f2306 br 2398c <___vfprintf_internal_r+0x188> 23d00: 18c03fcc andi r3,r3,255 23d04: 18072b1e bne r3,zero,259b4 <___vfprintf_internal_r+0x21b0> 23d08: 94800414 ori r18,r18,16 @@ -6205,7 +6184,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23d94: 1027883a mov r19,r2 23d98: 10c4b03a or r2,r2,r3 23d9c: 1823883a mov r17,r3 - 23da0: 103fef1e bne r2,zero,23d60 <_gp+0xfffe6ef4> + 23da0: 103fef1e bne r2,zero,23d60 <___vfprintf_internal_r+0x55c> 23da4: d8c02817 ldw r3,160(sp) 23da8: dc402a17 ldw r17,168(sp) 23dac: b011883a mov r8,r22 @@ -6254,7 +6233,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23e58: 00003706 br 23f38 <___vfprintf_internal_r+0x734> 23e5c: 94800214 ori r18,r18,8 23e60: ac400007 ldb r17,0(r21) - 23e64: 003ec806 br 23988 <_gp+0xfffe6b1c> + 23e64: 003ec806 br 23988 <___vfprintf_internal_r+0x184> 23e68: 18c03fcc andi r3,r3,255 23e6c: 1806db1e bne r3,zero,259dc <___vfprintf_internal_r+0x21d8> 23e70: 94800414 ori r18,r18,16 @@ -6287,7 +6266,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23edc: 20e6b03a or r19,r4,r3 23ee0: 80800005 stb r2,0(r16) 23ee4: 9d86b03a or r3,r19,r22 - 23ee8: 183ff61e bne r3,zero,23ec4 <_gp+0xfffe7058> + 23ee8: 183ff61e bne r3,zero,23ec4 <___vfprintf_internal_r+0x6c0> 23eec: 90c0004c andi r3,r18,1 23ef0: 18013b26 beq r3,zero,243e0 <___vfprintf_internal_r+0xbdc> 23ef4: 10803fcc andi r2,r2,255 @@ -6353,17 +6332,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 23fe4: 45800115 stw r22,4(r8) 23fe8: d8c02015 stw r3,128(sp) 23fec: d8801f15 stw r2,124(sp) - 23ff0: e0bff40e bge fp,r2,23fc4 <_gp+0xfffe7158> + 23ff0: e0bff40e bge fp,r2,23fc4 <___vfprintf_internal_r+0x7c0> 23ff4: d9801e04 addi r6,sp,120 23ff8: b80b883a mov r5,r23 23ffc: 8009883a mov r4,r16 24000: 002a7d00 call 2a7d0 <__sprint_r> - 24004: 103f011e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24004: 103f011e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24008: 8c7ffc04 addi r17,r17,-16 2400c: d8c02017 ldw r3,128(sp) 24010: d8801f17 ldw r2,124(sp) 24014: da000404 addi r8,sp,16 - 24018: b47fed16 blt r22,r17,23fd0 <_gp+0xfffe7164> + 24018: b47fed16 blt r22,r17,23fd0 <___vfprintf_internal_r+0x7cc> 2401c: 9021883a mov r16,r18 24020: 9825883a mov r18,r19 24024: 8827883a mov r19,r17 @@ -6436,17 +6415,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24130: 47000115 stw fp,4(r8) 24134: d8c02015 stw r3,128(sp) 24138: d8801f15 stw r2,124(sp) - 2413c: 98bff60e bge r19,r2,24118 <_gp+0xfffe72ac> + 2413c: 98bff60e bge r19,r2,24118 <___vfprintf_internal_r+0x914> 24140: d9801e04 addi r6,sp,120 24144: b80b883a mov r5,r23 24148: 8009883a mov r4,r16 2414c: 002a7d00 call 2a7d0 <__sprint_r> - 24150: 103eae1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24150: 103eae1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24154: 8c7ffc04 addi r17,r17,-16 24158: d8c02017 ldw r3,128(sp) 2415c: d8801f17 ldw r2,124(sp) 24160: da000404 addi r8,sp,16 - 24164: e47fef16 blt fp,r17,24124 <_gp+0xfffe72b8> + 24164: e47fef16 blt fp,r17,24124 <___vfprintf_internal_r+0x920> 24168: 9021883a mov r16,r18 2416c: a825883a mov r18,r21 24170: b02b883a mov r21,r22 @@ -6501,17 +6480,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24234: 44400115 stw r17,4(r8) 24238: d8c02015 stw r3,128(sp) 2423c: d8801f15 stw r2,124(sp) - 24240: 90bff40e bge r18,r2,24214 <_gp+0xfffe73a8> + 24240: 90bff40e bge r18,r2,24214 <___vfprintf_internal_r+0xa10> 24244: d9801e04 addi r6,sp,120 24248: b80b883a mov r5,r23 2424c: 9809883a mov r4,r19 24250: 002a7d00 call 2a7d0 <__sprint_r> - 24254: 103e6d1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24254: 103e6d1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24258: 843ffc04 addi r16,r16,-16 2425c: d8c02017 ldw r3,128(sp) 24260: d8801f17 ldw r2,124(sp) 24264: da000404 addi r8,sp,16 - 24268: 8c3fed16 blt r17,r16,24220 <_gp+0xfffe73b4> + 24268: 8c3fed16 blt r17,r16,24220 <___vfprintf_internal_r+0xa1c> 2426c: d9403517 ldw r5,212(sp) 24270: 1c07883a add r3,r3,r16 24274: 10800044 addi r2,r2,1 @@ -6525,7 +6504,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24294: d9801e04 addi r6,sp,120 24298: b80b883a mov r5,r23 2429c: 002a7d00 call 2a7d0 <__sprint_r> - 242a0: 103e5a1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 242a0: 103e5a1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 242a4: d8c02017 ldw r3,128(sp) 242a8: d8803117 ldw r2,196(sp) 242ac: d9002a17 ldw r4,168(sp) @@ -6538,9 +6517,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 242c8: a8800007 ldb r2,0(r21) 242cc: d8001f15 stw zero,124(sp) 242d0: da000404 addi r8,sp,16 - 242d4: 103d851e bne r2,zero,238ec <_gp+0xfffe6a80> + 242d4: 103d851e bne r2,zero,238ec <___vfprintf_internal_r+0xe8> 242d8: a821883a mov r16,r21 - 242dc: 003d9b06 br 2394c <_gp+0xfffe6ae0> + 242dc: 003d9b06 br 2394c <___vfprintf_internal_r+0x148> 242e0: 18c03fcc andi r3,r3,255 242e4: 1805c11e bne r3,zero,259ec <___vfprintf_internal_r+0x21e8> 242e8: 94800414 ori r18,r18,16 @@ -6558,13 +6537,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24318: d8c02d15 stw r3,180(sp) 2431c: 9124703a and r18,r18,r4 24320: 0039883a mov fp,zero - 24324: 103e891e bne r2,zero,23d4c <_gp+0xfffe6ee0> + 24324: 103e891e bne r2,zero,23d4c <___vfprintf_internal_r+0x548> 24328: d9002917 ldw r4,164(sp) 2432c: 2002c11e bne r4,zero,24e34 <___vfprintf_internal_r+0x1630> 24330: d8002915 stw zero,164(sp) 24334: d8002e15 stw zero,184(sp) 24338: dc001e04 addi r16,sp,120 - 2433c: 003ef806 br 23f20 <_gp+0xfffe70b4> + 2433c: 003ef806 br 23f20 <___vfprintf_internal_r+0x71c> 24340: 18c03fcc andi r3,r3,255 24344: 18059d1e bne r3,zero,259bc <___vfprintf_internal_r+0x21b8> 24348: 014000f4 movhi r5,3 @@ -6604,22 +6583,22 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 243d0: 1ce6b03a or r19,r3,r19 243d4: 80800005 stb r2,0(r16) 243d8: 9d84b03a or r2,r19,r22 - 243dc: 103ff51e bne r2,zero,243b4 <_gp+0xfffe7548> + 243dc: 103ff51e bne r2,zero,243b4 <___vfprintf_internal_r+0xbb0> 243e0: d8c02817 ldw r3,160(sp) 243e4: 1c07c83a sub r3,r3,r16 243e8: d8c02e15 stw r3,184(sp) - 243ec: 003ecc06 br 23f20 <_gp+0xfffe70b4> + 243ec: 003ecc06 br 23f20 <___vfprintf_internal_r+0x71c> 243f0: 18c03fcc andi r3,r3,255 - 243f4: 183e9f26 beq r3,zero,23e74 <_gp+0xfffe7008> + 243f4: 183e9f26 beq r3,zero,23e74 <___vfprintf_internal_r+0x670> 243f8: d9c02785 stb r7,158(sp) - 243fc: 003e9d06 br 23e74 <_gp+0xfffe7008> + 243fc: 003e9d06 br 23e74 <___vfprintf_internal_r+0x670> 24400: 00c00044 movi r3,1 24404: 01c00ac4 movi r7,43 24408: ac400007 ldb r17,0(r21) - 2440c: 003d5e06 br 23988 <_gp+0xfffe6b1c> + 2440c: 003d5e06 br 23988 <___vfprintf_internal_r+0x184> 24410: 94800814 ori r18,r18,32 24414: ac400007 ldb r17,0(r21) - 24418: 003d5b06 br 23988 <_gp+0xfffe6b1c> + 24418: 003d5b06 br 23988 <___vfprintf_internal_r+0x184> 2441c: d8c02d17 ldw r3,180(sp) 24420: d8002785 stb zero,158(sp) 24424: 1c000017 ldw r16,0(r3) @@ -6642,18 +6621,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24468: dcc02d15 stw r19,180(sp) 2446c: d8002915 stw zero,164(sp) 24470: d8003215 stw zero,200(sp) - 24474: 003eb006 br 23f38 <_gp+0xfffe70cc> + 24474: 003eb006 br 23f38 <___vfprintf_internal_r+0x734> 24478: 18c03fcc andi r3,r3,255 - 2447c: 183f9b26 beq r3,zero,242ec <_gp+0xfffe7480> + 2447c: 183f9b26 beq r3,zero,242ec <___vfprintf_internal_r+0xae8> 24480: d9c02785 stb r7,158(sp) - 24484: 003f9906 br 242ec <_gp+0xfffe7480> + 24484: 003f9906 br 242ec <___vfprintf_internal_r+0xae8> 24488: 18c03fcc andi r3,r3,255 2448c: 1805551e bne r3,zero,259e4 <___vfprintf_internal_r+0x21e0> 24490: 014000f4 movhi r5,3 24494: 294a5304 addi r5,r5,10572 24498: d9403915 stw r5,228(sp) 2449c: 9080080c andi r2,r18,32 - 244a0: 103fae1e bne r2,zero,2435c <_gp+0xfffe74f0> + 244a0: 103fae1e bne r2,zero,2435c <___vfprintf_internal_r+0xb58> 244a4: 9080040c andi r2,r18,16 244a8: 1002de26 beq r2,zero,25024 <___vfprintf_internal_r+0x1820> 244ac: d8c02d17 ldw r3,180(sp) @@ -6661,7 +6640,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 244b4: 1cc00017 ldw r19,0(r3) 244b8: 18c00104 addi r3,r3,4 244bc: d8c02d15 stw r3,180(sp) - 244c0: 003fab06 br 24370 <_gp+0xfffe7504> + 244c0: 003fab06 br 24370 <___vfprintf_internal_r+0xb6c> 244c4: 38803fcc andi r2,r7,255 244c8: 1080201c xori r2,r2,128 244cc: 10bfe004 addi r2,r2,-128 @@ -6669,21 +6648,21 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 244d4: 00c00044 movi r3,1 244d8: 01c00804 movi r7,32 244dc: ac400007 ldb r17,0(r21) - 244e0: 003d2906 br 23988 <_gp+0xfffe6b1c> + 244e0: 003d2906 br 23988 <___vfprintf_internal_r+0x184> 244e4: 94800054 ori r18,r18,1 244e8: ac400007 ldb r17,0(r21) - 244ec: 003d2606 br 23988 <_gp+0xfffe6b1c> + 244ec: 003d2606 br 23988 <___vfprintf_internal_r+0x184> 244f0: 18c03fcc andi r3,r3,255 - 244f4: 183e0526 beq r3,zero,23d0c <_gp+0xfffe6ea0> + 244f4: 183e0526 beq r3,zero,23d0c <___vfprintf_internal_r+0x508> 244f8: d9c02785 stb r7,158(sp) - 244fc: 003e0306 br 23d0c <_gp+0xfffe6ea0> + 244fc: 003e0306 br 23d0c <___vfprintf_internal_r+0x508> 24500: 94801014 ori r18,r18,64 24504: ac400007 ldb r17,0(r21) - 24508: 003d1f06 br 23988 <_gp+0xfffe6b1c> + 24508: 003d1f06 br 23988 <___vfprintf_internal_r+0x184> 2450c: ac400007 ldb r17,0(r21) 24510: 8a438726 beq r17,r9,25330 <___vfprintf_internal_r+0x1b2c> 24514: 94800414 ori r18,r18,16 - 24518: 003d1b06 br 23988 <_gp+0xfffe6b1c> + 24518: 003d1b06 br 23988 <___vfprintf_internal_r+0x184> 2451c: 18c03fcc andi r3,r3,255 24520: 1805341e bne r3,zero,259f4 <___vfprintf_internal_r+0x21f0> 24524: 9080080c andi r2,r18,32 @@ -6696,7 +6675,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24540: d9402d15 stw r5,180(sp) 24544: 11000015 stw r4,0(r2) 24548: 10c00115 stw r3,4(r2) - 2454c: 003ce506 br 238e4 <_gp+0xfffe6a78> + 2454c: 003ce506 br 238e4 <___vfprintf_internal_r+0xe0> 24550: d8c02d17 ldw r3,180(sp) 24554: d9002d17 ldw r4,180(sp) 24558: d8002785 stb zero,158(sp) @@ -6711,21 +6690,21 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2457c: d8003215 stw zero,200(sp) 24580: dc001404 addi r16,sp,80 24584: 0039883a mov fp,zero - 24588: 003e7206 br 23f54 <_gp+0xfffe70e8> + 24588: 003e7206 br 23f54 <___vfprintf_internal_r+0x750> 2458c: 010000f4 movhi r4,3 24590: 210a5304 addi r4,r4,10572 24594: 0039883a mov fp,zero 24598: d9003915 stw r4,228(sp) 2459c: 04401e04 movi r17,120 - 245a0: 003f8206 br 243ac <_gp+0xfffe7540> + 245a0: 003f8206 br 243ac <___vfprintf_internal_r+0xba8> 245a4: 18c03fcc andi r3,r3,255 245a8: 1805061e bne r3,zero,259c4 <___vfprintf_internal_r+0x21c0> - 245ac: 883d9126 beq r17,zero,23bf4 <_gp+0xfffe6d88> + 245ac: 883d9126 beq r17,zero,23bf4 <___vfprintf_internal_r+0x3f0> 245b0: 00c00044 movi r3,1 245b4: d8c02a15 stw r3,168(sp) 245b8: dc401405 stb r17,80(sp) 245bc: d8002785 stb zero,158(sp) - 245c0: 003fec06 br 24574 <_gp+0xfffe7708> + 245c0: 003fec06 br 24574 <___vfprintf_internal_r+0xd70> 245c4: 014000f4 movhi r5,3 245c8: 294a5304 addi r5,r5,10572 245cc: d9403915 stw r5,228(sp) @@ -6740,10 +6719,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 245f0: 00c00044 movi r3,1 245f4: 10c20f26 beq r2,r3,24e34 <___vfprintf_internal_r+0x1630> 245f8: 00c00084 movi r3,2 - 245fc: 10fd6326 beq r2,r3,23b8c <_gp+0xfffe6d20> - 24600: 003e2d06 br 23eb8 <_gp+0xfffe704c> + 245fc: 10fd6326 beq r2,r3,23b8c <___vfprintf_internal_r+0x388> + 24600: 003e2d06 br 23eb8 <___vfprintf_internal_r+0x6b4> 24604: d8c02017 ldw r3,128(sp) - 24608: 003e9306 br 24058 <_gp+0xfffe71ec> + 24608: 003e9306 br 24058 <___vfprintf_internal_r+0x854> 2460c: 00801944 movi r2,101 24610: 14407e0e bge r2,r17,2480c <___vfprintf_internal_r+0x1008> 24614: d9003617 ldw r4,216(sp) @@ -6773,7 +6752,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24674: d9403317 ldw r5,204(sp) 24678: 11400216 blt r2,r5,24684 <___vfprintf_internal_r+0xe80> 2467c: 9080004c andi r2,r18,1 - 24680: 103ed526 beq r2,zero,241d8 <_gp+0xfffe736c> + 24680: 103ed526 beq r2,zero,241d8 <___vfprintf_internal_r+0x9d4> 24684: d8803717 ldw r2,220(sp) 24688: d9003417 ldw r4,208(sp) 2468c: d9403717 ldw r5,220(sp) @@ -6789,7 +6768,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 246b4: 42000204 addi r8,r8,8 246b8: d8803317 ldw r2,204(sp) 246bc: 143fffc4 addi r16,r2,-1 - 246c0: 043ec50e bge zero,r16,241d8 <_gp+0xfffe736c> + 246c0: 043ec50e bge zero,r16,241d8 <___vfprintf_internal_r+0x9d4> 246c4: 04400404 movi r17,16 246c8: d8801f17 ldw r2,124(sp) 246cc: 8c00880e bge r17,r16,248f0 <___vfprintf_internal_r+0x10ec> @@ -6808,20 +6787,20 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24700: 44400115 stw r17,4(r8) 24704: d8c02015 stw r3,128(sp) 24708: d8801f15 stw r2,124(sp) - 2470c: b0bff60e bge r22,r2,246e8 <_gp+0xfffe787c> + 2470c: b0bff60e bge r22,r2,246e8 <___vfprintf_internal_r+0xee4> 24710: d9801e04 addi r6,sp,120 24714: b80b883a mov r5,r23 24718: 9809883a mov r4,r19 2471c: 002a7d00 call 2a7d0 <__sprint_r> - 24720: 103d3a1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24720: 103d3a1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24724: d8c02017 ldw r3,128(sp) 24728: d8801f17 ldw r2,124(sp) 2472c: da000404 addi r8,sp,16 - 24730: 003fee06 br 246ec <_gp+0xfffe7880> + 24730: 003fee06 br 246ec <___vfprintf_internal_r+0xee8> 24734: d9403117 ldw r5,196(sp) 24738: d8802a17 ldw r2,168(sp) 2473c: 28adc83a sub r22,r5,r2 - 24740: 05be630e bge zero,r22,240d0 <_gp+0xfffe7264> + 24740: 05be630e bge zero,r22,240d0 <___vfprintf_internal_r+0x8cc> 24744: 07000404 movi fp,16 24748: d8801f17 ldw r2,124(sp) 2474c: e5838f0e bge fp,r22,2558c <___vfprintf_internal_r+0x1d88> @@ -6845,17 +6824,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24794: 47000115 stw fp,4(r8) 24798: d8c02015 stw r3,128(sp) 2479c: d8801f15 stw r2,124(sp) - 247a0: 98bff60e bge r19,r2,2477c <_gp+0xfffe7910> + 247a0: 98bff60e bge r19,r2,2477c <___vfprintf_internal_r+0xf78> 247a4: d9801e04 addi r6,sp,120 247a8: b80b883a mov r5,r23 247ac: 8009883a mov r4,r16 247b0: 002a7d00 call 2a7d0 <__sprint_r> - 247b4: 103d151e bne r2,zero,23c0c <_gp+0xfffe6da0> + 247b4: 103d151e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 247b8: 8c7ffc04 addi r17,r17,-16 247bc: d8c02017 ldw r3,128(sp) 247c0: d8801f17 ldw r2,124(sp) 247c4: da000404 addi r8,sp,16 - 247c8: e47fef16 blt fp,r17,24788 <_gp+0xfffe791c> + 247c8: e47fef16 blt fp,r17,24788 <___vfprintf_internal_r+0xf84> 247cc: 9021883a mov r16,r18 247d0: a825883a mov r18,r21 247d4: b02b883a mov r21,r22 @@ -6871,7 +6850,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 247fc: 010001c4 movi r4,7 24800: 20818e16 blt r4,r2,24e3c <___vfprintf_internal_r+0x1638> 24804: 42000204 addi r8,r8,8 - 24808: 003e3106 br 240d0 <_gp+0xfffe7264> + 24808: 003e3106 br 240d0 <___vfprintf_internal_r+0x8cc> 2480c: d9403317 ldw r5,204(sp) 24810: 00800044 movi r2,1 24814: 18c00044 addi r3,r3,1 @@ -6928,7 +6907,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 248e0: 008001c4 movi r2,7 248e4: 14400e16 blt r2,r17,24920 <___vfprintf_internal_r+0x111c> 248e8: b2000204 addi r8,r22,8 - 248ec: 003e3a06 br 241d8 <_gp+0xfffe736c> + 248ec: 003e3a06 br 241d8 <___vfprintf_internal_r+0x9d4> 248f0: 010000f4 movhi r4,3 248f4: 210a5a84 addi r4,r4,10602 248f8: d9002b15 stw r4,172(sp) @@ -6940,54 +6919,54 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24910: d8c02015 stw r3,128(sp) 24914: d8801f15 stw r2,124(sp) 24918: 010001c4 movi r4,7 - 2491c: 20be2d0e bge r4,r2,241d4 <_gp+0xfffe7368> + 2491c: 20be2d0e bge r4,r2,241d4 <___vfprintf_internal_r+0x9d0> 24920: d9002c17 ldw r4,176(sp) 24924: d9801e04 addi r6,sp,120 24928: b80b883a mov r5,r23 2492c: 002a7d00 call 2a7d0 <__sprint_r> - 24930: 103cb61e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24930: 103cb61e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24934: d8c02017 ldw r3,128(sp) 24938: da000404 addi r8,sp,16 - 2493c: 003e2606 br 241d8 <_gp+0xfffe736c> + 2493c: 003e2606 br 241d8 <___vfprintf_internal_r+0x9d4> 24940: d9002c17 ldw r4,176(sp) 24944: d9801e04 addi r6,sp,120 24948: b80b883a mov r5,r23 2494c: 002a7d00 call 2a7d0 <__sprint_r> - 24950: 103e5d26 beq r2,zero,242c8 <_gp+0xfffe745c> - 24954: 003cad06 br 23c0c <_gp+0xfffe6da0> + 24950: 103e5d26 beq r2,zero,242c8 <___vfprintf_internal_r+0xac4> + 24954: 003cad06 br 23c0c <___vfprintf_internal_r+0x408> 24958: d9002c17 ldw r4,176(sp) 2495c: d9801e04 addi r6,sp,120 24960: b80b883a mov r5,r23 24964: 002a7d00 call 2a7d0 <__sprint_r> - 24968: 103ca81e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24968: 103ca81e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 2496c: d8c02017 ldw r3,128(sp) 24970: da000404 addi r8,sp,16 - 24974: 003e0b06 br 241a4 <_gp+0xfffe7338> + 24974: 003e0b06 br 241a4 <___vfprintf_internal_r+0x9a0> 24978: d9002c17 ldw r4,176(sp) 2497c: d9801e04 addi r6,sp,120 24980: b80b883a mov r5,r23 24984: 002a7d00 call 2a7d0 <__sprint_r> - 24988: 103ca01e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24988: 103ca01e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 2498c: d8c02017 ldw r3,128(sp) 24990: da000404 addi r8,sp,16 - 24994: 003dbd06 br 2408c <_gp+0xfffe7220> + 24994: 003dbd06 br 2408c <___vfprintf_internal_r+0x888> 24998: d9002c17 ldw r4,176(sp) 2499c: d9801e04 addi r6,sp,120 249a0: b80b883a mov r5,r23 249a4: 002a7d00 call 2a7d0 <__sprint_r> - 249a8: 103c981e bne r2,zero,23c0c <_gp+0xfffe6da0> + 249a8: 103c981e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 249ac: d8c02017 ldw r3,128(sp) 249b0: da000404 addi r8,sp,16 - 249b4: 003dc306 br 240c4 <_gp+0xfffe7258> + 249b4: 003dc306 br 240c4 <___vfprintf_internal_r+0x8c0> 249b8: d8802917 ldw r2,164(sp) 249bc: d8002785 stb zero,158(sp) - 249c0: 103f0616 blt r2,zero,245dc <_gp+0xfffe7770> + 249c0: 103f0616 blt r2,zero,245dc <___vfprintf_internal_r+0xdd8> 249c4: 00ffdfc4 movi r3,-129 249c8: 9d84b03a or r2,r19,r22 249cc: 90e4703a and r18,r18,r3 - 249d0: 103c6b26 beq r2,zero,23b80 <_gp+0xfffe6d14> + 249d0: 103c6b26 beq r2,zero,23b80 <___vfprintf_internal_r+0x37c> 249d4: 0039883a mov fp,zero - 249d8: 003e7406 br 243ac <_gp+0xfffe7540> + 249d8: 003e7406 br 243ac <___vfprintf_internal_r+0xba8> 249dc: 9080040c andi r2,r18,16 249e0: 1001b326 beq r2,zero,250b0 <___vfprintf_internal_r+0x18ac> 249e4: d9002d17 ldw r4,180(sp) @@ -7000,9 +6979,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24a00: 00ffdfc4 movi r3,-129 24a04: d8802d15 stw r2,180(sp) 24a08: 90e4703a and r18,r18,r3 - 24a0c: 983d2726 beq r19,zero,23eac <_gp+0xfffe7040> + 24a0c: 983d2726 beq r19,zero,23eac <___vfprintf_internal_r+0x6a8> 24a10: 0039883a mov fp,zero - 24a14: 003d2a06 br 23ec0 <_gp+0xfffe7054> + 24a14: 003d2a06 br 23ec0 <___vfprintf_internal_r+0x6bc> 24a18: dc402617 ldw r17,152(sp) 24a1c: 0441d30e bge zero,r17,2516c <___vfprintf_internal_r+0x1968> 24a20: dc403217 ldw r17,200(sp) @@ -7042,25 +7021,25 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24aa8: 45800115 stw r22,4(r8) 24aac: d8c02015 stw r3,128(sp) 24ab0: d8801f15 stw r2,124(sp) - 24ab4: e0bff60e bge fp,r2,24a90 <_gp+0xfffe7c24> + 24ab4: e0bff60e bge fp,r2,24a90 <___vfprintf_internal_r+0x128c> 24ab8: d9801e04 addi r6,sp,120 24abc: b80b883a mov r5,r23 24ac0: 9809883a mov r4,r19 24ac4: 002a7d00 call 2a7d0 <__sprint_r> - 24ac8: 103c501e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24ac8: 103c501e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24acc: d8c02017 ldw r3,128(sp) 24ad0: d8801f17 ldw r2,124(sp) 24ad4: da000404 addi r8,sp,16 - 24ad8: 003fee06 br 24a94 <_gp+0xfffe7c28> + 24ad8: 003fee06 br 24a94 <___vfprintf_internal_r+0x1290> 24adc: d9002c17 ldw r4,176(sp) 24ae0: d9801e04 addi r6,sp,120 24ae4: b80b883a mov r5,r23 24ae8: 002a7d00 call 2a7d0 <__sprint_r> - 24aec: 103c471e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24aec: 103c471e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24af0: d8c02017 ldw r3,128(sp) 24af4: df002787 ldb fp,158(sp) 24af8: da000404 addi r8,sp,16 - 24afc: 003d5606 br 24058 <_gp+0xfffe71ec> + 24afc: 003d5606 br 24058 <___vfprintf_internal_r+0x854> 24b00: 9080040c andi r2,r18,16 24b04: 10016126 beq r2,zero,2508c <___vfprintf_internal_r+0x1888> 24b08: d8802d17 ldw r2,180(sp) @@ -7069,7 +7048,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24b14: d8802d15 stw r2,180(sp) 24b18: 982dd7fa srai r22,r19,31 24b1c: b005883a mov r2,r22 - 24b20: 003c8206 br 23d2c <_gp+0xfffe6ec0> + 24b20: 003c8206 br 23d2c <___vfprintf_internal_r+0x528> 24b24: 9080040c andi r2,r18,16 24b28: 10003526 beq r2,zero,24c00 <___vfprintf_internal_r+0x13fc> 24b2c: d9402d17 ldw r5,180(sp) @@ -7083,19 +7062,19 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24b4c: d8802d15 stw r2,180(sp) 24b50: 90e4703a and r18,r18,r3 24b54: 0039883a mov fp,zero - 24b58: 983df326 beq r19,zero,24328 <_gp+0xfffe74bc> + 24b58: 983df326 beq r19,zero,24328 <___vfprintf_internal_r+0xb24> 24b5c: 00800244 movi r2,9 - 24b60: 14fc7b36 bltu r2,r19,23d50 <_gp+0xfffe6ee4> + 24b60: 14fc7b36 bltu r2,r19,23d50 <___vfprintf_internal_r+0x54c> 24b64: d8c02817 ldw r3,160(sp) 24b68: dc001dc4 addi r16,sp,119 24b6c: 9cc00c04 addi r19,r19,48 24b70: 1c07c83a sub r3,r3,r16 24b74: dcc01dc5 stb r19,119(sp) 24b78: d8c02e15 stw r3,184(sp) - 24b7c: 003ce806 br 23f20 <_gp+0xfffe70b4> + 24b7c: 003ce806 br 23f20 <___vfprintf_internal_r+0x71c> 24b80: d8803317 ldw r2,204(sp) 24b84: 143fffc4 addi r16,r2,-1 - 24b88: 043f4d0e bge zero,r16,248c0 <_gp+0xfffe7a54> + 24b88: 043f4d0e bge zero,r16,248c0 <___vfprintf_internal_r+0x10bc> 24b8c: 07000404 movi fp,16 24b90: e400810e bge fp,r16,24d98 <___vfprintf_internal_r+0x1594> 24b94: 014000f4 movhi r5,3 @@ -7113,18 +7092,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24bc4: b7000115 stw fp,4(r22) 24bc8: d8c02015 stw r3,128(sp) 24bcc: dc401f15 stw r17,124(sp) - 24bd0: 3c7ff60e bge r7,r17,24bac <_gp+0xfffe7d40> + 24bd0: 3c7ff60e bge r7,r17,24bac <___vfprintf_internal_r+0x13a8> 24bd4: d9801e04 addi r6,sp,120 24bd8: b80b883a mov r5,r23 24bdc: 9809883a mov r4,r19 24be0: d9c03c15 stw r7,240(sp) 24be4: 002a7d00 call 2a7d0 <__sprint_r> 24be8: d9c03c17 ldw r7,240(sp) - 24bec: 103c071e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24bec: 103c071e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24bf0: d8c02017 ldw r3,128(sp) 24bf4: dc401f17 ldw r17,124(sp) 24bf8: dd800404 addi r22,sp,16 - 24bfc: 003fec06 br 24bb0 <_gp+0xfffe7d44> + 24bfc: 003fec06 br 24bb0 <___vfprintf_internal_r+0x13ac> 24c00: 9080100c andi r2,r18,64 24c04: d8002785 stb zero,158(sp) 24c08: 10010e26 beq r2,zero,25044 <___vfprintf_internal_r+0x1840> @@ -7133,18 +7112,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24c14: 002d883a mov r22,zero 24c18: 20800104 addi r2,r4,4 24c1c: 24c0000b ldhu r19,0(r4) - 24c20: 283fc90e bge r5,zero,24b48 <_gp+0xfffe7cdc> + 24c20: 283fc90e bge r5,zero,24b48 <___vfprintf_internal_r+0x1344> 24c24: d8802d15 stw r2,180(sp) 24c28: 0039883a mov fp,zero 24c2c: 9d84b03a or r2,r19,r22 - 24c30: 103c461e bne r2,zero,23d4c <_gp+0xfffe6ee0> + 24c30: 103c461e bne r2,zero,23d4c <___vfprintf_internal_r+0x548> 24c34: 00800044 movi r2,1 - 24c38: 003e6c06 br 245ec <_gp+0xfffe7780> + 24c38: 003e6c06 br 245ec <___vfprintf_internal_r+0xde8> 24c3c: d9002c17 ldw r4,176(sp) 24c40: d9801e04 addi r6,sp,120 24c44: b80b883a mov r5,r23 24c48: 002a7d00 call 2a7d0 <__sprint_r> - 24c4c: 103bef1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24c4c: 103bef1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24c50: d8c02017 ldw r3,128(sp) 24c54: da000404 addi r8,sp,16 24c58: d9003217 ldw r4,200(sp) @@ -7186,10 +7165,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24ce8: 42000204 addi r8,r8,8 24cec: 8001f716 blt r16,zero,254cc <___vfprintf_internal_r+0x1cc8> 24cf0: 1421c83a sub r16,r2,r16 - 24cf4: 043d380e bge zero,r16,241d8 <_gp+0xfffe736c> + 24cf4: 043d380e bge zero,r16,241d8 <___vfprintf_internal_r+0x9d4> 24cf8: 04400404 movi r17,16 24cfc: d8801f17 ldw r2,124(sp) - 24d00: 8c3efb0e bge r17,r16,248f0 <_gp+0xfffe7a84> + 24d00: 8c3efb0e bge r17,r16,248f0 <___vfprintf_internal_r+0x10ec> 24d04: 014000f4 movhi r5,3 24d08: 294a5a84 addi r5,r5,10602 24d0c: d9402b15 stw r5,172(sp) @@ -7198,25 +7177,25 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24d18: 00000306 br 24d28 <___vfprintf_internal_r+0x1524> 24d1c: 42000204 addi r8,r8,8 24d20: 843ffc04 addi r16,r16,-16 - 24d24: 8c3ef50e bge r17,r16,248fc <_gp+0xfffe7a90> + 24d24: 8c3ef50e bge r17,r16,248fc <___vfprintf_internal_r+0x10f8> 24d28: 18c00404 addi r3,r3,16 24d2c: 10800044 addi r2,r2,1 24d30: 45000015 stw r20,0(r8) 24d34: 44400115 stw r17,4(r8) 24d38: d8c02015 stw r3,128(sp) 24d3c: d8801f15 stw r2,124(sp) - 24d40: b0bff60e bge r22,r2,24d1c <_gp+0xfffe7eb0> + 24d40: b0bff60e bge r22,r2,24d1c <___vfprintf_internal_r+0x1518> 24d44: d9801e04 addi r6,sp,120 24d48: b80b883a mov r5,r23 24d4c: 9809883a mov r4,r19 24d50: 002a7d00 call 2a7d0 <__sprint_r> - 24d54: 103bad1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24d54: 103bad1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24d58: d8c02017 ldw r3,128(sp) 24d5c: d8801f17 ldw r2,124(sp) 24d60: da000404 addi r8,sp,16 - 24d64: 003fee06 br 24d20 <_gp+0xfffe7eb4> + 24d64: 003fee06 br 24d20 <___vfprintf_internal_r+0x151c> 24d68: 9088703a and r4,r18,r2 - 24d6c: 203eab1e bne r4,zero,2481c <_gp+0xfffe79b0> + 24d6c: 203eab1e bne r4,zero,2481c <___vfprintf_internal_r+0x1018> 24d70: dc401f17 ldw r17,124(sp) 24d74: 40800115 stw r2,4(r8) 24d78: 44000015 stw r16,0(r8) @@ -7226,7 +7205,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24d88: 008001c4 movi r2,7 24d8c: 14400e16 blt r2,r17,24dc8 <___vfprintf_internal_r+0x15c4> 24d90: 45800204 addi r22,r8,8 - 24d94: 003eca06 br 248c0 <_gp+0xfffe7a54> + 24d94: 003eca06 br 248c0 <___vfprintf_internal_r+0x10bc> 24d98: 010000f4 movhi r4,3 24d9c: 210a5a84 addi r4,r4,10602 24da0: d9002b15 stw r4,172(sp) @@ -7238,44 +7217,44 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24db8: d8c02015 stw r3,128(sp) 24dbc: dc401f15 stw r17,124(sp) 24dc0: 008001c4 movi r2,7 - 24dc4: 147ebd0e bge r2,r17,248bc <_gp+0xfffe7a50> + 24dc4: 147ebd0e bge r2,r17,248bc <___vfprintf_internal_r+0x10b8> 24dc8: d9002c17 ldw r4,176(sp) 24dcc: d9801e04 addi r6,sp,120 24dd0: b80b883a mov r5,r23 24dd4: 002a7d00 call 2a7d0 <__sprint_r> - 24dd8: 103b8c1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24dd8: 103b8c1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24ddc: d8c02017 ldw r3,128(sp) 24de0: dc401f17 ldw r17,124(sp) 24de4: dd800404 addi r22,sp,16 - 24de8: 003eb506 br 248c0 <_gp+0xfffe7a54> + 24de8: 003eb506 br 248c0 <___vfprintf_internal_r+0x10bc> 24dec: d9002c17 ldw r4,176(sp) 24df0: d9801e04 addi r6,sp,120 24df4: b80b883a mov r5,r23 24df8: 002a7d00 call 2a7d0 <__sprint_r> - 24dfc: 103b831e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24dfc: 103b831e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24e00: d8c02017 ldw r3,128(sp) 24e04: dc401f17 ldw r17,124(sp) 24e08: da000404 addi r8,sp,16 - 24e0c: 003e8d06 br 24844 <_gp+0xfffe79d8> + 24e0c: 003e8d06 br 24844 <___vfprintf_internal_r+0x1040> 24e10: d9002c17 ldw r4,176(sp) 24e14: d9801e04 addi r6,sp,120 24e18: b80b883a mov r5,r23 24e1c: 002a7d00 call 2a7d0 <__sprint_r> - 24e20: 103b7a1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24e20: 103b7a1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24e24: d8c02017 ldw r3,128(sp) 24e28: dc401f17 ldw r17,124(sp) 24e2c: dd800404 addi r22,sp,16 - 24e30: 003e8f06 br 24870 <_gp+0xfffe7a04> + 24e30: 003e8f06 br 24870 <___vfprintf_internal_r+0x106c> 24e34: 0027883a mov r19,zero - 24e38: 003f4a06 br 24b64 <_gp+0xfffe7cf8> + 24e38: 003f4a06 br 24b64 <___vfprintf_internal_r+0x1360> 24e3c: d9002c17 ldw r4,176(sp) 24e40: d9801e04 addi r6,sp,120 24e44: b80b883a mov r5,r23 24e48: 002a7d00 call 2a7d0 <__sprint_r> - 24e4c: 103b6f1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24e4c: 103b6f1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 24e50: d8c02017 ldw r3,128(sp) 24e54: da000404 addi r8,sp,16 - 24e58: 003c9d06 br 240d0 <_gp+0xfffe7264> + 24e58: 003c9d06 br 240d0 <___vfprintf_internal_r+0x8cc> 24e5c: 04e7c83a sub r19,zero,r19 24e60: 9804c03a cmpne r2,r19,zero 24e64: 05adc83a sub r22,zero,r22 @@ -7286,7 +7265,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24e78: 10017b16 blt r2,zero,25468 <___vfprintf_internal_r+0x1c64> 24e7c: 00bfdfc4 movi r2,-129 24e80: 90a4703a and r18,r18,r2 - 24e84: 003bb106 br 23d4c <_gp+0xfffe6ee0> + 24e84: 003bb106 br 23d4c <___vfprintf_internal_r+0x548> 24e88: d9003617 ldw r4,216(sp) 24e8c: d9403817 ldw r5,224(sp) 24e90: da003d15 stw r8,244(sp) @@ -7350,7 +7329,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24f78: d8c02115 stw r3,132(sp) 24f7c: 11000005 stb r4,0(r2) 24f80: d8802117 ldw r2,132(sp) - 24f84: 173ffb36 bltu r2,fp,24f74 <_gp+0xfffe8108> + 24f84: 173ffb36 bltu r2,fp,24f74 <___vfprintf_internal_r+0x1770> 24f88: 1405c83a sub r2,r2,r16 24f8c: d8803315 stw r2,204(sp) 24f90: 008011c4 movi r2,71 @@ -7377,7 +7356,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 24fe4: d8802a15 stw r2,168(sp) 24fe8: dc802b17 ldw r18,172(sp) 24fec: d8002915 stw zero,164(sp) - 24ff0: 003bd106 br 23f38 <_gp+0xfffe70cc> + 24ff0: 003bd106 br 23f38 <___vfprintf_internal_r+0x734> 24ff4: d8802d17 ldw r2,180(sp) 24ff8: d8c02d17 ldw r3,180(sp) 24ffc: d9002d17 ldw r4,180(sp) @@ -7387,9 +7366,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2500c: d8803615 stw r2,216(sp) 25010: d8c03815 stw r3,224(sp) 25014: d9002d15 stw r4,180(sp) - 25018: 003b7506 br 23df0 <_gp+0xfffe6f84> + 25018: 003b7506 br 23df0 <___vfprintf_internal_r+0x5ec> 2501c: ac400007 ldb r17,0(r21) - 25020: 003a5906 br 23988 <_gp+0xfffe6b1c> + 25020: 003a5906 br 23988 <___vfprintf_internal_r+0x184> 25024: 9080100c andi r2,r18,64 25028: 1000a826 beq r2,zero,252cc <___vfprintf_internal_r+0x1ac8> 2502c: d9002d17 ldw r4,180(sp) @@ -7397,14 +7376,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25034: 24c0000b ldhu r19,0(r4) 25038: 21000104 addi r4,r4,4 2503c: d9002d15 stw r4,180(sp) - 25040: 003ccb06 br 24370 <_gp+0xfffe7504> + 25040: 003ccb06 br 24370 <___vfprintf_internal_r+0xb6c> 25044: d8c02d17 ldw r3,180(sp) 25048: d9002917 ldw r4,164(sp) 2504c: 002d883a mov r22,zero 25050: 18800104 addi r2,r3,4 25054: 1cc00017 ldw r19,0(r3) - 25058: 203ebb0e bge r4,zero,24b48 <_gp+0xfffe7cdc> - 2505c: 003ef106 br 24c24 <_gp+0xfffe7db8> + 25058: 203ebb0e bge r4,zero,24b48 <___vfprintf_internal_r+0x1344> + 2505c: 003ef106 br 24c24 <___vfprintf_internal_r+0x1420> 25060: 9080040c andi r2,r18,16 25064: 1000921e bne r2,zero,252b0 <___vfprintf_internal_r+0x1aac> 25068: 9480100c andi r18,r18,64 @@ -7415,7 +7394,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2507c: 21000104 addi r4,r4,4 25080: d9002d15 stw r4,180(sp) 25084: 1140000d sth r5,0(r2) - 25088: 003a1606 br 238e4 <_gp+0xfffe6a78> + 25088: 003a1606 br 238e4 <___vfprintf_internal_r+0xe0> 2508c: 9080100c andi r2,r18,64 25090: 10008026 beq r2,zero,25294 <___vfprintf_internal_r+0x1a90> 25094: d8c02d17 ldw r3,180(sp) @@ -7424,7 +7403,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 250a0: d8c02d15 stw r3,180(sp) 250a4: 982dd7fa srai r22,r19,31 250a8: b005883a mov r2,r22 - 250ac: 003b1f06 br 23d2c <_gp+0xfffe6ec0> + 250ac: 003b1f06 br 23d2c <___vfprintf_internal_r+0x528> 250b0: 9080100c andi r2,r18,64 250b4: d8002785 stb zero,158(sp) 250b8: 10008a1e bne r2,zero,252e4 <___vfprintf_internal_r+0x1ae0> @@ -7433,13 +7412,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 250c4: 002d883a mov r22,zero 250c8: 28800104 addi r2,r5,4 250cc: 2cc00017 ldw r19,0(r5) - 250d0: 183e4b0e bge r3,zero,24a00 <_gp+0xfffe7b94> + 250d0: 183e4b0e bge r3,zero,24a00 <___vfprintf_internal_r+0x11fc> 250d4: 9d86b03a or r3,r19,r22 250d8: d8802d15 stw r2,180(sp) - 250dc: 183e4c1e bne r3,zero,24a10 <_gp+0xfffe7ba4> + 250dc: 183e4c1e bne r3,zero,24a10 <___vfprintf_internal_r+0x120c> 250e0: 0039883a mov fp,zero 250e4: 0005883a mov r2,zero - 250e8: 003d4006 br 245ec <_gp+0xfffe7780> + 250e8: 003d4006 br 245ec <___vfprintf_internal_r+0xde8> 250ec: 014000f4 movhi r5,3 250f0: 294a5a84 addi r5,r5,10602 250f4: d9402b15 stw r5,172(sp) @@ -7451,27 +7430,27 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2510c: d8c02015 stw r3,128(sp) 25110: d8801f15 stw r2,124(sp) 25114: 010001c4 movi r4,7 - 25118: 20bec816 blt r4,r2,24c3c <_gp+0xfffe7dd0> + 25118: 20bec816 blt r4,r2,24c3c <___vfprintf_internal_r+0x1438> 2511c: 42000204 addi r8,r8,8 - 25120: 003ecd06 br 24c58 <_gp+0xfffe7dec> + 25120: 003ecd06 br 24c58 <___vfprintf_internal_r+0x1454> 25124: d9002917 ldw r4,164(sp) 25128: d8002785 stb zero,158(sp) - 2512c: 203d2d16 blt r4,zero,245e4 <_gp+0xfffe7778> + 2512c: 203d2d16 blt r4,zero,245e4 <___vfprintf_internal_r+0xde0> 25130: 00bfdfc4 movi r2,-129 25134: 90a4703a and r18,r18,r2 - 25138: 003a9106 br 23b80 <_gp+0xfffe6d14> + 25138: 003a9106 br 23b80 <___vfprintf_internal_r+0x37c> 2513c: 010000f4 movhi r4,3 25140: 210a5a84 addi r4,r4,10602 25144: d9002b15 stw r4,172(sp) - 25148: 003c0c06 br 2417c <_gp+0xfffe7310> + 25148: 003c0c06 br 2417c <___vfprintf_internal_r+0x978> 2514c: d9002c17 ldw r4,176(sp) 25150: d9801e04 addi r6,sp,120 25154: b80b883a mov r5,r23 25158: 002a7d00 call 2a7d0 <__sprint_r> - 2515c: 103aab1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 2515c: 103aab1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 25160: d8c02017 ldw r3,128(sp) 25164: da000404 addi r8,sp,16 - 25168: 003d4106 br 24670 <_gp+0xfffe7804> + 25168: 003d4106 br 24670 <___vfprintf_internal_r+0xe6c> 2516c: d8801f17 ldw r2,124(sp) 25170: 014000f4 movhi r5,3 25174: 01000044 movi r4,1 @@ -7489,7 +7468,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 251a4: d8803317 ldw r2,204(sp) 251a8: 1000021e bne r2,zero,251b4 <___vfprintf_internal_r+0x19b0> 251ac: 9080004c andi r2,r18,1 - 251b0: 103c0926 beq r2,zero,241d8 <_gp+0xfffe736c> + 251b0: 103c0926 beq r2,zero,241d8 <___vfprintf_internal_r+0x9d4> 251b4: d9003717 ldw r4,220(sp) 251b8: d8801f17 ldw r2,124(sp) 251bc: d9403417 ldw r5,208(sp) @@ -7521,18 +7500,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25224: 45800115 stw r22,4(r8) 25228: d8c02015 stw r3,128(sp) 2522c: d8801f15 stw r2,124(sp) - 25230: e0bff60e bge fp,r2,2520c <_gp+0xfffe83a0> + 25230: e0bff60e bge fp,r2,2520c <___vfprintf_internal_r+0x1a08> 25234: d9801e04 addi r6,sp,120 25238: b80b883a mov r5,r23 2523c: 9809883a mov r4,r19 25240: 002a7d00 call 2a7d0 <__sprint_r> - 25244: 103a711e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25244: 103a711e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 25248: d8c02017 ldw r3,128(sp) 2524c: d8801f17 ldw r2,124(sp) 25250: da000404 addi r8,sp,16 - 25254: 003fee06 br 25210 <_gp+0xfffe83a4> + 25254: 003fee06 br 25210 <___vfprintf_internal_r+0x1a0c> 25258: 00bfffc4 movi r2,-1 - 2525c: 003a6f06 br 23c1c <_gp+0xfffe6db0> + 2525c: 003a6f06 br 23c1c <___vfprintf_internal_r+0x418> 25260: 008011c4 movi r2,71 25264: 1440b816 blt r2,r17,25548 <___vfprintf_internal_r+0x1d44> 25268: 040000f4 movhi r16,3 @@ -7545,77 +7524,77 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25284: d8c02e15 stw r3,184(sp) 25288: d8002915 stw zero,164(sp) 2528c: d8003215 stw zero,200(sp) - 25290: 003b2906 br 23f38 <_gp+0xfffe70cc> + 25290: 003b2906 br 23f38 <___vfprintf_internal_r+0x734> 25294: d9002d17 ldw r4,180(sp) 25298: 24c00017 ldw r19,0(r4) 2529c: 21000104 addi r4,r4,4 252a0: d9002d15 stw r4,180(sp) 252a4: 982dd7fa srai r22,r19,31 252a8: b005883a mov r2,r22 - 252ac: 003a9f06 br 23d2c <_gp+0xfffe6ec0> + 252ac: 003a9f06 br 23d2c <___vfprintf_internal_r+0x528> 252b0: d9402d17 ldw r5,180(sp) 252b4: d8c02f17 ldw r3,188(sp) 252b8: 28800017 ldw r2,0(r5) 252bc: 29400104 addi r5,r5,4 252c0: d9402d15 stw r5,180(sp) 252c4: 10c00015 stw r3,0(r2) - 252c8: 00398606 br 238e4 <_gp+0xfffe6a78> + 252c8: 00398606 br 238e4 <___vfprintf_internal_r+0xe0> 252cc: d9402d17 ldw r5,180(sp) 252d0: 002d883a mov r22,zero 252d4: 2cc00017 ldw r19,0(r5) 252d8: 29400104 addi r5,r5,4 252dc: d9402d15 stw r5,180(sp) - 252e0: 003c2306 br 24370 <_gp+0xfffe7504> + 252e0: 003c2306 br 24370 <___vfprintf_internal_r+0xb6c> 252e4: d8c02d17 ldw r3,180(sp) 252e8: d9002917 ldw r4,164(sp) 252ec: 002d883a mov r22,zero 252f0: 18800104 addi r2,r3,4 252f4: 1cc0000b ldhu r19,0(r3) - 252f8: 203dc10e bge r4,zero,24a00 <_gp+0xfffe7b94> - 252fc: 003f7506 br 250d4 <_gp+0xfffe8268> + 252f8: 203dc10e bge r4,zero,24a00 <___vfprintf_internal_r+0x11fc> + 252fc: 003f7506 br 250d4 <___vfprintf_internal_r+0x18d0> 25300: 040000f4 movhi r16,3 25304: 840a4a04 addi r16,r16,10536 - 25308: 003acc06 br 23e3c <_gp+0xfffe6fd0> + 25308: 003acc06 br 23e3c <___vfprintf_internal_r+0x638> 2530c: d9002c17 ldw r4,176(sp) 25310: d9801e04 addi r6,sp,120 25314: b80b883a mov r5,r23 25318: 002a7d00 call 2a7d0 <__sprint_r> - 2531c: 103a3b1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 2531c: 103a3b1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 25320: dc402617 ldw r17,152(sp) 25324: d8c02017 ldw r3,128(sp) 25328: da000404 addi r8,sp,16 - 2532c: 003f9c06 br 251a0 <_gp+0xfffe8334> + 2532c: 003f9c06 br 251a0 <___vfprintf_internal_r+0x199c> 25330: ac400043 ldbu r17,1(r21) 25334: 94800814 ori r18,r18,32 25338: ad400044 addi r21,r21,1 2533c: 8c403fcc andi r17,r17,255 25340: 8c40201c xori r17,r17,128 25344: 8c7fe004 addi r17,r17,-128 - 25348: 00398f06 br 23988 <_gp+0xfffe6b1c> + 25348: 00398f06 br 23988 <___vfprintf_internal_r+0x184> 2534c: d8c02d15 stw r3,180(sp) 25350: 0039883a mov fp,zero - 25354: 003e3506 br 24c2c <_gp+0xfffe7dc0> + 25354: 003e3506 br 24c2c <___vfprintf_internal_r+0x1428> 25358: d9002c17 ldw r4,176(sp) 2535c: d9801e04 addi r6,sp,120 25360: b80b883a mov r5,r23 25364: 002a7d00 call 2a7d0 <__sprint_r> - 25368: 103a281e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25368: 103a281e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 2536c: d8c02017 ldw r3,128(sp) 25370: da000404 addi r8,sp,16 - 25374: 003cd006 br 246b8 <_gp+0xfffe784c> + 25374: 003cd006 br 246b8 <___vfprintf_internal_r+0xeb4> 25378: 8009883a mov r4,r16 2537c: da003d15 stw r8,244(sp) 25380: 002376c0 call 2376c 25384: d8802e15 stw r2,184(sp) 25388: da003d17 ldw r8,244(sp) - 2538c: 103c340e bge r2,zero,24460 <_gp+0xfffe75f4> + 2538c: 103c340e bge r2,zero,24460 <___vfprintf_internal_r+0xc5c> 25390: 0005883a mov r2,zero - 25394: 003c3206 br 24460 <_gp+0xfffe75f4> + 25394: 003c3206 br 24460 <___vfprintf_internal_r+0xc5c> 25398: d9002c17 ldw r4,176(sp) 2539c: d9801e04 addi r6,sp,120 253a0: b80b883a mov r5,r23 253a4: 002a7d00 call 2a7d0 <__sprint_r> - 253a8: 103a181e bne r2,zero,23c0c <_gp+0xfffe6da0> + 253a8: 103a181e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 253ac: d8c02017 ldw r3,128(sp) 253b0: d8801f17 ldw r2,124(sp) 253b4: da000404 addi r8,sp,16 @@ -7623,23 +7602,23 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 253bc: 10800044 addi r2,r2,1 253c0: 44000015 stw r16,0(r8) 253c4: 28c7883a add r3,r5,r3 - 253c8: 003b7d06 br 241c0 <_gp+0xfffe7354> + 253c8: 003b7d06 br 241c0 <___vfprintf_internal_r+0x9bc> 253cc: 010000f4 movhi r4,3 253d0: 210a5e84 addi r4,r4,10618 253d4: d9003515 stw r4,212(sp) - 253d8: 003b1406 br 2402c <_gp+0xfffe71c0> + 253d8: 003b1406 br 2402c <___vfprintf_internal_r+0x828> 253dc: 013fffc4 movi r4,-1 - 253e0: 003a3506 br 23cb8 <_gp+0xfffe6e4c> + 253e0: 003a3506 br 23cb8 <___vfprintf_internal_r+0x4b4> 253e4: 0023883a mov r17,zero - 253e8: 003d9d06 br 24a60 <_gp+0xfffe7bf4> + 253e8: 003d9d06 br 24a60 <___vfprintf_internal_r+0x125c> 253ec: d9002c17 ldw r4,176(sp) 253f0: d9801e04 addi r6,sp,120 253f4: b80b883a mov r5,r23 253f8: 002a7d00 call 2a7d0 <__sprint_r> - 253fc: 103a031e bne r2,zero,23c0c <_gp+0xfffe6da0> + 253fc: 103a031e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 25400: d8c02017 ldw r3,128(sp) 25404: da000404 addi r8,sp,16 - 25408: 003d9406 br 24a5c <_gp+0xfffe7bf0> + 25408: 003d9406 br 24a5c <___vfprintf_internal_r+0x1258> 2540c: 010000f4 movhi r4,3 25410: 210a5a84 addi r4,r4,10602 25414: d9002b15 stw r4,172(sp) @@ -7651,29 +7630,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2542c: d8c02015 stw r3,128(sp) 25430: d8801f15 stw r2,124(sp) 25434: 010001c4 movi r4,7 - 25438: 20bfd716 blt r4,r2,25398 <_gp+0xfffe852c> + 25438: 20bfd716 blt r4,r2,25398 <___vfprintf_internal_r+0x1b94> 2543c: 42000204 addi r8,r8,8 - 25440: 003fdd06 br 253b8 <_gp+0xfffe854c> + 25440: 003fdd06 br 253b8 <___vfprintf_internal_r+0x1bb4> 25444: d9002c17 ldw r4,176(sp) 25448: d9801e04 addi r6,sp,120 2544c: b80b883a mov r5,r23 25450: 002a7d00 call 2a7d0 <__sprint_r> - 25454: 1039ed1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25454: 1039ed1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 25458: d8802617 ldw r2,152(sp) 2545c: d8c02017 ldw r3,128(sp) 25460: da000404 addi r8,sp,16 - 25464: 003e1006 br 24ca8 <_gp+0xfffe7e3c> + 25464: 003e1006 br 24ca8 <___vfprintf_internal_r+0x14a4> 25468: 00800044 movi r2,1 2546c: 10803fcc andi r2,r2,255 25470: 00c00044 movi r3,1 - 25474: 10fa3526 beq r2,r3,23d4c <_gp+0xfffe6ee0> + 25474: 10fa3526 beq r2,r3,23d4c <___vfprintf_internal_r+0x548> 25478: 00c00084 movi r3,2 - 2547c: 10fbcb26 beq r2,r3,243ac <_gp+0xfffe7540> - 25480: 003a8f06 br 23ec0 <_gp+0xfffe7054> + 2547c: 10fbcb26 beq r2,r3,243ac <___vfprintf_internal_r+0xba8> + 25480: 003a8f06 br 23ec0 <___vfprintf_internal_r+0x6bc> 25484: 010000f4 movhi r4,3 25488: 210a5e84 addi r4,r4,10618 2548c: d9003515 stw r4,212(sp) - 25490: 003b7606 br 2426c <_gp+0xfffe7400> + 25490: 003b7606 br 2426c <___vfprintf_internal_r+0xa68> 25494: d8802917 ldw r2,164(sp) 25498: 00c00184 movi r3,6 2549c: 1880012e bgeu r3,r2,254a4 <___vfprintf_internal_r+0x1ca0> @@ -7687,61 +7666,61 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 254bc: d8003215 stw zero,200(sp) 254c0: 840a5804 addi r16,r16,10592 254c4: 0039883a mov fp,zero - 254c8: 003aa206 br 23f54 <_gp+0xfffe70e8> + 254c8: 003aa206 br 23f54 <___vfprintf_internal_r+0x750> 254cc: 0021883a mov r16,zero - 254d0: 003e0706 br 24cf0 <_gp+0xfffe7e84> + 254d0: 003e0706 br 24cf0 <___vfprintf_internal_r+0x14ec> 254d4: d9002c17 ldw r4,176(sp) 254d8: d9801e04 addi r6,sp,120 254dc: b80b883a mov r5,r23 254e0: 002a7d00 call 2a7d0 <__sprint_r> - 254e4: 1039c91e bne r2,zero,23c0c <_gp+0xfffe6da0> + 254e4: 1039c91e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 254e8: d8802617 ldw r2,152(sp) 254ec: d9403317 ldw r5,204(sp) 254f0: d8c02017 ldw r3,128(sp) 254f4: da000404 addi r8,sp,16 254f8: 2885c83a sub r2,r5,r2 - 254fc: 003dfb06 br 24cec <_gp+0xfffe7e80> + 254fc: 003dfb06 br 24cec <___vfprintf_internal_r+0x14e8> 25500: 9080004c andi r2,r18,1 - 25504: 103e8f1e bne r2,zero,24f44 <_gp+0xfffe80d8> + 25504: 103e8f1e bne r2,zero,24f44 <___vfprintf_internal_r+0x1740> 25508: d8802117 ldw r2,132(sp) - 2550c: 003e9e06 br 24f88 <_gp+0xfffe811c> + 2550c: 003e9e06 br 24f88 <___vfprintf_internal_r+0x1784> 25510: 1025883a mov r18,r2 25514: 0039883a mov fp,zero 25518: 00800084 movi r2,2 - 2551c: 003fd306 br 2546c <_gp+0xfffe8600> + 2551c: 003fd306 br 2546c <___vfprintf_internal_r+0x1c68> 25520: 07000b44 movi fp,45 25524: df002785 stb fp,158(sp) - 25528: 003a4006 br 23e2c <_gp+0xfffe6fc0> + 25528: 003a4006 br 23e2c <___vfprintf_internal_r+0x628> 2552c: 00c00b44 movi r3,45 25530: d8c02785 stb r3,158(sp) 25534: d8802a15 stw r2,168(sp) 25538: dc802b17 ldw r18,172(sp) 2553c: d8002915 stw zero,164(sp) 25540: 07000b44 movi fp,45 - 25544: 003a8006 br 23f48 <_gp+0xfffe70dc> + 25544: 003a8006 br 23f48 <___vfprintf_internal_r+0x744> 25548: 040000f4 movhi r16,3 2554c: 840a4d04 addi r16,r16,10548 - 25550: 003f4706 br 25270 <_gp+0xfffe8404> + 25550: 003f4706 br 25270 <___vfprintf_internal_r+0x1a6c> 25554: d8c02d17 ldw r3,180(sp) 25558: d9002f17 ldw r4,188(sp) 2555c: 18800017 ldw r2,0(r3) 25560: 18c00104 addi r3,r3,4 25564: d8c02d15 stw r3,180(sp) 25568: 11000015 stw r4,0(r2) - 2556c: 0038dd06 br 238e4 <_gp+0xfffe6a78> + 2556c: 0038dd06 br 238e4 <___vfprintf_internal_r+0xe0> 25570: dd802617 ldw r22,152(sp) 25574: 00bfff44 movi r2,-3 25578: b0801c16 blt r22,r2,255ec <___vfprintf_internal_r+0x1de8> 2557c: d9402917 ldw r5,164(sp) 25580: 2d801a16 blt r5,r22,255ec <___vfprintf_internal_r+0x1de8> 25584: dd803215 stw r22,200(sp) - 25588: 003e8906 br 24fb0 <_gp+0xfffe8144> + 25588: 003e8906 br 24fb0 <___vfprintf_internal_r+0x17ac> 2558c: 010000f4 movhi r4,3 25590: 210a5a84 addi r4,r4,10602 25594: d9002b15 stw r4,172(sp) - 25598: 003c9106 br 247e0 <_gp+0xfffe7974> + 25598: 003c9106 br 247e0 <___vfprintf_internal_r+0xfdc> 2559c: e005883a mov r2,fp - 255a0: 003e7906 br 24f88 <_gp+0xfffe811c> + 255a0: 003e7906 br 24f88 <___vfprintf_internal_r+0x1784> 255a4: d9402917 ldw r5,164(sp) 255a8: df002783 ldbu fp,158(sp) 255ac: dcc02d15 stw r19,180(sp) @@ -7749,17 +7728,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 255b4: d9402e15 stw r5,184(sp) 255b8: d8002915 stw zero,164(sp) 255bc: d8003215 stw zero,200(sp) - 255c0: 003a5d06 br 23f38 <_gp+0xfffe70cc> + 255c0: 003a5d06 br 23f38 <___vfprintf_internal_r+0x734> 255c4: 9080004c andi r2,r18,1 255c8: 0039883a mov fp,zero 255cc: 10000426 beq r2,zero,255e0 <___vfprintf_internal_r+0x1ddc> 255d0: 00800c04 movi r2,48 255d4: dc001dc4 addi r16,sp,119 255d8: d8801dc5 stb r2,119(sp) - 255dc: 003b8006 br 243e0 <_gp+0xfffe7574> + 255dc: 003b8006 br 243e0 <___vfprintf_internal_r+0xbdc> 255e0: d8002e15 stw zero,184(sp) 255e4: dc001e04 addi r16,sp,120 - 255e8: 003a4d06 br 23f20 <_gp+0xfffe70b4> + 255e8: 003a4d06 br 23f20 <___vfprintf_internal_r+0x71c> 255ec: 8c7fff84 addi r17,r17,-2 255f0: b5bfffc4 addi r22,r22,-1 255f4: dd802615 stw r22,152(sp) @@ -7789,30 +7768,30 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25654: 1805883a mov r2,r3 25658: 1800ac16 blt r3,zero,2590c <___vfprintf_internal_r+0x2108> 2565c: d8003215 stw zero,200(sp) - 25660: 003e5d06 br 24fd8 <_gp+0xfffe816c> + 25660: 003e5d06 br 24fd8 <___vfprintf_internal_r+0x17d4> 25664: d9002c17 ldw r4,176(sp) 25668: d9801e04 addi r6,sp,120 2566c: b80b883a mov r5,r23 25670: 002a7d00 call 2a7d0 <__sprint_r> - 25674: 1039651e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25674: 1039651e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> 25678: dc402617 ldw r17,152(sp) 2567c: d8c02017 ldw r3,128(sp) 25680: d8801f17 ldw r2,124(sp) 25684: da000404 addi r8,sp,16 - 25688: 003ed606 br 251e4 <_gp+0xfffe8378> + 25688: 003ed606 br 251e4 <___vfprintf_internal_r+0x19e0> 2568c: 582b883a mov r21,r11 25690: d8002915 stw zero,164(sp) - 25694: 0038bd06 br 2398c <_gp+0xfffe6b20> + 25694: 0038bd06 br 2398c <___vfprintf_internal_r+0x188> 25698: d8802917 ldw r2,164(sp) - 2569c: 103e071e bne r2,zero,24ebc <_gp+0xfffe8050> + 2569c: 103e071e bne r2,zero,24ebc <___vfprintf_internal_r+0x16b8> 256a0: dc002915 stw r16,164(sp) - 256a4: 003e0506 br 24ebc <_gp+0xfffe8050> + 256a4: 003e0506 br 24ebc <___vfprintf_internal_r+0x16b8> 256a8: d9002917 ldw r4,164(sp) 256ac: 20c00044 addi r3,r4,1 - 256b0: 003e0f06 br 24ef0 <_gp+0xfffe8084> + 256b0: 003e0f06 br 24ef0 <___vfprintf_internal_r+0x16ec> 256b4: 01400184 movi r5,6 256b8: d9402915 stw r5,164(sp) - 256bc: 003dff06 br 24ebc <_gp+0xfffe8050> + 256bc: 003dff06 br 24ebc <___vfprintf_internal_r+0x16b8> 256c0: d8802104 addi r2,sp,132 256c4: d8800315 stw r2,12(sp) 256c8: d8802504 addi r2,sp,148 @@ -7836,11 +7815,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25710: 20805e26 beq r4,r2,2588c <___vfprintf_internal_r+0x2088> 25714: d8c02617 ldw r3,152(sp) 25718: e0f9883a add fp,fp,r3 - 2571c: 003e0a06 br 24f48 <_gp+0xfffe80dc> + 2571c: 003e0a06 br 24f48 <___vfprintf_internal_r+0x1744> 25720: 00c00b44 movi r3,45 25724: 24e0003c xorhi r19,r4,32768 25728: d8c02a05 stb r3,168(sp) - 2572c: 003de906 br 24ed4 <_gp+0xfffe8068> + 2572c: 003de906 br 24ed4 <___vfprintf_internal_r+0x16d0> 25730: d8c03217 ldw r3,200(sp) 25734: 00c07a0e bge zero,r3,25920 <___vfprintf_internal_r+0x211c> 25738: 00800044 movi r2,1 @@ -7849,7 +7828,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25744: d8802e15 stw r2,184(sp) 25748: 10004e16 blt r2,zero,25884 <___vfprintf_internal_r+0x2080> 2574c: 044019c4 movi r17,103 - 25750: 003e2106 br 24fd8 <_gp+0xfffe816c> + 25750: 003e2106 br 24fd8 <___vfprintf_internal_r+0x17d4> 25754: d9002917 ldw r4,164(sp) 25758: d8802104 addi r2,sp,132 2575c: d8800315 stw r2,12(sp) @@ -7869,8 +7848,8 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25794: 1021883a mov r16,r2 25798: 00801184 movi r2,70 2579c: 80f9883a add fp,r16,r3 - 257a0: 88bfd926 beq r17,r2,25708 <_gp+0xfffe889c> - 257a4: 003de806 br 24f48 <_gp+0xfffe80dc> + 257a0: 88bfd926 beq r17,r2,25708 <___vfprintf_internal_r+0x1f04> + 257a4: 003de806 br 24f48 <___vfprintf_internal_r+0x1744> 257a8: d9002917 ldw r4,164(sp) 257ac: 00c04d0e bge zero,r3,258e4 <___vfprintf_internal_r+0x20e0> 257b0: 2000441e bne r4,zero,258c4 <___vfprintf_internal_r+0x20c0> @@ -7880,7 +7859,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 257c0: 18007016 blt r3,zero,25984 <___vfprintf_internal_r+0x2180> 257c4: d8c03217 ldw r3,200(sp) 257c8: d8c02e15 stw r3,184(sp) - 257cc: 003e0206 br 24fd8 <_gp+0xfffe816c> + 257cc: 003e0206 br 24fd8 <___vfprintf_internal_r+0x17d4> 257d0: df0022c4 addi fp,sp,139 257d4: dc002915 stw r16,164(sp) 257d8: 4027883a mov r19,r8 @@ -7896,7 +7875,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25800: 00229280 call 22928 <__divsi3> 25804: 102d883a mov r22,r2 25808: 00800244 movi r2,9 - 2580c: 15bff416 blt r2,r22,257e0 <_gp+0xfffe8974> + 2580c: 15bff416 blt r2,r22,257e0 <___vfprintf_internal_r+0x1fdc> 25810: 9811883a mov r8,r19 25814: b0800c04 addi r2,r22,48 25818: 8027883a mov r19,r16 @@ -7913,21 +7892,21 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25844: 20800005 stb r2,0(r4) 25848: 21000044 addi r4,r4,1 2584c: 29400044 addi r5,r5,1 - 25850: 393ffb1e bne r7,r4,25840 <_gp+0xfffe89d4> + 25850: 393ffb1e bne r7,r4,25840 <___vfprintf_internal_r+0x203c> 25854: d8802304 addi r2,sp,140 25858: 14c5c83a sub r2,r2,r19 2585c: d8c02344 addi r3,sp,141 25860: 1885883a add r2,r3,r2 - 25864: 003f7006 br 25628 <_gp+0xfffe87bc> + 25864: 003f7006 br 25628 <___vfprintf_internal_r+0x1e24> 25868: 0005883a mov r2,zero - 2586c: 003f0f06 br 254ac <_gp+0xfffe8640> + 2586c: 003f0f06 br 254ac <___vfprintf_internal_r+0x1ca8> 25870: d8c03217 ldw r3,200(sp) 25874: 18c00044 addi r3,r3,1 25878: d8c02e15 stw r3,184(sp) 2587c: 1805883a mov r2,r3 - 25880: 183fb20e bge r3,zero,2574c <_gp+0xfffe88e0> + 25880: 183fb20e bge r3,zero,2574c <___vfprintf_internal_r+0x1f48> 25884: 0005883a mov r2,zero - 25888: 003fb006 br 2574c <_gp+0xfffe88e0> + 25888: 003fb006 br 2574c <___vfprintf_internal_r+0x1f48> 2588c: d9003617 ldw r4,216(sp) 25890: 000d883a mov r6,zero 25894: 000f883a mov r7,zero @@ -7937,37 +7916,37 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 258a4: 002e2940 call 2e294 <__eqdf2> 258a8: d8c03c17 ldw r3,240(sp) 258ac: da003d17 ldw r8,244(sp) - 258b0: 103f9826 beq r2,zero,25714 <_gp+0xfffe88a8> + 258b0: 103f9826 beq r2,zero,25714 <___vfprintf_internal_r+0x1f10> 258b4: 00800044 movi r2,1 258b8: 10c7c83a sub r3,r2,r3 258bc: d8c02615 stw r3,152(sp) - 258c0: 003f9506 br 25718 <_gp+0xfffe88ac> + 258c0: 003f9506 br 25718 <___vfprintf_internal_r+0x1f14> 258c4: d9002917 ldw r4,164(sp) 258c8: d8c03217 ldw r3,200(sp) 258cc: 20800044 addi r2,r4,1 258d0: 1885883a add r2,r3,r2 258d4: d8802e15 stw r2,184(sp) - 258d8: 103dbf0e bge r2,zero,24fd8 <_gp+0xfffe816c> + 258d8: 103dbf0e bge r2,zero,24fd8 <___vfprintf_internal_r+0x17d4> 258dc: 0005883a mov r2,zero - 258e0: 003dbd06 br 24fd8 <_gp+0xfffe816c> + 258e0: 003dbd06 br 24fd8 <___vfprintf_internal_r+0x17d4> 258e4: 2000211e bne r4,zero,2596c <___vfprintf_internal_r+0x2168> 258e8: 9480004c andi r18,r18,1 258ec: 90001f1e bne r18,zero,2596c <___vfprintf_internal_r+0x2168> 258f0: 00800044 movi r2,1 258f4: d8802e15 stw r2,184(sp) - 258f8: 003db706 br 24fd8 <_gp+0xfffe816c> + 258f8: 003db706 br 24fd8 <___vfprintf_internal_r+0x17d4> 258fc: 00800b44 movi r2,45 25900: 05adc83a sub r22,zero,r22 25904: d8802305 stb r2,140(sp) - 25908: 003f3f06 br 25608 <_gp+0xfffe879c> + 25908: 003f3f06 br 25608 <___vfprintf_internal_r+0x1e04> 2590c: 0005883a mov r2,zero - 25910: 003f5206 br 2565c <_gp+0xfffe87f0> + 25910: 003f5206 br 2565c <___vfprintf_internal_r+0x1e58> 25914: 90a4703a and r18,r18,r2 - 25918: 903f4e26 beq r18,zero,25654 <_gp+0xfffe87e8> - 2591c: 003f4a06 br 25648 <_gp+0xfffe87dc> + 25918: 903f4e26 beq r18,zero,25654 <___vfprintf_internal_r+0x1e50> + 2591c: 003f4a06 br 25648 <___vfprintf_internal_r+0x1e44> 25920: 00800084 movi r2,2 25924: 10c5c83a sub r2,r2,r3 - 25928: 003f8406 br 2573c <_gp+0xfffe88d0> + 25928: 003f8406 br 2573c <___vfprintf_internal_r+0x1f38> 2592c: d8802d17 ldw r2,180(sp) 25930: d9002d17 ldw r4,180(sp) 25934: ac400043 ldbu r17,1(r21) @@ -7977,49 +7956,49 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25944: 20800104 addi r2,r4,4 25948: d9002917 ldw r4,164(sp) 2594c: d8802d15 stw r2,180(sp) - 25950: 203e7a0e bge r4,zero,2533c <_gp+0xfffe84d0> + 25950: 203e7a0e bge r4,zero,2533c <___vfprintf_internal_r+0x1b38> 25954: 8c403fcc andi r17,r17,255 25958: 00bfffc4 movi r2,-1 2595c: 8c40201c xori r17,r17,128 25960: d8802915 stw r2,164(sp) 25964: 8c7fe004 addi r17,r17,-128 - 25968: 00380706 br 23988 <_gp+0xfffe6b1c> + 25968: 00380706 br 23988 <___vfprintf_internal_r+0x184> 2596c: d8c02917 ldw r3,164(sp) 25970: 18c00084 addi r3,r3,2 25974: d8c02e15 stw r3,184(sp) 25978: 1805883a mov r2,r3 - 2597c: 183d960e bge r3,zero,24fd8 <_gp+0xfffe816c> - 25980: 003fd606 br 258dc <_gp+0xfffe8a70> + 2597c: 183d960e bge r3,zero,24fd8 <___vfprintf_internal_r+0x17d4> + 25980: 003fd606 br 258dc <___vfprintf_internal_r+0x20d8> 25984: 0005883a mov r2,zero - 25988: 003f8e06 br 257c4 <_gp+0xfffe8958> + 25988: 003f8e06 br 257c4 <___vfprintf_internal_r+0x1fc0> 2598c: 9080004c andi r2,r18,1 - 25990: 103f811e bne r2,zero,25798 <_gp+0xfffe892c> + 25990: 103f811e bne r2,zero,25798 <___vfprintf_internal_r+0x1f94> 25994: d8802117 ldw r2,132(sp) 25998: 1405c83a sub r2,r2,r16 2599c: d8803315 stw r2,204(sp) - 259a0: b47ef326 beq r22,r17,25570 <_gp+0xfffe8704> + 259a0: b47ef326 beq r22,r17,25570 <___vfprintf_internal_r+0x1d6c> 259a4: dd802617 ldw r22,152(sp) - 259a8: 003f1106 br 255f0 <_gp+0xfffe8784> + 259a8: 003f1106 br 255f0 <___vfprintf_internal_r+0x1dec> 259ac: d9c02785 stb r7,158(sp) - 259b0: 00390406 br 23dc4 <_gp+0xfffe6f58> + 259b0: 00390406 br 23dc4 <___vfprintf_internal_r+0x5c0> 259b4: d9c02785 stb r7,158(sp) - 259b8: 0038d306 br 23d08 <_gp+0xfffe6e9c> + 259b8: 0038d306 br 23d08 <___vfprintf_internal_r+0x504> 259bc: d9c02785 stb r7,158(sp) - 259c0: 003a6106 br 24348 <_gp+0xfffe74dc> + 259c0: 003a6106 br 24348 <___vfprintf_internal_r+0xb44> 259c4: d9c02785 stb r7,158(sp) - 259c8: 003af806 br 245ac <_gp+0xfffe7740> + 259c8: 003af806 br 245ac <___vfprintf_internal_r+0xda8> 259cc: 0005883a mov r2,zero - 259d0: 003d7e06 br 24fcc <_gp+0xfffe8160> + 259d0: 003d7e06 br 24fcc <___vfprintf_internal_r+0x17c8> 259d4: d8802344 addi r2,sp,141 - 259d8: 003f1306 br 25628 <_gp+0xfffe87bc> + 259d8: 003f1306 br 25628 <___vfprintf_internal_r+0x1e24> 259dc: d9c02785 stb r7,158(sp) - 259e0: 00392306 br 23e70 <_gp+0xfffe7004> + 259e0: 00392306 br 23e70 <___vfprintf_internal_r+0x66c> 259e4: d9c02785 stb r7,158(sp) - 259e8: 003aa906 br 24490 <_gp+0xfffe7624> + 259e8: 003aa906 br 24490 <___vfprintf_internal_r+0xc8c> 259ec: d9c02785 stb r7,158(sp) - 259f0: 003a3d06 br 242e8 <_gp+0xfffe747c> + 259f0: 003a3d06 br 242e8 <___vfprintf_internal_r+0xae4> 259f4: d9c02785 stb r7,158(sp) - 259f8: 003aca06 br 24524 <_gp+0xfffe76b8> + 259f8: 003aca06 br 24524 <___vfprintf_internal_r+0xd20> 000259fc <__vfprintf_internal>: 259fc: 008000f4 movhi r2,3 @@ -8077,7 +8056,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25ac4: dec11e04 addi sp,sp,1144 25ac8: f800283a ret 25acc: 047fffc4 movi r17,-1 - 25ad0: 003ff106 br 25a98 <_gp+0xfffe8c2c> + 25ad0: 003ff106 br 25a98 <__sbprintf+0x80> 00025ad4 <__swsetup_r>: 25ad4: 008000f4 movhi r2,3 @@ -8118,33 +8097,33 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25b60: 80c00417 ldw r3,16(r16) 25b64: 20800214 ori r2,r4,8 25b68: 8080030d sth r2,12(r16) - 25b6c: 183feb1e bne r3,zero,25b1c <_gp+0xfffe8cb0> + 25b6c: 183feb1e bne r3,zero,25b1c <__swsetup_r+0x48> 25b70: 1100a00c andi r4,r2,640 25b74: 01408004 movi r5,512 - 25b78: 217fe826 beq r4,r5,25b1c <_gp+0xfffe8cb0> + 25b78: 217fe826 beq r4,r5,25b1c <__swsetup_r+0x48> 25b7c: 800b883a mov r5,r16 25b80: 8809883a mov r4,r17 25b84: 002864c0 call 2864c <__smakebuf_r> 25b88: 8080030b ldhu r2,12(r16) 25b8c: 80c00417 ldw r3,16(r16) - 25b90: 003fe206 br 25b1c <_gp+0xfffe8cb0> + 25b90: 003fe206 br 25b1c <__swsetup_r+0x48> 25b94: 80800517 ldw r2,20(r16) 25b98: 80000215 stw zero,8(r16) 25b9c: 0085c83a sub r2,zero,r2 25ba0: 80800615 stw r2,24(r16) - 25ba4: 183fe41e bne r3,zero,25b38 <_gp+0xfffe8ccc> + 25ba4: 183fe41e bne r3,zero,25b38 <__swsetup_r+0x64> 25ba8: 80c0030b ldhu r3,12(r16) 25bac: 0005883a mov r2,zero 25bb0: 1900200c andi r4,r3,128 - 25bb4: 203fe126 beq r4,zero,25b3c <_gp+0xfffe8cd0> + 25bb4: 203fe126 beq r4,zero,25b3c <__swsetup_r+0x68> 25bb8: 18c01014 ori r3,r3,64 25bbc: 80c0030d sth r3,12(r16) 25bc0: 00bfffc4 movi r2,-1 - 25bc4: 003fdd06 br 25b3c <_gp+0xfffe8cd0> + 25bc4: 003fdd06 br 25b3c <__swsetup_r+0x68> 25bc8: 0027aa80 call 27aa8 <__sinit> - 25bcc: 003fcd06 br 25b04 <_gp+0xfffe8c98> + 25bcc: 003fcd06 br 25b04 <__swsetup_r+0x30> 25bd0: 0005883a mov r2,zero - 25bd4: 003fd606 br 25b30 <_gp+0xfffe8cc4> + 25bd4: 003fd606 br 25b30 <__swsetup_r+0x5c> 25bd8: 81400c17 ldw r5,48(r16) 25bdc: 28000626 beq r5,zero,25bf8 <__swsetup_r+0x124> 25be0: 80801004 addi r2,r16,64 @@ -8158,13 +8137,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25c00: 1108703a and r4,r2,r4 25c04: 80000115 stw zero,4(r16) 25c08: 80c00015 stw r3,0(r16) - 25c0c: 003fd506 br 25b64 <_gp+0xfffe8cf8> + 25c0c: 003fd506 br 25b64 <__swsetup_r+0x90> 25c10: 00800244 movi r2,9 25c14: 88800015 stw r2,0(r17) 25c18: 20801014 ori r2,r4,64 25c1c: 8080030d sth r2,12(r16) 25c20: 00bfffc4 movi r2,-1 - 25c24: 003fc506 br 25b3c <_gp+0xfffe8cd0> + 25c24: 003fc506 br 25b3c <__swsetup_r+0x68> 00025c28 : 25c28: defff704 addi sp,sp,-36 @@ -8225,7 +8204,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25d04: 49c00015 stw r7,0(r9) 25d08: 300dd43a srai r6,r6,16 25d0c: 4a400104 addi r9,r9,4 - 25d10: a17fe52e bgeu r20,r5,25ca8 <_gp+0xfffe8e3c> + 25d10: a17fe52e bgeu r20,r5,25ca8 25d14: b0800017 ldw r2,0(r22) 25d18: 10000b1e bne r2,zero,25d48 25d1c: b0bfff04 addi r2,r22,-4 @@ -8237,7 +8216,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25d34: 1800031e bne r3,zero,25d44 25d38: 10bfff04 addi r2,r2,-4 25d3c: 94bfffc4 addi r18,r18,-1 - 25d40: a8bffb36 bltu r21,r2,25d30 <_gp+0xfffe8ec4> + 25d40: a8bffb36 bltu r21,r2,25d30 25d44: 84800415 stw r18,16(r16) 25d48: b80b883a mov r5,r23 25d4c: 8009883a mov r4,r16 @@ -8264,7 +8243,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25da0: 200bd43a srai r5,r4,16 25da4: 30c6b03a or r3,r6,r3 25da8: 10ffff15 stw r3,-4(r2) - 25dac: a47fed2e bgeu r20,r17,25d64 <_gp+0xfffe8ef8> + 25dac: a47fed2e bgeu r20,r17,25d64 25db0: 9485883a add r2,r18,r18 25db4: 1085883a add r2,r2,r2 25db8: a887883a add r3,r21,r2 @@ -8279,7 +8258,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25ddc: 1800031e bne r3,zero,25dec 25de0: 10bfff04 addi r2,r2,-4 25de4: 94bfffc4 addi r18,r18,-1 - 25de8: a8bffb36 bltu r21,r2,25dd8 <_gp+0xfffe8f6c> + 25de8: a8bffb36 bltu r21,r2,25dd8 25dec: 84800415 stw r18,16(r16) 25df0: 9805883a mov r2,r19 25df4: dfc00817 ldw ra,32(sp) @@ -8294,7 +8273,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25e18: dec00904 addi sp,sp,36 25e1c: f800283a ret 25e20: 0005883a mov r2,zero - 25e24: 003ff306 br 25df4 <_gp+0xfffe8f88> + 25e24: 003ff306 br 25df4 00025e28 <_dtoa_r>: 25e28: 20801017 ldw r2,64(r4) @@ -8364,20 +8343,20 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 25f28: 008000f4 movhi r2,3 25f2c: 108a6604 addi r2,r2,10648 25f30: d9002517 ldw r4,148(sp) - 25f34: 203fec26 beq r4,zero,25ee8 <_gp+0xfffe907c> + 25f34: 203fec26 beq r4,zero,25ee8 <_dtoa_r+0xc0> 25f38: 10c000c7 ldb r3,3(r2) 25f3c: 1801781e bne r3,zero,26520 <_dtoa_r+0x6f8> 25f40: 10c000c4 addi r3,r2,3 25f44: d9802517 ldw r6,148(sp) 25f48: 30c00015 stw r3,0(r6) - 25f4c: 003fe606 br 25ee8 <_gp+0xfffe907c> + 25f4c: 003fe606 br 25ee8 <_dtoa_r+0xc0> 25f50: 04e00034 movhi r19,32768 25f54: 9cffffc4 addi r19,r19,-1 25f58: 00800044 movi r2,1 25f5c: 8ce6703a and r19,r17,r19 25f60: 80800015 stw r2,0(r16) 25f64: 9823883a mov r17,r19 - 25f68: 003fcc06 br 25e9c <_gp+0xfffe9030> + 25f68: 003fcc06 br 25e9c <_dtoa_r+0x74> 25f6c: d8800204 addi r2,sp,8 25f70: d8800015 stw r2,0(sp) 25f74: d9c00104 addi r7,sp,4 @@ -8515,7 +8494,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26184: 11000504 addi r4,r2,20 26188: 180b883a mov r5,r3 2618c: 18c00044 addi r3,r3,1 - 26190: 313ffb2e bgeu r6,r4,26180 <_gp+0xfffe9314> + 26190: 313ffb2e bgeu r6,r4,26180 <_dtoa_r+0x358> 26194: e1401115 stw r5,68(fp) 26198: e009883a mov r4,fp 2619c: 0028b900 call 28b90 <_Balloc> @@ -8566,7 +8545,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26250: 84000044 addi r16,r16,1 26254: 002e4ec0 call 2e4ec <__muldf3> 26258: bdc00204 addi r23,r23,8 - 2625c: a83ff51e bne r21,zero,26234 <_gp+0xfffe93c8> + 2625c: a83ff51e bne r21,zero,26234 <_dtoa_r+0x40c> 26260: 1013883a mov r9,r2 26264: 1811883a mov r8,r3 26268: 480d883a mov r6,r9 @@ -8720,7 +8699,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 264b8: 880f883a mov r7,r17 264bc: 0009883a mov r4,zero 264c0: 014ffc34 movhi r5,16368 - 264c4: 103fcf0e bge r2,zero,26404 <_gp+0xfffe9598> + 264c4: 103fcf0e bge r2,zero,26404 <_dtoa_r+0x5dc> 264c8: d8c01317 ldw r3,76(sp) 264cc: d8c00515 stw r3,20(sp) 264d0: d9400917 ldw r5,36(sp) @@ -8735,16 +8714,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 264f4: 3802aa26 beq r7,zero,26fa0 <_dtoa_r+0x1178> 264f8: 3dc00015 stw r23,0(r7) 264fc: d8800717 ldw r2,28(sp) - 26500: 003e7906 br 25ee8 <_gp+0xfffe907c> + 26500: 003e7906 br 25ee8 <_dtoa_r+0xc0> 26504: 00800434 movhi r2,16 26508: 10bfffc4 addi r2,r2,-1 2650c: 88a2703a and r17,r17,r2 - 26510: 883e851e bne r17,zero,25f28 <_gp+0xfffe90bc> + 26510: 883e851e bne r17,zero,25f28 <_dtoa_r+0x100> 26514: 008000f4 movhi r2,3 26518: 108a6304 addi r2,r2,10636 - 2651c: 003e8406 br 25f30 <_gp+0xfffe90c4> + 2651c: 003e8406 br 25f30 <_dtoa_r+0x108> 26520: 10c00204 addi r3,r2,8 - 26524: 003e8706 br 25f44 <_gp+0xfffe90d8> + 26524: 003e8706 br 25f44 <_dtoa_r+0x11c> 26528: 01400434 movhi r5,16 2652c: 297fffc4 addi r5,r5,-1 26530: 994a703a and r5,r19,r5 @@ -8753,10 +8732,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2653c: 294ffc34 orhi r5,r5,16368 26540: dd800217 ldw r22,8(sp) 26544: d8001115 stw zero,68(sp) - 26548: 003ea506 br 25fe0 <_gp+0xfffe9174> + 26548: 003ea506 br 25fe0 <_dtoa_r+0x1b8> 2654c: 008000f4 movhi r2,3 26550: 108a5a04 addi r2,r2,10600 - 26554: 003e6406 br 25ee8 <_gp+0xfffe907c> + 26554: 003e6406 br 25ee8 <_dtoa_r+0xc0> 26558: e0001115 stw zero,68(fp) 2655c: 000b883a mov r5,zero 26560: e009883a mov r4,fp @@ -8824,7 +8803,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26658: 1025883a mov r18,r2 2665c: 1827883a mov r19,r3 26660: 002e2940 call 2e294 <__eqdf2> - 26664: 103f9a26 beq r2,zero,264d0 <_gp+0xfffe9664> + 26664: 103f9a26 beq r2,zero,264d0 <_dtoa_r+0x6a8> 26668: d9c00617 ldw r7,24(sp) 2666c: d8c00717 ldw r3,28(sp) 26670: b829883a mov r20,r23 @@ -8840,7 +8819,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26698: 1827883a mov r19,r3 2669c: b829883a mov r20,r23 266a0: 002e2940 call 2e294 <__eqdf2> - 266a4: 103f8a26 beq r2,zero,264d0 <_gp+0xfffe9664> + 266a4: 103f8a26 beq r2,zero,264d0 <_dtoa_r+0x6a8> 266a8: 800d883a mov r6,r16 266ac: 880f883a mov r7,r17 266b0: 9009883a mov r4,r18 @@ -8869,7 +8848,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2670c: 1009883a mov r4,r2 26710: 180b883a mov r5,r3 26714: a5c00044 addi r23,r20,1 - 26718: b53fd91e bne r22,r20,26680 <_gp+0xfffe9814> + 26718: b53fd91e bne r22,r20,26680 <_dtoa_r+0x858> 2671c: 100d883a mov r6,r2 26720: 180f883a mov r7,r3 26724: 1009883a mov r4,r2 @@ -8888,9 +8867,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26758: 8009883a mov r4,r16 2675c: 880b883a mov r5,r17 26760: 002e2940 call 2e294 <__eqdf2> - 26764: 103f5a1e bne r2,zero,264d0 <_gp+0xfffe9664> + 26764: 103f5a1e bne r2,zero,264d0 <_dtoa_r+0x6a8> 26768: ad40004c andi r21,r21,1 - 2676c: a83f5826 beq r21,zero,264d0 <_gp+0xfffe9664> + 2676c: a83f5826 beq r21,zero,264d0 <_dtoa_r+0x6a8> 26770: bd3fffc3 ldbu r20,-1(r23) 26774: b8bfffc4 addi r2,r23,-1 26778: 1007883a mov r3,r2 @@ -8905,10 +8884,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2679c: a1003fcc andi r4,r20,255 267a0: 2100201c xori r4,r4,128 267a4: 213fe004 addi r4,r4,-128 - 267a8: 217ff726 beq r4,r5,26788 <_gp+0xfffe991c> + 267a8: 217ff726 beq r4,r5,26788 <_dtoa_r+0x960> 267ac: a2000044 addi r8,r20,1 267b0: 12000005 stb r8,0(r2) - 267b4: 003f4606 br 264d0 <_gp+0xfffe9664> + 267b4: 003f4606 br 264d0 <_dtoa_r+0x6a8> 267b8: d9000b17 ldw r4,44(sp) 267bc: 2000c826 beq r4,zero,26ae0 <_dtoa_r+0xcb8> 267c0: d9800317 ldw r6,12(sp) @@ -9036,7 +9015,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 269a8: 01800284 movi r6,10 269ac: 800b883a mov r5,r16 269b0: e009883a mov r4,fp - 269b4: 1cfff216 blt r3,r19,26980 <_gp+0xfffe9b14> + 269b4: 1cfff216 blt r3,r19,26980 <_dtoa_r+0xb58> 269b8: 1011883a mov r8,r2 269bc: d8800617 ldw r2,24(sp) 269c0: 0082370e bge zero,r2,272a0 <_dtoa_r+0x1478> @@ -9068,13 +9047,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26a28: 21403fcc andi r5,r4,255 26a2c: 2940201c xori r5,r5,128 26a30: 297fe004 addi r5,r5,-128 - 26a34: 29bff726 beq r5,r6,26a14 <_gp+0xfffe9ba8> + 26a34: 29bff726 beq r5,r6,26a14 <_dtoa_r+0xbec> 26a38: 21000044 addi r4,r4,1 26a3c: 11000005 stb r4,0(r2) 26a40: a80b883a mov r5,r21 26a44: e009883a mov r4,fp 26a48: 0028c380 call 28c38 <_Bfree> - 26a4c: 883ea026 beq r17,zero,264d0 <_gp+0xfffe9664> + 26a4c: 883ea026 beq r17,zero,264d0 <_dtoa_r+0x6a8> 26a50: 90000426 beq r18,zero,26a64 <_dtoa_r+0xc3c> 26a54: 94400326 beq r18,r17,26a64 <_dtoa_r+0xc3c> 26a58: 900b883a mov r5,r18 @@ -9083,10 +9062,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26a64: 880b883a mov r5,r17 26a68: e009883a mov r4,fp 26a6c: 0028c380 call 28c38 <_Bfree> - 26a70: 003e9706 br 264d0 <_gp+0xfffe9664> + 26a70: 003e9706 br 264d0 <_dtoa_r+0x6a8> 26a74: 01800044 movi r6,1 26a78: d9800e15 stw r6,56(sp) - 26a7c: 003d9606 br 260d8 <_gp+0xfffe926c> + 26a7c: 003d9606 br 260d8 <_dtoa_r+0x2b0> 26a80: d8800817 ldw r2,32(sp) 26a84: d8c00517 ldw r3,20(sp) 26a88: d8000d15 stw zero,52(sp) @@ -9094,11 +9073,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26a90: 00c9c83a sub r4,zero,r3 26a94: d8800815 stw r2,32(sp) 26a98: d9000a15 stw r4,40(sp) - 26a9c: 003d9706 br 260fc <_gp+0xfffe9290> + 26a9c: 003d9706 br 260fc <_dtoa_r+0x2d4> 26aa0: 05adc83a sub r22,zero,r22 26aa4: dd800815 stw r22,32(sp) 26aa8: 002d883a mov r22,zero - 26aac: 003d8e06 br 260e8 <_gp+0xfffe927c> + 26aac: 003d8e06 br 260e8 <_dtoa_r+0x2c0> 26ab0: d9000517 ldw r4,20(sp) 26ab4: 002f5800 call 2f580 <__floatsidf> 26ab8: 100d883a mov r6,r2 @@ -9106,29 +9085,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26ac0: a009883a mov r4,r20 26ac4: 880b883a mov r5,r17 26ac8: 002e2940 call 2e294 <__eqdf2> - 26acc: 103d7126 beq r2,zero,26094 <_gp+0xfffe9228> + 26acc: 103d7126 beq r2,zero,26094 <_dtoa_r+0x26c> 26ad0: d9c00517 ldw r7,20(sp) 26ad4: 39ffffc4 addi r7,r7,-1 26ad8: d9c00515 stw r7,20(sp) - 26adc: 003d6d06 br 26094 <_gp+0xfffe9228> + 26adc: 003d6d06 br 26094 <_dtoa_r+0x26c> 26ae0: dd400a17 ldw r21,40(sp) 26ae4: dd000817 ldw r20,32(sp) 26ae8: 0023883a mov r17,zero - 26aec: 003f4806 br 26810 <_gp+0xfffe99a4> + 26aec: 003f4806 br 26810 <_dtoa_r+0x9e8> 26af0: 10e3c83a sub r17,r2,r3 26af4: 9448983a sll r4,r18,r17 - 26af8: 003d3206 br 25fc4 <_gp+0xfffe9158> + 26af8: 003d3206 br 25fc4 <_dtoa_r+0x19c> 26afc: d8000e15 stw zero,56(sp) - 26b00: 003d7506 br 260d8 <_gp+0xfffe926c> + 26b00: 003d7506 br 260d8 <_dtoa_r+0x2b0> 26b04: b005883a mov r2,r22 - 26b08: 003f4506 br 26820 <_gp+0xfffe99b4> + 26b08: 003f4506 br 26820 <_dtoa_r+0x9f8> 26b0c: dc000915 stw r16,36(sp) 26b10: d9800a17 ldw r6,40(sp) 26b14: d9400917 ldw r5,36(sp) 26b18: e009883a mov r4,fp 26b1c: 00291d00 call 291d0 <__pow5mult> 26b20: 1021883a mov r16,r2 - 26b24: 003f5a06 br 26890 <_gp+0xfffe9a24> + 26b24: 003f5a06 br 26890 <_dtoa_r+0xa68> 26b28: 01c00044 movi r7,1 26b2c: d9c00b15 stw r7,44(sp) 26b30: d8802217 ldw r2,136(sp) @@ -9137,9 +9116,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26b3c: 1021883a mov r16,r2 26b40: d8800c15 stw r2,48(sp) 26b44: d8800615 stw r2,24(sp) - 26b48: 003d8806 br 2616c <_gp+0xfffe9300> + 26b48: 003d8806 br 2616c <_dtoa_r+0x344> 26b4c: d8800617 ldw r2,24(sp) - 26b50: 00be9b16 blt zero,r2,265c0 <_gp+0xfffe9754> + 26b50: 00be9b16 blt zero,r2,265c0 <_dtoa_r+0x798> 26b54: 10010f1e bne r2,zero,26f94 <_dtoa_r+0x116c> 26b58: 880b883a mov r5,r17 26b5c: 000d883a mov r6,zero @@ -9161,26 +9140,26 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26b9c: a80b883a mov r5,r21 26ba0: e009883a mov r4,fp 26ba4: 0028c380 call 28c38 <_Bfree> - 26ba8: 883e4926 beq r17,zero,264d0 <_gp+0xfffe9664> - 26bac: 003fad06 br 26a64 <_gp+0xfffe9bf8> + 26ba8: 883e4926 beq r17,zero,264d0 <_dtoa_r+0x6a8> + 26bac: 003fad06 br 26a64 <_dtoa_r+0xc3c> 26bb0: d9c01117 ldw r7,68(sp) 26bb4: 3801bc26 beq r7,zero,272a8 <_dtoa_r+0x1480> 26bb8: 10810cc4 addi r2,r2,1075 26bbc: dd400a17 ldw r21,40(sp) 26bc0: dd000817 ldw r20,32(sp) - 26bc4: 003f0a06 br 267f0 <_gp+0xfffe9984> + 26bc4: 003f0a06 br 267f0 <_dtoa_r+0x9c8> 26bc8: 00800704 movi r2,28 26bcc: d9000817 ldw r4,32(sp) 26bd0: a0a9883a add r20,r20,r2 26bd4: b0ad883a add r22,r22,r2 26bd8: 2089883a add r4,r4,r2 26bdc: d9000815 stw r4,32(sp) - 26be0: 003f5106 br 26928 <_gp+0xfffe9abc> + 26be0: 003f5106 br 26928 <_dtoa_r+0xb00> 26be4: d8c00317 ldw r3,12(sp) 26be8: b8c1fc0e bge r23,r3,273dc <_dtoa_r+0x15b4> 26bec: 0027883a mov r19,zero 26bf0: b805883a mov r2,r23 - 26bf4: 003f3e06 br 268f0 <_gp+0xfffe9a84> + 26bf4: 003f3e06 br 268f0 <_dtoa_r+0xac8> 26bf8: 880b883a mov r5,r17 26bfc: e009883a mov r4,fp 26c00: 000f883a mov r7,zero @@ -9268,7 +9247,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26d48: 0028c600 call 28c60 <__multadd> 26d4c: 1029883a mov r20,r2 26d50: b027883a mov r19,r22 - 26d54: 003fc006 br 26c58 <_gp+0xfffe9dec> + 26d54: 003fc006 br 26c58 <_dtoa_r+0xe30> 26d58: 9011883a mov r8,r18 26d5c: 00800e0e bge zero,r2,26d98 <_dtoa_r+0xf70> 26d60: 800b883a mov r5,r16 @@ -9290,24 +9269,24 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26da0: 9a000005 stb r8,0(r19) 26da4: a023883a mov r17,r20 26da8: dc000915 stw r16,36(sp) - 26dac: 003f2406 br 26a40 <_gp+0xfffe9bd4> + 26dac: 003f2406 br 26a40 <_dtoa_r+0xc18> 26db0: 00800e44 movi r2,57 26db4: 9011883a mov r8,r18 26db8: 90816626 beq r18,r2,27354 <_dtoa_r+0x152c> - 26dbc: 05bff516 blt zero,r22,26d94 <_gp+0xfffe9f28> - 26dc0: 003ff506 br 26d98 <_gp+0xfffe9f2c> + 26dbc: 05bff516 blt zero,r22,26d94 <_dtoa_r+0xf6c> + 26dc0: 003ff506 br 26d98 <_dtoa_r+0xf70> 26dc4: 0028c600 call 28c60 <__multadd> 26dc8: 1023883a mov r17,r2 26dcc: 1029883a mov r20,r2 - 26dd0: 003fdf06 br 26d50 <_gp+0xfffe9ee4> + 26dd0: 003fdf06 br 26d50 <_dtoa_r+0xf28> 26dd4: e009883a mov r4,fp 26dd8: 0028c380 call 28c38 <_Bfree> 26ddc: 00800044 movi r2,1 - 26de0: 003fbc06 br 26cd4 <_gp+0xfffe9e68> + 26de0: 003fbc06 br 26cd4 <_dtoa_r+0xeac> 26de4: a80b883a mov r5,r21 26de8: 8009883a mov r4,r16 26dec: 00294580 call 29458 <__mcmp> - 26df0: 103edb0e bge r2,zero,26960 <_gp+0xfffe9af4> + 26df0: 103edb0e bge r2,zero,26960 <_dtoa_r+0xb38> 26df4: 800b883a mov r5,r16 26df8: 000f883a mov r7,zero 26dfc: 01800284 movi r6,10 @@ -9318,20 +9297,20 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26e10: d8c00b17 ldw r3,44(sp) 26e14: 10bfffc4 addi r2,r2,-1 26e18: d8800515 stw r2,20(sp) - 26e1c: 183f761e bne r3,zero,26bf8 <_gp+0xfffe9d8c> + 26e1c: 183f761e bne r3,zero,26bf8 <_dtoa_r+0xdd0> 26e20: d9000c17 ldw r4,48(sp) 26e24: 0101730e bge zero,r4,273f4 <_dtoa_r+0x15cc> 26e28: d9000615 stw r4,24(sp) - 26e2c: 003ed006 br 26970 <_gp+0xfffe9b04> + 26e2c: 003ed006 br 26970 <_dtoa_r+0xb48> 26e30: 00800084 movi r2,2 26e34: 3081861e bne r6,r2,27450 <_dtoa_r+0x1628> 26e38: d8000b15 stw zero,44(sp) - 26e3c: 003f3c06 br 26b30 <_gp+0xfffe9cc4> + 26e3c: 003f3c06 br 26b30 <_dtoa_r+0xd08> 26e40: dc000917 ldw r16,36(sp) - 26e44: 003e9206 br 26890 <_gp+0xfffe9a24> + 26e44: 003e9206 br 26890 <_dtoa_r+0xa68> 26e48: d9c00317 ldw r7,12(sp) 26e4c: 00800084 movi r2,2 - 26e50: 11fec50e bge r2,r7,26968 <_gp+0xfffe9afc> + 26e50: 11fec50e bge r2,r7,26968 <_dtoa_r+0xb40> 26e54: d9000617 ldw r4,24(sp) 26e58: 20013c1e bne r4,zero,2734c <_dtoa_r+0x1524> 26e5c: a80b883a mov r5,r21 @@ -9344,7 +9323,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26e78: 102b883a mov r21,r2 26e7c: 00294580 call 29458 <__mcmp> 26e80: dc000915 stw r16,36(sp) - 26e84: 00bf410e bge zero,r2,26b8c <_gp+0xfffe9d20> + 26e84: 00bf410e bge zero,r2,26b8c <_dtoa_r+0xd64> 26e88: d9c00717 ldw r7,28(sp) 26e8c: 00800c44 movi r2,49 26e90: 38800005 stb r2,0(r7) @@ -9352,17 +9331,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26e98: 3dc00044 addi r23,r7,1 26e9c: 10800044 addi r2,r2,1 26ea0: d8800515 stw r2,20(sp) - 26ea4: 003f3d06 br 26b9c <_gp+0xfffe9d30> + 26ea4: 003f3d06 br 26b9c <_dtoa_r+0xd74> 26ea8: d9800517 ldw r6,20(sp) 26eac: d9c00717 ldw r7,28(sp) 26eb0: 00800c44 movi r2,49 26eb4: 31800044 addi r6,r6,1 26eb8: d9800515 stw r6,20(sp) 26ebc: 38800005 stb r2,0(r7) - 26ec0: 003edf06 br 26a40 <_gp+0xfffe9bd4> + 26ec0: 003edf06 br 26a40 <_dtoa_r+0xc18> 26ec4: d8000b15 stw zero,44(sp) - 26ec8: 003c9f06 br 26148 <_gp+0xfffe92dc> - 26ecc: 903e7e1e bne r18,zero,268c8 <_gp+0xfffe9a5c> + 26ec8: 003c9f06 br 26148 <_dtoa_r+0x320> + 26ecc: 903e7e1e bne r18,zero,268c8 <_dtoa_r+0xaa0> 26ed0: 00800434 movhi r2,16 26ed4: 10bfffc4 addi r2,r2,-1 26ed8: 9884703a and r2,r19,r2 @@ -9375,9 +9354,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26ef4: 39c00044 addi r7,r7,1 26ef8: d9c00815 stw r7,32(sp) 26efc: d8800d17 ldw r2,52(sp) - 26f00: 103e721e bne r2,zero,268cc <_gp+0xfffe9a60> + 26f00: 103e721e bne r2,zero,268cc <_dtoa_r+0xaa4> 26f04: 00800044 movi r2,1 - 26f08: 003e7906 br 268f0 <_gp+0xfffe9a84> + 26f08: 003e7906 br 268f0 <_dtoa_r+0xac8> 26f0c: 8009883a mov r4,r16 26f10: 002f5800 call 2f580 <__floatsidf> 26f14: d9800f17 ldw r6,60(sp) @@ -9411,12 +9390,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26f84: a809883a mov r4,r21 26f88: a00b883a mov r5,r20 26f8c: 002e3f80 call 2e3f8 <__ledf2> - 26f90: 103d7e0e bge r2,zero,2658c <_gp+0xfffe9720> + 26f90: 103d7e0e bge r2,zero,2658c <_dtoa_r+0x764> 26f94: 002b883a mov r21,zero 26f98: 0023883a mov r17,zero - 26f9c: 003efb06 br 26b8c <_gp+0xfffe9d20> + 26f9c: 003efb06 br 26b8c <_dtoa_r+0xd64> 26fa0: d8800717 ldw r2,28(sp) - 26fa4: 003bd006 br 25ee8 <_gp+0xfffe907c> + 26fa4: 003bd006 br 25ee8 <_dtoa_r+0xc0> 26fa8: d9000a17 ldw r4,40(sp) 26fac: d9800d17 ldw r6,52(sp) 26fb0: dd400a15 stw r21,40(sp) @@ -9424,30 +9403,30 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 26fb8: 308d883a add r6,r6,r2 26fbc: d9800d15 stw r6,52(sp) 26fc0: 002b883a mov r21,zero - 26fc4: 003e0606 br 267e0 <_gp+0xfffe9974> + 26fc4: 003e0606 br 267e0 <_dtoa_r+0x9b8> 26fc8: 9023883a mov r17,r18 26fcc: 9829883a mov r20,r19 26fd0: 04000084 movi r16,2 - 26fd4: 003c9206 br 26220 <_gp+0xfffe93b4> + 26fd4: 003c9206 br 26220 <_dtoa_r+0x3f8> 26fd8: 04000044 movi r16,1 26fdc: dc000c15 stw r16,48(sp) 26fe0: dc000615 stw r16,24(sp) 26fe4: dc002215 stw r16,136(sp) 26fe8: e0001115 stw zero,68(fp) 26fec: 000b883a mov r5,zero - 26ff0: 003c6906 br 26198 <_gp+0xfffe932c> + 26ff0: 003c6906 br 26198 <_dtoa_r+0x370> 26ff4: 3021883a mov r16,r6 - 26ff8: 003ffb06 br 26fe8 <_gp+0xfffea17c> + 26ff8: 003ffb06 br 26fe8 <_dtoa_r+0x11c0> 26ffc: 1000021e bne r2,zero,27008 <_dtoa_r+0x11e0> 27000: 4200004c andi r8,r8,1 - 27004: 403e7d1e bne r8,zero,269fc <_gp+0xfffe9b90> + 27004: 403e7d1e bne r8,zero,269fc <_dtoa_r+0xbd4> 27008: 01000c04 movi r4,48 2700c: 00000106 br 27014 <_dtoa_r+0x11ec> 27010: 102f883a mov r23,r2 27014: b8bfffc4 addi r2,r23,-1 27018: 10c00007 ldb r3,0(r2) - 2701c: 193ffc26 beq r3,r4,27010 <_gp+0xfffea1a4> - 27020: 003e8706 br 26a40 <_gp+0xfffe9bd4> + 2701c: 193ffc26 beq r3,r4,27010 <_dtoa_r+0x11e8> + 27020: 003e8706 br 26a40 <_dtoa_r+0xc18> 27024: d8800517 ldw r2,20(sp) 27028: 00a3c83a sub r17,zero,r2 2702c: 8800a426 beq r17,zero,272c0 <_dtoa_r+0x1498> @@ -9478,17 +9457,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27090: 84000044 addi r16,r16,1 27094: 002e4ec0 call 2e4ec <__muldf3> 27098: a5000204 addi r20,r20,8 - 2709c: 883ff51e bne r17,zero,27074 <_gp+0xfffea208> + 2709c: 883ff51e bne r17,zero,27074 <_dtoa_r+0x124c> 270a0: d8800f15 stw r2,60(sp) 270a4: d8c01015 stw r3,64(sp) - 270a8: 003c7606 br 26284 <_gp+0xfffe9418> + 270a8: 003c7606 br 26284 <_dtoa_r+0x45c> 270ac: 00c00c04 movi r3,48 270b0: 10c00005 stb r3,0(r2) 270b4: d8c00517 ldw r3,20(sp) 270b8: bd3fffc3 ldbu r20,-1(r23) 270bc: 18c00044 addi r3,r3,1 270c0: d8c00515 stw r3,20(sp) - 270c4: 003db906 br 267ac <_gp+0xfffe9940> + 270c4: 003db906 br 267ac <_dtoa_r+0x984> 270c8: 89400117 ldw r5,4(r17) 270cc: e009883a mov r4,fp 270d0: 0028b900 call 28b90 <_Balloc> @@ -9505,18 +9484,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 270fc: e009883a mov r4,fp 27100: 00293100 call 29310 <__lshift> 27104: 1029883a mov r20,r2 - 27108: 003ecc06 br 26c3c <_gp+0xfffe9dd0> + 27108: 003ecc06 br 26c3c <_dtoa_r+0xe14> 2710c: 00800e44 movi r2,57 27110: 90809026 beq r18,r2,27354 <_dtoa_r+0x152c> 27114: 92000044 addi r8,r18,1 - 27118: 003f1f06 br 26d98 <_gp+0xfffe9f2c> + 27118: 003f1f06 br 26d98 <_dtoa_r+0xf70> 2711c: 9011883a mov r8,r18 27120: 8825883a mov r18,r17 27124: a023883a mov r17,r20 - 27128: 003e2906 br 269d0 <_gp+0xfffe9b64> + 27128: 003e2906 br 269d0 <_dtoa_r+0xba8> 2712c: 002b883a mov r21,zero 27130: 0023883a mov r17,zero - 27134: 003f5406 br 26e88 <_gp+0xfffea01c> + 27134: 003f5406 br 26e88 <_dtoa_r+0x1060> 27138: 61bfffc4 addi r6,r12,-1 2713c: 300490fa slli r2,r6,3 27140: 00c000f4 movhi r3,3 @@ -9580,7 +9559,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27228: 002ec040 call 2ec04 <__subdf3> 2722c: ad400044 addi r21,r21,1 27230: ac3fffc5 stb r16,-1(r21) - 27234: ac7fea1e bne r21,r17,271e0 <_gp+0xfffea374> + 27234: ac7fea1e bne r21,r17,271e0 <_dtoa_r+0x13b8> 27238: 1023883a mov r17,r2 2723c: d8801217 ldw r2,72(sp) 27240: dc800f17 ldw r18,60(sp) @@ -9600,29 +9579,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27278: d9001317 ldw r4,76(sp) 2727c: bd3fffc3 ldbu r20,-1(r23) 27280: d9000515 stw r4,20(sp) - 27284: 003d3b06 br 26774 <_gp+0xfffe9908> + 27284: 003d3b06 br 26774 <_dtoa_r+0x94c> 27288: 0027883a mov r19,zero - 2728c: 003f1b06 br 26efc <_gp+0xfffea090> + 2728c: 003f1b06 br 26efc <_dtoa_r+0x10d4> 27290: d8800817 ldw r2,32(sp) 27294: 11e9c83a sub r20,r2,r7 27298: 0005883a mov r2,zero - 2729c: 003d5406 br 267f0 <_gp+0xfffe9984> + 2729c: 003d5406 br 267f0 <_dtoa_r+0x9c8> 272a0: 00800044 movi r2,1 - 272a4: 003dc706 br 269c4 <_gp+0xfffe9b58> + 272a4: 003dc706 br 269c4 <_dtoa_r+0xb9c> 272a8: d8c00217 ldw r3,8(sp) 272ac: 00800d84 movi r2,54 272b0: dd400a17 ldw r21,40(sp) 272b4: 10c5c83a sub r2,r2,r3 272b8: dd000817 ldw r20,32(sp) - 272bc: 003d4c06 br 267f0 <_gp+0xfffe9984> + 272bc: 003d4c06 br 267f0 <_dtoa_r+0x9c8> 272c0: dc800f15 stw r18,60(sp) 272c4: dcc01015 stw r19,64(sp) 272c8: 04000084 movi r16,2 - 272cc: 003bed06 br 26284 <_gp+0xfffe9418> + 272cc: 003bed06 br 26284 <_dtoa_r+0x45c> 272d0: d9000617 ldw r4,24(sp) - 272d4: 203f0d26 beq r4,zero,26f0c <_gp+0xfffea0a0> + 272d4: 203f0d26 beq r4,zero,26f0c <_dtoa_r+0x10e4> 272d8: d9800c17 ldw r6,48(sp) - 272dc: 01bcab0e bge zero,r6,2658c <_gp+0xfffe9720> + 272dc: 01bcab0e bge zero,r6,2658c <_dtoa_r+0x764> 272e0: d9401017 ldw r5,64(sp) 272e4: d9000f17 ldw r4,60(sp) 272e8: 000d883a mov r6,zero @@ -9649,16 +9628,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2733c: d9c01315 stw r7,76(sp) 27340: 1c63883a add r17,r3,r17 27344: db000c17 ldw r12,48(sp) - 27348: 003bea06 br 262f4 <_gp+0xfffe9488> + 27348: 003bea06 br 262f4 <_dtoa_r+0x4cc> 2734c: dc000915 stw r16,36(sp) - 27350: 003e0e06 br 26b8c <_gp+0xfffe9d20> + 27350: 003e0e06 br 26b8c <_dtoa_r+0xd64> 27354: 01000e44 movi r4,57 27358: 8825883a mov r18,r17 2735c: 9dc00044 addi r23,r19,1 27360: 99000005 stb r4,0(r19) 27364: a023883a mov r17,r20 27368: dc000915 stw r16,36(sp) - 2736c: 003da406 br 26a00 <_gp+0xfffe9b94> + 2736c: 003da406 br 26a00 <_dtoa_r+0xbd8> 27370: d9801417 ldw r6,80(sp) 27374: d9c01517 ldw r7,84(sp) 27378: 0009883a mov r4,zero @@ -9669,52 +9648,52 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2738c: 1009883a mov r4,r2 27390: 180b883a mov r5,r3 27394: 002e31c0 call 2e31c <__gedf2> - 27398: 00bc7c0e bge zero,r2,2658c <_gp+0xfffe9720> + 27398: 00bc7c0e bge zero,r2,2658c <_dtoa_r+0x764> 2739c: 01000c04 movi r4,48 273a0: 00000106 br 273a8 <_dtoa_r+0x1580> 273a4: 102f883a mov r23,r2 273a8: b8bfffc4 addi r2,r23,-1 273ac: 10c00007 ldb r3,0(r2) - 273b0: 193ffc26 beq r3,r4,273a4 <_gp+0xfffea538> + 273b0: 193ffc26 beq r3,r4,273a4 <_dtoa_r+0x157c> 273b4: d9801317 ldw r6,76(sp) 273b8: d9800515 stw r6,20(sp) - 273bc: 003c4406 br 264d0 <_gp+0xfffe9664> + 273bc: 003c4406 br 264d0 <_dtoa_r+0x6a8> 273c0: d9801317 ldw r6,76(sp) 273c4: d9800515 stw r6,20(sp) - 273c8: 003cea06 br 26774 <_gp+0xfffe9908> + 273c8: 003cea06 br 26774 <_dtoa_r+0x94c> 273cc: dd800f17 ldw r22,60(sp) 273d0: dcc01017 ldw r19,64(sp) 273d4: dc801217 ldw r18,72(sp) - 273d8: 003c6c06 br 2658c <_gp+0xfffe9720> - 273dc: 903e031e bne r18,zero,26bec <_gp+0xfffe9d80> - 273e0: 003ebb06 br 26ed0 <_gp+0xfffea064> - 273e4: 103e6c1e bne r2,zero,26d98 <_gp+0xfffe9f2c> + 273d8: 003c6c06 br 2658c <_dtoa_r+0x764> + 273dc: 903e031e bne r18,zero,26bec <_dtoa_r+0xdc4> + 273e0: 003ebb06 br 26ed0 <_dtoa_r+0x10a8> + 273e4: 103e6c1e bne r2,zero,26d98 <_dtoa_r+0xf70> 273e8: 4080004c andi r2,r8,1 - 273ec: 103e6a26 beq r2,zero,26d98 <_gp+0xfffe9f2c> - 273f0: 003e6606 br 26d8c <_gp+0xfffe9f20> + 273ec: 103e6a26 beq r2,zero,26d98 <_dtoa_r+0xf70> + 273f0: 003e6606 br 26d8c <_dtoa_r+0xf64> 273f4: d8c00317 ldw r3,12(sp) 273f8: 00800084 movi r2,2 273fc: 10c02916 blt r2,r3,274a4 <_dtoa_r+0x167c> 27400: d9000c17 ldw r4,48(sp) - 27404: 003e8806 br 26e28 <_gp+0xfffe9fbc> + 27404: 003e8806 br 26e28 <_dtoa_r+0x1000> 27408: 04000084 movi r16,2 - 2740c: 003b9d06 br 26284 <_gp+0xfffe9418> + 2740c: 003b9d06 br 26284 <_dtoa_r+0x45c> 27410: d9001317 ldw r4,76(sp) 27414: d9000515 stw r4,20(sp) - 27418: 003cd606 br 26774 <_gp+0xfffe9908> + 27418: 003cd606 br 26774 <_dtoa_r+0x94c> 2741c: d8801317 ldw r2,76(sp) 27420: d8800515 stw r2,20(sp) - 27424: 003c2a06 br 264d0 <_gp+0xfffe9664> + 27424: 003c2a06 br 264d0 <_dtoa_r+0x6a8> 27428: d9800317 ldw r6,12(sp) 2742c: 00800084 movi r2,2 27430: 11801516 blt r2,r6,27488 <_dtoa_r+0x1660> 27434: d9c00c17 ldw r7,48(sp) 27438: d9c00615 stw r7,24(sp) - 2743c: 003df706 br 26c1c <_gp+0xfffe9db0> - 27440: 193d3926 beq r3,r4,26928 <_gp+0xfffe9abc> + 2743c: 003df706 br 26c1c <_dtoa_r+0xdf4> + 27440: 193d3926 beq r3,r4,26928 <_dtoa_r+0xb00> 27444: 00c00f04 movi r3,60 27448: 1885c83a sub r2,r3,r2 - 2744c: 003ddf06 br 26bcc <_gp+0xfffe9d60> + 2744c: 003ddf06 br 26bcc <_dtoa_r+0xda4> 27450: e009883a mov r4,fp 27454: e0001115 stw zero,68(fp) 27458: 000b883a mov r5,zero @@ -9728,17 +9707,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27478: d9000b15 stw r4,44(sp) 2747c: d8800615 stw r2,24(sp) 27480: d8002215 stw zero,136(sp) - 27484: 003c4106 br 2658c <_gp+0xfffe9720> + 27484: 003c4106 br 2658c <_dtoa_r+0x764> 27488: d8c00c17 ldw r3,48(sp) 2748c: d8c00615 stw r3,24(sp) - 27490: 003e7006 br 26e54 <_gp+0xfffe9fe8> + 27490: 003e7006 br 26e54 <_dtoa_r+0x102c> 27494: 04400044 movi r17,1 - 27498: 003b2006 br 2611c <_gp+0xfffe92b0> + 27498: 003b2006 br 2611c <_dtoa_r+0x2f4> 2749c: 000b883a mov r5,zero - 274a0: 003b3d06 br 26198 <_gp+0xfffe932c> + 274a0: 003b3d06 br 26198 <_dtoa_r+0x370> 274a4: d8800c17 ldw r2,48(sp) 274a8: d8800615 stw r2,24(sp) - 274ac: 003e6906 br 26e54 <_gp+0xfffe9fe8> + 274ac: 003e6906 br 26e54 <_dtoa_r+0x102c> 000274b0 <__sflush_r>: 274b0: 2880030b ldhu r2,12(r5) @@ -9802,7 +9781,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27598: dec00504 addi sp,sp,20 2759c: f800283a ret 275a0: 2c800417 ldw r18,16(r5) - 275a4: 903ff626 beq r18,zero,27580 <_gp+0xfffea714> + 275a4: 903ff626 beq r18,zero,27580 <__sflush_r+0xd0> 275a8: 2c000017 ldw r16,0(r5) 275ac: 108000cc andi r2,r2,3 275b0: 2c800015 stw r18,0(r5) @@ -9811,9 +9790,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 275bc: 28800517 ldw r2,20(r5) 275c0: 88800215 stw r2,8(r17) 275c4: 04000316 blt zero,r16,275d4 <__sflush_r+0x124> - 275c8: 003fed06 br 27580 <_gp+0xfffea714> + 275c8: 003fed06 br 27580 <__sflush_r+0xd0> 275cc: 90a5883a add r18,r18,r2 - 275d0: 043feb0e bge zero,r16,27580 <_gp+0xfffea714> + 275d0: 043feb0e bge zero,r16,27580 <__sflush_r+0xd0> 275d4: 88800917 ldw r2,36(r17) 275d8: 89400717 ldw r5,28(r17) 275dc: 800f883a mov r7,r16 @@ -9821,19 +9800,19 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 275e4: 9809883a mov r4,r19 275e8: 103ee83a callr r2 275ec: 80a1c83a sub r16,r16,r2 - 275f0: 00bff616 blt zero,r2,275cc <_gp+0xfffea760> + 275f0: 00bff616 blt zero,r2,275cc <__sflush_r+0x11c> 275f4: 88c0030b ldhu r3,12(r17) 275f8: 00bfffc4 movi r2,-1 275fc: 18c01014 ori r3,r3,64 27600: 88c0030d sth r3,12(r17) - 27604: 003fdf06 br 27584 <_gp+0xfffea718> + 27604: 003fdf06 br 27584 <__sflush_r+0xd4> 27608: 0005883a mov r2,zero - 2760c: 003fec06 br 275c0 <_gp+0xfffea754> + 2760c: 003fec06 br 275c0 <__sflush_r+0x110> 27610: 88801415 stw r2,80(r17) - 27614: 003fd206 br 27560 <_gp+0xfffea6f4> + 27614: 003fd206 br 27560 <__sflush_r+0xb0> 27618: 28c00f17 ldw r3,60(r5) - 2761c: 00ffb316 blt zero,r3,274ec <_gp+0xfffea680> - 27620: 003fd706 br 27580 <_gp+0xfffea714> + 2761c: 00ffb316 blt zero,r3,274ec <__sflush_r+0x3c> + 27620: 003fd706 br 27580 <__sflush_r+0xd0> 27624: 89400717 ldw r5,28(r17) 27628: 000d883a mov r6,zero 2762c: 01c00044 movi r7,1 @@ -9844,9 +9823,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27640: 30801426 beq r6,r2,27694 <__sflush_r+0x1e4> 27644: 8880030b ldhu r2,12(r17) 27648: 8a000a17 ldw r8,40(r17) - 2764c: 003fae06 br 27508 <_gp+0xfffea69c> + 2764c: 003fae06 br 27508 <__sflush_r+0x58> 27650: 98c00017 ldw r3,0(r19) - 27654: 183fba26 beq r3,zero,27540 <_gp+0xfffea6d4> + 27654: 183fba26 beq r3,zero,27540 <__sflush_r+0x90> 27658: 01000744 movi r4,29 2765c: 19000626 beq r3,r4,27678 <__sflush_r+0x1c8> 27660: 01000584 movi r4,22 @@ -9854,28 +9833,28 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27668: 88c0030b ldhu r3,12(r17) 2766c: 18c01014 ori r3,r3,64 27670: 88c0030d sth r3,12(r17) - 27674: 003fc306 br 27584 <_gp+0xfffea718> + 27674: 003fc306 br 27584 <__sflush_r+0xd4> 27678: 8880030b ldhu r2,12(r17) 2767c: 88c00417 ldw r3,16(r17) 27680: 88000115 stw zero,4(r17) 27684: 10bdffcc andi r2,r2,63487 27688: 8880030d sth r2,12(r17) 2768c: 88c00015 stw r3,0(r17) - 27690: 003fb306 br 27560 <_gp+0xfffea6f4> + 27690: 003fb306 br 27560 <__sflush_r+0xb0> 27694: 98800017 ldw r2,0(r19) - 27698: 103fea26 beq r2,zero,27644 <_gp+0xfffea7d8> + 27698: 103fea26 beq r2,zero,27644 <__sflush_r+0x194> 2769c: 00c00744 movi r3,29 276a0: 10c00226 beq r2,r3,276ac <__sflush_r+0x1fc> 276a4: 00c00584 movi r3,22 276a8: 10c0031e bne r2,r3,276b8 <__sflush_r+0x208> 276ac: 9c000015 stw r16,0(r19) 276b0: 0005883a mov r2,zero - 276b4: 003fb306 br 27584 <_gp+0xfffea718> + 276b4: 003fb306 br 27584 <__sflush_r+0xd4> 276b8: 88c0030b ldhu r3,12(r17) 276bc: 3005883a mov r2,r6 276c0: 18c01014 ori r3,r3,64 276c4: 88c0030d sth r3,12(r17) - 276c8: 003fae06 br 27584 <_gp+0xfffea718> + 276c8: 003fae06 br 27584 <__sflush_r+0xd4> 000276cc <_fflush_r>: 276cc: defffd04 addi sp,sp,-12 @@ -9900,7 +9879,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27718: d9400015 stw r5,0(sp) 2771c: 0027aa80 call 27aa8 <__sinit> 27720: d9400017 ldw r5,0(sp) - 27724: 003ff006 br 276e8 <_gp+0xfffea87c> + 27724: 003ff006 br 276e8 <_fflush_r+0x1c> 00027728 : 27728: 20000526 beq r4,zero,27740 @@ -10092,11 +10071,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 279e0: 213fffc4 addi r4,r4,-1 279e4: 1c3ffd04 addi r16,r3,-12 279e8: 18c01a04 addi r3,r3,104 - 279ec: 247ffa1e bne r4,r17,279d8 <_gp+0xfffeab6c> + 279ec: 247ffa1e bne r4,r17,279d8 <__sfp+0x60> 279f0: 90800017 ldw r2,0(r18) 279f4: 10001d26 beq r2,zero,27a6c <__sfp+0xf4> 279f8: 1025883a mov r18,r2 - 279fc: 003fee06 br 279b8 <_gp+0xfffeab4c> + 279fc: 003fee06 br 279b8 <__sfp+0x40> 27a00: 00bfffc4 movi r2,-1 27a04: 8080038d sth r2,14(r16) 27a08: 00800044 movi r2,1 @@ -10128,11 +10107,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27a70: 9809883a mov r4,r19 27a74: 00279100 call 27910 <__sfmoreglue> 27a78: 90800015 stw r2,0(r18) - 27a7c: 103fde1e bne r2,zero,279f8 <_gp+0xfffeab8c> + 27a7c: 103fde1e bne r2,zero,279f8 <__sfp+0x80> 27a80: 00800304 movi r2,12 27a84: 98800015 stw r2,0(r19) 27a88: 0005883a mov r2,zero - 27a8c: 003ff006 br 27a50 <_gp+0xfffeabe4> + 27a8c: 003ff006 br 27a50 <__sfp+0xd8> 00027a90 <_cleanup>: 27a90: 008000f4 movhi r2,3 @@ -10232,14 +10211,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27bc8: 1c000015 stw r16,0(r3) 27bcc: 002fec80 call 2fec8 <__malloc_unlock> 27bd0: 00800044 movi r2,1 - 27bd4: 003fe706 br 27b74 <_gp+0xfffead08> + 27bd4: 003fe706 br 27b74 <_malloc_trim_r+0x7c> 27bd8: 000b883a mov r5,zero 27bdc: 9009883a mov r4,r18 27be0: 00237180 call 23718 <_sbrk_r> 27be4: 99000217 ldw r4,8(r19) 27be8: 014003c4 movi r5,15 27bec: 1107c83a sub r3,r2,r4 - 27bf0: 28ffdd0e bge r5,r3,27b68 <_gp+0xfffeacfc> + 27bf0: 28ffdd0e bge r5,r3,27b68 <_malloc_trim_r+0x70> 27bf4: 014000f4 movhi r5,3 27bf8: 2953a004 addi r5,r5,20096 27bfc: 29400017 ldw r5,0(r5) @@ -10249,7 +10228,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27c0c: 1145c83a sub r2,r2,r5 27c10: 18dc6404 addi r3,r3,29072 27c14: 18800015 stw r2,0(r3) - 27c18: 003fd306 br 27b68 <_gp+0xfffeacfc> + 27c18: 003fd306 br 27b68 <_malloc_trim_r+0x70> 00027c1c <_free_r>: 27c1c: 28004126 beq r5,zero,27d24 <_free_r+0x108> @@ -10339,13 +10318,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27d6c: 29ca703a and r5,r5,r7 27d70: 1140022e bgeu r2,r5,27d7c <_free_r+0x160> 27d74: 18c00217 ldw r3,8(r3) - 27d78: 20fffb1e bne r4,r3,27d68 <_gp+0xfffeaefc> + 27d78: 20fffb1e bne r4,r3,27d68 <_free_r+0x14c> 27d7c: 19000317 ldw r4,12(r3) 27d80: 31000315 stw r4,12(r6) 27d84: 30c00215 stw r3,8(r6) 27d88: 21800215 stw r6,8(r4) 27d8c: 19800315 stw r6,12(r3) - 27d90: 003fde06 br 27d0c <_gp+0xfffeaea0> + 27d90: 003fde06 br 27d0c <_free_r+0xf0> 27d94: 29c00217 ldw r7,8(r5) 27d98: 10c5883a add r2,r2,r3 27d9c: 00c000f4 movhi r3,3 @@ -10358,7 +10337,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27db8: 41c00215 stw r7,8(r8) 27dbc: 31400115 stw r5,4(r6) 27dc0: 18800015 stw r2,0(r3) - 27dc4: 003fbd06 br 27cbc <_gp+0xfffeae50> + 27dc4: 003fbd06 br 27cbc <_free_r+0xa0> 27dc8: 39c0004c andi r7,r7,1 27dcc: 10c5883a add r2,r2,r3 27dd0: 3800071e bne r7,zero,27df0 <_free_r+0x1d4> @@ -10375,13 +10354,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27dfc: 18d3a104 addi r3,r3,20100 27e00: 18c00017 ldw r3,0(r3) 27e04: 21800215 stw r6,8(r4) - 27e08: 10ffc036 bltu r2,r3,27d0c <_gp+0xfffeaea0> + 27e08: 10ffc036 bltu r2,r3,27d0c <_free_r+0xf0> 27e0c: 008000f4 movhi r2,3 27e10: 109c5804 addi r2,r2,29024 27e14: 11400017 ldw r5,0(r2) 27e18: 8809883a mov r4,r17 27e1c: 0027af80 call 27af8 <_malloc_trim_r> - 27e20: 003fba06 br 27d0c <_gp+0xfffeaea0> + 27e20: 003fba06 br 27d0c <_free_r+0xf0> 27e24: 28c9883a add r4,r5,r3 27e28: 21000117 ldw r4,4(r4) 27e2c: 2100004c andi r4,r4,1 @@ -10395,13 +10374,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27e4c: 30c00115 stw r3,4(r6) 27e50: 308d883a add r6,r6,r2 27e54: 30800015 stw r2,0(r6) - 27e58: 003fac06 br 27d0c <_gp+0xfffeaea0> + 27e58: 003fac06 br 27d0c <_free_r+0xf0> 27e5c: 00c00504 movi r3,20 27e60: 19401536 bltu r3,r5,27eb8 <_free_r+0x29c> 27e64: 28c01704 addi r3,r5,92 27e68: 18c7883a add r3,r3,r3 27e6c: 294016c4 addi r5,r5,91 - 27e70: 003fb406 br 27d44 <_gp+0xfffeaed8> + 27e70: 003fb406 br 27d44 <_free_r+0x128> 27e74: 280bd0ba srai r5,r5,2 27e78: 00c00044 movi r3,1 27e7c: 38800117 ldw r2,4(r7) @@ -10409,7 +10388,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27e84: 2007883a mov r3,r4 27e88: 2884b03a or r2,r5,r2 27e8c: 38800115 stw r2,4(r7) - 27e90: 003fbb06 br 27d80 <_gp+0xfffeaf14> + 27e90: 003fbb06 br 27d80 <_free_r+0x164> 27e94: 21800515 stw r6,20(r4) 27e98: 21800415 stw r6,16(r4) 27e9c: 10c00054 ori r3,r2,1 @@ -10418,36 +10397,36 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27ea8: 30c00115 stw r3,4(r6) 27eac: 308d883a add r6,r6,r2 27eb0: 30800015 stw r2,0(r6) - 27eb4: 003f9506 br 27d0c <_gp+0xfffeaea0> + 27eb4: 003f9506 br 27d0c <_free_r+0xf0> 27eb8: 00c01504 movi r3,84 27ebc: 19400536 bltu r3,r5,27ed4 <_free_r+0x2b8> 27ec0: 100ad33a srli r5,r2,12 27ec4: 28c01bc4 addi r3,r5,111 27ec8: 18c7883a add r3,r3,r3 27ecc: 29401b84 addi r5,r5,110 - 27ed0: 003f9c06 br 27d44 <_gp+0xfffeaed8> + 27ed0: 003f9c06 br 27d44 <_free_r+0x128> 27ed4: 00c05504 movi r3,340 27ed8: 19400536 bltu r3,r5,27ef0 <_free_r+0x2d4> 27edc: 100ad3fa srli r5,r2,15 27ee0: 28c01e04 addi r3,r5,120 27ee4: 18c7883a add r3,r3,r3 27ee8: 29401dc4 addi r5,r5,119 - 27eec: 003f9506 br 27d44 <_gp+0xfffeaed8> + 27eec: 003f9506 br 27d44 <_free_r+0x128> 27ef0: 00c15504 movi r3,1364 27ef4: 19400536 bltu r3,r5,27f0c <_free_r+0x2f0> 27ef8: 100ad4ba srli r5,r2,18 27efc: 28c01f44 addi r3,r5,125 27f00: 18c7883a add r3,r3,r3 27f04: 29401f04 addi r5,r5,124 - 27f08: 003f8e06 br 27d44 <_gp+0xfffeaed8> + 27f08: 003f8e06 br 27d44 <_free_r+0x128> 27f0c: 00c03f84 movi r3,254 27f10: 01401f84 movi r5,126 - 27f14: 003f8b06 br 27d44 <_gp+0xfffeaed8> + 27f14: 003f8b06 br 27d44 <_free_r+0x128> 27f18: 10c00054 ori r3,r2,1 27f1c: 30c00115 stw r3,4(r6) 27f20: 308d883a add r6,r6,r2 27f24: 30800015 stw r2,0(r6) - 27f28: 003f7806 br 27d0c <_gp+0xfffeaea0> + 27f28: 003f7806 br 27d0c <_free_r+0xf0> 00027f2c <__sfvwrite_r>: 27f2c: 30800217 ldw r2,8(r6) @@ -10494,7 +10473,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 27fd0: 90a5c83a sub r18,r18,r2 27fd4: 1885c83a sub r2,r3,r2 27fd8: a0800215 stw r2,8(r20) - 27fdc: 103fef1e bne r2,zero,27f9c <_gp+0xfffeb130> + 27fdc: 103fef1e bne r2,zero,27f9c <__sfvwrite_r+0x70> 27fe0: 0005883a mov r2,zero 27fe4: dfc00b17 ldw ra,44(sp) 27fe8: df000a17 ldw fp,40(sp) @@ -10515,7 +10494,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28024: 80c0030b ldhu r3,12(r16) 28028: a4400017 ldw r17,0(r20) 2802c: 1880008c andi r2,r3,2 - 28030: 103fd61e bne r2,zero,27f8c <_gp+0xfffeb120> + 28030: 103fd61e bne r2,zero,27f8c <__sfvwrite_r+0x60> 28034: 1880004c andi r2,r3,1 28038: 10003f1e bne r2,zero,28138 <__sfvwrite_r+0x20c> 2803c: 0039883a mov fp,zero @@ -10544,17 +10523,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28098: 90a5c83a sub r18,r18,r2 2809c: 18a7c83a sub r19,r3,r2 280a0: a4c00215 stw r19,8(r20) - 280a4: 983fce26 beq r19,zero,27fe0 <_gp+0xfffeb174> + 280a4: 983fce26 beq r19,zero,27fe0 <__sfvwrite_r+0xb4> 280a8: 80c0030b ldhu r3,12(r16) - 280ac: 903fe61e bne r18,zero,28048 <_gp+0xfffeb1dc> + 280ac: 903fe61e bne r18,zero,28048 <__sfvwrite_r+0x11c> 280b0: 8f000017 ldw fp,0(r17) 280b4: 8c800117 ldw r18,4(r17) 280b8: 8c400204 addi r17,r17,8 - 280bc: 003fe106 br 28044 <_gp+0xfffeb1d8> + 280bc: 003fe106 br 28044 <__sfvwrite_r+0x118> 280c0: 8cc00017 ldw r19,0(r17) 280c4: 8c800117 ldw r18,4(r17) 280c8: 8c400204 addi r17,r17,8 - 280cc: 003fb306 br 27f9c <_gp+0xfffeb130> + 280cc: 003fb306 br 27f9c <__sfvwrite_r+0x70> 280d0: 0005883a mov r2,zero 280d4: f800283a ret 280d8: 81000017 ldw r4,0(r16) @@ -10575,12 +10554,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28114: e00d883a mov r6,fp 28118: a809883a mov r4,r21 2811c: 103ee83a callr r2 - 28120: 00bfdb16 blt zero,r2,28090 <_gp+0xfffeb224> + 28120: 00bfdb16 blt zero,r2,28090 <__sfvwrite_r+0x164> 28124: 8080030b ldhu r2,12(r16) 28128: 10801014 ori r2,r2,64 2812c: 8080030d sth r2,12(r16) 28130: 00bfffc4 movi r2,-1 - 28134: 003fab06 br 27fe4 <_gp+0xfffeb178> + 28134: 003fab06 br 27fe4 <__sfvwrite_r+0xb8> 28138: 0027883a mov r19,zero 2813c: 0011883a mov r8,zero 28140: 0039883a mov fp,zero @@ -10607,7 +10586,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28194: 103ee83a callr r2 28198: 102f883a mov r23,r2 2819c: da000117 ldw r8,4(sp) - 281a0: 00bfe00e bge zero,r2,28124 <_gp+0xfffeb2b8> + 281a0: 00bfe00e bge zero,r2,28124 <__sfvwrite_r+0x1f8> 281a4: 9de7c83a sub r19,r19,r23 281a8: 98001f26 beq r19,zero,28228 <__sfvwrite_r+0x2fc> 281ac: a0800217 ldw r2,8(r20) @@ -10615,13 +10594,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 281b4: 95e5c83a sub r18,r18,r23 281b8: 15efc83a sub r23,r2,r23 281bc: a5c00215 stw r23,8(r20) - 281c0: b83f8726 beq r23,zero,27fe0 <_gp+0xfffeb174> - 281c4: 903fe11e bne r18,zero,2814c <_gp+0xfffeb2e0> + 281c0: b83f8726 beq r23,zero,27fe0 <__sfvwrite_r+0xb4> + 281c4: 903fe11e bne r18,zero,2814c <__sfvwrite_r+0x220> 281c8: 8f000017 ldw fp,0(r17) 281cc: 8c800117 ldw r18,4(r17) 281d0: 0011883a mov r8,zero 281d4: 8c400204 addi r17,r17,8 - 281d8: 003fdb06 br 28148 <_gp+0xfffeb2dc> + 281d8: 003fdb06 br 28148 <__sfvwrite_r+0x21c> 281dc: 180d883a mov r6,r3 281e0: e00b883a mov r5,fp 281e4: da000115 stw r8,4(sp) @@ -10637,16 +10616,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2820c: 00276cc0 call 276cc <_fflush_r> 28210: d8c00017 ldw r3,0(sp) 28214: da000117 ldw r8,4(sp) - 28218: 103fc21e bne r2,zero,28124 <_gp+0xfffeb2b8> + 28218: 103fc21e bne r2,zero,28124 <__sfvwrite_r+0x1f8> 2821c: 182f883a mov r23,r3 28220: 9de7c83a sub r19,r19,r23 - 28224: 983fe11e bne r19,zero,281ac <_gp+0xfffeb340> + 28224: 983fe11e bne r19,zero,281ac <__sfvwrite_r+0x280> 28228: 800b883a mov r5,r16 2822c: a809883a mov r4,r21 28230: 00276cc0 call 276cc <_fflush_r> - 28234: 103fbb1e bne r2,zero,28124 <_gp+0xfffeb2b8> + 28234: 103fbb1e bne r2,zero,28124 <__sfvwrite_r+0x1f8> 28238: 0011883a mov r8,zero - 2823c: 003fdb06 br 281ac <_gp+0xfffeb340> + 2823c: 003fdb06 br 281ac <__sfvwrite_r+0x280> 28240: 94c0012e bgeu r18,r19,28248 <__sfvwrite_r+0x31c> 28244: 9027883a mov r19,r18 28248: 980d883a mov r6,r19 @@ -10660,7 +10639,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28268: 80c00015 stw r3,0(r16) 2826c: 10004326 beq r2,zero,2837c <__sfvwrite_r+0x450> 28270: 9805883a mov r2,r19 - 28274: 003f8606 br 28090 <_gp+0xfffeb224> + 28274: 003f8606 br 28090 <__sfvwrite_r+0x164> 28278: b00d883a mov r6,r22 2827c: e00b883a mov r5,fp 28280: da000115 stw r8,4(sp) @@ -10672,11 +10651,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28298: 1dad883a add r22,r3,r22 2829c: 80800215 stw r2,8(r16) 282a0: 85800015 stw r22,0(r16) - 282a4: 003fbf06 br 281a4 <_gp+0xfffeb338> + 282a4: 003fbf06 br 281a4 <__sfvwrite_r+0x278> 282a8: 81000017 ldw r4,0(r16) 282ac: 9027883a mov r19,r18 282b0: 902f883a mov r23,r18 - 282b4: 003f6c06 br 28068 <_gp+0xfffeb1fc> + 282b4: 003f6c06 br 28068 <__sfvwrite_r+0x13c> 282b8: 900d883a mov r6,r18 282bc: 01400284 movi r5,10 282c0: e009883a mov r4,fp @@ -10685,7 +10664,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 282cc: 10800044 addi r2,r2,1 282d0: 1727c83a sub r19,r2,fp 282d4: 02000044 movi r8,1 - 282d8: 003f9d06 br 28150 <_gp+0xfffeb2e4> + 282d8: 003f9d06 br 28150 <__sfvwrite_r+0x224> 282dc: 80800517 ldw r2,20(r16) 282e0: 81400417 ldw r5,16(r16) 282e4: 81c00017 ldw r7,0(r16) @@ -10725,16 +10704,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2836c: 9027883a mov r19,r18 28370: 81c00215 stw r7,8(r16) 28374: 902f883a mov r23,r18 - 28378: 003f3b06 br 28068 <_gp+0xfffeb1fc> + 28378: 003f3b06 br 28068 <__sfvwrite_r+0x13c> 2837c: 800b883a mov r5,r16 28380: a809883a mov r4,r21 28384: 00276cc0 call 276cc <_fflush_r> - 28388: 103fb926 beq r2,zero,28270 <_gp+0xfffeb404> - 2838c: 003f6506 br 28124 <_gp+0xfffeb2b8> + 28388: 103fb926 beq r2,zero,28270 <__sfvwrite_r+0x344> + 2838c: 003f6506 br 28124 <__sfvwrite_r+0x1f8> 28390: a809883a mov r4,r21 28394: 0029cf40 call 29cf4 <_realloc_r> 28398: 102f883a mov r23,r2 - 2839c: 103fee1e bne r2,zero,28358 <_gp+0xfffeb4ec> + 2839c: 103fee1e bne r2,zero,28358 <__sfvwrite_r+0x42c> 283a0: 81400417 ldw r5,16(r16) 283a4: a809883a mov r4,r21 283a8: 0027c1c0 call 27c1c <_free_r> @@ -10743,16 +10722,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 283b4: 1884703a and r2,r3,r2 283b8: 00c00304 movi r3,12 283bc: a8c00015 stw r3,0(r21) - 283c0: 003f5906 br 28128 <_gp+0xfffeb2bc> + 283c0: 003f5906 br 28128 <__sfvwrite_r+0x1fc> 283c4: 94c00044 addi r19,r18,1 283c8: 02000044 movi r8,1 - 283cc: 003f6006 br 28150 <_gp+0xfffeb2e4> + 283cc: 003f6006 br 28150 <__sfvwrite_r+0x224> 283d0: 00bfffc4 movi r2,-1 - 283d4: 003f0306 br 27fe4 <_gp+0xfffeb178> + 283d4: 003f0306 br 27fe4 <__sfvwrite_r+0xb8> 283d8: 00800304 movi r2,12 283dc: a8800015 stw r2,0(r21) 283e0: 8080030b ldhu r2,12(r16) - 283e4: 003f5006 br 28128 <_gp+0xfffeb2bc> + 283e4: 003f5006 br 28128 <__sfvwrite_r+0x1fc> 000283e8 <_fwalk>: 283e8: defff704 addi sp,sp,-36 @@ -10787,9 +10766,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2845c: b8aeb03a or r23,r23,r2 28460: 84001a04 addi r16,r16,104 28464: 94801a04 addi r18,r18,104 - 28468: 8cfff51e bne r17,r19,28440 <_gp+0xfffeb5d4> + 28468: 8cfff51e bne r17,r19,28440 <_fwalk+0x58> 2846c: a5000017 ldw r20,0(r20) - 28470: a03fed1e bne r20,zero,28428 <_gp+0xfffeb5bc> + 28470: a03fed1e bne r20,zero,28428 <_fwalk+0x40> 28474: b805883a mov r2,r23 28478: dfc00817 ldw ra,32(sp) 2847c: ddc00717 ldw r23,28(sp) @@ -10803,7 +10782,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2849c: dec00904 addi sp,sp,36 284a0: f800283a ret 284a4: 002f883a mov r23,zero - 284a8: 003ff206 br 28474 <_gp+0xfffeb608> + 284a8: 003ff206 br 28474 <_fwalk+0x8c> 000284ac <_fwalk_reent>: 284ac: defff704 addi sp,sp,-36 @@ -10838,9 +10817,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28520: a83ee83a callr r21 28524: b8aeb03a or r23,r23,r2 28528: 84001a04 addi r16,r16,104 - 2852c: 8cbff51e bne r17,r18,28504 <_gp+0xfffeb698> + 2852c: 8cbff51e bne r17,r18,28504 <_fwalk_reent+0x58> 28530: a5000017 ldw r20,0(r20) - 28534: a03fee1e bne r20,zero,284f0 <_gp+0xfffeb684> + 28534: a03fee1e bne r20,zero,284f0 <_fwalk_reent+0x44> 28538: b805883a mov r2,r23 2853c: dfc00817 ldw ra,32(sp) 28540: ddc00717 ldw r23,28(sp) @@ -10854,7 +10833,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28560: dec00904 addi sp,sp,36 28564: f800283a ret 28568: 002f883a mov r23,zero - 2856c: 003ff206 br 28538 <_gp+0xfffeb6cc> + 2856c: 003ff206 br 28538 <_fwalk_reent+0x8c> 00028570 <_setlocale_r>: 28570: 30001b26 beq r6,zero,285e0 <_setlocale_r+0x70> @@ -10877,14 +10856,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 285b4: 294a4804 addi r5,r5,10528 285b8: 8009883a mov r4,r16 285bc: 002a5f80 call 2a5f8 - 285c0: 103ff526 beq r2,zero,28598 <_gp+0xfffeb72c> + 285c0: 103ff526 beq r2,zero,28598 <_setlocale_r+0x28> 285c4: 014000f4 movhi r5,3 285c8: 294a5204 addi r5,r5,10568 285cc: 8009883a mov r4,r16 285d0: 002a5f80 call 2a5f8 - 285d4: 103ff026 beq r2,zero,28598 <_gp+0xfffeb72c> + 285d4: 103ff026 beq r2,zero,28598 <_setlocale_r+0x28> 285d8: 0005883a mov r2,zero - 285dc: 003ff006 br 285a0 <_gp+0xfffeb734> + 285dc: 003ff006 br 285a0 <_setlocale_r+0x30> 285e0: 008000f4 movhi r2,3 285e4: 108a4804 addi r2,r2,10528 285e8: f800283a ret @@ -11003,29 +10982,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2876c: 28800515 stw r2,20(r5) 28770: f800283a ret 28774: 04801004 movi r18,64 - 28778: 003fe006 br 286fc <_gp+0xfffeb890> + 28778: 003fe006 br 286fc <__smakebuf_r+0xb0> 2877c: 81000a17 ldw r4,40(r16) 28780: 00c000f4 movhi r3,3 28784: 18e96504 addi r3,r3,-23148 - 28788: 20ffc51e bne r4,r3,286a0 <_gp+0xfffeb834> + 28788: 20ffc51e bne r4,r3,286a0 <__smakebuf_r+0x54> 2878c: 8080030b ldhu r2,12(r16) 28790: 04810004 movi r18,1024 28794: 84801315 stw r18,76(r16) 28798: 1484b03a or r2,r2,r18 2879c: 8080030d sth r2,12(r16) 287a0: 0027883a mov r19,zero - 287a4: 003fd806 br 28708 <_gp+0xfffeb89c> + 287a4: 003fd806 br 28708 <__smakebuf_r+0xbc> 287a8: 8140038f ldh r5,14(r16) 287ac: 8809883a mov r4,r17 287b0: 002c3540 call 2c354 <_isatty_r> - 287b4: 103fe226 beq r2,zero,28740 <_gp+0xfffeb8d4> + 287b4: 103fe226 beq r2,zero,28740 <__smakebuf_r+0xf4> 287b8: 8080030b ldhu r2,12(r16) 287bc: 10800054 ori r2,r2,1 287c0: 8080030d sth r2,12(r16) - 287c4: 003fde06 br 28740 <_gp+0xfffeb8d4> + 287c4: 003fde06 br 28740 <__smakebuf_r+0xf4> 287c8: 8080030b ldhu r2,12(r16) 287cc: 10c0800c andi r3,r2,512 - 287d0: 183fdb1e bne r3,zero,28740 <_gp+0xfffeb8d4> + 287d0: 183fdb1e bne r3,zero,28740 <__smakebuf_r+0xf4> 287d4: 10800094 ori r2,r2,2 287d8: 80c010c4 addi r3,r16,67 287dc: 8080030d sth r2,12(r16) @@ -11033,12 +11012,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 287e4: 80c00015 stw r3,0(r16) 287e8: 80c00415 stw r3,16(r16) 287ec: 80800515 stw r2,20(r16) - 287f0: 003fd306 br 28740 <_gp+0xfffeb8d4> + 287f0: 003fd306 br 28740 <__smakebuf_r+0xf4> 287f4: 04810004 movi r18,1024 - 287f8: 003fc306 br 28708 <_gp+0xfffeb89c> + 287f8: 003fc306 br 28708 <__smakebuf_r+0xbc> 287fc: 0027883a mov r19,zero 28800: 04810004 movi r18,1024 - 28804: 003fc006 br 28708 <_gp+0xfffeb89c> + 28804: 003fc006 br 28708 <__smakebuf_r+0xbc> 00028808 : 28808: 208000cc andi r2,r4,3 @@ -11056,7 +11035,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28838: 30c01426 beq r6,r3,2888c 2883c: 21000044 addi r4,r4,1 28840: 20c000cc andi r3,r4,3 - 28844: 183ff91e bne r3,zero,2882c <_gp+0xfffeb9c0> + 28844: 183ff91e bne r3,zero,2882c 28848: 020000c4 movi r8,3 2884c: 40801136 bltu r8,r2,28894 28850: 10000c26 beq r2,zero,28884 @@ -11071,7 +11050,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28874: 197fffc3 ldbu r5,-1(r3) 28878: 31400526 beq r6,r5,28890 2887c: 1805883a mov r2,r3 - 28880: 20fffb1e bne r4,r3,28870 <_gp+0xfffeba04> + 28880: 20fffb1e bne r4,r3,28870 28884: 0005883a mov r2,zero 28888: f800283a ret 2888c: 2005883a mov r2,r4 @@ -11091,13 +11070,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 288c4: 00c6303a nor r3,zero,r3 288c8: 30c6703a and r3,r6,r3 288cc: 1a86703a and r3,r3,r10 - 288d0: 183fe01e bne r3,zero,28854 <_gp+0xfffeb9e8> + 288d0: 183fe01e bne r3,zero,28854 288d4: 10bfff04 addi r2,r2,-4 288d8: 21000104 addi r4,r4,4 - 288dc: 40bff636 bltu r8,r2,288b8 <_gp+0xfffeba4c> - 288e0: 003fdb06 br 28850 <_gp+0xfffeb9e4> + 288dc: 40bff636 bltu r8,r2,288b8 + 288e0: 003fdb06 br 28850 288e4: 3005883a mov r2,r6 - 288e8: 003fd706 br 28848 <_gp+0xfffeb9dc> + 288e8: 003fd706 br 28848 000288ec : 288ec: defffd04 addi sp,sp,-12 @@ -11139,7 +11118,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2897c: 5ac00404 addi r11,r11,16 28980: 52800404 addi r10,r10,16 28984: 4a400404 addi r9,r9,16 - 28988: 1c3fef1e bne r3,r16,28948 <_gp+0xfffebadc> + 28988: 1c3fef1e bne r3,r16,28948 2898c: 89c00044 addi r7,r17,1 28990: 380e913a slli r7,r7,4 28994: 310003cc andi r4,r6,15 @@ -11155,7 +11134,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 289bc: 39ffff04 addi r7,r7,-4 289c0: 4abfff15 stw r10,-4(r9) 289c4: 42000104 addi r8,r8,4 - 289c8: 59fffa36 bltu r11,r7,289b4 <_gp+0xfffebb48> + 289c8: 59fffa36 bltu r11,r7,289b4 289cc: 213fff04 addi r4,r4,-4 289d0: 2008d0ba srli r4,r4,2 289d4: 318000cc andi r6,r6,3 @@ -11170,18 +11149,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 289f8: 18c00044 addi r3,r3,1 289fc: 29400044 addi r5,r5,1 28a00: 19ffffc5 stb r7,-1(r3) - 28a04: 19bffb1e bne r3,r6,289f4 <_gp+0xfffebb88> + 28a04: 19bffb1e bne r3,r6,289f4 28a08: dfc00217 ldw ra,8(sp) 28a0c: dc400117 ldw r17,4(sp) 28a10: dc000017 ldw r16,0(sp) 28a14: dec00304 addi sp,sp,12 28a18: f800283a ret 28a1c: 2007883a mov r3,r4 - 28a20: 003ff206 br 289ec <_gp+0xfffebb80> + 28a20: 003ff206 br 289ec 28a24: 2007883a mov r3,r4 - 28a28: 003ff106 br 289f0 <_gp+0xfffebb84> + 28a28: 003ff106 br 289f0 28a2c: 200d883a mov r6,r4 - 28a30: 003fee06 br 289ec <_gp+0xfffebb80> + 28a30: 003fee06 br 289ec 00028a34 : 28a34: 2005883a mov r2,r4 @@ -11195,7 +11174,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28a54: 39000003 ldbu r4,0(r7) 28a58: 18ffffc4 addi r3,r3,-1 28a5c: 19000005 stb r4,0(r3) - 28a60: 28fffb1e bne r5,r3,28a50 <_gp+0xfffebbe4> + 28a60: 28fffb1e bne r5,r3,28a50 28a64: f800283a ret 28a68: 00c003c4 movi r3,15 28a6c: 1980412e bgeu r3,r6,28b74 @@ -11231,7 +11210,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28ae4: 63000404 addi r12,r12,16 28ae8: 52800404 addi r10,r10,16 28aec: 493ffc15 stw r4,-16(r9) - 28af0: 1bbfef1e bne r3,r14,28ab0 <_gp+0xfffebc44> + 28af0: 1bbfef1e bne r3,r14,28ab0 28af4: 79000044 addi r4,r15,1 28af8: 2008913a slli r4,r4,4 28afc: 328003cc andi r10,r6,15 @@ -11247,7 +11226,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28b24: 39ffff04 addi r7,r7,-4 28b28: 493fff15 stw r4,-4(r9) 28b2c: 42000104 addi r8,r8,4 - 28b30: 59fffa36 bltu r11,r7,28b1c <_gp+0xfffebcb0> + 28b30: 59fffa36 bltu r11,r7,28b1c 28b34: 513fff04 addi r4,r10,-4 28b38: 2008d0ba srli r4,r4,2 28b3c: 318000cc andi r6,r6,3 @@ -11262,15 +11241,15 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28b60: 18c00044 addi r3,r3,1 28b64: 29400044 addi r5,r5,1 28b68: 19ffffc5 stb r7,-1(r3) - 28b6c: 19bffb1e bne r3,r6,28b5c <_gp+0xfffebcf0> + 28b6c: 19bffb1e bne r3,r6,28b5c 28b70: f800283a ret 28b74: 1007883a mov r3,r2 - 28b78: 003ff606 br 28b54 <_gp+0xfffebce8> + 28b78: 003ff606 br 28b54 28b7c: 1007883a mov r3,r2 - 28b80: 003ff506 br 28b58 <_gp+0xfffebcec> + 28b80: 003ff506 br 28b58 28b84: f800283a ret 28b88: 500d883a mov r6,r10 - 28b8c: 003ff106 br 28b54 <_gp+0xfffebce8> + 28b8c: 003ff106 br 28b54 00028b90 <_Balloc>: 28b90: 20801317 ldw r2,76(r4) @@ -11301,9 +11280,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28bf4: 01400104 movi r5,4 28bf8: 002bf280 call 2bf28 <_calloc_r> 28bfc: 88801315 stw r2,76(r17) - 28c00: 103fec1e bne r2,zero,28bb4 <_gp+0xfffebd48> + 28c00: 103fec1e bne r2,zero,28bb4 <_Balloc+0x24> 28c04: 0005883a mov r2,zero - 28c08: 003ff306 br 28bd8 <_gp+0xfffebd6c> + 28c08: 003ff306 br 28bd8 <_Balloc+0x48> 28c0c: 01400044 movi r5,1 28c10: 2c24983a sll r18,r5,r16 28c14: 8809883a mov r4,r17 @@ -11311,10 +11290,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28c1c: 318d883a add r6,r6,r6 28c20: 318d883a add r6,r6,r6 28c24: 002bf280 call 2bf28 <_calloc_r> - 28c28: 103ff626 beq r2,zero,28c04 <_gp+0xfffebd98> + 28c28: 103ff626 beq r2,zero,28c04 <_Balloc+0x74> 28c2c: 14000115 stw r16,4(r2) 28c30: 14800215 stw r18,8(r2) - 28c34: 003fe606 br 28bd0 <_gp+0xfffebd64> + 28c34: 003fe606 br 28bd0 <_Balloc+0x40> 00028c38 <_Bfree>: 28c38: 28000826 beq r5,zero,28c5c <_Bfree+0x24> @@ -11355,7 +11334,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28cbc: 180ed43a srli r7,r3,16 28cc0: 2085883a add r2,r4,r2 28cc4: 28bfff15 stw r2,-4(r5) - 28cc8: 443ff016 blt r8,r16,28c8c <_gp+0xfffebe20> + 28cc8: 443ff016 blt r8,r16,28c8c <__multadd+0x2c> 28ccc: 38000926 beq r7,zero,28cf4 <__multadd+0x94> 28cd0: 88800217 ldw r2,8(r17) 28cd4: 80800f0e bge r16,r2,28d14 <__multadd+0xb4> @@ -11398,9 +11377,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28d68: 88c00015 stw r3,0(r17) 28d6c: 14400015 stw r17,0(r2) 28d70: 9823883a mov r17,r19 - 28d74: 003fd806 br 28cd8 <_gp+0xfffebe6c> + 28d74: 003fd806 br 28cd8 <__multadd+0x78> 28d78: 9823883a mov r17,r19 - 28d7c: 003fd606 br 28cd8 <_gp+0xfffebe6c> + 28d7c: 003fd606 br 28cd8 <__multadd+0x78> 00028d80 <__s2b>: 28d80: defff904 addi sp,sp,-28 @@ -11423,7 +11402,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28dc4: 1880030e bge r3,r2,28dd4 <__s2b+0x54> 28dc8: 18c7883a add r3,r3,r3 28dcc: 29400044 addi r5,r5,1 - 28dd0: 18bffd16 blt r3,r2,28dc8 <_gp+0xfffebf5c> + 28dd0: 18bffd16 blt r3,r2,28dc8 <__s2b+0x48> 28dd4: 8809883a mov r4,r17 28dd8: 0028b900 call 28b90 <_Balloc> 28ddc: d8c00717 ldw r3,28(sp) @@ -11442,7 +11421,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28e10: 39fff404 addi r7,r7,-48 28e14: 8809883a mov r4,r17 28e18: 0028c600 call 28c60 <__multadd> - 28e1c: a43ff81e bne r20,r16,28e00 <_gp+0xfffebf94> + 28e1c: a43ff81e bne r20,r16,28e00 <__s2b+0x80> 28e20: ace1883a add r16,r21,r19 28e24: 843ffe04 addi r16,r16,-8 28e28: 9c800a0e bge r19,r18,28e54 <__s2b+0xd4> @@ -11455,7 +11434,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28e44: 39fff404 addi r7,r7,-48 28e48: 8809883a mov r4,r17 28e4c: 0028c600 call 28c60 <__multadd> - 28e50: 84bff81e bne r16,r18,28e34 <_gp+0xfffebfc8> + 28e50: 84bff81e bne r16,r18,28e34 <__s2b+0xb4> 28e54: dfc00617 ldw ra,24(sp) 28e58: dd400517 ldw r21,20(sp) 28e5c: dd000417 ldw r20,16(sp) @@ -11467,7 +11446,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28e74: f800283a ret 28e78: 84000284 addi r16,r16,10 28e7c: 1827883a mov r19,r3 - 28e80: 003fe906 br 28e28 <_gp+0xfffebfbc> + 28e80: 003fe906 br 28e28 <__s2b+0xa8> 00028e84 <__hi0bits>: 28e84: 20bfffec andhi r2,r4,65535 @@ -11493,7 +11472,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28ed4: 00800804 movi r2,32 28ed8: f800283a ret 28edc: 0005883a mov r2,zero - 28ee0: 003fec06 br 28e94 <_gp+0xfffec028> + 28ee0: 003fec06 br 28e94 <__hi0bits+0x10> 28ee4: 10800044 addi r2,r2,1 28ee8: f800283a ret @@ -11532,7 +11511,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 28f68: f800283a ret 28f6c: 1806d43a srli r3,r3,16 28f70: 00800404 movi r2,16 - 28f74: 003feb06 br 28f24 <_gp+0xfffec0b8> + 28f74: 003feb06 br 28f24 <__lo0bits+0x38> 28f78: 10800044 addi r2,r2,1 28f7c: 20c00015 stw r3,0(r4) 28f80: f800283a ret @@ -11592,7 +11571,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29048: 5a80032e bgeu r11,r10,29058 <__multiply+0x80> 2904c: 18000015 stw zero,0(r3) 29050: 18c00104 addi r3,r3,4 - 29054: 1abffd36 bltu r3,r10,2904c <_gp+0xfffec1e0> + 29054: 1abffd36 bltu r3,r10,2904c <__multiply+0x74> 29058: 9ce7883a add r19,r19,r19 2905c: 94a5883a add r18,r18,r18 29060: 89800504 addi r6,r17,20 @@ -11629,7 +11608,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 290dc: 214ab03a or r5,r4,r5 290e0: 41400015 stw r5,0(r8) 290e4: 42000104 addi r8,r8,4 - 290e8: 727fea36 bltu r14,r9,29094 <_gp+0xfffec228> + 290e8: 727fea36 bltu r14,r9,29094 <__multiply+0xbc> 290ec: 89c00115 stw r7,4(r17) 290f0: 37c00017 ldw ra,0(r6) 290f4: f83ed43a srli ra,ra,16 @@ -11663,11 +11642,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29164: 1907883a add r3,r3,r4 29168: 1947883a add r3,r3,r5 2916c: 180ed43a srli r7,r3,16 - 29170: 727fe936 bltu r14,r9,29118 <_gp+0xfffec2ac> + 29170: 727fe936 bltu r14,r9,29118 <__multiply+0x140> 29174: 90c00115 stw r3,4(r18) 29178: 31800104 addi r6,r6,4 2917c: 5ac00104 addi r11,r11,4 - 29180: 333fbe36 bltu r6,r12,2907c <_gp+0xfffec210> + 29180: 333fbe36 bltu r6,r12,2907c <__multiply+0xa4> 29184: 0400090e bge zero,r16,291ac <__multiply+0x1d4> 29188: 50ffff17 ldw r3,-4(r10) 2918c: 52bfff04 addi r10,r10,-4 @@ -11677,7 +11656,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2919c: 1800031e bne r3,zero,291ac <__multiply+0x1d4> 291a0: 843fffc4 addi r16,r16,-1 291a4: 52bfff04 addi r10,r10,-4 - 291a8: 803ffb1e bne r16,zero,29198 <_gp+0xfffec32c> + 291a8: 803ffb1e bne r16,zero,29198 <__multiply+0x1c0> 291ac: 14000415 stw r16,16(r2) 291b0: dfc00517 ldw ra,20(sp) 291b4: dd000417 ldw r20,16(sp) @@ -11712,7 +11691,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29220: 10001c26 beq r2,zero,29294 <__pow5mult+0xc4> 29224: 1023883a mov r17,r2 29228: 8080004c andi r2,r16,1 - 2922c: 103ff926 beq r2,zero,29214 <_gp+0xfffec3a8> + 2922c: 103ff926 beq r2,zero,29214 <__pow5mult+0x44> 29230: 880d883a mov r6,r17 29234: 900b883a mov r5,r18 29238: 9809883a mov r4,r19 @@ -11728,7 +11707,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29260: 91000015 stw r4,0(r18) 29264: 1c800015 stw r18,0(r3) 29268: 1025883a mov r18,r2 - 2926c: 803feb1e bne r16,zero,2921c <_gp+0xfffec3b0> + 2926c: 803feb1e bne r16,zero,2921c <__pow5mult+0x4c> 29270: 9005883a mov r2,r18 29274: dfc00517 ldw ra,20(sp) 29278: dd000417 ldw r20,16(sp) @@ -11744,9 +11723,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 292a0: 0028fd80 call 28fd8 <__multiply> 292a4: 88800015 stw r2,0(r17) 292a8: 10000015 stw zero,0(r2) - 292ac: 003fdd06 br 29224 <_gp+0xfffec3b8> + 292ac: 003fdd06 br 29224 <__pow5mult+0x54> 292b0: 1025883a mov r18,r2 - 292b4: 003fd706 br 29214 <_gp+0xfffec3a8> + 292b4: 003fd706 br 29214 <__pow5mult+0x44> 292b8: 10bfffc4 addi r2,r2,-1 292bc: 1085883a add r2,r2,r2 292c0: 00c000f4 movhi r3,3 @@ -11757,7 +11736,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 292d4: 000f883a mov r7,zero 292d8: 0028c600 call 28c60 <__multadd> 292dc: 1025883a mov r18,r2 - 292e0: 003fc706 br 29200 <_gp+0xfffec394> + 292e0: 003fc706 br 29200 <__pow5mult+0x30> 292e4: 05000044 movi r20,1 292e8: a00b883a mov r5,r20 292ec: 9809883a mov r4,r19 @@ -11768,7 +11747,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29300: 8d000415 stw r20,16(r17) 29304: 9c401215 stw r17,72(r19) 29308: 88000015 stw zero,0(r17) - 2930c: 003fc606 br 29228 <_gp+0xfffec3bc> + 2930c: 003fc606 br 29228 <__pow5mult+0x58> 00029310 <__lshift>: 29310: defff904 addi sp,sp,-28 @@ -11791,7 +11770,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29354: 1400030e bge r2,r16,29364 <__lshift+0x54> 29358: 1085883a add r2,r2,r2 2935c: 29400044 addi r5,r5,1 - 29360: 143ffd16 blt r2,r16,29358 <_gp+0xfffec4ec> + 29360: 143ffd16 blt r2,r16,29358 <__lshift+0x48> 29364: 9009883a mov r4,r18 29368: 0028b900 call 28b90 <_Balloc> 2936c: 10c00504 addi r3,r2,20 @@ -11802,7 +11781,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29380: 1d47883a add r3,r3,r21 29384: 20000015 stw zero,0(r4) 29388: 21000104 addi r4,r4,4 - 2938c: 193ffd1e bne r3,r4,29384 <_gp+0xfffec518> + 2938c: 193ffd1e bne r3,r4,29384 <__lshift+0x74> 29390: 8a000417 ldw r8,16(r17) 29394: 89000504 addi r4,r17,20 29398: a18007cc andi r6,r20,31 @@ -11822,7 +11801,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 293d0: 197fff15 stw r5,-4(r3) 293d4: 217fff17 ldw r5,-4(r4) 293d8: 2a4ad83a srl r5,r5,r9 - 293dc: 223ff636 bltu r4,r8,293b8 <_gp+0xfffec54c> + 293dc: 223ff636 bltu r4,r8,293b8 <__lshift+0xa8> 293e0: 51400115 stw r5,4(r10) 293e4: 28001a1e bne r5,zero,29450 <__lshift+0x140> 293e8: 843fffc4 addi r16,r16,-1 @@ -11849,10 +11828,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2943c: 18c00104 addi r3,r3,4 29440: 21000104 addi r4,r4,4 29444: 197fff15 stw r5,-4(r3) - 29448: 223ffb36 bltu r4,r8,29438 <_gp+0xfffec5cc> - 2944c: 003fe606 br 293e8 <_gp+0xfffec57c> + 29448: 223ffb36 bltu r4,r8,29438 <__lshift+0x128> + 2944c: 003fe606 br 293e8 <__lshift+0xd8> 29450: 9c000084 addi r16,r19,2 - 29454: 003fe406 br 293e8 <_gp+0xfffec57c> + 29454: 003fe406 br 293e8 <__lshift+0xd8> 00029458 <__mcmp>: 29458: 20800417 ldw r2,16(r4) @@ -11871,7 +11850,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2948c: 297fff04 addi r5,r5,-4 29490: 11800017 ldw r6,0(r2) 29494: 28c00017 ldw r3,0(r5) - 29498: 30fffa26 beq r6,r3,29484 <_gp+0xfffec618> + 29498: 30fffa26 beq r6,r3,29484 <__mcmp+0x2c> 2949c: 30c00236 bltu r6,r3,294a8 <__mcmp+0x50> 294a0: 00800044 movi r2,1 294a4: f800283a ret @@ -11906,7 +11885,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29510: 10bfff04 addi r2,r2,-4 29514: 19c00017 ldw r7,0(r3) 29518: 11400017 ldw r5,0(r2) - 2951c: 397ffa26 beq r7,r5,29508 <_gp+0xfffec69c> + 2951c: 397ffa26 beq r7,r5,29508 <__mdiff+0x50> 29520: 3940592e bgeu r7,r5,29688 <__mdiff+0x1d0> 29524: 9005883a mov r2,r18 29528: 4023883a mov r17,r8 @@ -11952,7 +11931,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 295c8: 1807d43a srai r3,r3,16 295cc: 3015883a mov r10,r6 295d0: 3017883a mov r11,r6 - 295d4: 8b3fea36 bltu r17,r12,29580 <_gp+0xfffec714> + 295d4: 8b3fea36 bltu r17,r12,29580 <__mdiff+0xc8> 295d8: 8200162e bgeu r16,r8,29634 <__mdiff+0x17c> 295dc: 8017883a mov r11,r16 295e0: 59400017 ldw r5,0(r11) @@ -11968,7 +11947,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29608: 1807d43a srai r3,r3,16 2960c: 2908b03a or r4,r5,r4 29610: 313fff15 stw r4,-4(r6) - 29614: 5a3ff236 bltu r11,r8,295e0 <_gp+0xfffec774> + 29614: 5a3ff236 bltu r11,r8,295e0 <__mdiff+0x128> 29618: 0406303a nor r3,zero,r16 2961c: 1a07883a add r3,r3,r8 29620: 1806d0ba srli r3,r3,2 @@ -11981,7 +11960,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2963c: 18ffff04 addi r3,r3,-4 29640: 19000017 ldw r4,0(r3) 29644: 4a7fffc4 addi r9,r9,-1 - 29648: 203ffc26 beq r4,zero,2963c <_gp+0xfffec7d0> + 29648: 203ffc26 beq r4,zero,2963c <__mdiff+0x184> 2964c: 12400415 stw r9,16(r2) 29650: dfc00517 ldw ra,20(sp) 29654: dd000417 ldw r20,16(sp) @@ -11996,18 +11975,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29678: 00c00044 movi r3,1 2967c: 10c00415 stw r3,16(r2) 29680: 10000515 stw zero,20(r2) - 29684: 003ff206 br 29650 <_gp+0xfffec7e4> + 29684: 003ff206 br 29650 <__mdiff+0x198> 29688: 8023883a mov r17,r16 2968c: 0029883a mov r20,zero 29690: 4021883a mov r16,r8 - 29694: 003fad06 br 2954c <_gp+0xfffec6e0> + 29694: 003fad06 br 2954c <__mdiff+0x94> 29698: 9005883a mov r2,r18 2969c: 94400504 addi r17,r18,20 296a0: 9c000504 addi r16,r19,20 296a4: 9825883a mov r18,r19 296a8: 05000044 movi r20,1 296ac: 1027883a mov r19,r2 - 296b0: 003fa606 br 2954c <_gp+0xfffec6e0> + 296b0: 003fa606 br 2954c <__mdiff+0x94> 000296b4 <__ulp>: 296b4: 295ffc2c andhi r5,r5,32752 @@ -12092,18 +12071,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 297e8: 1144983a sll r2,r2,r5 297ec: 310ad83a srl r5,r6,r4 297f0: 2884b03a or r2,r5,r2 - 297f4: 003fe806 br 29798 <_gp+0xfffec92c> + 297f4: 003fe806 br 29798 <__b2d+0x80> 297f8: 10bffd44 addi r2,r2,-11 297fc: 1000041e bne r2,zero,29810 <__b2d+0xf8> 29800: 90cffc34 orhi r3,r18,16368 - 29804: 003fe406 br 29798 <_gp+0xfffec92c> + 29804: 003fe406 br 29798 <__b2d+0x80> 29808: 000d883a mov r6,zero - 2980c: 003fdf06 br 2978c <_gp+0xfffec920> + 2980c: 003fdf06 br 2978c <__b2d+0x74> 29810: 90a4983a sll r18,r18,r2 29814: 0005883a mov r2,zero - 29818: 003ff906 br 29800 <_gp+0xfffec994> + 29818: 003ff906 br 29800 <__b2d+0xe8> 2981c: 1144983a sll r2,r2,r5 - 29820: 003fdd06 br 29798 <_gp+0xfffec92c> + 29820: 003fdd06 br 29798 <__b2d+0x80> 00029824 <__d2b>: 29824: defff804 addi sp,sp,-32 @@ -12171,7 +12150,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2991c: 9c000415 stw r16,16(r19) 29920: 98c00515 stw r3,20(r19) 29924: 10800804 addi r2,r2,32 - 29928: 883fe126 beq r17,zero,298b0 <_gp+0xfffeca44> + 29928: 883fe126 beq r17,zero,298b0 <__d2b+0x8c> 2992c: 00c00d44 movi r3,53 29930: 8c7ef344 addi r17,r17,-1075 29934: 88a3883a add r17,r17,r2 @@ -12179,7 +12158,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2993c: d8c00817 ldw r3,32(sp) 29940: 94400015 stw r17,0(r18) 29944: 18800015 stw r2,0(r3) - 29948: 003fe706 br 298e8 <_gp+0xfffeca7c> + 29948: 003fe706 br 298e8 <__d2b+0xc4> 2994c: 01000804 movi r4,32 29950: 2089c83a sub r4,r4,r2 29954: 1908983a sll r4,r3,r4 @@ -12188,7 +12167,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29960: 2148b03a or r4,r4,r5 29964: 99000515 stw r4,20(r19) 29968: d8c00015 stw r3,0(sp) - 2996c: 003fca06 br 29898 <_gp+0xfffeca2c> + 2996c: 003fca06 br 29898 <__d2b+0x74> 00029970 <__ratio>: 29970: defff904 addi sp,sp,-28 @@ -12231,7 +12210,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29a04: f800283a ret 29a08: 2008953a slli r4,r4,20 29a0c: 1907c83a sub r3,r3,r4 - 29a10: 003ff106 br 299d8 <_gp+0xfffecb6c> + 29a10: 003ff106 br 299d8 <__ratio+0x68> 00029a14 <_mprec_log10>: 29a14: defffe04 addi sp,sp,-8 @@ -12248,7 +12227,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29a40: 1009883a mov r4,r2 29a44: 180b883a mov r5,r3 29a48: 002e4ec0 call 2e4ec <__muldf3> - 29a4c: 803ff91e bne r16,zero,29a34 <_gp+0xfffecbc8> + 29a4c: 803ff91e bne r16,zero,29a34 <_mprec_log10+0x20> 29a50: dfc00117 ldw ra,4(sp) 29a54: dc000017 ldw r16,0(sp) 29a58: dec00204 addi sp,sp,8 @@ -12282,7 +12261,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29ac0: 29400104 addi r5,r5,4 29ac4: 10800104 addi r2,r2,4 29ac8: 2a3fff15 stw r8,-4(r5) - 29acc: 10fffb36 bltu r2,r3,29abc <_gp+0xfffecc50> + 29acc: 10fffb36 bltu r2,r3,29abc <__copybits+0x34> 29ad0: 1985c83a sub r2,r3,r6 29ad4: 10bffac4 addi r2,r2,-21 29ad8: 1004d0ba srli r2,r2,2 @@ -12293,7 +12272,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29aec: 21c0032e bgeu r4,r7,29afc <__copybits+0x74> 29af0: 20000015 stw zero,0(r4) 29af4: 21000104 addi r4,r4,4 - 29af8: 21fffd36 bltu r4,r7,29af0 <_gp+0xfffecc84> + 29af8: 21fffd36 bltu r4,r7,29af0 <__copybits+0x68> 29afc: f800283a ret 00029b00 <__any_on>: @@ -12311,7 +12290,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29b2c: 20c0142e bgeu r4,r3,29b80 <__any_on+0x80> 29b30: 18ffff04 addi r3,r3,-4 29b34: 19400017 ldw r5,0(r3) - 29b38: 283ffc26 beq r5,zero,29b2c <_gp+0xfffeccc0> + 29b38: 283ffc26 beq r5,zero,29b2c <__any_on+0x2c> 29b3c: 00800044 movi r2,1 29b40: f800283a ret 29b44: 10c00a0e bge r2,r3,29b70 <__any_on+0x70> @@ -12319,16 +12298,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29b4c: 1085883a add r2,r2,r2 29b50: 294007cc andi r5,r5,31 29b54: 2087883a add r3,r4,r2 - 29b58: 283ff026 beq r5,zero,29b1c <_gp+0xfffeccb0> + 29b58: 283ff026 beq r5,zero,29b1c <__any_on+0x1c> 29b5c: 19800017 ldw r6,0(r3) 29b60: 3144d83a srl r2,r6,r5 29b64: 114a983a sll r5,r2,r5 - 29b68: 317ff41e bne r6,r5,29b3c <_gp+0xfffeccd0> - 29b6c: 003feb06 br 29b1c <_gp+0xfffeccb0> + 29b68: 317ff41e bne r6,r5,29b3c <__any_on+0x3c> + 29b6c: 003feb06 br 29b1c <__any_on+0x1c> 29b70: 1085883a add r2,r2,r2 29b74: 1085883a add r2,r2,r2 29b78: 2087883a add r3,r4,r2 - 29b7c: 003fe706 br 29b1c <_gp+0xfffeccb0> + 29b7c: 003fe706 br 29b1c <__any_on+0x1c> 29b80: f800283a ret 29b84: 0005883a mov r2,zero 29b88: f800283a ret @@ -12362,7 +12341,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29bf0: 11400005 stb r5,0(r2) 29bf4: 30800017 ldw r2,0(r6) 29bf8: 11400003 ldbu r5,0(r2) - 29bfc: 28fff11e bne r5,r3,29bc4 <_gp+0xfffecd58> + 29bfc: 28fff11e bne r5,r3,29bc4 <_putc_r+0x38> 29c00: 8009883a mov r4,r16 29c04: dfc00317 ldw ra,12(sp) 29c08: dc000217 ldw r16,8(sp) @@ -12373,7 +12352,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29c1c: 0027aa80 call 27aa8 <__sinit> 29c20: d9800117 ldw r6,4(sp) 29c24: d9400017 ldw r5,0(sp) - 29c28: 003fdf06 br 29ba8 <_gp+0xfffecd3c> + 29c28: 003fdf06 br 29ba8 <_putc_r+0x1c> 00029c2c : 29c2c: 008000f4 movhi r2,3 @@ -12409,7 +12388,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29ca4: 14400005 stb r17,0(r2) 29ca8: 28800017 ldw r2,0(r5) 29cac: 10c00003 ldbu r3,0(r2) - 29cb0: 193ff01e bne r3,r4,29c74 <_gp+0xfffece08> + 29cb0: 193ff01e bne r3,r4,29c74 29cb4: 280d883a mov r6,r5 29cb8: 180b883a mov r5,r3 29cbc: 00000706 br 29cdc @@ -12417,7 +12396,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29cc4: d9400015 stw r5,0(sp) 29cc8: 0027aa80 call 27aa8 <__sinit> 29ccc: d9400017 ldw r5,0(sp) - 29cd0: 003fe106 br 29c58 <_gp+0xfffecdec> + 29cd0: 003fe106 br 29c58 29cd4: 280d883a mov r6,r5 29cd8: 880b883a mov r5,r17 29cdc: 8009883a mov r4,r16 @@ -12429,7 +12408,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 00029cf4 <_realloc_r>: 29cf4: defff604 addi sp,sp,-40 - 29cf8: dc800215 stw r18,8(sp) + 29cf8: dc400115 stw r17,4(sp) 29cfc: dfc00915 stw ra,36(sp) 29d00: df000815 stw fp,32(sp) 29d04: ddc00715 stw r23,28(sp) @@ -12437,342 +12416,342 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 29d0c: dd400515 stw r21,20(sp) 29d10: dd000415 stw r20,16(sp) 29d14: dcc00315 stw r19,12(sp) - 29d18: dc400115 stw r17,4(sp) + 29d18: dc800215 stw r18,8(sp) 29d1c: dc000015 stw r16,0(sp) - 29d20: 3025883a mov r18,r6 - 29d24: 2800b726 beq r5,zero,2a004 <_realloc_r+0x310> - 29d28: 282b883a mov r21,r5 - 29d2c: 2029883a mov r20,r4 + 29d20: 3023883a mov r17,r6 + 29d24: 2800b626 beq r5,zero,2a000 <_realloc_r+0x30c> + 29d28: 2829883a mov r20,r5 + 29d2c: 2025883a mov r18,r4 29d30: 002fea40 call 2fea4 <__malloc_lock> - 29d34: a8bfff17 ldw r2,-4(r21) + 29d34: a0ffff17 ldw r3,-4(r20) 29d38: 043fff04 movi r16,-4 - 29d3c: 90c002c4 addi r3,r18,11 + 29d3c: 888002c4 addi r2,r17,11 29d40: 01000584 movi r4,22 - 29d44: acfffe04 addi r19,r21,-8 - 29d48: 1420703a and r16,r2,r16 - 29d4c: 20c0332e bgeu r4,r3,29e1c <_realloc_r+0x128> - 29d50: 047ffe04 movi r17,-8 - 29d54: 1c62703a and r17,r3,r17 - 29d58: 8807883a mov r3,r17 - 29d5c: 88005816 blt r17,zero,29ec0 <_realloc_r+0x1cc> - 29d60: 8c805736 bltu r17,r18,29ec0 <_realloc_r+0x1cc> - 29d64: 80c0300e bge r16,r3,29e28 <_realloc_r+0x134> + 29d44: a57ffe04 addi r21,r20,-8 + 29d48: 1c20703a and r16,r3,r16 + 29d4c: 2080332e bgeu r4,r2,29e1c <_realloc_r+0x128> + 29d50: 05bffe04 movi r22,-8 + 29d54: 15ac703a and r22,r2,r22 + 29d58: b005883a mov r2,r22 + 29d5c: b0005716 blt r22,zero,29ebc <_realloc_r+0x1c8> + 29d60: b4405636 bltu r22,r17,29ebc <_realloc_r+0x1c8> + 29d64: 8080300e bge r16,r2,29e28 <_realloc_r+0x134> 29d68: 070000f4 movhi fp,3 29d6c: e70df904 addi fp,fp,14308 - 29d70: e1c00217 ldw r7,8(fp) - 29d74: 9c09883a add r4,r19,r16 - 29d78: 22000117 ldw r8,4(r4) - 29d7c: 21c06326 beq r4,r7,29f0c <_realloc_r+0x218> - 29d80: 017fff84 movi r5,-2 - 29d84: 414a703a and r5,r8,r5 - 29d88: 214b883a add r5,r4,r5 - 29d8c: 29800117 ldw r6,4(r5) + 29d70: e2000217 ldw r8,8(fp) + 29d74: ac09883a add r4,r21,r16 + 29d78: 22006126 beq r4,r8,29f00 <_realloc_r+0x20c> + 29d7c: 22400117 ldw r9,4(r4) + 29d80: 01ffff84 movi r7,-2 + 29d84: 49ce703a and r7,r9,r7 + 29d88: 21cf883a add r7,r4,r7 + 29d8c: 39800117 ldw r6,4(r7) 29d90: 3180004c andi r6,r6,1 - 29d94: 30003f26 beq r6,zero,29e94 <_realloc_r+0x1a0> - 29d98: 1080004c andi r2,r2,1 - 29d9c: 10008326 beq r2,zero,29fac <_realloc_r+0x2b8> - 29da0: 900b883a mov r5,r18 - 29da4: a009883a mov r4,r20 + 29d94: 30003e26 beq r6,zero,29e90 <_realloc_r+0x19c> + 29d98: 18c0004c andi r3,r3,1 + 29d9c: 18008126 beq r3,zero,29fa4 <_realloc_r+0x2b0> + 29da0: 880b883a mov r5,r17 + 29da4: 9009883a mov r4,r18 29da8: 0022bfc0 call 22bfc <_malloc_r> - 29dac: 1025883a mov r18,r2 + 29dac: 1023883a mov r17,r2 29db0: 10011e26 beq r2,zero,2a22c <_realloc_r+0x538> - 29db4: a93fff17 ldw r4,-4(r21) - 29db8: 10fffe04 addi r3,r2,-8 + 29db4: a0ffff17 ldw r3,-4(r20) + 29db8: 113ffe04 addi r4,r2,-8 29dbc: 00bfff84 movi r2,-2 - 29dc0: 2084703a and r2,r4,r2 - 29dc4: 9885883a add r2,r19,r2 - 29dc8: 1880ee26 beq r3,r2,2a184 <_realloc_r+0x490> + 29dc0: 1884703a and r2,r3,r2 + 29dc4: a885883a add r2,r21,r2 + 29dc8: 2080ee26 beq r4,r2,2a184 <_realloc_r+0x490> 29dcc: 81bfff04 addi r6,r16,-4 29dd0: 00800904 movi r2,36 29dd4: 1180b836 bltu r2,r6,2a0b8 <_realloc_r+0x3c4> 29dd8: 00c004c4 movi r3,19 - 29ddc: 19809636 bltu r3,r6,2a038 <_realloc_r+0x344> - 29de0: 9005883a mov r2,r18 - 29de4: a807883a mov r3,r21 + 29ddc: 19809536 bltu r3,r6,2a034 <_realloc_r+0x340> + 29de0: 8805883a mov r2,r17 + 29de4: a007883a mov r3,r20 29de8: 19000017 ldw r4,0(r3) 29dec: 11000015 stw r4,0(r2) 29df0: 19000117 ldw r4,4(r3) 29df4: 11000115 stw r4,4(r2) 29df8: 18c00217 ldw r3,8(r3) 29dfc: 10c00215 stw r3,8(r2) - 29e00: a80b883a mov r5,r21 - 29e04: a009883a mov r4,r20 + 29e00: a00b883a mov r5,r20 + 29e04: 9009883a mov r4,r18 29e08: 0027c1c0 call 27c1c <_free_r> - 29e0c: a009883a mov r4,r20 + 29e0c: 9009883a mov r4,r18 29e10: 002fec80 call 2fec8 <__malloc_unlock> - 29e14: 9005883a mov r2,r18 - 29e18: 00001206 br 29e64 <_realloc_r+0x170> - 29e1c: 00c00404 movi r3,16 - 29e20: 1823883a mov r17,r3 - 29e24: 003fce06 br 29d60 <_gp+0xfffecef4> - 29e28: a825883a mov r18,r21 - 29e2c: 8445c83a sub r2,r16,r17 - 29e30: 00c003c4 movi r3,15 - 29e34: 18802636 bltu r3,r2,29ed0 <_realloc_r+0x1dc> - 29e38: 99800117 ldw r6,4(r19) - 29e3c: 9c07883a add r3,r19,r16 - 29e40: 3180004c andi r6,r6,1 - 29e44: 3420b03a or r16,r6,r16 - 29e48: 9c000115 stw r16,4(r19) - 29e4c: 18800117 ldw r2,4(r3) - 29e50: 10800054 ori r2,r2,1 - 29e54: 18800115 stw r2,4(r3) - 29e58: a009883a mov r4,r20 - 29e5c: 002fec80 call 2fec8 <__malloc_unlock> - 29e60: 9005883a mov r2,r18 - 29e64: dfc00917 ldw ra,36(sp) - 29e68: df000817 ldw fp,32(sp) - 29e6c: ddc00717 ldw r23,28(sp) - 29e70: dd800617 ldw r22,24(sp) - 29e74: dd400517 ldw r21,20(sp) - 29e78: dd000417 ldw r20,16(sp) - 29e7c: dcc00317 ldw r19,12(sp) - 29e80: dc800217 ldw r18,8(sp) - 29e84: dc400117 ldw r17,4(sp) - 29e88: dc000017 ldw r16,0(sp) - 29e8c: dec00a04 addi sp,sp,40 - 29e90: f800283a ret - 29e94: 017fff04 movi r5,-4 - 29e98: 414a703a and r5,r8,r5 - 29e9c: 814d883a add r6,r16,r5 - 29ea0: 30c01f16 blt r6,r3,29f20 <_realloc_r+0x22c> - 29ea4: 20800317 ldw r2,12(r4) - 29ea8: 20c00217 ldw r3,8(r4) - 29eac: a825883a mov r18,r21 - 29eb0: 3021883a mov r16,r6 - 29eb4: 18800315 stw r2,12(r3) - 29eb8: 10c00215 stw r3,8(r2) - 29ebc: 003fdb06 br 29e2c <_gp+0xfffecfc0> - 29ec0: 00800304 movi r2,12 - 29ec4: a0800015 stw r2,0(r20) - 29ec8: 0005883a mov r2,zero - 29ecc: 003fe506 br 29e64 <_gp+0xfffecff8> - 29ed0: 98c00117 ldw r3,4(r19) - 29ed4: 9c4b883a add r5,r19,r17 - 29ed8: 11000054 ori r4,r2,1 - 29edc: 18c0004c andi r3,r3,1 - 29ee0: 1c62b03a or r17,r3,r17 - 29ee4: 9c400115 stw r17,4(r19) - 29ee8: 29000115 stw r4,4(r5) - 29eec: 2885883a add r2,r5,r2 - 29ef0: 10c00117 ldw r3,4(r2) - 29ef4: 29400204 addi r5,r5,8 - 29ef8: a009883a mov r4,r20 - 29efc: 18c00054 ori r3,r3,1 - 29f00: 10c00115 stw r3,4(r2) - 29f04: 0027c1c0 call 27c1c <_free_r> - 29f08: 003fd306 br 29e58 <_gp+0xfffecfec> - 29f0c: 017fff04 movi r5,-4 - 29f10: 414a703a and r5,r8,r5 - 29f14: 89800404 addi r6,r17,16 - 29f18: 8151883a add r8,r16,r5 - 29f1c: 4180590e bge r8,r6,2a084 <_realloc_r+0x390> - 29f20: 1080004c andi r2,r2,1 - 29f24: 103f9e1e bne r2,zero,29da0 <_gp+0xfffecf34> - 29f28: adbffe17 ldw r22,-8(r21) - 29f2c: 00bfff04 movi r2,-4 - 29f30: 9dadc83a sub r22,r19,r22 - 29f34: b1800117 ldw r6,4(r22) - 29f38: 3084703a and r2,r6,r2 - 29f3c: 20002026 beq r4,zero,29fc0 <_realloc_r+0x2cc> - 29f40: 80af883a add r23,r16,r2 - 29f44: b96f883a add r23,r23,r5 - 29f48: 21c05f26 beq r4,r7,2a0c8 <_realloc_r+0x3d4> - 29f4c: b8c01c16 blt r23,r3,29fc0 <_realloc_r+0x2cc> - 29f50: 20800317 ldw r2,12(r4) - 29f54: 20c00217 ldw r3,8(r4) - 29f58: 81bfff04 addi r6,r16,-4 - 29f5c: 01000904 movi r4,36 - 29f60: 18800315 stw r2,12(r3) - 29f64: 10c00215 stw r3,8(r2) - 29f68: b0c00217 ldw r3,8(r22) - 29f6c: b0800317 ldw r2,12(r22) - 29f70: b4800204 addi r18,r22,8 - 29f74: 18800315 stw r2,12(r3) - 29f78: 10c00215 stw r3,8(r2) - 29f7c: 21801b36 bltu r4,r6,29fec <_realloc_r+0x2f8> - 29f80: 008004c4 movi r2,19 - 29f84: 1180352e bgeu r2,r6,2a05c <_realloc_r+0x368> - 29f88: a8800017 ldw r2,0(r21) - 29f8c: b0800215 stw r2,8(r22) - 29f90: a8800117 ldw r2,4(r21) - 29f94: b0800315 stw r2,12(r22) - 29f98: 008006c4 movi r2,27 - 29f9c: 11807f36 bltu r2,r6,2a19c <_realloc_r+0x4a8> - 29fa0: b0800404 addi r2,r22,16 - 29fa4: ad400204 addi r21,r21,8 - 29fa8: 00002d06 br 2a060 <_realloc_r+0x36c> - 29fac: adbffe17 ldw r22,-8(r21) - 29fb0: 00bfff04 movi r2,-4 - 29fb4: 9dadc83a sub r22,r19,r22 - 29fb8: b1000117 ldw r4,4(r22) - 29fbc: 2084703a and r2,r4,r2 - 29fc0: b03f7726 beq r22,zero,29da0 <_gp+0xfffecf34> - 29fc4: 80af883a add r23,r16,r2 - 29fc8: b8ff7516 blt r23,r3,29da0 <_gp+0xfffecf34> - 29fcc: b0800317 ldw r2,12(r22) - 29fd0: b0c00217 ldw r3,8(r22) - 29fd4: 81bfff04 addi r6,r16,-4 - 29fd8: 01000904 movi r4,36 - 29fdc: 18800315 stw r2,12(r3) - 29fe0: 10c00215 stw r3,8(r2) - 29fe4: b4800204 addi r18,r22,8 - 29fe8: 21bfe52e bgeu r4,r6,29f80 <_gp+0xfffed114> - 29fec: a80b883a mov r5,r21 - 29ff0: 9009883a mov r4,r18 - 29ff4: 0028a340 call 28a34 - 29ff8: b821883a mov r16,r23 - 29ffc: b027883a mov r19,r22 - 2a000: 003f8a06 br 29e2c <_gp+0xfffecfc0> - 2a004: 300b883a mov r5,r6 - 2a008: dfc00917 ldw ra,36(sp) - 2a00c: df000817 ldw fp,32(sp) - 2a010: ddc00717 ldw r23,28(sp) - 2a014: dd800617 ldw r22,24(sp) - 2a018: dd400517 ldw r21,20(sp) - 2a01c: dd000417 ldw r20,16(sp) - 2a020: dcc00317 ldw r19,12(sp) - 2a024: dc800217 ldw r18,8(sp) - 2a028: dc400117 ldw r17,4(sp) - 2a02c: dc000017 ldw r16,0(sp) - 2a030: dec00a04 addi sp,sp,40 - 2a034: 0022bfc1 jmpi 22bfc <_malloc_r> - 2a038: a8c00017 ldw r3,0(r21) - 2a03c: 90c00015 stw r3,0(r18) - 2a040: a8c00117 ldw r3,4(r21) - 2a044: 90c00115 stw r3,4(r18) - 2a048: 00c006c4 movi r3,27 - 2a04c: 19804536 bltu r3,r6,2a164 <_realloc_r+0x470> - 2a050: 90800204 addi r2,r18,8 - 2a054: a8c00204 addi r3,r21,8 - 2a058: 003f6306 br 29de8 <_gp+0xfffecf7c> - 2a05c: 9005883a mov r2,r18 - 2a060: a8c00017 ldw r3,0(r21) - 2a064: b821883a mov r16,r23 - 2a068: b027883a mov r19,r22 - 2a06c: 10c00015 stw r3,0(r2) - 2a070: a8c00117 ldw r3,4(r21) - 2a074: 10c00115 stw r3,4(r2) - 2a078: a8c00217 ldw r3,8(r21) - 2a07c: 10c00215 stw r3,8(r2) - 2a080: 003f6a06 br 29e2c <_gp+0xfffecfc0> - 2a084: 9c67883a add r19,r19,r17 - 2a088: 4445c83a sub r2,r8,r17 - 2a08c: e4c00215 stw r19,8(fp) + 29e14: 8805883a mov r2,r17 + 29e18: 00001106 br 29e60 <_realloc_r+0x16c> + 29e1c: 00800404 movi r2,16 + 29e20: 102d883a mov r22,r2 + 29e24: 003fce06 br 29d60 <_realloc_r+0x6c> + 29e28: a023883a mov r17,r20 + 29e2c: 858fc83a sub r7,r16,r22 + 29e30: 008003c4 movi r2,15 + 29e34: 18c0004c andi r3,r3,1 + 29e38: 11c02436 bltu r2,r7,29ecc <_realloc_r+0x1d8> + 29e3c: 1c06b03a or r3,r3,r16 + 29e40: a8c00115 stw r3,4(r21) + 29e44: ac21883a add r16,r21,r16 + 29e48: 80800117 ldw r2,4(r16) + 29e4c: 10800054 ori r2,r2,1 + 29e50: 80800115 stw r2,4(r16) + 29e54: 9009883a mov r4,r18 + 29e58: 002fec80 call 2fec8 <__malloc_unlock> + 29e5c: 8805883a mov r2,r17 + 29e60: dfc00917 ldw ra,36(sp) + 29e64: df000817 ldw fp,32(sp) + 29e68: ddc00717 ldw r23,28(sp) + 29e6c: dd800617 ldw r22,24(sp) + 29e70: dd400517 ldw r21,20(sp) + 29e74: dd000417 ldw r20,16(sp) + 29e78: dcc00317 ldw r19,12(sp) + 29e7c: dc800217 ldw r18,8(sp) + 29e80: dc400117 ldw r17,4(sp) + 29e84: dc000017 ldw r16,0(sp) + 29e88: dec00a04 addi sp,sp,40 + 29e8c: f800283a ret + 29e90: 017fff04 movi r5,-4 + 29e94: 494a703a and r5,r9,r5 + 29e98: 814d883a add r6,r16,r5 + 29e9c: 30801e16 blt r6,r2,29f18 <_realloc_r+0x224> + 29ea0: 20800317 ldw r2,12(r4) + 29ea4: 21000217 ldw r4,8(r4) + 29ea8: a023883a mov r17,r20 + 29eac: 3021883a mov r16,r6 + 29eb0: 20800315 stw r2,12(r4) + 29eb4: 11000215 stw r4,8(r2) + 29eb8: 003fdc06 br 29e2c <_realloc_r+0x138> + 29ebc: 00800304 movi r2,12 + 29ec0: 90800015 stw r2,0(r18) + 29ec4: 0005883a mov r2,zero + 29ec8: 003fe506 br 29e60 <_realloc_r+0x16c> + 29ecc: 1d86b03a or r3,r3,r22 + 29ed0: a8c00115 stw r3,4(r21) + 29ed4: ad8b883a add r5,r21,r22 + 29ed8: 38c00054 ori r3,r7,1 + 29edc: 28c00115 stw r3,4(r5) + 29ee0: 29cf883a add r7,r5,r7 + 29ee4: 38c00117 ldw r3,4(r7) + 29ee8: 29400204 addi r5,r5,8 + 29eec: 9009883a mov r4,r18 + 29ef0: 18800054 ori r2,r3,1 + 29ef4: 38800115 stw r2,4(r7) + 29ef8: 0027c1c0 call 27c1c <_free_r> + 29efc: 003fd506 br 29e54 <_realloc_r+0x160> + 29f00: 21c00117 ldw r7,4(r4) + 29f04: 017fff04 movi r5,-4 + 29f08: b1800404 addi r6,r22,16 + 29f0c: 394a703a and r5,r7,r5 + 29f10: 814f883a add r7,r16,r5 + 29f14: 39805b0e bge r7,r6,2a084 <_realloc_r+0x390> + 29f18: 18c0004c andi r3,r3,1 + 29f1c: 183fa01e bne r3,zero,29da0 <_realloc_r+0xac> + 29f20: a4fffe17 ldw r19,-8(r20) + 29f24: 00ffff04 movi r3,-4 + 29f28: ace7c83a sub r19,r21,r19 + 29f2c: 99800117 ldw r6,4(r19) + 29f30: 30c6703a and r3,r6,r3 + 29f34: 20002026 beq r4,zero,29fb8 <_realloc_r+0x2c4> + 29f38: 80ef883a add r23,r16,r3 + 29f3c: b96f883a add r23,r23,r5 + 29f40: 22006126 beq r4,r8,2a0c8 <_realloc_r+0x3d4> + 29f44: b8801c16 blt r23,r2,29fb8 <_realloc_r+0x2c4> + 29f48: 20800317 ldw r2,12(r4) + 29f4c: 20c00217 ldw r3,8(r4) + 29f50: 81bfff04 addi r6,r16,-4 + 29f54: 01000904 movi r4,36 + 29f58: 18800315 stw r2,12(r3) + 29f5c: 10c00215 stw r3,8(r2) + 29f60: 98c00217 ldw r3,8(r19) + 29f64: 98800317 ldw r2,12(r19) + 29f68: 9c400204 addi r17,r19,8 + 29f6c: 18800315 stw r2,12(r3) + 29f70: 10c00215 stw r3,8(r2) + 29f74: 21801b36 bltu r4,r6,29fe4 <_realloc_r+0x2f0> + 29f78: 008004c4 movi r2,19 + 29f7c: 1180362e bgeu r2,r6,2a058 <_realloc_r+0x364> + 29f80: a0800017 ldw r2,0(r20) + 29f84: 98800215 stw r2,8(r19) + 29f88: a0800117 ldw r2,4(r20) + 29f8c: 98800315 stw r2,12(r19) + 29f90: 008006c4 movi r2,27 + 29f94: 11808136 bltu r2,r6,2a19c <_realloc_r+0x4a8> + 29f98: 98800404 addi r2,r19,16 + 29f9c: a5000204 addi r20,r20,8 + 29fa0: 00002e06 br 2a05c <_realloc_r+0x368> + 29fa4: a4fffe17 ldw r19,-8(r20) + 29fa8: 00ffff04 movi r3,-4 + 29fac: ace7c83a sub r19,r21,r19 + 29fb0: 99000117 ldw r4,4(r19) + 29fb4: 20c6703a and r3,r4,r3 + 29fb8: 983f7926 beq r19,zero,29da0 <_realloc_r+0xac> + 29fbc: 80ef883a add r23,r16,r3 + 29fc0: b8bf7716 blt r23,r2,29da0 <_realloc_r+0xac> + 29fc4: 98800317 ldw r2,12(r19) + 29fc8: 98c00217 ldw r3,8(r19) + 29fcc: 81bfff04 addi r6,r16,-4 + 29fd0: 01000904 movi r4,36 + 29fd4: 18800315 stw r2,12(r3) + 29fd8: 10c00215 stw r3,8(r2) + 29fdc: 9c400204 addi r17,r19,8 + 29fe0: 21bfe52e bgeu r4,r6,29f78 <_realloc_r+0x284> + 29fe4: a00b883a mov r5,r20 + 29fe8: 8809883a mov r4,r17 + 29fec: 0028a340 call 28a34 + 29ff0: 98c00117 ldw r3,4(r19) + 29ff4: b821883a mov r16,r23 + 29ff8: 982b883a mov r21,r19 + 29ffc: 003f8b06 br 29e2c <_realloc_r+0x138> + 2a000: 300b883a mov r5,r6 + 2a004: dfc00917 ldw ra,36(sp) + 2a008: df000817 ldw fp,32(sp) + 2a00c: ddc00717 ldw r23,28(sp) + 2a010: dd800617 ldw r22,24(sp) + 2a014: dd400517 ldw r21,20(sp) + 2a018: dd000417 ldw r20,16(sp) + 2a01c: dcc00317 ldw r19,12(sp) + 2a020: dc800217 ldw r18,8(sp) + 2a024: dc400117 ldw r17,4(sp) + 2a028: dc000017 ldw r16,0(sp) + 2a02c: dec00a04 addi sp,sp,40 + 2a030: 0022bfc1 jmpi 22bfc <_malloc_r> + 2a034: a0c00017 ldw r3,0(r20) + 2a038: 88c00015 stw r3,0(r17) + 2a03c: a0c00117 ldw r3,4(r20) + 2a040: 88c00115 stw r3,4(r17) + 2a044: 00c006c4 movi r3,27 + 2a048: 19804636 bltu r3,r6,2a164 <_realloc_r+0x470> + 2a04c: 88800204 addi r2,r17,8 + 2a050: a0c00204 addi r3,r20,8 + 2a054: 003f6406 br 29de8 <_realloc_r+0xf4> + 2a058: 8805883a mov r2,r17 + 2a05c: a0c00017 ldw r3,0(r20) + 2a060: b821883a mov r16,r23 + 2a064: 982b883a mov r21,r19 + 2a068: 10c00015 stw r3,0(r2) + 2a06c: a0c00117 ldw r3,4(r20) + 2a070: 10c00115 stw r3,4(r2) + 2a074: a0c00217 ldw r3,8(r20) + 2a078: 10c00215 stw r3,8(r2) + 2a07c: 98c00117 ldw r3,4(r19) + 2a080: 003f6a06 br 29e2c <_realloc_r+0x138> + 2a084: adab883a add r21,r21,r22 + 2a088: 3d85c83a sub r2,r7,r22 + 2a08c: e5400215 stw r21,8(fp) 2a090: 10800054 ori r2,r2,1 - 2a094: 98800115 stw r2,4(r19) - 2a098: a8bfff17 ldw r2,-4(r21) - 2a09c: a009883a mov r4,r20 + 2a094: a8800115 stw r2,4(r21) + 2a098: a0bfff17 ldw r2,-4(r20) + 2a09c: 9009883a mov r4,r18 2a0a0: 1080004c andi r2,r2,1 - 2a0a4: 1462b03a or r17,r2,r17 - 2a0a8: ac7fff15 stw r17,-4(r21) + 2a0a4: 1584b03a or r2,r2,r22 + 2a0a8: a0bfff15 stw r2,-4(r20) 2a0ac: 002fec80 call 2fec8 <__malloc_unlock> - 2a0b0: a805883a mov r2,r21 - 2a0b4: 003f6b06 br 29e64 <_gp+0xfffecff8> - 2a0b8: a80b883a mov r5,r21 - 2a0bc: 9009883a mov r4,r18 + 2a0b0: a005883a mov r2,r20 + 2a0b4: 003f6a06 br 29e60 <_realloc_r+0x16c> + 2a0b8: a00b883a mov r5,r20 + 2a0bc: 8809883a mov r4,r17 2a0c0: 0028a340 call 28a34 - 2a0c4: 003f4e06 br 29e00 <_gp+0xfffecf94> - 2a0c8: 89000404 addi r4,r17,16 - 2a0cc: b93fbc16 blt r23,r4,29fc0 <_gp+0xfffed154> - 2a0d0: b0800317 ldw r2,12(r22) - 2a0d4: b0c00217 ldw r3,8(r22) + 2a0c4: 003f4e06 br 29e00 <_realloc_r+0x10c> + 2a0c8: b1000404 addi r4,r22,16 + 2a0cc: b93fba16 blt r23,r4,29fb8 <_realloc_r+0x2c4> + 2a0d0: 98800317 ldw r2,12(r19) + 2a0d4: 98c00217 ldw r3,8(r19) 2a0d8: 81bfff04 addi r6,r16,-4 2a0dc: 01000904 movi r4,36 2a0e0: 18800315 stw r2,12(r3) 2a0e4: 10c00215 stw r3,8(r2) - 2a0e8: b4800204 addi r18,r22,8 + 2a0e8: 9c400204 addi r17,r19,8 2a0ec: 21804336 bltu r4,r6,2a1fc <_realloc_r+0x508> 2a0f0: 008004c4 movi r2,19 2a0f4: 11803f2e bgeu r2,r6,2a1f4 <_realloc_r+0x500> - 2a0f8: a8800017 ldw r2,0(r21) - 2a0fc: b0800215 stw r2,8(r22) - 2a100: a8800117 ldw r2,4(r21) - 2a104: b0800315 stw r2,12(r22) + 2a0f8: a0800017 ldw r2,0(r20) + 2a0fc: 98800215 stw r2,8(r19) + 2a100: a0800117 ldw r2,4(r20) + 2a104: 98800315 stw r2,12(r19) 2a108: 008006c4 movi r2,27 2a10c: 11803f36 bltu r2,r6,2a20c <_realloc_r+0x518> - 2a110: b0800404 addi r2,r22,16 - 2a114: ad400204 addi r21,r21,8 - 2a118: a8c00017 ldw r3,0(r21) + 2a110: 98800404 addi r2,r19,16 + 2a114: a5000204 addi r20,r20,8 + 2a118: a0c00017 ldw r3,0(r20) 2a11c: 10c00015 stw r3,0(r2) - 2a120: a8c00117 ldw r3,4(r21) + 2a120: a0c00117 ldw r3,4(r20) 2a124: 10c00115 stw r3,4(r2) - 2a128: a8c00217 ldw r3,8(r21) + 2a128: a0c00217 ldw r3,8(r20) 2a12c: 10c00215 stw r3,8(r2) - 2a130: b447883a add r3,r22,r17 - 2a134: bc45c83a sub r2,r23,r17 + 2a130: 9d87883a add r3,r19,r22 + 2a134: bd85c83a sub r2,r23,r22 2a138: e0c00215 stw r3,8(fp) 2a13c: 10800054 ori r2,r2,1 2a140: 18800115 stw r2,4(r3) - 2a144: b0800117 ldw r2,4(r22) - 2a148: a009883a mov r4,r20 + 2a144: 98800117 ldw r2,4(r19) + 2a148: 9009883a mov r4,r18 2a14c: 1080004c andi r2,r2,1 - 2a150: 1462b03a or r17,r2,r17 - 2a154: b4400115 stw r17,4(r22) + 2a150: 1584b03a or r2,r2,r22 + 2a154: 98800115 stw r2,4(r19) 2a158: 002fec80 call 2fec8 <__malloc_unlock> - 2a15c: 9005883a mov r2,r18 - 2a160: 003f4006 br 29e64 <_gp+0xfffecff8> - 2a164: a8c00217 ldw r3,8(r21) - 2a168: 90c00215 stw r3,8(r18) - 2a16c: a8c00317 ldw r3,12(r21) - 2a170: 90c00315 stw r3,12(r18) + 2a15c: 8805883a mov r2,r17 + 2a160: 003f3f06 br 29e60 <_realloc_r+0x16c> + 2a164: a0c00217 ldw r3,8(r20) + 2a168: 88c00215 stw r3,8(r17) + 2a16c: a0c00317 ldw r3,12(r20) + 2a170: 88c00315 stw r3,12(r17) 2a174: 30801126 beq r6,r2,2a1bc <_realloc_r+0x4c8> - 2a178: 90800404 addi r2,r18,16 - 2a17c: a8c00404 addi r3,r21,16 - 2a180: 003f1906 br 29de8 <_gp+0xfffecf7c> - 2a184: 90ffff17 ldw r3,-4(r18) + 2a178: 88800404 addi r2,r17,16 + 2a17c: a0c00404 addi r3,r20,16 + 2a180: 003f1906 br 29de8 <_realloc_r+0xf4> + 2a184: 893fff17 ldw r4,-4(r17) 2a188: 00bfff04 movi r2,-4 - 2a18c: a825883a mov r18,r21 - 2a190: 1884703a and r2,r3,r2 + 2a18c: a023883a mov r17,r20 + 2a190: 2084703a and r2,r4,r2 2a194: 80a1883a add r16,r16,r2 - 2a198: 003f2406 br 29e2c <_gp+0xfffecfc0> - 2a19c: a8800217 ldw r2,8(r21) - 2a1a0: b0800415 stw r2,16(r22) - 2a1a4: a8800317 ldw r2,12(r21) - 2a1a8: b0800515 stw r2,20(r22) + 2a198: 003f2406 br 29e2c <_realloc_r+0x138> + 2a19c: a0800217 ldw r2,8(r20) + 2a1a0: 98800415 stw r2,16(r19) + 2a1a4: a0800317 ldw r2,12(r20) + 2a1a8: 98800515 stw r2,20(r19) 2a1ac: 31000a26 beq r6,r4,2a1d8 <_realloc_r+0x4e4> - 2a1b0: b0800604 addi r2,r22,24 - 2a1b4: ad400404 addi r21,r21,16 - 2a1b8: 003fa906 br 2a060 <_gp+0xfffed1f4> - 2a1bc: a9000417 ldw r4,16(r21) - 2a1c0: 90800604 addi r2,r18,24 - 2a1c4: a8c00604 addi r3,r21,24 - 2a1c8: 91000415 stw r4,16(r18) - 2a1cc: a9000517 ldw r4,20(r21) - 2a1d0: 91000515 stw r4,20(r18) - 2a1d4: 003f0406 br 29de8 <_gp+0xfffecf7c> - 2a1d8: a8c00417 ldw r3,16(r21) - 2a1dc: ad400604 addi r21,r21,24 - 2a1e0: b0800804 addi r2,r22,32 - 2a1e4: b0c00615 stw r3,24(r22) - 2a1e8: a8ffff17 ldw r3,-4(r21) - 2a1ec: b0c00715 stw r3,28(r22) - 2a1f0: 003f9b06 br 2a060 <_gp+0xfffed1f4> - 2a1f4: 9005883a mov r2,r18 - 2a1f8: 003fc706 br 2a118 <_gp+0xfffed2ac> - 2a1fc: a80b883a mov r5,r21 - 2a200: 9009883a mov r4,r18 + 2a1b0: 98800604 addi r2,r19,24 + 2a1b4: a5000404 addi r20,r20,16 + 2a1b8: 003fa806 br 2a05c <_realloc_r+0x368> + 2a1bc: a1000417 ldw r4,16(r20) + 2a1c0: 88800604 addi r2,r17,24 + 2a1c4: a0c00604 addi r3,r20,24 + 2a1c8: 89000415 stw r4,16(r17) + 2a1cc: a1000517 ldw r4,20(r20) + 2a1d0: 89000515 stw r4,20(r17) + 2a1d4: 003f0406 br 29de8 <_realloc_r+0xf4> + 2a1d8: a0c00417 ldw r3,16(r20) + 2a1dc: a5000604 addi r20,r20,24 + 2a1e0: 98800804 addi r2,r19,32 + 2a1e4: 98c00615 stw r3,24(r19) + 2a1e8: a0ffff17 ldw r3,-4(r20) + 2a1ec: 98c00715 stw r3,28(r19) + 2a1f0: 003f9a06 br 2a05c <_realloc_r+0x368> + 2a1f4: 8805883a mov r2,r17 + 2a1f8: 003fc706 br 2a118 <_realloc_r+0x424> + 2a1fc: a00b883a mov r5,r20 + 2a200: 8809883a mov r4,r17 2a204: 0028a340 call 28a34 - 2a208: 003fc906 br 2a130 <_gp+0xfffed2c4> - 2a20c: a8800217 ldw r2,8(r21) - 2a210: b0800415 stw r2,16(r22) - 2a214: a8800317 ldw r2,12(r21) - 2a218: b0800515 stw r2,20(r22) + 2a208: 003fc906 br 2a130 <_realloc_r+0x43c> + 2a20c: a0800217 ldw r2,8(r20) + 2a210: 98800415 stw r2,16(r19) + 2a214: a0800317 ldw r2,12(r20) + 2a218: 98800515 stw r2,20(r19) 2a21c: 31000726 beq r6,r4,2a23c <_realloc_r+0x548> - 2a220: b0800604 addi r2,r22,24 - 2a224: ad400404 addi r21,r21,16 - 2a228: 003fbb06 br 2a118 <_gp+0xfffed2ac> - 2a22c: a009883a mov r4,r20 + 2a220: 98800604 addi r2,r19,24 + 2a224: a5000404 addi r20,r20,16 + 2a228: 003fbb06 br 2a118 <_realloc_r+0x424> + 2a22c: 9009883a mov r4,r18 2a230: 002fec80 call 2fec8 <__malloc_unlock> 2a234: 0005883a mov r2,zero - 2a238: 003f0a06 br 29e64 <_gp+0xfffecff8> - 2a23c: a8c00417 ldw r3,16(r21) - 2a240: ad400604 addi r21,r21,24 - 2a244: b0800804 addi r2,r22,32 - 2a248: b0c00615 stw r3,24(r22) - 2a24c: a8ffff17 ldw r3,-4(r21) - 2a250: b0c00715 stw r3,28(r22) - 2a254: 003fb006 br 2a118 <_gp+0xfffed2ac> + 2a238: 003f0906 br 29e60 <_realloc_r+0x16c> + 2a23c: a0c00417 ldw r3,16(r20) + 2a240: a5000604 addi r20,r20,24 + 2a244: 98800804 addi r2,r19,32 + 2a248: 98c00615 stw r3,24(r19) + 2a24c: a0ffff17 ldw r3,-4(r20) + 2a250: 98c00715 stw r3,28(r19) + 2a254: 003fb006 br 2a118 <_realloc_r+0x424> 0002a258 : 2a258: 2080030b ldhu r2,12(r4) @@ -12847,15 +12826,15 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a364: 1000241e bne r2,zero,2a3f8 <__srefill_r+0x184> 2a368: 18c00114 ori r3,r3,4 2a36c: 80c0030d sth r3,12(r16) - 2a370: 003fe406 br 2a304 <_gp+0xfffed498> + 2a370: 003fe406 br 2a304 <__srefill_r+0x90> 2a374: 80c0030b ldhu r3,12(r16) 2a378: 1000161e bne r2,zero,2a3d4 <__srefill_r+0x160> 2a37c: 18c00814 ori r3,r3,32 2a380: 00bfffc4 movi r2,-1 2a384: 80c0030d sth r3,12(r16) - 2a388: 003fed06 br 2a340 <_gp+0xfffed4d4> + 2a388: 003fed06 br 2a340 <__srefill_r+0xcc> 2a38c: 0027aa80 call 27aa8 <__sinit> - 2a390: 003fc206 br 2a29c <_gp+0xfffed430> + 2a390: 003fc206 br 2a29c <__srefill_r+0x28> 2a394: 008000f4 movhi r2,3 2a398: 10939e04 addi r2,r2,20088 2a39c: 11000017 ldw r4,0(r2) @@ -12867,20 +12846,20 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a3b4: 00800244 movi r2,9 2a3b8: 8480030d sth r18,12(r16) 2a3bc: 9480024c andi r18,r18,9 - 2a3c0: 90bfd51e bne r18,r2,2a318 <_gp+0xfffed4ac> + 2a3c0: 90bfd51e bne r18,r2,2a318 <__srefill_r+0xa4> 2a3c4: 800b883a mov r5,r16 2a3c8: 8809883a mov r4,r17 2a3cc: 00274b00 call 274b0 <__sflush_r> - 2a3d0: 003fd106 br 2a318 <_gp+0xfffed4ac> + 2a3d0: 003fd106 br 2a318 <__srefill_r+0xa4> 2a3d4: 18c01014 ori r3,r3,64 2a3d8: 80000115 stw zero,4(r16) 2a3dc: 00bfffc4 movi r2,-1 2a3e0: 80c0030d sth r3,12(r16) - 2a3e4: 003fd606 br 2a340 <_gp+0xfffed4d4> + 2a3e4: 003fd606 br 2a340 <__srefill_r+0xcc> 2a3e8: 80c00e17 ldw r3,56(r16) 2a3ec: 0005883a mov r2,zero 2a3f0: 80c00015 stw r3,0(r16) - 2a3f4: 003fd206 br 2a340 <_gp+0xfffed4d4> + 2a3f4: 003fd206 br 2a340 <__srefill_r+0xcc> 2a3f8: 800b883a mov r5,r16 2a3fc: 8809883a mov r4,r17 2a400: 00276cc0 call 276cc <_fflush_r> @@ -12890,17 +12869,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a410: 80000215 stw zero,8(r16) 2a414: 1886703a and r3,r3,r2 2a418: 80000615 stw zero,24(r16) - 2a41c: 003fd206 br 2a368 <_gp+0xfffed4fc> + 2a41c: 003fd206 br 2a368 <__srefill_r+0xf4> 2a420: 00800244 movi r2,9 2a424: 88800015 stw r2,0(r17) 2a428: 18c01014 ori r3,r3,64 2a42c: 80c0030d sth r3,12(r16) 2a430: 00bfffc4 movi r2,-1 - 2a434: 003fc206 br 2a340 <_gp+0xfffed4d4> + 2a434: 003fc206 br 2a340 <__srefill_r+0xcc> 2a438: 800b883a mov r5,r16 2a43c: 8809883a mov r4,r17 2a440: 002864c0 call 2864c <__smakebuf_r> - 2a444: 003fb106 br 2a30c <_gp+0xfffed4a0> + 2a444: 003fb106 br 2a30c <__srefill_r+0x98> 0002a448 <__fpclassifyd>: 2a448: 00a00034 movhi r2,32768 @@ -12921,7 +12900,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a484: 019ff834 movhi r6,32736 2a488: 28cb883a add r5,r5,r3 2a48c: 31bfffc4 addi r6,r6,-1 - 2a490: 317ff62e bgeu r6,r5,2a46c <_gp+0xfffed600> + 2a490: 317ff62e bgeu r6,r5,2a46c <__fpclassifyd+0x24> 2a494: 01400434 movhi r5,16 2a498: 297fffc4 addi r5,r5,-1 2a49c: 28800236 bltu r5,r2,2a4a8 <__fpclassifyd+0x60> @@ -13048,7 +13027,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a650: 00c4303a nor r2,zero,r3 2a654: 3884703a and r2,r7,r2 2a658: 1204703a and r2,r2,r8 - 2a65c: 19bff626 beq r3,r6,2a638 <_gp+0xfffed7cc> + 2a65c: 19bff626 beq r3,r6,2a638 2a660: 20800003 ldbu r2,0(r4) 2a664: 10c03fcc andi r3,r2,255 2a668: 18c0201c xori r3,r3,128 @@ -13065,7 +13044,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a694: 18803fcc andi r2,r3,255 2a698: 1080201c xori r2,r2,128 2a69c: 10bfe004 addi r2,r2,-128 - 2a6a0: 103ff71e bne r2,zero,2a680 <_gp+0xfffed814> + 2a6a0: 103ff71e bne r2,zero,2a680 2a6a4: 0007883a mov r3,zero 2a6a8: 28800003 ldbu r2,0(r5) 2a6ac: 1885c83a sub r2,r3,r2 @@ -13077,7 +13056,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a6c4: 0005883a mov r2,zero 2a6c8: f800283a ret 2a6cc: 10c03fcc andi r3,r2,255 - 2a6d0: 003ff506 br 2a6a8 <_gp+0xfffed83c> + 2a6d0: 003ff506 br 2a6a8 0002a6d4 <__sprint_r.part.0>: 2a6d4: 28801917 ldw r2,100(r5) @@ -13115,7 +13094,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a754: a009883a mov r4,r20 2a758: 002c2540 call 2c254 <_fputwc_r> 2a75c: e7000044 addi fp,fp,1 - 2a760: 14bff81e bne r2,r18,2a744 <_gp+0xfffed8d8> + 2a760: 14bff81e bne r2,r18,2a744 <__sprint_r.part.0+0x70> 2a764: 9005883a mov r2,r18 2a768: a8000215 stw zero,8(r21) 2a76c: a8000115 stw zero,4(r21) @@ -13138,11 +13117,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a7b0: a8800215 stw r2,8(r21) 2a7b4: b5800204 addi r22,r22,8 2a7b8: bdc00204 addi r23,r23,8 - 2a7bc: 103fdb1e bne r2,zero,2a72c <_gp+0xfffed8c0> + 2a7bc: 103fdb1e bne r2,zero,2a72c <__sprint_r.part.0+0x58> 2a7c0: 0005883a mov r2,zero - 2a7c4: 003fe806 br 2a768 <_gp+0xfffed8fc> + 2a7c4: 003fe806 br 2a768 <__sprint_r.part.0+0x94> 2a7c8: 0027f2c0 call 27f2c <__sfvwrite_r> - 2a7cc: 003fe606 br 2a768 <_gp+0xfffed8fc> + 2a7cc: 003fe606 br 2a768 <__sprint_r.part.0+0x94> 0002a7d0 <__sprint_r>: 2a7d0: 30c00217 ldw r3,8(r6) @@ -13215,7 +13194,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2a8d4: 10c00326 beq r2,r3,2a8e4 <___vfiprintf_internal_r+0xfc> 2a8d8: 94800044 addi r18,r18,1 2a8dc: 90800007 ldb r2,0(r18) - 2a8e0: 103ffc1e bne r2,zero,2a8d4 <_gp+0xfffeda68> + 2a8e0: 103ffc1e bne r2,zero,2a8d4 <___vfiprintf_internal_r+0xec> 2a8e4: 9423c83a sub r17,r18,r16 2a8e8: 88001026 beq r17,zero,2a92c <___vfiprintf_internal_r+0x144> 2a8ec: d8c01c17 ldw r3,112(sp) @@ -13350,7 +13329,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2aaf0: da802317 ldw r10,140(sp) 2aaf4: 5455883a add r10,r10,r17 2aaf8: da802315 stw r10,140(sp) - 2aafc: 003f8b06 br 2a92c <_gp+0xfffedac0> + 2aafc: 003f8b06 br 2a92c <___vfiprintf_internal_r+0x144> 2ab00: d9002017 ldw r4,128(sp) 2ab04: a00b883a mov r5,r20 2ab08: 0025ad40 call 25ad4 <__swsetup_r> @@ -13358,9 +13337,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ab10: a080030b ldhu r2,12(r20) 2ab14: 00c00284 movi r3,10 2ab18: 1080068c andi r2,r2,26 - 2ab1c: 10ff541e bne r2,r3,2a870 <_gp+0xfffeda04> + 2ab1c: 10ff541e bne r2,r3,2a870 <___vfiprintf_internal_r+0x88> 2ab20: a080038f ldh r2,14(r20) - 2ab24: 103f5216 blt r2,zero,2a870 <_gp+0xfffeda04> + 2ab24: 103f5216 blt r2,zero,2a870 <___vfiprintf_internal_r+0x88> 2ab28: d9c02217 ldw r7,136(sp) 2ab2c: d9002017 ldw r4,128(sp) 2ab30: e00d883a mov r6,fp @@ -13379,12 +13358,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ab64: dec03704 addi sp,sp,220 2ab68: f800283a ret 2ab6c: 0027aa80 call 27aa8 <__sinit> - 2ab70: 003f2f06 br 2a830 <_gp+0xfffed9c4> + 2ab70: 003f2f06 br 2a830 <___vfiprintf_internal_r+0x48> 2ab74: 0463c83a sub r17,zero,r17 2ab78: d8802215 stw r2,136(sp) 2ab7c: a5000114 ori r20,r20,4 2ab80: 80c00007 ldb r3,0(r16) - 2ab84: 003f7706 br 2a964 <_gp+0xfffedaf8> + 2ab84: 003f7706 br 2a964 <___vfiprintf_internal_r+0x17c> 2ab88: 00800c04 movi r2,48 2ab8c: da802217 ldw r10,136(sp) 2ab90: d8801d05 stb r2,116(sp) @@ -13448,13 +13427,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ac78: 41c00115 stw r7,4(r8) 2ac7c: d8801c15 stw r2,112(sp) 2ac80: d9001b15 stw r4,108(sp) - 2ac84: 793ff40e bge r15,r4,2ac58 <_gp+0xfffeddec> + 2ac84: 793ff40e bge r15,r4,2ac58 <___vfiprintf_internal_r+0x470> 2ac88: 1001b51e bne r2,zero,2b360 <___vfiprintf_internal_r+0xb78> 2ac8c: 843ffc04 addi r16,r16,-16 2ac90: 000d883a mov r6,zero 2ac94: 01400044 movi r5,1 2ac98: d811883a mov r8,sp - 2ac9c: 3c3ff316 blt r7,r16,2ac6c <_gp+0xfffede00> + 2ac9c: 3c3ff316 blt r7,r16,2ac6c <___vfiprintf_internal_r+0x484> 2aca0: 8007883a mov r3,r16 2aca4: da402517 ldw r9,148(sp) 2aca8: db802817 ldw r14,160(sp) @@ -13511,13 +13490,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ad74: 41c00115 stw r7,4(r8) 2ad78: d8801c15 stw r2,112(sp) 2ad7c: d8c01b15 stw r3,108(sp) - 2ad80: 68fff40e bge r13,r3,2ad54 <_gp+0xfffedee8> + 2ad80: 68fff40e bge r13,r3,2ad54 <___vfiprintf_internal_r+0x56c> 2ad84: 1002241e bne r2,zero,2b618 <___vfiprintf_internal_r+0xe30> 2ad88: 843ffc04 addi r16,r16,-16 2ad8c: 01800044 movi r6,1 2ad90: 000b883a mov r5,zero 2ad94: d811883a mov r8,sp - 2ad98: 3c3ff316 blt r7,r16,2ad68 <_gp+0xfffedefc> + 2ad98: 3c3ff316 blt r7,r16,2ad68 <___vfiprintf_internal_r+0x580> 2ad9c: da402517 ldw r9,148(sp) 2ada0: e007883a mov r3,fp 2ada4: 8039883a mov fp,r16 @@ -13555,14 +13534,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ae24: da802315 stw r10,140(sp) 2ae28: d8001b15 stw zero,108(sp) 2ae2c: d811883a mov r8,sp - 2ae30: 003ea206 br 2a8bc <_gp+0xfffeda50> + 2ae30: 003ea206 br 2a8bc <___vfiprintf_internal_r+0xd4> 2ae34: a5000814 ori r20,r20,32 2ae38: 80c00007 ldb r3,0(r16) - 2ae3c: 003ec906 br 2a964 <_gp+0xfffedaf8> + 2ae3c: 003ec906 br 2a964 <___vfiprintf_internal_r+0x17c> 2ae40: 80c00007 ldb r3,0(r16) 2ae44: 1b030926 beq r3,r12,2ba6c <___vfiprintf_internal_r+0x1284> 2ae48: a5000414 ori r20,r20,16 - 2ae4c: 003ec506 br 2a964 <_gp+0xfffedaf8> + 2ae4c: 003ec506 br 2a964 <___vfiprintf_internal_r+0x17c> 2ae50: 21003fcc andi r4,r4,255 2ae54: 20035e1e bne r4,zero,2bbd0 <___vfiprintf_internal_r+0x13e8> 2ae58: a080080c andi r2,r20,32 @@ -13577,7 +13556,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ae7c: da802215 stw r10,136(sp) 2ae80: da802317 ldw r10,140(sp) 2ae84: 12800015 stw r10,0(r2) - 2ae88: 003e8c06 br 2a8bc <_gp+0xfffeda50> + 2ae88: 003e8c06 br 2a8bc <___vfiprintf_internal_r+0xd4> 2ae8c: 21003fcc andi r4,r4,255 2ae90: 2003511e bne r4,zero,2bbd8 <___vfiprintf_internal_r+0x13f0> 2ae94: a080080c andi r2,r20,32 @@ -13604,7 +13583,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2aee8: 20e4b03a or r18,r4,r3 2aeec: a8800005 stb r2,0(r21) 2aef0: 94c6b03a or r3,r18,r19 - 2aef4: 183ff61e bne r3,zero,2aed0 <_gp+0xfffee064> + 2aef4: 183ff61e bne r3,zero,2aed0 <___vfiprintf_internal_r+0x6e8> 2aef8: a0c0004c andi r3,r20,1 2aefc: 18005926 beq r3,zero,2b064 <___vfiprintf_internal_r+0x87c> 2af00: 10803fcc andi r2,r2,255 @@ -13617,7 +13596,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2af1c: a8ffffc5 stb r3,-1(r21) 2af20: 50a7c83a sub r19,r10,r2 2af24: 102b883a mov r21,r2 - 2af28: 003f2f06 br 2abe8 <_gp+0xfffedd7c> + 2af28: 003f2f06 br 2abe8 <___vfiprintf_internal_r+0x400> 2af2c: 21003fcc andi r4,r4,255 2af30: 2003421e bne r4,zero,2bc3c <___vfiprintf_internal_r+0x1454> 2af34: 008000f4 movhi r2,3 @@ -13638,7 +13617,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2af70: 48022216 blt r9,zero,2b7fc <___vfiprintf_internal_r+0x1014> 2af74: 00bfdfc4 movi r2,-129 2af78: a0a8703a and r20,r20,r2 - 2af7c: 003f1506 br 2abd4 <_gp+0xfffedd68> + 2af7c: 003f1506 br 2abd4 <___vfiprintf_internal_r+0x3ec> 2af80: da802217 ldw r10,136(sp) 2af84: 04800044 movi r18,1 2af88: d8001d85 stb zero,118(sp) @@ -13649,7 +13628,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2af9c: 9027883a mov r19,r18 2afa0: dd401004 addi r21,sp,64 2afa4: 0013883a mov r9,zero - 2afa8: 003f1706 br 2ac08 <_gp+0xfffedd9c> + 2afa8: 003f1706 br 2ac08 <___vfiprintf_internal_r+0x420> 2afac: 21003fcc andi r4,r4,255 2afb0: 2003201e bne r4,zero,2bc34 <___vfiprintf_internal_r+0x144c> 2afb4: a080080c andi r2,r20,32 @@ -13691,14 +13670,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b044: 1021883a mov r16,r2 2b048: 10c4b03a or r2,r2,r3 2b04c: 1823883a mov r17,r3 - 2b050: 103fef1e bne r2,zero,2b010 <_gp+0xfffee1a4> + 2b050: 103fef1e bne r2,zero,2b010 <___vfiprintf_internal_r+0x828> 2b054: dc402417 ldw r17,144(sp) 2b058: dc002517 ldw r16,148(sp) 2b05c: 9013883a mov r9,r18 2b060: 9811883a mov r8,r19 2b064: da801e17 ldw r10,120(sp) 2b068: 5567c83a sub r19,r10,r21 - 2b06c: 003ede06 br 2abe8 <_gp+0xfffedd7c> + 2b06c: 003ede06 br 2abe8 <___vfiprintf_internal_r+0x400> 2b070: 38803fcc andi r2,r7,255 2b074: 1080201c xori r2,r2,128 2b078: 10bfe004 addi r2,r2,-128 @@ -13706,13 +13685,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b080: 01000044 movi r4,1 2b084: 01c00804 movi r7,32 2b088: 80c00007 ldb r3,0(r16) - 2b08c: 003e3506 br 2a964 <_gp+0xfffedaf8> + 2b08c: 003e3506 br 2a964 <___vfiprintf_internal_r+0x17c> 2b090: a5000054 ori r20,r20,1 2b094: 80c00007 ldb r3,0(r16) - 2b098: 003e3206 br 2a964 <_gp+0xfffedaf8> + 2b098: 003e3206 br 2a964 <___vfiprintf_internal_r+0x17c> 2b09c: a5002014 ori r20,r20,128 2b0a0: 80c00007 ldb r3,0(r16) - 2b0a4: 003e2f06 br 2a964 <_gp+0xfffedaf8> + 2b0a4: 003e2f06 br 2a964 <___vfiprintf_internal_r+0x17c> 2b0a8: 8015883a mov r10,r16 2b0ac: 0023883a mov r17,zero 2b0b0: 18bff404 addi r2,r3,-48 @@ -13722,13 +13701,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b0c0: 8015883a mov r10,r16 2b0c4: 1463883a add r17,r2,r17 2b0c8: 18bff404 addi r2,r3,-48 - 2b0cc: 30bff92e bgeu r6,r2,2b0b4 <_gp+0xfffee248> - 2b0d0: 003e2506 br 2a968 <_gp+0xfffedafc> + 2b0cc: 30bff92e bgeu r6,r2,2b0b4 <___vfiprintf_internal_r+0x8cc> + 2b0d0: 003e2506 br 2a968 <___vfiprintf_internal_r+0x180> 2b0d4: 21003fcc andi r4,r4,255 2b0d8: 2002d41e bne r4,zero,2bc2c <___vfiprintf_internal_r+0x1444> 2b0dc: a5000414 ori r20,r20,16 2b0e0: a080080c andi r2,r20,32 - 2b0e4: 103fb51e bne r2,zero,2afbc <_gp+0xfffee150> + 2b0e4: 103fb51e bne r2,zero,2afbc <___vfiprintf_internal_r+0x7d4> 2b0e8: a080040c andi r2,r20,16 2b0ec: 1001f826 beq r2,zero,2b8d0 <___vfiprintf_internal_r+0x10e8> 2b0f0: da802217 ldw r10,136(sp) @@ -13737,12 +13716,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b0fc: da802215 stw r10,136(sp) 2b100: 9027d7fa srai r19,r18,31 2b104: 9805883a mov r2,r19 - 2b108: 003fb206 br 2afd4 <_gp+0xfffee168> + 2b108: 003fb206 br 2afd4 <___vfiprintf_internal_r+0x7ec> 2b10c: 21003fcc andi r4,r4,255 2b110: 2002c41e bne r4,zero,2bc24 <___vfiprintf_internal_r+0x143c> 2b114: a5000414 ori r20,r20,16 2b118: a080080c andi r2,r20,32 - 2b11c: 103f5f1e bne r2,zero,2ae9c <_gp+0xfffee030> + 2b11c: 103f5f1e bne r2,zero,2ae9c <___vfiprintf_internal_r+0x6b4> 2b120: a080040c andi r2,r20,16 2b124: 10020f26 beq r2,zero,2b964 <___vfiprintf_internal_r+0x117c> 2b128: da802217 ldw r10,136(sp) @@ -13754,12 +13733,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b140: 00ffdfc4 movi r3,-129 2b144: d8802215 stw r2,136(sp) 2b148: a0e8703a and r20,r20,r3 - 2b14c: 903f5e1e bne r18,zero,2aec8 <_gp+0xfffee05c> + 2b14c: 903f5e1e bne r18,zero,2aec8 <___vfiprintf_internal_r+0x6e0> 2b150: 0039883a mov fp,zero 2b154: 4802a626 beq r9,zero,2bbf0 <___vfiprintf_internal_r+0x1408> 2b158: 0025883a mov r18,zero 2b15c: 0027883a mov r19,zero - 2b160: 003f5a06 br 2aecc <_gp+0xfffee060> + 2b160: 003f5a06 br 2aecc <___vfiprintf_internal_r+0x6e4> 2b164: 21003fcc andi r4,r4,255 2b168: 20029f1e bne r4,zero,2bbe8 <___vfiprintf_internal_r+0x1400> 2b16c: a5000414 ori r20,r20,16 @@ -13778,13 +13757,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b1a0: d8802215 stw r2,136(sp) 2b1a4: 0039883a mov fp,zero 2b1a8: 94c4b03a or r2,r18,r19 - 2b1ac: 103f901e bne r2,zero,2aff0 <_gp+0xfffee184> + 2b1ac: 103f901e bne r2,zero,2aff0 <___vfiprintf_internal_r+0x808> 2b1b0: 00800044 movi r2,1 2b1b4: 10803fcc andi r2,r2,255 2b1b8: 00c00044 movi r3,1 2b1bc: 10c05926 beq r2,r3,2b324 <___vfiprintf_internal_r+0xb3c> 2b1c0: 00c00084 movi r3,2 - 2b1c4: 10ffe41e bne r2,r3,2b158 <_gp+0xfffee2ec> + 2b1c4: 10ffe41e bne r2,r3,2b158 <___vfiprintf_internal_r+0x970> 2b1c8: 0025883a mov r18,zero 2b1cc: 0027883a mov r19,zero 2b1d0: 00013d06 br 2b6c8 <___vfiprintf_internal_r+0xee0> @@ -13794,7 +13773,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b1e0: 108a4e04 addi r2,r2,10552 2b1e4: d8802615 stw r2,152(sp) 2b1e8: a080080c andi r2,r20,32 - 2b1ec: 103f561e bne r2,zero,2af48 <_gp+0xfffee0dc> + 2b1ec: 103f561e bne r2,zero,2af48 <___vfiprintf_internal_r+0x760> 2b1f0: a080040c andi r2,r20,16 2b1f4: 1001d126 beq r2,zero,2b93c <___vfiprintf_internal_r+0x1154> 2b1f8: da802217 ldw r10,136(sp) @@ -13802,7 +13781,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b200: 54800017 ldw r18,0(r10) 2b204: 52800104 addi r10,r10,4 2b208: da802215 stw r10,136(sp) - 2b20c: 003f5306 br 2af5c <_gp+0xfffee0f0> + 2b20c: 003f5306 br 2af5c <___vfiprintf_internal_r+0x774> 2b210: da802217 ldw r10,136(sp) 2b214: d8001d85 stb zero,118(sp) 2b218: 55400017 ldw r21,0(r10) @@ -13824,22 +13803,22 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b258: df001d83 ldbu fp,118(sp) 2b25c: d8c02215 stw r3,136(sp) 2b260: 0013883a mov r9,zero - 2b264: 003e6006 br 2abe8 <_gp+0xfffedd7c> + 2b264: 003e6006 br 2abe8 <___vfiprintf_internal_r+0x400> 2b268: 21003fcc andi r4,r4,255 - 2b26c: 203fc026 beq r4,zero,2b170 <_gp+0xfffee304> + 2b26c: 203fc026 beq r4,zero,2b170 <___vfiprintf_internal_r+0x988> 2b270: d9c01d85 stb r7,118(sp) - 2b274: 003fbe06 br 2b170 <_gp+0xfffee304> + 2b274: 003fbe06 br 2b170 <___vfiprintf_internal_r+0x988> 2b278: da802217 ldw r10,136(sp) 2b27c: 54400017 ldw r17,0(r10) 2b280: 50800104 addi r2,r10,4 - 2b284: 883e3b16 blt r17,zero,2ab74 <_gp+0xfffedd08> + 2b284: 883e3b16 blt r17,zero,2ab74 <___vfiprintf_internal_r+0x38c> 2b288: d8802215 stw r2,136(sp) 2b28c: 80c00007 ldb r3,0(r16) - 2b290: 003db406 br 2a964 <_gp+0xfffedaf8> + 2b290: 003db406 br 2a964 <___vfiprintf_internal_r+0x17c> 2b294: 01000044 movi r4,1 2b298: 01c00ac4 movi r7,43 2b29c: 80c00007 ldb r3,0(r16) - 2b2a0: 003db006 br 2a964 <_gp+0xfffedaf8> + 2b2a0: 003db006 br 2a964 <___vfiprintf_internal_r+0x17c> 2b2a4: 80c00007 ldb r3,0(r16) 2b2a8: 82800044 addi r10,r16,1 2b2ac: 1b423c26 beq r3,r13,2bba0 <___vfiprintf_internal_r+0x13b8> @@ -13852,13 +13831,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b2c8: 8015883a mov r10,r16 2b2cc: 4893883a add r9,r9,r2 2b2d0: 18bff404 addi r2,r3,-48 - 2b2d4: 30bff92e bgeu r6,r2,2b2bc <_gp+0xfffee450> - 2b2d8: 483da30e bge r9,zero,2a968 <_gp+0xfffedafc> + 2b2d4: 30bff92e bgeu r6,r2,2b2bc <___vfiprintf_internal_r+0xad4> + 2b2d8: 483da30e bge r9,zero,2a968 <___vfiprintf_internal_r+0x180> 2b2dc: 027fffc4 movi r9,-1 - 2b2e0: 003da106 br 2a968 <_gp+0xfffedafc> + 2b2e0: 003da106 br 2a968 <___vfiprintf_internal_r+0x180> 2b2e4: a5001014 ori r20,r20,64 2b2e8: 80c00007 ldb r3,0(r16) - 2b2ec: 003d9d06 br 2a964 <_gp+0xfffedaf8> + 2b2ec: 003d9d06 br 2a964 <___vfiprintf_internal_r+0x17c> 2b2f0: da802217 ldw r10,136(sp) 2b2f4: d8001d85 stb zero,118(sp) 2b2f8: 50c00204 addi r3,r10,8 @@ -13870,14 +13849,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b310: d8c02215 stw r3,136(sp) 2b314: a128703a and r20,r20,r4 2b318: 0039883a mov fp,zero - 2b31c: 103f341e bne r2,zero,2aff0 <_gp+0xfffee184> - 2b320: 483e2e26 beq r9,zero,2abdc <_gp+0xfffedd70> + 2b31c: 103f341e bne r2,zero,2aff0 <___vfiprintf_internal_r+0x808> + 2b320: 483e2e26 beq r9,zero,2abdc <___vfiprintf_internal_r+0x3f4> 2b324: 0025883a mov r18,zero 2b328: 94800c04 addi r18,r18,48 2b32c: dc8019c5 stb r18,103(sp) 2b330: dcc02717 ldw r19,156(sp) 2b334: dd4019c4 addi r21,sp,103 - 2b338: 003e2b06 br 2abe8 <_gp+0xfffedd7c> + 2b338: 003e2b06 br 2abe8 <___vfiprintf_internal_r+0x400> 2b33c: 21003fcc andi r4,r4,255 2b340: 2002361e bne r4,zero,2bc1c <___vfiprintf_internal_r+0x1434> 2b344: 1801c126 beq r3,zero,2ba4c <___vfiprintf_internal_r+0x1264> @@ -13886,7 +13865,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b350: d8001d85 stb zero,118(sp) 2b354: 9027883a mov r19,r18 2b358: dd401004 addi r21,sp,64 - 2b35c: 003f1106 br 2afa4 <_gp+0xfffee138> + 2b35c: 003f1106 br 2afa4 <___vfiprintf_internal_r+0x7bc> 2b360: d9402117 ldw r5,132(sp) 2b364: d9002017 ldw r4,128(sp) 2b368: d9801a04 addi r6,sp,104 @@ -13900,12 +13879,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b388: d8801c17 ldw r2,112(sp) 2b38c: d811883a mov r8,sp 2b390: 31400044 addi r5,r6,1 - 2b394: 003e3306 br 2ac64 <_gp+0xfffeddf8> + 2b394: 003e3306 br 2ac64 <___vfiprintf_internal_r+0x47c> 2b398: d9401b17 ldw r5,108(sp) 2b39c: d8801c17 ldw r2,112(sp) 2b3a0: 29000044 addi r4,r5,1 2b3a4: d8c01d87 ldb r3,118(sp) - 2b3a8: 183e4d26 beq r3,zero,2ace0 <_gp+0xfffede74> + 2b3a8: 183e4d26 beq r3,zero,2ace0 <___vfiprintf_internal_r+0x4f8> 2b3ac: 00c00044 movi r3,1 2b3b0: d9401d84 addi r5,sp,118 2b3b4: 10c5883a add r2,r2,r3 @@ -13921,9 +13900,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b3dc: 1809883a mov r4,r3 2b3e0: d811883a mov r8,sp 2b3e4: 00c02004 movi r3,128 - 2b3e8: e0fe4d26 beq fp,r3,2ad20 <_gp+0xfffedeb4> + 2b3e8: e0fe4d26 beq fp,r3,2ad20 <___vfiprintf_internal_r+0x538> 2b3ec: 4cf9c83a sub fp,r9,r19 - 2b3f0: 073e7b0e bge zero,fp,2ade0 <_gp+0xfffedf74> + 2b3f0: 073e7b0e bge zero,fp,2ade0 <___vfiprintf_internal_r+0x5f8> 2b3f4: 01c00404 movi r7,16 2b3f8: 3f01900e bge r7,fp,2ba3c <___vfiprintf_internal_r+0x1254> 2b3fc: 00c000f4 movhi r3,3 @@ -13942,13 +13921,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b430: 41c00115 stw r7,4(r8) 2b434: d8801c15 stw r2,112(sp) 2b438: d8c01b15 stw r3,108(sp) - 2b43c: 68fff40e bge r13,r3,2b410 <_gp+0xfffee5a4> + 2b43c: 68fff40e bge r13,r3,2b410 <___vfiprintf_internal_r+0xc28> 2b440: 1000101e bne r2,zero,2b484 <___vfiprintf_internal_r+0xc9c> 2b444: e73ffc04 addi fp,fp,-16 2b448: 01000044 movi r4,1 2b44c: 000b883a mov r5,zero 2b450: d811883a mov r8,sp - 2b454: 3f3ff316 blt r7,fp,2b424 <_gp+0xfffee5b8> + 2b454: 3f3ff316 blt r7,fp,2b424 <___vfiprintf_internal_r+0xc3c> 2b458: da802417 ldw r10,144(sp) 2b45c: 1705883a add r2,r2,fp 2b460: 47000115 stw fp,4(r8) @@ -13959,7 +13938,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b474: 19003616 blt r3,r4,2b550 <___vfiprintf_internal_r+0xd68> 2b478: 42000204 addi r8,r8,8 2b47c: 21000044 addi r4,r4,1 - 2b480: 003e5706 br 2ade0 <_gp+0xfffedf74> + 2b480: 003e5706 br 2ade0 <___vfiprintf_internal_r+0x5f8> 2b484: d9402117 ldw r5,132(sp) 2b488: d9002017 ldw r4,128(sp) 2b48c: d9801a04 addi r6,sp,104 @@ -13973,7 +13952,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b4ac: d8801c17 ldw r2,112(sp) 2b4b0: d811883a mov r8,sp 2b4b4: 29000044 addi r4,r5,1 - 2b4b8: 003fd806 br 2b41c <_gp+0xfffee5b0> + 2b4b8: 003fd806 br 2b41c <___vfiprintf_internal_r+0xc34> 2b4bc: d9401b17 ldw r5,108(sp) 2b4c0: 00c000f4 movhi r3,3 2b4c4: 18cab704 addi r3,r3,10972 @@ -13987,7 +13966,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b4e4: d9401b15 stw r5,108(sp) 2b4e8: 00c001c4 movi r3,7 2b4ec: 1940070e bge r3,r5,2b50c <___vfiprintf_internal_r+0xd24> - 2b4f0: 103e4826 beq r2,zero,2ae14 <_gp+0xfffedfa8> + 2b4f0: 103e4826 beq r2,zero,2ae14 <___vfiprintf_internal_r+0x62c> 2b4f4: d9402117 ldw r5,132(sp) 2b4f8: d9002017 ldw r4,128(sp) 2b4fc: d9801a04 addi r6,sp,104 @@ -13999,18 +13978,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b514: da802317 ldw r10,140(sp) 2b518: 5455883a add r10,r10,r17 2b51c: da802315 stw r10,140(sp) - 2b520: 103e4126 beq r2,zero,2ae28 <_gp+0xfffedfbc> + 2b520: 103e4126 beq r2,zero,2ae28 <___vfiprintf_internal_r+0x640> 2b524: d9402117 ldw r5,132(sp) 2b528: d9002017 ldw r4,128(sp) 2b52c: d9801a04 addi r6,sp,104 2b530: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b534: 103e3c26 beq r2,zero,2ae28 <_gp+0xfffedfbc> + 2b534: 103e3c26 beq r2,zero,2ae28 <___vfiprintf_internal_r+0x640> 2b538: dd002117 ldw r20,132(sp) 2b53c: a080030b ldhu r2,12(r20) 2b540: 1080100c andi r2,r2,64 2b544: 1001231e bne r2,zero,2b9d4 <___vfiprintf_internal_r+0x11ec> 2b548: d8802317 ldw r2,140(sp) - 2b54c: 003d7b06 br 2ab3c <_gp+0xfffedcd0> + 2b54c: 003d7b06 br 2ab3c <___vfiprintf_internal_r+0x354> 2b550: 1000991e bne r2,zero,2b7b8 <___vfiprintf_internal_r+0xfd0> 2b554: 00c00044 movi r3,1 2b558: 9805883a mov r2,r19 @@ -14021,11 +14000,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b56c: d811883a mov r8,sp 2b570: 42000204 addi r8,r8,8 2b574: a2c0010c andi r11,r20,4 - 2b578: 583fe426 beq r11,zero,2b50c <_gp+0xfffee6a0> + 2b578: 583fe426 beq r11,zero,2b50c <___vfiprintf_internal_r+0xd24> 2b57c: 8ca7c83a sub r19,r17,r18 - 2b580: 04ffe20e bge zero,r19,2b50c <_gp+0xfffee6a0> + 2b580: 04ffe20e bge zero,r19,2b50c <___vfiprintf_internal_r+0xd24> 2b584: 01c00404 movi r7,16 - 2b588: 3cffcc0e bge r7,r19,2b4bc <_gp+0xfffee650> + 2b588: 3cffcc0e bge r7,r19,2b4bc <___vfiprintf_internal_r+0xcd4> 2b58c: 028000f4 movhi r10,3 2b590: 528ab704 addi r10,r10,10972 2b594: d9001b17 ldw r4,108(sp) @@ -14038,29 +14017,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b5b0: 42000204 addi r8,r8,8 2b5b4: 1809883a mov r4,r3 2b5b8: 9cfffc04 addi r19,r19,-16 - 2b5bc: acffc40e bge r21,r19,2b4d0 <_gp+0xfffee664> + 2b5bc: acffc40e bge r21,r19,2b4d0 <___vfiprintf_internal_r+0xce8> 2b5c0: 10800404 addi r2,r2,16 2b5c4: 20c00044 addi r3,r4,1 2b5c8: 45800015 stw r22,0(r8) 2b5cc: 45400115 stw r21,4(r8) 2b5d0: d8801c15 stw r2,112(sp) 2b5d4: d8c01b15 stw r3,108(sp) - 2b5d8: a0fff40e bge r20,r3,2b5ac <_gp+0xfffee740> + 2b5d8: a0fff40e bge r20,r3,2b5ac <___vfiprintf_internal_r+0xdc4> 2b5dc: 1000041e bne r2,zero,2b5f0 <___vfiprintf_internal_r+0xe08> 2b5e0: 01400044 movi r5,1 2b5e4: 0009883a mov r4,zero 2b5e8: d811883a mov r8,sp - 2b5ec: 003ff206 br 2b5b8 <_gp+0xfffee74c> + 2b5ec: 003ff206 br 2b5b8 <___vfiprintf_internal_r+0xdd0> 2b5f0: d9402117 ldw r5,132(sp) 2b5f4: d9801a04 addi r6,sp,104 2b5f8: e009883a mov r4,fp 2b5fc: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b600: 103fcd1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b600: 103fcd1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b604: d9001b17 ldw r4,108(sp) 2b608: d8801c17 ldw r2,112(sp) 2b60c: d811883a mov r8,sp 2b610: 21400044 addi r5,r4,1 - 2b614: 003fe806 br 2b5b8 <_gp+0xfffee74c> + 2b614: 003fe806 br 2b5b8 <___vfiprintf_internal_r+0xdd0> 2b618: d9402117 ldw r5,132(sp) 2b61c: d9002017 ldw r4,128(sp) 2b620: d9801a04 addi r6,sp,104 @@ -14069,12 +14048,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b62c: 002a6d40 call 2a6d4 <__sprint_r.part.0> 2b630: d9c02b17 ldw r7,172(sp) 2b634: db402a17 ldw r13,168(sp) - 2b638: 103fbf1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b638: 103fbf1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b63c: d9401b17 ldw r5,108(sp) 2b640: d8801c17 ldw r2,112(sp) 2b644: d811883a mov r8,sp 2b648: 29800044 addi r6,r5,1 - 2b64c: 003dc406 br 2ad60 <_gp+0xfffedef4> + 2b64c: 003dc406 br 2ad60 <___vfiprintf_internal_r+0x578> 2b650: 1000d21e bne r2,zero,2b99c <___vfiprintf_internal_r+0x11b4> 2b654: d8c01d87 ldb r3,118(sp) 2b658: 18009526 beq r3,zero,2b8b0 <___vfiprintf_internal_r+0x10c8> @@ -14087,7 +14066,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b674: 200b883a mov r5,r4 2b678: 42000204 addi r8,r8,8 2b67c: 21000044 addi r4,r4,1 - 2b680: 003d9706 br 2ace0 <_gp+0xfffede74> + 2b680: 003d9706 br 2ace0 <___vfiprintf_internal_r+0x4f8> 2b684: d9001d04 addi r4,sp,116 2b688: 00800084 movi r2,2 2b68c: d9000015 stw r4,0(sp) @@ -14097,13 +14076,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b69c: 200b883a mov r5,r4 2b6a0: 42000204 addi r8,r8,8 2b6a4: 21000044 addi r4,r4,1 - 2b6a8: 003f4e06 br 2b3e4 <_gp+0xfffee578> + 2b6a8: 003f4e06 br 2b3e4 <___vfiprintf_internal_r+0xbfc> 2b6ac: d8001d85 stb zero,118(sp) 2b6b0: 48005016 blt r9,zero,2b7f4 <___vfiprintf_internal_r+0x100c> 2b6b4: 00ffdfc4 movi r3,-129 2b6b8: 94c4b03a or r2,r18,r19 2b6bc: a0e8703a and r20,r20,r3 - 2b6c0: 103d4426 beq r2,zero,2abd4 <_gp+0xfffedd68> + 2b6c0: 103d4426 beq r2,zero,2abd4 <___vfiprintf_internal_r+0x3ec> 2b6c4: 0039883a mov fp,zero 2b6c8: d9002617 ldw r4,152(sp) 2b6cc: dd401a04 addi r21,sp,104 @@ -14117,23 +14096,23 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b6ec: 1ca4b03a or r18,r3,r18 2b6f0: a8800005 stb r2,0(r21) 2b6f4: 94c4b03a or r2,r18,r19 - 2b6f8: 103ff51e bne r2,zero,2b6d0 <_gp+0xfffee864> - 2b6fc: 003e5906 br 2b064 <_gp+0xfffee1f8> + 2b6f8: 103ff51e bne r2,zero,2b6d0 <___vfiprintf_internal_r+0xee8> + 2b6fc: 003e5906 br 2b064 <___vfiprintf_internal_r+0x87c> 2b700: d9402117 ldw r5,132(sp) 2b704: d9002017 ldw r4,128(sp) 2b708: d9801a04 addi r6,sp,104 2b70c: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b710: 103f891e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b710: 103f891e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b714: d8801c17 ldw r2,112(sp) 2b718: d811883a mov r8,sp - 2b71c: 003f9506 br 2b574 <_gp+0xfffee708> + 2b71c: 003f9506 br 2b574 <___vfiprintf_internal_r+0xd8c> 2b720: d9402117 ldw r5,132(sp) 2b724: d9002017 ldw r4,128(sp) 2b728: d9801a04 addi r6,sp,104 2b72c: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b730: 103f811e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b730: 103f811e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b734: d811883a mov r8,sp - 2b738: 003ced06 br 2aaf0 <_gp+0xfffedc84> + 2b738: 003ced06 br 2aaf0 <___vfiprintf_internal_r+0x308> 2b73c: d9402117 ldw r5,132(sp) 2b740: d9002017 ldw r4,128(sp) 2b744: d9801a04 addi r6,sp,104 @@ -14142,63 +14121,63 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b750: 002a6d40 call 2a6d4 <__sprint_r.part.0> 2b754: da402c17 ldw r9,176(sp) 2b758: db802a17 ldw r14,168(sp) - 2b75c: 103f761e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b75c: 103f761e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b760: d9401b17 ldw r5,108(sp) 2b764: d8801c17 ldw r2,112(sp) 2b768: d811883a mov r8,sp 2b76c: 29000044 addi r4,r5,1 - 2b770: 003d5b06 br 2ace0 <_gp+0xfffede74> + 2b770: 003d5b06 br 2ace0 <___vfiprintf_internal_r+0x4f8> 2b774: d9402117 ldw r5,132(sp) 2b778: d9002017 ldw r4,128(sp) 2b77c: d9801a04 addi r6,sp,104 2b780: da402c15 stw r9,176(sp) 2b784: 002a6d40 call 2a6d4 <__sprint_r.part.0> 2b788: da402c17 ldw r9,176(sp) - 2b78c: 103f6a1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b78c: 103f6a1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b790: d9401b17 ldw r5,108(sp) 2b794: d8801c17 ldw r2,112(sp) 2b798: d811883a mov r8,sp 2b79c: 29000044 addi r4,r5,1 - 2b7a0: 003f1006 br 2b3e4 <_gp+0xfffee578> + 2b7a0: 003f1006 br 2b3e4 <___vfiprintf_internal_r+0xbfc> 2b7a4: 1000c31e bne r2,zero,2bab4 <___vfiprintf_internal_r+0x12cc> 2b7a8: 01000044 movi r4,1 2b7ac: 000b883a mov r5,zero 2b7b0: d811883a mov r8,sp - 2b7b4: 003f0d06 br 2b3ec <_gp+0xfffee580> + 2b7b4: 003f0d06 br 2b3ec <___vfiprintf_internal_r+0xc04> 2b7b8: d9402117 ldw r5,132(sp) 2b7bc: d9002017 ldw r4,128(sp) 2b7c0: d9801a04 addi r6,sp,104 2b7c4: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b7c8: 103f5b1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b7c8: 103f5b1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b7cc: d9001b17 ldw r4,108(sp) 2b7d0: d8801c17 ldw r2,112(sp) 2b7d4: d811883a mov r8,sp 2b7d8: 21000044 addi r4,r4,1 - 2b7dc: 003d8006 br 2ade0 <_gp+0xfffedf74> + 2b7dc: 003d8006 br 2ade0 <___vfiprintf_internal_r+0x5f8> 2b7e0: 010000f4 movhi r4,3 2b7e4: 210a5304 addi r4,r4,10572 2b7e8: d9002615 stw r4,152(sp) 2b7ec: d8c02215 stw r3,136(sp) 2b7f0: 1029883a mov r20,r2 2b7f4: 94c4b03a or r2,r18,r19 - 2b7f8: 103fb21e bne r2,zero,2b6c4 <_gp+0xfffee858> + 2b7f8: 103fb21e bne r2,zero,2b6c4 <___vfiprintf_internal_r+0xedc> 2b7fc: 0039883a mov fp,zero 2b800: 00800084 movi r2,2 - 2b804: 003e6b06 br 2b1b4 <_gp+0xfffee348> + 2b804: 003e6b06 br 2b1b4 <___vfiprintf_internal_r+0x9cc> 2b808: da802217 ldw r10,136(sp) 2b80c: d8001d85 stb zero,118(sp) 2b810: 0027883a mov r19,zero 2b814: 50800104 addi r2,r10,4 2b818: 54800017 ldw r18,0(r10) - 2b81c: 483e6016 blt r9,zero,2b1a0 <_gp+0xfffee334> + 2b81c: 483e6016 blt r9,zero,2b1a0 <___vfiprintf_internal_r+0x9b8> 2b820: 00ffdfc4 movi r3,-129 2b824: d8802215 stw r2,136(sp) 2b828: a0e8703a and r20,r20,r3 2b82c: 0039883a mov fp,zero - 2b830: 903ebb26 beq r18,zero,2b320 <_gp+0xfffee4b4> + 2b830: 903ebb26 beq r18,zero,2b320 <___vfiprintf_internal_r+0xb38> 2b834: 00800244 movi r2,9 - 2b838: 14bdee36 bltu r2,r18,2aff4 <_gp+0xfffee188> - 2b83c: 003eba06 br 2b328 <_gp+0xfffee4bc> + 2b838: 14bdee36 bltu r2,r18,2aff4 <___vfiprintf_internal_r+0x80c> + 2b83c: 003eba06 br 2b328 <___vfiprintf_internal_r+0xb40> 2b840: 00800c04 movi r2,48 2b844: d8c01d45 stb r3,117(sp) 2b848: d8801d05 stb r2,116(sp) @@ -14209,14 +14188,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b85c: a096703a and r11,r20,r2 2b860: 5d000094 ori r20,r11,2 2b864: 0039883a mov fp,zero - 2b868: 003f9706 br 2b6c8 <_gp+0xfffee85c> + 2b868: 003f9706 br 2b6c8 <___vfiprintf_internal_r+0xee0> 2b86c: 8025883a mov r18,r16 - 2b870: 003c2e06 br 2a92c <_gp+0xfffedac0> + 2b870: 003c2e06 br 2a92c <___vfiprintf_internal_r+0x144> 2b874: 008000f4 movhi r2,3 2b878: 108a5304 addi r2,r2,10572 2b87c: 0039883a mov fp,zero 2b880: d8802615 stw r2,152(sp) - 2b884: 003f9006 br 2b6c8 <_gp+0xfffee85c> + 2b884: 003f9006 br 2b6c8 <___vfiprintf_internal_r+0xee0> 2b888: 04a5c83a sub r18,zero,r18 2b88c: 07000b44 movi fp,45 2b890: 9004c03a cmpne r2,r18,zero @@ -14226,7 +14205,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b8a0: 48009f16 blt r9,zero,2bb20 <___vfiprintf_internal_r+0x1338> 2b8a4: 00bfdfc4 movi r2,-129 2b8a8: a0a8703a and r20,r20,r2 - 2b8ac: 003dd006 br 2aff0 <_gp+0xfffee184> + 2b8ac: 003dd006 br 2aff0 <___vfiprintf_internal_r+0x808> 2b8b0: 70004c26 beq r14,zero,2b9e4 <___vfiprintf_internal_r+0x11fc> 2b8b4: 00800084 movi r2,2 2b8b8: d8c01d04 addi r3,sp,116 @@ -14234,16 +14213,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b8c0: d8800115 stw r2,4(sp) 2b8c4: 01000044 movi r4,1 2b8c8: d811883a mov r8,sp - 2b8cc: 003f7306 br 2b69c <_gp+0xfffee830> + 2b8cc: 003f7306 br 2b69c <___vfiprintf_internal_r+0xeb4> 2b8d0: a080100c andi r2,r20,64 2b8d4: da802217 ldw r10,136(sp) - 2b8d8: 103e0626 beq r2,zero,2b0f4 <_gp+0xfffee288> + 2b8d8: 103e0626 beq r2,zero,2b0f4 <___vfiprintf_internal_r+0x90c> 2b8dc: 5480000f ldh r18,0(r10) 2b8e0: 52800104 addi r10,r10,4 2b8e4: da802215 stw r10,136(sp) 2b8e8: 9027d7fa srai r19,r18,31 2b8ec: 9805883a mov r2,r19 - 2b8f0: 003db806 br 2afd4 <_gp+0xfffee168> + 2b8f0: 003db806 br 2afd4 <___vfiprintf_internal_r+0x7ec> 2b8f4: a080040c andi r2,r20,16 2b8f8: 1000091e bne r2,zero,2b920 <___vfiprintf_internal_r+0x1138> 2b8fc: a2c0100c andi r11,r20,64 @@ -14254,14 +14233,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b910: da802215 stw r10,136(sp) 2b914: da802317 ldw r10,140(sp) 2b918: 1280000d sth r10,0(r2) - 2b91c: 003be706 br 2a8bc <_gp+0xfffeda50> + 2b91c: 003be706 br 2a8bc <___vfiprintf_internal_r+0xd4> 2b920: da802217 ldw r10,136(sp) 2b924: 50800017 ldw r2,0(r10) 2b928: 52800104 addi r10,r10,4 2b92c: da802215 stw r10,136(sp) 2b930: da802317 ldw r10,140(sp) 2b934: 12800015 stw r10,0(r2) - 2b938: 003be006 br 2a8bc <_gp+0xfffeda50> + 2b938: 003be006 br 2a8bc <___vfiprintf_internal_r+0xd4> 2b93c: a080100c andi r2,r20,64 2b940: da802217 ldw r10,136(sp) 2b944: 10003026 beq r2,zero,2ba08 <___vfiprintf_internal_r+0x1220> @@ -14269,9 +14248,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b94c: 52800104 addi r10,r10,4 2b950: 0027883a mov r19,zero 2b954: da802215 stw r10,136(sp) - 2b958: 003d8006 br 2af5c <_gp+0xfffee0f0> + 2b958: 003d8006 br 2af5c <___vfiprintf_internal_r+0x774> 2b95c: 80c00007 ldb r3,0(r16) - 2b960: 003c0006 br 2a964 <_gp+0xfffedaf8> + 2b960: 003c0006 br 2a964 <___vfiprintf_internal_r+0x17c> 2b964: a080100c andi r2,r20,64 2b968: d8001d85 stb zero,118(sp) 2b96c: da802217 ldw r10,136(sp) @@ -14279,13 +14258,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b974: 50800104 addi r2,r10,4 2b978: 54800017 ldw r18,0(r10) 2b97c: 0027883a mov r19,zero - 2b980: 483def0e bge r9,zero,2b140 <_gp+0xfffee2d4> + 2b980: 483def0e bge r9,zero,2b140 <___vfiprintf_internal_r+0x958> 2b984: 94c6b03a or r3,r18,r19 2b988: d8802215 stw r2,136(sp) - 2b98c: 183d4e1e bne r3,zero,2aec8 <_gp+0xfffee05c> + 2b98c: 183d4e1e bne r3,zero,2aec8 <___vfiprintf_internal_r+0x6e0> 2b990: 0039883a mov fp,zero 2b994: 0005883a mov r2,zero - 2b998: 003e0606 br 2b1b4 <_gp+0xfffee348> + 2b998: 003e0606 br 2b1b4 <___vfiprintf_internal_r+0x9cc> 2b99c: d9402117 ldw r5,132(sp) 2b9a0: d9002017 ldw r4,128(sp) 2b9a4: d9801a04 addi r6,sp,104 @@ -14294,57 +14273,57 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2b9b0: 002a6d40 call 2a6d4 <__sprint_r.part.0> 2b9b4: da402c17 ldw r9,176(sp) 2b9b8: db802a17 ldw r14,168(sp) - 2b9bc: 103ede1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b9bc: 103ede1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2b9c0: d9401b17 ldw r5,108(sp) 2b9c4: d8801c17 ldw r2,112(sp) 2b9c8: d811883a mov r8,sp 2b9cc: 29000044 addi r4,r5,1 - 2b9d0: 003e7406 br 2b3a4 <_gp+0xfffee538> + 2b9d0: 003e7406 br 2b3a4 <___vfiprintf_internal_r+0xbbc> 2b9d4: 00bfffc4 movi r2,-1 - 2b9d8: 003c5806 br 2ab3c <_gp+0xfffedcd0> + 2b9d8: 003c5806 br 2ab3c <___vfiprintf_internal_r+0x354> 2b9dc: d811883a mov r8,sp - 2b9e0: 003ee806 br 2b584 <_gp+0xfffee718> + 2b9e0: 003ee806 br 2b584 <___vfiprintf_internal_r+0xd9c> 2b9e4: 000b883a mov r5,zero 2b9e8: 01000044 movi r4,1 2b9ec: d811883a mov r8,sp - 2b9f0: 003e7c06 br 2b3e4 <_gp+0xfffee578> + 2b9f0: 003e7c06 br 2b3e4 <___vfiprintf_internal_r+0xbfc> 2b9f4: 50800104 addi r2,r10,4 2b9f8: 5480000b ldhu r18,0(r10) 2b9fc: 0027883a mov r19,zero - 2ba00: 483dcf0e bge r9,zero,2b140 <_gp+0xfffee2d4> - 2ba04: 003fdf06 br 2b984 <_gp+0xfffeeb18> + 2ba00: 483dcf0e bge r9,zero,2b140 <___vfiprintf_internal_r+0x958> + 2ba04: 003fdf06 br 2b984 <___vfiprintf_internal_r+0x119c> 2ba08: 54800017 ldw r18,0(r10) 2ba0c: 52800104 addi r10,r10,4 2ba10: 0027883a mov r19,zero 2ba14: da802215 stw r10,136(sp) - 2ba18: 003d5006 br 2af5c <_gp+0xfffee0f0> + 2ba18: 003d5006 br 2af5c <___vfiprintf_internal_r+0x774> 2ba1c: 50800104 addi r2,r10,4 2ba20: 5480000b ldhu r18,0(r10) 2ba24: 0027883a mov r19,zero - 2ba28: 483f7d0e bge r9,zero,2b820 <_gp+0xfffee9b4> - 2ba2c: 003ddc06 br 2b1a0 <_gp+0xfffee334> + 2ba28: 483f7d0e bge r9,zero,2b820 <___vfiprintf_internal_r+0x1038> + 2ba2c: 003ddc06 br 2b1a0 <___vfiprintf_internal_r+0x9b8> 2ba30: d8c02215 stw r3,136(sp) 2ba34: 0039883a mov fp,zero - 2ba38: 003ddb06 br 2b1a8 <_gp+0xfffee33c> + 2ba38: 003ddb06 br 2b1a8 <___vfiprintf_internal_r+0x9c0> 2ba3c: 028000f4 movhi r10,3 2ba40: 528ab304 addi r10,r10,10956 2ba44: da802415 stw r10,144(sp) - 2ba48: 003e8306 br 2b458 <_gp+0xfffee5ec> + 2ba48: 003e8306 br 2b458 <___vfiprintf_internal_r+0xc70> 2ba4c: d8801c17 ldw r2,112(sp) 2ba50: dd002117 ldw r20,132(sp) - 2ba54: 103eb926 beq r2,zero,2b53c <_gp+0xfffee6d0> + 2ba54: 103eb926 beq r2,zero,2b53c <___vfiprintf_internal_r+0xd54> 2ba58: d9002017 ldw r4,128(sp) 2ba5c: d9801a04 addi r6,sp,104 2ba60: a00b883a mov r5,r20 2ba64: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2ba68: 003eb406 br 2b53c <_gp+0xfffee6d0> + 2ba68: 003eb406 br 2b53c <___vfiprintf_internal_r+0xd54> 2ba6c: 80c00043 ldbu r3,1(r16) 2ba70: a5000814 ori r20,r20,32 2ba74: 84000044 addi r16,r16,1 2ba78: 18c03fcc andi r3,r3,255 2ba7c: 18c0201c xori r3,r3,128 2ba80: 18ffe004 addi r3,r3,-128 - 2ba84: 003bb706 br 2a964 <_gp+0xfffedaf8> + 2ba84: 003bb706 br 2a964 <___vfiprintf_internal_r+0x17c> 2ba88: a809883a mov r4,r21 2ba8c: d8c02a15 stw r3,168(sp) 2ba90: da002b15 stw r8,172(sp) @@ -14355,25 +14334,25 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2baa4: d8c02215 stw r3,136(sp) 2baa8: 0013883a mov r9,zero 2baac: da002b17 ldw r8,172(sp) - 2bab0: 003c4d06 br 2abe8 <_gp+0xfffedd7c> + 2bab0: 003c4d06 br 2abe8 <___vfiprintf_internal_r+0x400> 2bab4: d9402117 ldw r5,132(sp) 2bab8: d9002017 ldw r4,128(sp) 2babc: d9801a04 addi r6,sp,104 2bac0: da402c15 stw r9,176(sp) 2bac4: 002a6d40 call 2a6d4 <__sprint_r.part.0> 2bac8: da402c17 ldw r9,176(sp) - 2bacc: 103e9a1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2bacc: 103e9a1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> 2bad0: d9401b17 ldw r5,108(sp) 2bad4: d8801c17 ldw r2,112(sp) 2bad8: d811883a mov r8,sp 2badc: 29000044 addi r4,r5,1 - 2bae0: 003e4206 br 2b3ec <_gp+0xfffee580> + 2bae0: 003e4206 br 2b3ec <___vfiprintf_internal_r+0xc04> 2bae4: d9401b17 ldw r5,108(sp) 2bae8: 010000f4 movhi r4,3 2baec: 210ab704 addi r4,r4,10972 2baf0: d9002415 stw r4,144(sp) 2baf4: 29400044 addi r5,r5,1 - 2baf8: 003c6d06 br 2acb0 <_gp+0xfffede44> + 2baf8: 003c6d06 br 2acb0 <___vfiprintf_internal_r+0x4c8> 2bafc: 0039883a mov fp,zero 2bb00: 00800084 movi r2,2 2bb04: 10803fcc andi r2,r2,255 @@ -14382,10 +14361,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bb10: 01000084 movi r4,2 2bb14: 11001e1e bne r2,r4,2bb90 <___vfiprintf_internal_r+0x13a8> 2bb18: 1829883a mov r20,r3 - 2bb1c: 003eea06 br 2b6c8 <_gp+0xfffee85c> + 2bb1c: 003eea06 br 2b6c8 <___vfiprintf_internal_r+0xee0> 2bb20: a007883a mov r3,r20 2bb24: 00800044 movi r2,1 - 2bb28: 003ff606 br 2bb04 <_gp+0xfffeec98> + 2bb28: 003ff606 br 2bb04 <___vfiprintf_internal_r+0x131c> 2bb2c: 00800184 movi r2,6 2bb30: 1240012e bgeu r2,r9,2bb38 <___vfiprintf_internal_r+0x1350> 2bb34: 1013883a mov r9,r2 @@ -14395,46 +14374,46 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bb44: 054000f4 movhi r21,3 2bb48: d8c02215 stw r3,136(sp) 2bb4c: ad4a5804 addi r21,r21,10592 - 2bb50: 003d1406 br 2afa4 <_gp+0xfffee138> + 2bb50: 003d1406 br 2afa4 <___vfiprintf_internal_r+0x7bc> 2bb54: 028000f4 movhi r10,3 2bb58: 528ab304 addi r10,r10,10956 2bb5c: da802415 stw r10,144(sp) 2bb60: 200d883a mov r6,r4 - 2bb64: 003c9106 br 2adac <_gp+0xfffedf40> + 2bb64: 003c9106 br 2adac <___vfiprintf_internal_r+0x5c4> 2bb68: 5021883a mov r16,r10 2bb6c: 0013883a mov r9,zero - 2bb70: 003b7d06 br 2a968 <_gp+0xfffedafc> + 2bb70: 003b7d06 br 2a968 <___vfiprintf_internal_r+0x180> 2bb74: 4827883a mov r19,r9 2bb78: df001d83 ldbu fp,118(sp) 2bb7c: d8c02215 stw r3,136(sp) 2bb80: 0013883a mov r9,zero - 2bb84: 003c1806 br 2abe8 <_gp+0xfffedd7c> + 2bb84: 003c1806 br 2abe8 <___vfiprintf_internal_r+0x400> 2bb88: 1829883a mov r20,r3 - 2bb8c: 003d1806 br 2aff0 <_gp+0xfffee184> + 2bb8c: 003d1806 br 2aff0 <___vfiprintf_internal_r+0x808> 2bb90: 1829883a mov r20,r3 - 2bb94: 003ccd06 br 2aecc <_gp+0xfffee060> + 2bb94: 003ccd06 br 2aecc <___vfiprintf_internal_r+0x6e4> 2bb98: 0025883a mov r18,zero - 2bb9c: 003fe906 br 2bb44 <_gp+0xfffeecd8> + 2bb9c: 003fe906 br 2bb44 <___vfiprintf_internal_r+0x135c> 2bba0: d8802217 ldw r2,136(sp) 2bba4: 80c00043 ldbu r3,1(r16) 2bba8: 5021883a mov r16,r10 2bbac: 12400017 ldw r9,0(r2) 2bbb0: 10800104 addi r2,r2,4 2bbb4: d8802215 stw r2,136(sp) - 2bbb8: 483faf0e bge r9,zero,2ba78 <_gp+0xfffeec0c> + 2bbb8: 483faf0e bge r9,zero,2ba78 <___vfiprintf_internal_r+0x1290> 2bbbc: 18c03fcc andi r3,r3,255 2bbc0: 18c0201c xori r3,r3,128 2bbc4: 027fffc4 movi r9,-1 2bbc8: 18ffe004 addi r3,r3,-128 - 2bbcc: 003b6506 br 2a964 <_gp+0xfffedaf8> + 2bbcc: 003b6506 br 2a964 <___vfiprintf_internal_r+0x17c> 2bbd0: d9c01d85 stb r7,118(sp) - 2bbd4: 003ca006 br 2ae58 <_gp+0xfffedfec> + 2bbd4: 003ca006 br 2ae58 <___vfiprintf_internal_r+0x670> 2bbd8: d9c01d85 stb r7,118(sp) - 2bbdc: 003cad06 br 2ae94 <_gp+0xfffee028> + 2bbdc: 003cad06 br 2ae94 <___vfiprintf_internal_r+0x6ac> 2bbe0: d9c01d85 stb r7,118(sp) - 2bbe4: 003d7d06 br 2b1dc <_gp+0xfffee370> + 2bbe4: 003d7d06 br 2b1dc <___vfiprintf_internal_r+0x9f4> 2bbe8: d9c01d85 stb r7,118(sp) - 2bbec: 003d5f06 br 2b16c <_gp+0xfffee300> + 2bbec: 003d5f06 br 2b16c <___vfiprintf_internal_r+0x984> 2bbf0: a080004c andi r2,r20,1 2bbf4: 0039883a mov fp,zero 2bbf8: 10000526 beq r2,zero,2bc10 <___vfiprintf_internal_r+0x1428> @@ -14442,20 +14421,20 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bc00: d88019c5 stb r2,103(sp) 2bc04: dcc02717 ldw r19,156(sp) 2bc08: dd4019c4 addi r21,sp,103 - 2bc0c: 003bf606 br 2abe8 <_gp+0xfffedd7c> + 2bc0c: 003bf606 br 2abe8 <___vfiprintf_internal_r+0x400> 2bc10: 0027883a mov r19,zero 2bc14: dd401a04 addi r21,sp,104 - 2bc18: 003bf306 br 2abe8 <_gp+0xfffedd7c> + 2bc18: 003bf306 br 2abe8 <___vfiprintf_internal_r+0x400> 2bc1c: d9c01d85 stb r7,118(sp) - 2bc20: 003dc806 br 2b344 <_gp+0xfffee4d8> + 2bc20: 003dc806 br 2b344 <___vfiprintf_internal_r+0xb5c> 2bc24: d9c01d85 stb r7,118(sp) - 2bc28: 003d3a06 br 2b114 <_gp+0xfffee2a8> + 2bc28: 003d3a06 br 2b114 <___vfiprintf_internal_r+0x92c> 2bc2c: d9c01d85 stb r7,118(sp) - 2bc30: 003d2a06 br 2b0dc <_gp+0xfffee270> + 2bc30: 003d2a06 br 2b0dc <___vfiprintf_internal_r+0x8f4> 2bc34: d9c01d85 stb r7,118(sp) - 2bc38: 003cde06 br 2afb4 <_gp+0xfffee148> + 2bc38: 003cde06 br 2afb4 <___vfiprintf_internal_r+0x7cc> 2bc3c: d9c01d85 stb r7,118(sp) - 2bc40: 003cbc06 br 2af34 <_gp+0xfffee0c8> + 2bc40: 003cbc06 br 2af34 <___vfiprintf_internal_r+0x74c> 0002bc44 <__vfiprintf_internal>: 2bc44: 008000f4 movhi r2,3 @@ -14513,7 +14492,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bd0c: dec11e04 addi sp,sp,1144 2bd10: f800283a ret 2bd14: 047fffc4 movi r17,-1 - 2bd18: 003ff106 br 2bce0 <_gp+0xfffeee74> + 2bd18: 003ff106 br 2bce0 <__sbprintf+0x80> 0002bd1c <__swbuf_r>: 2bd1c: defffb04 addi sp,sp,-20 @@ -14573,29 +14552,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bdf4: 8100030d sth r4,12(r16) 2bdf8: 81000517 ldw r4,20(r16) 2bdfc: 10c7c83a sub r3,r2,r3 - 2be00: 193fe016 blt r3,r4,2bd84 <_gp+0xfffeef18> + 2be00: 193fe016 blt r3,r4,2bd84 <__swbuf_r+0x68> 2be04: 800b883a mov r5,r16 2be08: 9009883a mov r4,r18 2be0c: 00276cc0 call 276cc <_fflush_r> 2be10: 1000071e bne r2,zero,2be30 <__swbuf_r+0x114> 2be14: 80800017 ldw r2,0(r16) 2be18: 00c00044 movi r3,1 - 2be1c: 003fda06 br 2bd88 <_gp+0xfffeef1c> + 2be1c: 003fda06 br 2bd88 <__swbuf_r+0x6c> 2be20: 800b883a mov r5,r16 2be24: 9009883a mov r4,r18 2be28: 00276cc0 call 276cc <_fflush_r> - 2be2c: 103fe326 beq r2,zero,2bdbc <_gp+0xfffeef50> + 2be2c: 103fe326 beq r2,zero,2bdbc <__swbuf_r+0xa0> 2be30: 00bfffc4 movi r2,-1 - 2be34: 003fe206 br 2bdc0 <_gp+0xfffeef54> + 2be34: 003fe206 br 2bdc0 <__swbuf_r+0xa4> 2be38: 800b883a mov r5,r16 2be3c: 9009883a mov r4,r18 2be40: 0025ad40 call 25ad4 <__swsetup_r> - 2be44: 103ffa1e bne r2,zero,2be30 <_gp+0xfffeefc4> + 2be44: 103ffa1e bne r2,zero,2be30 <__swbuf_r+0x114> 2be48: 8100030b ldhu r4,12(r16) 2be4c: 80c00417 ldw r3,16(r16) - 2be50: 003fc506 br 2bd68 <_gp+0xfffeeefc> + 2be50: 003fc506 br 2bd68 <__swbuf_r+0x4c> 2be54: 0027aa80 call 27aa8 <__sinit> - 2be58: 003fbc06 br 2bd4c <_gp+0xfffeeee0> + 2be58: 003fbc06 br 2bd4c <__swbuf_r+0x30> 0002be5c <__swbuf>: 2be5c: 008000f4 movhi r2,3 @@ -14627,9 +14606,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bebc: dec00304 addi sp,sp,12 2bec0: f800283a ret 2bec4: 80c00017 ldw r3,0(r16) - 2bec8: 183ff926 beq r3,zero,2beb0 <_gp+0xfffef044> + 2bec8: 183ff926 beq r3,zero,2beb0 <_write_r+0x3c> 2becc: 88c00015 stw r3,0(r17) - 2bed0: 003ff706 br 2beb0 <_gp+0xfffef044> + 2bed0: 003ff706 br 2beb0 <_write_r+0x3c> 0002bed4 <_close_r>: 2bed4: defffd04 addi sp,sp,-12 @@ -14650,9 +14629,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bf10: dec00304 addi sp,sp,12 2bf14: f800283a ret 2bf18: 80c00017 ldw r3,0(r16) - 2bf1c: 183ff926 beq r3,zero,2bf04 <_gp+0xfffef098> + 2bf1c: 183ff926 beq r3,zero,2bf04 <_close_r+0x30> 2bf20: 88c00015 stw r3,0(r17) - 2bf24: 003ff706 br 2bf04 <_gp+0xfffef098> + 2bf24: 003ff706 br 2bf04 <_close_r+0x30> 0002bf28 <_calloc_r>: 2bf28: 298b383a mul r5,r5,r6 @@ -14699,11 +14678,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2bfcc: dec00204 addi sp,sp,8 2bfd0: f800283a ret 2bfd4: 80800404 addi r2,r16,16 - 2bfd8: 003fee06 br 2bf94 <_gp+0xfffef128> + 2bfd8: 003fee06 br 2bf94 <_calloc_r+0x6c> 2bfdc: 80800204 addi r2,r16,8 - 2bfe0: 003fec06 br 2bf94 <_gp+0xfffef128> + 2bfe0: 003fec06 br 2bf94 <_calloc_r+0x6c> 2bfe4: 0005883a mov r2,zero - 2bfe8: 003fee06 br 2bfa4 <_gp+0xfffef138> + 2bfe8: 003fee06 br 2bfa4 <_calloc_r+0x7c> 0002bfec <_fclose_r>: 2bfec: 28003926 beq r5,zero,2c0d4 <_fclose_r+0xe8> @@ -14755,15 +14734,15 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c0a4: 8000030d sth zero,12(r16) 2c0a8: 0027abc0 call 27abc <__sfp_lock_release> 2c0ac: 9005883a mov r2,r18 - 2c0b0: 003fdc06 br 2c024 <_gp+0xfffef1b8> + 2c0b0: 003fdc06 br 2c024 <_fclose_r+0x38> 2c0b4: 0027aa80 call 27aa8 <__sinit> - 2c0b8: 003fd706 br 2c018 <_gp+0xfffef1ac> + 2c0b8: 003fd706 br 2c018 <_fclose_r+0x2c> 2c0bc: 04bfffc4 movi r18,-1 - 2c0c0: 003fe806 br 2c064 <_gp+0xfffef1f8> + 2c0c0: 003fe806 br 2c064 <_fclose_r+0x78> 2c0c4: 81400417 ldw r5,16(r16) 2c0c8: 8809883a mov r4,r17 2c0cc: 0027c1c0 call 27c1c <_free_r> - 2c0d0: 003fe706 br 2c070 <_gp+0xfffef204> + 2c0d0: 003fe706 br 2c070 <_fclose_r+0x84> 2c0d4: 0005883a mov r2,zero 2c0d8: f800283a ret @@ -14814,7 +14793,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c180: 80c00217 ldw r3,8(r16) 2c184: 18ffffc4 addi r3,r3,-1 2c188: 80c00215 stw r3,8(r16) - 2c18c: 183ff30e bge r3,zero,2c15c <_gp+0xfffef2f0> + 2c18c: 183ff30e bge r3,zero,2c15c <__fputwc+0x6c> 2c190: 80800617 ldw r2,24(r16) 2c194: 18801916 blt r3,r2,2c1fc <__fputwc+0x10c> 2c198: 80800017 ldw r2,0(r16) @@ -14826,7 +14805,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c1b0: 80800015 stw r2,0(r16) 2c1b4: 8c400044 addi r17,r17,1 2c1b8: dc45883a add r2,sp,r17 - 2c1bc: 8d3fef36 bltu r17,r20,2c17c <_gp+0xfffef310> + 2c1bc: 8d3fef36 bltu r17,r20,2c17c <__fputwc+0x8c> 2c1c0: 9005883a mov r2,r18 2c1c4: 00000406 br 2c1d8 <__fputwc+0xe8> 2c1c8: 80c0030b ldhu r3,12(r16) @@ -14848,22 +14827,22 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c208: 002bd1c0 call 2bd1c <__swbuf_r> 2c20c: 10bfffe0 cmpeqi r2,r2,-1 2c210: 10803fcc andi r2,r2,255 - 2c214: 103fd626 beq r2,zero,2c170 <_gp+0xfffef304> + 2c214: 103fd626 beq r2,zero,2c170 <__fputwc+0x80> 2c218: 00bfffc4 movi r2,-1 - 2c21c: 003fee06 br 2c1d8 <_gp+0xfffef36c> + 2c21c: 003fee06 br 2c1d8 <__fputwc+0xe8> 2c220: 90ffffc4 addi r3,r18,-1 2c224: 01003f84 movi r4,254 - 2c228: 20ffbf36 bltu r4,r3,2c128 <_gp+0xfffef2bc> + 2c228: 20ffbf36 bltu r4,r3,2c128 <__fputwc+0x38> 2c22c: 900b883a mov r5,r18 2c230: dc800005 stb r18,0(sp) 2c234: 1029883a mov r20,r2 - 2c238: 003fc506 br 2c150 <_gp+0xfffef2e4> + 2c238: 003fc506 br 2c150 <__fputwc+0x60> 2c23c: 800d883a mov r6,r16 2c240: a80b883a mov r5,r21 2c244: 9809883a mov r4,r19 2c248: 002bd1c0 call 2bd1c <__swbuf_r> 2c24c: 10bfffe0 cmpeqi r2,r2,-1 - 2c250: 003fef06 br 2c210 <_gp+0xfffef3a4> + 2c250: 003fef06 br 2c210 <__fputwc+0x120> 0002c254 <_fputwc_r>: 2c254: 3080030b ldhu r2,12(r6) @@ -14908,7 +14887,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c2e8: d9400015 stw r5,0(sp) 2c2ec: 0027aa80 call 27aa8 <__sinit> 2c2f0: d9400017 ldw r5,0(sp) - 2c2f4: 003feb06 br 2c2a4 <_gp+0xfffef438> + 2c2f4: 003feb06 br 2c2a4 0002c2f8 <_fstat_r>: 2c2f8: defffd04 addi sp,sp,-12 @@ -14931,9 +14910,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c33c: dec00304 addi sp,sp,12 2c340: f800283a ret 2c344: 80c00017 ldw r3,0(r16) - 2c348: 183ff926 beq r3,zero,2c330 <_gp+0xfffef4c4> + 2c348: 183ff926 beq r3,zero,2c330 <_fstat_r+0x38> 2c34c: 88c00015 stw r3,0(r17) - 2c350: 003ff706 br 2c330 <_gp+0xfffef4c4> + 2c350: 003ff706 br 2c330 <_fstat_r+0x38> 0002c354 <_isatty_r>: 2c354: defffd04 addi sp,sp,-12 @@ -14954,9 +14933,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c390: dec00304 addi sp,sp,12 2c394: f800283a ret 2c398: 80c00017 ldw r3,0(r16) - 2c39c: 183ff926 beq r3,zero,2c384 <_gp+0xfffef518> + 2c39c: 183ff926 beq r3,zero,2c384 <_isatty_r+0x30> 2c3a0: 88c00015 stw r3,0(r17) - 2c3a4: 003ff706 br 2c384 <_gp+0xfffef518> + 2c3a4: 003ff706 br 2c384 <_isatty_r+0x30> 0002c3a8 <_lseek_r>: 2c3a8: defffd04 addi sp,sp,-12 @@ -14980,9 +14959,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c3f0: dec00304 addi sp,sp,12 2c3f4: f800283a ret 2c3f8: 80c00017 ldw r3,0(r16) - 2c3fc: 183ff926 beq r3,zero,2c3e4 <_gp+0xfffef578> + 2c3fc: 183ff926 beq r3,zero,2c3e4 <_lseek_r+0x3c> 2c400: 88c00015 stw r3,0(r17) - 2c404: 003ff706 br 2c3e4 <_gp+0xfffef578> + 2c404: 003ff706 br 2c3e4 <_lseek_r+0x3c> 0002c408 <_read_r>: 2c408: defffd04 addi sp,sp,-12 @@ -15006,9 +14985,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c450: dec00304 addi sp,sp,12 2c454: f800283a ret 2c458: 80c00017 ldw r3,0(r16) - 2c45c: 183ff926 beq r3,zero,2c444 <_gp+0xfffef5d8> + 2c45c: 183ff926 beq r3,zero,2c444 <_read_r+0x3c> 2c460: 88c00015 stw r3,0(r17) - 2c464: 003ff706 br 2c444 <_gp+0xfffef5d8> + 2c464: 003ff706 br 2c444 <_read_r+0x3c> 0002c468 <_wcrtomb_r>: 2c468: defff604 addi sp,sp,-40 @@ -15049,7 +15028,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c4f4: d9400104 addi r5,sp,4 2c4f8: 8009883a mov r4,r16 2c4fc: 903ee83a callr r18 - 2c500: 003fed06 br 2c4b8 <_gp+0xfffef64c> + 2c500: 003fed06 br 2c4b8 <_wcrtomb_r+0x50> 0002c504 : 2c504: defff604 addi sp,sp,-40 @@ -15099,7 +15078,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c5b4: d9400104 addi r5,sp,4 2c5b8: 8809883a mov r4,r17 2c5bc: 803ee83a callr r16 - 2c5c0: 003fe806 br 2c564 <_gp+0xfffef6f8> + 2c5c0: 003fe806 br 2c564 0002c5c4 <__ascii_wctomb>: 2c5c4: 28000526 beq r5,zero,2c5dc <__ascii_wctomb+0x18> @@ -15324,16 +15303,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2c920: 00803fc4 movi r2,255 2c924: 11c5803a cmpltu r2,r2,r7 2c928: 100490fa slli r2,r2,3 - 2c92c: 003f9e06 br 2c7a8 <_gp+0xfffef93c> + 2c92c: 003f9e06 br 2c7a8 <__udivdi3+0x158> 2c930: 00803fc4 movi r2,255 2c934: 1445803a cmpltu r2,r2,r17 2c938: 100490fa slli r2,r2,3 - 2c93c: 003fb206 br 2c808 <_gp+0xfffef99c> + 2c93c: 003fb206 br 2c808 <__udivdi3+0x1b8> 2c940: 00804034 movhi r2,256 2c944: 10bfffc4 addi r2,r2,-1 2c948: 11808836 bltu r2,r6,2cb6c <__udivdi3+0x51c> 2c94c: 00800404 movi r2,16 - 2c950: 003f5606 br 2c6ac <_gp+0xfffef840> + 2c950: 003f5606 br 2c6ac <__udivdi3+0x5c> 2c954: 30aed83a srl r23,r6,r2 2c958: 3d4e983a sll r7,r7,r21 2c95c: 80acd83a srl r22,r16,r2 @@ -15402,7 +15381,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ca58: 81803e36 bltu r16,r6,2cb54 <__udivdi3+0x504> 2ca5c: 81803826 beq r16,r6,2cb40 <__udivdi3+0x4f0> 2ca60: 0007883a mov r3,zero - 2ca64: 003fa206 br 2c8f0 <_gp+0xfffefa84> + 2ca64: 003fa206 br 2c8f0 <__udivdi3+0x2a0> 2ca68: 88e2983a sll r17,r17,r3 2ca6c: 80a8d83a srl r20,r16,r2 2ca70: 80e0983a sll r16,r16,r3 @@ -15452,45 +15431,45 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2cb20: e038943a slli fp,fp,16 2cb24: 2421c83a sub r16,r4,r16 2cb28: e086b03a or r3,fp,r2 - 2cb2c: 003f4306 br 2c83c <_gp+0xfffef9d0> + 2cb2c: 003f4306 br 2c83c <__udivdi3+0x1ec> 2cb30: 2005883a mov r2,r4 - 2cb34: 003f6906 br 2c8dc <_gp+0xfffefa70> + 2cb34: 003f6906 br 2c8dc <__udivdi3+0x28c> 2cb38: 1805883a mov r2,r3 - 2cb3c: 003f0f06 br 2c77c <_gp+0xfffef910> + 2cb3c: 003f0f06 br 2c77c <__udivdi3+0x12c> 2cb40: 1806943a slli r3,r3,16 2cb44: 9d66983a sll r19,r19,r21 2cb48: 39ffffcc andi r7,r7,65535 2cb4c: 19c7883a add r3,r3,r7 - 2cb50: 98ffc32e bgeu r19,r3,2ca60 <_gp+0xfffefbf4> + 2cb50: 98ffc32e bgeu r19,r3,2ca60 <__udivdi3+0x410> 2cb54: 10bfffc4 addi r2,r2,-1 - 2cb58: 003fc106 br 2ca60 <_gp+0xfffefbf4> + 2cb58: 003fc106 br 2ca60 <__udivdi3+0x410> 2cb5c: 00800604 movi r2,24 - 2cb60: 003f1106 br 2c7a8 <_gp+0xfffef93c> + 2cb60: 003f1106 br 2c7a8 <__udivdi3+0x158> 2cb64: 00800604 movi r2,24 - 2cb68: 003f2706 br 2c808 <_gp+0xfffef99c> + 2cb68: 003f2706 br 2c808 <__udivdi3+0x1b8> 2cb6c: 00800604 movi r2,24 - 2cb70: 003ece06 br 2c6ac <_gp+0xfffef840> + 2cb70: 003ece06 br 2c6ac <__udivdi3+0x5c> 2cb74: 0007883a mov r3,zero 2cb78: 00800044 movi r2,1 - 2cb7c: 003f5c06 br 2c8f0 <_gp+0xfffefa84> - 2cb80: 813fa12e bgeu r16,r4,2ca08 <_gp+0xfffefb9c> + 2cb7c: 003f5c06 br 2c8f0 <__udivdi3+0x2a0> + 2cb80: 813fa12e bgeu r16,r4,2ca08 <__udivdi3+0x3b8> 2cb84: 10bfff84 addi r2,r2,-2 2cb88: 85e1883a add r16,r16,r23 - 2cb8c: 003f9f06 br 2ca0c <_gp+0xfffefba0> - 2cb90: 1c7f8a2e bgeu r3,r17,2c9bc <_gp+0xfffefb50> + 2cb8c: 003f9f06 br 2ca0c <__udivdi3+0x3bc> + 2cb90: 1c7f8a2e bgeu r3,r17,2c9bc <__udivdi3+0x36c> 2cb94: 31bfff84 addi r6,r6,-2 2cb98: 1dc7883a add r3,r3,r23 - 2cb9c: 003f8806 br 2c9c0 <_gp+0xfffefb54> + 2cb9c: 003f8806 br 2c9c0 <__udivdi3+0x370> 2cba0: 1805883a mov r2,r3 - 2cba4: 003fde06 br 2cb20 <_gp+0xfffefcb4> + 2cba4: 003fde06 br 2cb20 <__udivdi3+0x4d0> 2cba8: 1839883a mov fp,r3 - 2cbac: 003fc906 br 2cad4 <_gp+0xfffefc68> + 2cbac: 003fc906 br 2cad4 <__udivdi3+0x484> 2cbb0: b5bfff84 addi r22,r22,-2 2cbb4: 2449883a add r4,r4,r17 - 2cbb8: 003f3406 br 2c88c <_gp+0xfffefa20> + 2cbb8: 003f3406 br 2c88c <__udivdi3+0x23c> 2cbbc: b5bfff84 addi r22,r22,-2 2cbc0: 1445883a add r2,r2,r17 - 2cbc4: 003edb06 br 2c734 <_gp+0xfffef8c8> + 2cbc4: 003edb06 br 2c734 <__udivdi3+0xe4> 0002cbc8 <__umoddi3>: 2cbc8: defff404 addi sp,sp,-48 @@ -15643,8 +15622,8 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ce14: 1545383a mul r2,r2,r21 2ce18: a53fffcc andi r20,r20,65535 2ce1c: a446b03a or r3,r20,r17 - 2ce20: 18bfb02e bgeu r3,r2,2cce4 <_gp+0xfffefe78> - 2ce24: 003fab06 br 2ccd4 <_gp+0xfffefe68> + 2ce20: 18bfb02e bgeu r3,r2,2cce4 <__umoddi3+0x11c> + 2ce24: 003fab06 br 2ccd4 <__umoddi3+0x10c> 2ce28: 2005883a mov r2,r4 2ce2c: 2807883a mov r3,r5 2ce30: dfc00b17 ldw ra,44(sp) @@ -15662,16 +15641,16 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ce60: 04c03fc4 movi r19,255 2ce64: 99c9803a cmpltu r4,r19,r7 2ce68: 200890fa slli r4,r4,3 - 2ce6c: 003fa806 br 2cd10 <_gp+0xfffefea4> + 2ce6c: 003fa806 br 2cd10 <__umoddi3+0x148> 2ce70: 00803fc4 movi r2,255 2ce74: 14c5803a cmpltu r2,r2,r19 2ce78: 100490fa slli r2,r2,3 - 2ce7c: 003fc006 br 2cd80 <_gp+0xfffeff14> + 2ce7c: 003fc006 br 2cd80 <__umoddi3+0x1b8> 2ce80: 00804034 movhi r2,256 2ce84: 10bfffc4 addi r2,r2,-1 2ce88: 11808b36 bltu r2,r6,2d0b8 <__umoddi3+0x4f0> 2ce8c: 01000404 movi r4,16 - 2ce90: 003f6406 br 2cc24 <_gp+0xfffefdb8> + 2ce90: 003f6406 br 2cc24 <__umoddi3+0x5c> 2ce94: 34c4d83a srl r2,r6,r19 2ce98: 3d0e983a sll r7,r7,r20 2ce9c: 8cf8d83a srl fp,r17,r19 @@ -15755,7 +15734,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2cfd4: 3d0ed83a srl r7,r7,r20 2cfd8: 1d06d83a srl r3,r3,r20 2cfdc: 11c4b03a or r2,r2,r7 - 2cfe0: 003f9306 br 2ce30 <_gp+0xfffeffc4> + 2cfe0: 003f9306 br 2ce30 <__umoddi3+0x268> 2cfe4: 9ca6983a sll r19,r19,r18 2cfe8: 88e8d83a srl r20,r17,r3 2cfec: 80c4d83a srl r2,r16,r3 @@ -15798,35 +15777,35 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d080: 2080012e bgeu r4,r2,2d088 <__umoddi3+0x4c0> 2d084: 24c9883a add r4,r4,r19 2d088: 20a3c83a sub r17,r4,r2 - 2d08c: 003f4806 br 2cdb0 <_gp+0xfffeff44> + 2d08c: 003f4806 br 2cdb0 <__umoddi3+0x1e8> 2d090: 2c8fc83a sub r7,r5,r18 2d094: 1545c83a sub r2,r2,r21 2d098: 29cb803a cmpltu r5,r5,r7 2d09c: 1145c83a sub r2,r2,r5 2d0a0: 4089c83a sub r4,r8,r2 - 2d0a4: 003fc706 br 2cfc4 <_gp+0xffff0158> + 2d0a4: 003fc706 br 2cfc4 <__umoddi3+0x3fc> 2d0a8: 01000604 movi r4,24 - 2d0ac: 003f1806 br 2cd10 <_gp+0xfffefea4> + 2d0ac: 003f1806 br 2cd10 <__umoddi3+0x148> 2d0b0: 00800604 movi r2,24 - 2d0b4: 003f3206 br 2cd80 <_gp+0xfffeff14> + 2d0b4: 003f3206 br 2cd80 <__umoddi3+0x1b8> 2d0b8: 01000604 movi r4,24 - 2d0bc: 003ed906 br 2cc24 <_gp+0xfffefdb8> - 2d0c0: 413fa52e bgeu r8,r4,2cf58 <_gp+0xffff00ec> + 2d0bc: 003ed906 br 2cc24 <__umoddi3+0x5c> + 2d0c0: 413fa52e bgeu r8,r4,2cf58 <__umoddi3+0x390> 2d0c4: 297fff84 addi r5,r5,-2 2d0c8: 4551883a add r8,r8,r21 - 2d0cc: 003fa306 br 2cf5c <_gp+0xffff00f0> - 2d0d0: 15ff8b2e bgeu r2,r23,2cf00 <_gp+0xffff0094> + 2d0cc: 003fa306 br 2cf5c <__umoddi3+0x394> + 2d0d0: 15ff8b2e bgeu r2,r23,2cf00 <__umoddi3+0x338> 2d0d4: 31bfff84 addi r6,r6,-2 2d0d8: 1545883a add r2,r2,r21 - 2d0dc: 003f8906 br 2cf04 <_gp+0xffff0098> + 2d0dc: 003f8906 br 2cf04 <__umoddi3+0x33c> 2d0e0: 24c9883a add r4,r4,r19 - 2d0e4: 003eee06 br 2cca0 <_gp+0xfffefe34> + 2d0e4: 003eee06 br 2cca0 <__umoddi3+0xd8> 2d0e8: 8005883a mov r2,r16 - 2d0ec: 003f1706 br 2cd4c <_gp+0xfffefee0> - 2d0f0: 817fe736 bltu r16,r5,2d090 <_gp+0xffff0224> + 2d0ec: 003f1706 br 2cd4c <__umoddi3+0x184> + 2d0f0: 817fe736 bltu r16,r5,2d090 <__umoddi3+0x4c8> 2d0f4: 280f883a mov r7,r5 2d0f8: 0009883a mov r4,zero - 2d0fc: 003fb106 br 2cfc4 <_gp+0xffff0158> + 2d0fc: 003fb106 br 2cfc4 <__umoddi3+0x3fc> 0002d100 <__adddf3>: 2d100: 02c00434 movhi r11,16 @@ -15901,7 +15880,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d214: dec00504 addi sp,sp,20 2d218: f800283a ret 2d21c: 0081ffc4 movi r2,2047 - 2d220: 80bfd626 beq r16,r2,2d17c <_gp+0xffff0310> + 2d220: 80bfd626 beq r16,r2,2d17c <__adddf3+0x7c> 2d224: 4a402034 orhi r9,r9,128 2d228: 00800e04 movi r2,56 2d22c: 10c09f16 blt r2,r3,2d4ac <__adddf3+0x3ac> @@ -15953,13 +15932,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d2e4: 1906b03a or r3,r3,r4 2d2e8: 18a2b03a or r17,r3,r2 2d2ec: 0021883a mov r16,zero - 2d2f0: 003fa206 br 2d17c <_gp+0xffff0310> + 2d2f0: 003fa206 br 2d17c <__adddf3+0x7c> 2d2f4: 1890b03a or r8,r3,r2 2d2f8: 40017d26 beq r8,zero,2d8f0 <__adddf3+0x7f0> 2d2fc: 1011883a mov r8,r2 2d300: 1823883a mov r17,r3 2d304: 888001cc andi r2,r17,7 - 2d308: 103f9e1e bne r2,zero,2d184 <_gp+0xffff0318> + 2d308: 103f9e1e bne r2,zero,2d184 <__adddf3+0x84> 2d30c: 4004977a slli r2,r8,29 2d310: 8822d0fa srli r17,r17,3 2d314: 4010d0fa srli r8,r8,3 @@ -15971,12 +15950,12 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d32c: 01000434 movhi r4,16 2d330: 213fffc4 addi r4,r4,-1 2d334: 4110703a and r8,r8,r4 - 2d338: 003fa806 br 2d1dc <_gp+0xffff0370> + 2d338: 003fa806 br 2d1dc <__adddf3+0xdc> 2d33c: 8089c83a sub r4,r16,r2 2d340: 01005e0e bge zero,r4,2d4bc <__adddf3+0x3bc> 2d344: 10002b26 beq r2,zero,2d3f4 <__adddf3+0x2f4> 2d348: 0081ffc4 movi r2,2047 - 2d34c: 80bf8b26 beq r16,r2,2d17c <_gp+0xffff0310> + 2d34c: 80bf8b26 beq r16,r2,2d17c <__adddf3+0x7c> 2d350: 4a402034 orhi r9,r9,128 2d354: 00800e04 movi r2,56 2d358: 1100a40e bge r2,r4,2d5ec <__adddf3+0x4ec> @@ -15989,7 +15968,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d374: 8a11883a add r8,r17,r8 2d378: 2823883a mov r17,r5 2d37c: 4080202c andhi r2,r8,128 - 2d380: 103fe026 beq r2,zero,2d304 <_gp+0xffff0498> + 2d380: 103fe026 beq r2,zero,2d304 <__adddf3+0x204> 2d384: 84000044 addi r16,r16,1 2d388: 0081ffc4 movi r2,2047 2d38c: 8080d226 beq r16,r2,2d6d8 <__adddf3+0x5d8> @@ -16002,14 +15981,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d3a8: 28e2b03a or r17,r5,r3 2d3ac: 4010d07a srli r8,r8,1 2d3b0: 2462b03a or r17,r4,r17 - 2d3b4: 003f7106 br 2d17c <_gp+0xffff0310> + 2d3b4: 003f7106 br 2d17c <__adddf3+0x7c> 2d3b8: 4088b03a or r4,r8,r2 2d3bc: 20014526 beq r4,zero,2d8d4 <__adddf3+0x7d4> 2d3c0: 01000434 movhi r4,16 2d3c4: 42000234 orhi r8,r8,8 2d3c8: 213fffc4 addi r4,r4,-1 2d3cc: 4110703a and r8,r8,r4 - 2d3d0: 003f8206 br 2d1dc <_gp+0xffff0370> + 2d3d0: 003f8206 br 2d1dc <__adddf3+0xdc> 2d3d4: 18ffffc4 addi r3,r3,-1 2d3d8: 1800491e bne r3,zero,2d500 <__adddf3+0x400> 2d3dc: 898bc83a sub r5,r17,r6 @@ -16017,9 +15996,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d3e4: 4251c83a sub r8,r8,r9 2d3e8: 4451c83a sub r8,r8,r17 2d3ec: 2823883a mov r17,r5 - 2d3f0: 003f9f06 br 2d270 <_gp+0xffff0404> + 2d3f0: 003f9f06 br 2d270 <__adddf3+0x170> 2d3f4: 4984b03a or r2,r9,r6 - 2d3f8: 103f6026 beq r2,zero,2d17c <_gp+0xffff0310> + 2d3f8: 103f6026 beq r2,zero,2d17c <__adddf3+0x7c> 2d3fc: 213fffc4 addi r4,r4,-1 2d400: 2000931e bne r4,zero,2d650 <__adddf3+0x550> 2d404: 898d883a add r6,r17,r6 @@ -16027,7 +16006,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d40c: 4251883a add r8,r8,r9 2d410: 8a11883a add r8,r17,r8 2d414: 3023883a mov r17,r6 - 2d418: 003fd806 br 2d37c <_gp+0xffff0510> + 2d418: 003fd806 br 2d37c <__adddf3+0x27c> 2d41c: 1800541e bne r3,zero,2d570 <__adddf3+0x470> 2d420: 80800044 addi r2,r16,1 2d424: 1081ffcc andi r2,r2,2047 @@ -16044,30 +16023,30 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d450: 344d803a cmpltu r6,r6,r17 2d454: 19a7c83a sub r19,r3,r6 2d458: 3825883a mov r18,r7 - 2d45c: 983f8a1e bne r19,zero,2d288 <_gp+0xffff041c> + 2d45c: 983f8a1e bne r19,zero,2d288 <__adddf3+0x188> 2d460: 8809883a mov r4,r17 2d464: 002f7040 call 2f704 <__clzsi2> 2d468: 10800804 addi r2,r2,32 2d46c: 10fffe04 addi r3,r2,-8 2d470: 010007c4 movi r4,31 - 2d474: 20ff890e bge r4,r3,2d29c <_gp+0xffff0430> + 2d474: 20ff890e bge r4,r3,2d29c <__adddf3+0x19c> 2d478: 10bff604 addi r2,r2,-40 2d47c: 8884983a sll r2,r17,r2 2d480: 0023883a mov r17,zero - 2d484: 1c3f8c0e bge r3,r16,2d2b8 <_gp+0xffff044c> + 2d484: 1c3f8c0e bge r3,r16,2d2b8 <__adddf3+0x1b8> 2d488: 023fe034 movhi r8,65408 2d48c: 423fffc4 addi r8,r8,-1 2d490: 80e1c83a sub r16,r16,r3 2d494: 1210703a and r8,r2,r8 - 2d498: 003f3806 br 2d17c <_gp+0xffff0310> + 2d498: 003f3806 br 2d17c <__adddf3+0x7c> 2d49c: 9007883a mov r3,r18 2d4a0: 0011883a mov r8,zero 2d4a4: 0005883a mov r2,zero - 2d4a8: 003f4c06 br 2d1dc <_gp+0xffff0370> + 2d4a8: 003f4c06 br 2d1dc <__adddf3+0xdc> 2d4ac: 498cb03a or r6,r9,r6 2d4b0: 300cc03a cmpne r6,r6,zero 2d4b4: 0007883a mov r3,zero - 2d4b8: 003f6806 br 2d25c <_gp+0xffff03f0> + 2d4b8: 003f6806 br 2d25c <__adddf3+0x15c> 2d4bc: 20009c1e bne r4,zero,2d730 <__adddf3+0x630> 2d4c0: 80800044 addi r2,r16,1 2d4c4: 1141ffcc andi r5,r2,2047 @@ -16084,10 +16063,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d4f0: 4010d07a srli r8,r8,1 2d4f4: 1021883a mov r16,r2 2d4f8: 89a2b03a or r17,r17,r6 - 2d4fc: 003f1f06 br 2d17c <_gp+0xffff0310> + 2d4fc: 003f1f06 br 2d17c <__adddf3+0x7c> 2d500: 0081ffc4 movi r2,2047 - 2d504: 80bf481e bne r16,r2,2d228 <_gp+0xffff03bc> - 2d508: 003f1c06 br 2d17c <_gp+0xffff0310> + 2d504: 80bf481e bne r16,r2,2d228 <__adddf3+0x128> + 2d508: 003f1c06 br 2d17c <__adddf3+0x7c> 2d50c: 843ff844 addi r16,r16,-31 2d510: 01000804 movi r4,32 2d514: 1406d83a srl r3,r2,r16 @@ -16100,7 +16079,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d530: 1c62b03a or r17,r3,r17 2d534: 0011883a mov r8,zero 2d538: 0021883a mov r16,zero - 2d53c: 003f7106 br 2d304 <_gp+0xffff0498> + 2d53c: 003f7106 br 2d304 <__adddf3+0x204> 2d540: 193ff804 addi r4,r3,-32 2d544: 00800804 movi r2,32 2d548: 4908d83a srl r4,r9,r4 @@ -16112,7 +16091,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d560: 300cc03a cmpne r6,r6,zero 2d564: 218cb03a or r6,r4,r6 2d568: 0007883a mov r3,zero - 2d56c: 003f3b06 br 2d25c <_gp+0xffff03f0> + 2d56c: 003f3b06 br 2d25c <__adddf3+0x15c> 2d570: 80002a26 beq r16,zero,2d61c <__adddf3+0x51c> 2d574: 0101ffc4 movi r4,2047 2d578: 11006826 beq r2,r4,2d71c <__adddf3+0x61c> @@ -16137,13 +16116,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d5c4: 1991c83a sub r8,r3,r6 2d5c8: 1021883a mov r16,r2 2d5cc: 3825883a mov r18,r7 - 2d5d0: 003f2706 br 2d270 <_gp+0xffff0404> + 2d5d0: 003f2706 br 2d270 <__adddf3+0x170> 2d5d4: 24d0b03a or r8,r4,r19 2d5d8: 40001b1e bne r8,zero,2d648 <__adddf3+0x548> 2d5dc: 0005883a mov r2,zero 2d5e0: 0007883a mov r3,zero 2d5e4: 0021883a mov r16,zero - 2d5e8: 003f4d06 br 2d320 <_gp+0xffff04b4> + 2d5e8: 003f4d06 br 2d320 <__adddf3+0x220> 2d5ec: 008007c4 movi r2,31 2d5f0: 11003c16 blt r2,r4,2d6e4 <__adddf3+0x5e4> 2d5f4: 00800804 movi r2,32 @@ -16155,7 +16134,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d60c: 394ab03a or r5,r7,r5 2d610: 1004c03a cmpne r2,r2,zero 2d614: 288ab03a or r5,r5,r2 - 2d618: 003f5306 br 2d368 <_gp+0xffff04fc> + 2d618: 003f5306 br 2d368 <__adddf3+0x268> 2d61c: 4448b03a or r4,r8,r17 2d620: 20003e26 beq r4,zero,2d71c <__adddf3+0x61c> 2d624: 00c6303a nor r3,zero,r3 @@ -16166,33 +16145,33 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d638: 1991c83a sub r8,r3,r6 2d63c: 1021883a mov r16,r2 2d640: 3825883a mov r18,r7 - 2d644: 003f0a06 br 2d270 <_gp+0xffff0404> + 2d644: 003f0a06 br 2d270 <__adddf3+0x170> 2d648: 2023883a mov r17,r4 - 2d64c: 003f0d06 br 2d284 <_gp+0xffff0418> + 2d64c: 003f0d06 br 2d284 <__adddf3+0x184> 2d650: 0081ffc4 movi r2,2047 - 2d654: 80bf3f1e bne r16,r2,2d354 <_gp+0xffff04e8> - 2d658: 003ec806 br 2d17c <_gp+0xffff0310> + 2d654: 80bf3f1e bne r16,r2,2d354 <__adddf3+0x254> + 2d658: 003ec806 br 2d17c <__adddf3+0x7c> 2d65c: 0005883a mov r2,zero - 2d660: 003fb106 br 2d528 <_gp+0xffff06bc> + 2d660: 003fb106 br 2d528 <__adddf3+0x428> 2d664: 0007883a mov r3,zero - 2d668: 003fbc06 br 2d55c <_gp+0xffff06f0> + 2d668: 003fbc06 br 2d55c <__adddf3+0x45c> 2d66c: 4444b03a or r2,r8,r17 2d670: 8000871e bne r16,zero,2d890 <__adddf3+0x790> 2d674: 1000ba26 beq r2,zero,2d960 <__adddf3+0x860> 2d678: 4984b03a or r2,r9,r6 - 2d67c: 103ebf26 beq r2,zero,2d17c <_gp+0xffff0310> + 2d67c: 103ebf26 beq r2,zero,2d17c <__adddf3+0x7c> 2d680: 8985883a add r2,r17,r6 2d684: 4247883a add r3,r8,r9 2d688: 1451803a cmpltu r8,r2,r17 2d68c: 40d1883a add r8,r8,r3 2d690: 40c0202c andhi r3,r8,128 2d694: 1023883a mov r17,r2 - 2d698: 183f1a26 beq r3,zero,2d304 <_gp+0xffff0498> + 2d698: 183f1a26 beq r3,zero,2d304 <__adddf3+0x204> 2d69c: 00bfe034 movhi r2,65408 2d6a0: 10bfffc4 addi r2,r2,-1 2d6a4: 2021883a mov r16,r4 2d6a8: 4090703a and r8,r8,r2 - 2d6ac: 003eb306 br 2d17c <_gp+0xffff0310> + 2d6ac: 003eb306 br 2d17c <__adddf3+0x7c> 2d6b0: 4444b03a or r2,r8,r17 2d6b4: 8000291e bne r16,zero,2d75c <__adddf3+0x65c> 2d6b8: 10004b1e bne r2,zero,2d7e8 <__adddf3+0x6e8> @@ -16201,11 +16180,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d6c4: 4811883a mov r8,r9 2d6c8: 3023883a mov r17,r6 2d6cc: 3825883a mov r18,r7 - 2d6d0: 003eaa06 br 2d17c <_gp+0xffff0310> + 2d6d0: 003eaa06 br 2d17c <__adddf3+0x7c> 2d6d4: 1021883a mov r16,r2 2d6d8: 0011883a mov r8,zero 2d6dc: 0005883a mov r2,zero - 2d6e0: 003f0f06 br 2d320 <_gp+0xffff04b4> + 2d6e0: 003f0f06 br 2d320 <__adddf3+0x220> 2d6e4: 217ff804 addi r5,r4,-32 2d6e8: 00800804 movi r2,32 2d6ec: 494ad83a srl r5,r9,r5 @@ -16217,14 +16196,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d704: 300cc03a cmpne r6,r6,zero 2d708: 298ab03a or r5,r5,r6 2d70c: 0013883a mov r9,zero - 2d710: 003f1506 br 2d368 <_gp+0xffff04fc> + 2d710: 003f1506 br 2d368 <__adddf3+0x268> 2d714: 0101ffc4 movi r4,2047 - 2d718: 113f9a1e bne r2,r4,2d584 <_gp+0xffff0718> + 2d718: 113f9a1e bne r2,r4,2d584 <__adddf3+0x484> 2d71c: 4811883a mov r8,r9 2d720: 3023883a mov r17,r6 2d724: 1021883a mov r16,r2 2d728: 3825883a mov r18,r7 - 2d72c: 003e9306 br 2d17c <_gp+0xffff0310> + 2d72c: 003e9306 br 2d17c <__adddf3+0x7c> 2d730: 8000161e bne r16,zero,2d78c <__adddf3+0x68c> 2d734: 444ab03a or r5,r8,r17 2d738: 28005126 beq r5,zero,2d880 <__adddf3+0x780> @@ -16235,7 +16214,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d74c: 898d803a cmpltu r6,r17,r6 2d750: 3251883a add r8,r6,r9 2d754: 1021883a mov r16,r2 - 2d758: 003f0806 br 2d37c <_gp+0xffff0510> + 2d758: 003f0806 br 2d37c <__adddf3+0x27c> 2d75c: 1000301e bne r2,zero,2d820 <__adddf3+0x720> 2d760: 4984b03a or r2,r9,r6 2d764: 10007126 beq r2,zero,2d92c <__adddf3+0x82c> @@ -16243,11 +16222,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d76c: 3023883a mov r17,r6 2d770: 3825883a mov r18,r7 2d774: 0401ffc4 movi r16,2047 - 2d778: 003e8006 br 2d17c <_gp+0xffff0310> + 2d778: 003e8006 br 2d17c <__adddf3+0x7c> 2d77c: 4462b03a or r17,r8,r17 2d780: 8822c03a cmpne r17,r17,zero 2d784: 0007883a mov r3,zero - 2d788: 003f8b06 br 2d5b8 <_gp+0xffff074c> + 2d788: 003f8b06 br 2d5b8 <__adddf3+0x4b8> 2d78c: 0141ffc4 movi r5,2047 2d790: 11403b26 beq r2,r5,2d880 <__adddf3+0x780> 2d794: 0109c83a sub r4,zero,r4 @@ -16270,21 +16249,21 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d7d8: 898d803a cmpltu r6,r17,r6 2d7dc: 3251883a add r8,r6,r9 2d7e0: 1021883a mov r16,r2 - 2d7e4: 003ee506 br 2d37c <_gp+0xffff0510> + 2d7e4: 003ee506 br 2d37c <__adddf3+0x27c> 2d7e8: 4984b03a or r2,r9,r6 - 2d7ec: 103e6326 beq r2,zero,2d17c <_gp+0xffff0310> + 2d7ec: 103e6326 beq r2,zero,2d17c <__adddf3+0x7c> 2d7f0: 8987c83a sub r3,r17,r6 2d7f4: 88c9803a cmpltu r4,r17,r3 2d7f8: 4245c83a sub r2,r8,r9 2d7fc: 1105c83a sub r2,r2,r4 2d800: 1100202c andhi r4,r2,128 - 2d804: 203ebb26 beq r4,zero,2d2f4 <_gp+0xffff0488> + 2d804: 203ebb26 beq r4,zero,2d2f4 <__adddf3+0x1f4> 2d808: 3463c83a sub r17,r6,r17 2d80c: 4a07c83a sub r3,r9,r8 2d810: 344d803a cmpltu r6,r6,r17 2d814: 1991c83a sub r8,r3,r6 2d818: 3825883a mov r18,r7 - 2d81c: 003e5706 br 2d17c <_gp+0xffff0310> + 2d81c: 003e5706 br 2d17c <__adddf3+0x7c> 2d820: 4984b03a or r2,r9,r6 2d824: 10002e26 beq r2,zero,2d8e0 <__adddf3+0x7e0> 2d828: 4004d0fa srli r2,r8,3 @@ -16306,13 +16285,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d868: 882290fa slli r17,r17,3 2d86c: 0401ffc4 movi r16,2047 2d870: 4090b03a or r8,r8,r2 - 2d874: 003e4106 br 2d17c <_gp+0xffff0310> + 2d874: 003e4106 br 2d17c <__adddf3+0x7c> 2d878: 0141ffc4 movi r5,2047 - 2d87c: 117fc71e bne r2,r5,2d79c <_gp+0xffff0930> + 2d87c: 117fc71e bne r2,r5,2d79c <__adddf3+0x69c> 2d880: 4811883a mov r8,r9 2d884: 3023883a mov r17,r6 2d888: 1021883a mov r16,r2 - 2d88c: 003e3b06 br 2d17c <_gp+0xffff0310> + 2d88c: 003e3b06 br 2d17c <__adddf3+0x7c> 2d890: 10002f26 beq r2,zero,2d950 <__adddf3+0x850> 2d894: 4984b03a or r2,r9,r6 2d898: 10001126 beq r2,zero,2d8e0 <__adddf3+0x7e0> @@ -16321,25 +16300,25 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d8a4: 4010977a slli r8,r8,29 2d8a8: 10c0022c andhi r3,r2,8 2d8ac: 4462b03a or r17,r8,r17 - 2d8b0: 183feb26 beq r3,zero,2d860 <_gp+0xffff09f4> + 2d8b0: 183feb26 beq r3,zero,2d860 <__adddf3+0x760> 2d8b4: 4808d0fa srli r4,r9,3 2d8b8: 20c0022c andhi r3,r4,8 - 2d8bc: 183fe81e bne r3,zero,2d860 <_gp+0xffff09f4> + 2d8bc: 183fe81e bne r3,zero,2d860 <__adddf3+0x760> 2d8c0: 300cd0fa srli r6,r6,3 2d8c4: 4806977a slli r3,r9,29 2d8c8: 2005883a mov r2,r4 2d8cc: 19a2b03a or r17,r3,r6 - 2d8d0: 003fe306 br 2d860 <_gp+0xffff09f4> + 2d8d0: 003fe306 br 2d860 <__adddf3+0x760> 2d8d4: 0011883a mov r8,zero 2d8d8: 0005883a mov r2,zero - 2d8dc: 003e3f06 br 2d1dc <_gp+0xffff0370> + 2d8dc: 003e3f06 br 2d1dc <__adddf3+0xdc> 2d8e0: 0401ffc4 movi r16,2047 - 2d8e4: 003e2506 br 2d17c <_gp+0xffff0310> + 2d8e4: 003e2506 br 2d17c <__adddf3+0x7c> 2d8e8: 0013883a mov r9,zero - 2d8ec: 003f8406 br 2d700 <_gp+0xffff0894> + 2d8ec: 003f8406 br 2d700 <__adddf3+0x600> 2d8f0: 0005883a mov r2,zero 2d8f4: 0007883a mov r3,zero - 2d8f8: 003e8906 br 2d320 <_gp+0xffff04b4> + 2d8f8: 003e8906 br 2d320 <__adddf3+0x220> 2d8fc: 197ff804 addi r5,r3,-32 2d900: 01000804 movi r4,32 2d904: 414ad83a srl r5,r8,r5 @@ -16351,23 +16330,23 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d91c: 1806c03a cmpne r3,r3,zero 2d920: 28e2b03a or r17,r5,r3 2d924: 0007883a mov r3,zero - 2d928: 003f2306 br 2d5b8 <_gp+0xffff074c> + 2d928: 003f2306 br 2d5b8 <__adddf3+0x4b8> 2d92c: 0007883a mov r3,zero 2d930: 5811883a mov r8,r11 2d934: 00bfffc4 movi r2,-1 2d938: 0401ffc4 movi r16,2047 - 2d93c: 003e7806 br 2d320 <_gp+0xffff04b4> + 2d93c: 003e7806 br 2d320 <__adddf3+0x220> 2d940: 4462b03a or r17,r8,r17 2d944: 8822c03a cmpne r17,r17,zero 2d948: 0009883a mov r4,zero - 2d94c: 003fa006 br 2d7d0 <_gp+0xffff0964> + 2d94c: 003fa006 br 2d7d0 <__adddf3+0x6d0> 2d950: 4811883a mov r8,r9 2d954: 3023883a mov r17,r6 2d958: 0401ffc4 movi r16,2047 - 2d95c: 003e0706 br 2d17c <_gp+0xffff0310> + 2d95c: 003e0706 br 2d17c <__adddf3+0x7c> 2d960: 4811883a mov r8,r9 2d964: 3023883a mov r17,r6 - 2d968: 003e0406 br 2d17c <_gp+0xffff0310> + 2d968: 003e0406 br 2d17c <__adddf3+0x7c> 2d96c: 21fff804 addi r7,r4,-32 2d970: 01400804 movi r5,32 2d974: 41ced83a srl r7,r8,r7 @@ -16379,11 +16358,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d98c: 2008c03a cmpne r4,r4,zero 2d990: 3922b03a or r17,r7,r4 2d994: 0009883a mov r4,zero - 2d998: 003f8d06 br 2d7d0 <_gp+0xffff0964> + 2d998: 003f8d06 br 2d7d0 <__adddf3+0x6d0> 2d99c: 0007883a mov r3,zero - 2d9a0: 003fdd06 br 2d918 <_gp+0xffff0aac> + 2d9a0: 003fdd06 br 2d918 <__adddf3+0x818> 2d9a4: 0009883a mov r4,zero - 2d9a8: 003ff706 br 2d988 <_gp+0xffff0b1c> + 2d9a8: 003ff706 br 2d988 <__adddf3+0x888> 0002d9ac <__divdf3>: 2d9ac: defff204 addi sp,sp,-56 @@ -16408,44 +16387,44 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2d9f8: 0081ffc4 movi r2,2047 2d9fc: 2025883a mov r18,r4 2da00: a8803726 beq r21,r2,2dae0 <__divdf3+0x134> - 2da04: 80800434 orhi r2,r16,16 - 2da08: 100490fa slli r2,r2,3 + 2da04: 80c00434 orhi r3,r16,16 + 2da08: 180690fa slli r3,r3,3 2da0c: 2020d77a srli r16,r4,29 2da10: 202490fa slli r18,r4,3 2da14: ad7f0044 addi r21,r21,-1023 - 2da18: 80a0b03a or r16,r16,r2 + 2da18: 80e0b03a or r16,r16,r3 2da1c: 0027883a mov r19,zero 2da20: 0013883a mov r9,zero - 2da24: 3804d53a srli r2,r7,20 + 2da24: 3808d53a srli r4,r7,20 2da28: 382cd7fa srli r22,r7,31 2da2c: 04400434 movhi r17,16 2da30: 8c7fffc4 addi r17,r17,-1 - 2da34: 1081ffcc andi r2,r2,2047 + 2da34: 2101ffcc andi r4,r4,2047 2da38: 3011883a mov r8,r6 2da3c: 3c62703a and r17,r7,r17 2da40: b5c03fcc andi r23,r22,255 - 2da44: 10006c26 beq r2,zero,2dbf8 <__divdf3+0x24c> - 2da48: 00c1ffc4 movi r3,2047 - 2da4c: 10c06426 beq r2,r3,2dbe0 <__divdf3+0x234> + 2da44: 20006c26 beq r4,zero,2dbf8 <__divdf3+0x24c> + 2da48: 0081ffc4 movi r2,2047 + 2da4c: 20806426 beq r4,r2,2dbe0 <__divdf3+0x234> 2da50: 88c00434 orhi r3,r17,16 2da54: 180690fa slli r3,r3,3 2da58: 3022d77a srli r17,r6,29 2da5c: 301090fa slli r8,r6,3 - 2da60: 10bf0044 addi r2,r2,-1023 + 2da60: 213f0044 addi r4,r4,-1023 2da64: 88e2b03a or r17,r17,r3 - 2da68: 000f883a mov r7,zero - 2da6c: a58cf03a xor r6,r20,r22 - 2da70: 3cc8b03a or r4,r7,r19 - 2da74: a8abc83a sub r21,r21,r2 - 2da78: 008003c4 movi r2,15 - 2da7c: 3007883a mov r3,r6 - 2da80: 34c03fcc andi r19,r6,255 - 2da84: 11009036 bltu r2,r4,2dcc8 <__divdf3+0x31c> - 2da88: 200890ba slli r4,r4,2 + 2da68: 000d883a mov r6,zero + 2da6c: a584f03a xor r2,r20,r22 + 2da70: 34cab03a or r5,r6,r19 + 2da74: 1007883a mov r3,r2 + 2da78: 14c03fcc andi r19,r2,255 + 2da7c: 008003c4 movi r2,15 + 2da80: a92bc83a sub r21,r21,r4 + 2da84: 11409036 bltu r2,r5,2dcc8 <__divdf3+0x31c> + 2da88: 280a90ba slli r5,r5,2 2da8c: 008000f4 movhi r2,3 2da90: 10b6a804 addi r2,r2,-9568 - 2da94: 2089883a add r4,r4,r2 - 2da98: 20800017 ldw r2,0(r4) + 2da94: 288b883a add r5,r5,r2 + 2da98: 28800017 ldw r2,0(r5) 2da9c: 1000683a jmp r2 2daa0: 0002dcc8 cmpgei zero,zero,2931 2daa4: 0002db18 cmpnei zero,zero,2924 @@ -16469,13 +16448,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2daec: 0021883a mov r16,zero 2daf0: 0025883a mov r18,zero 2daf4: 02400084 movi r9,2 - 2daf8: 003fca06 br 2da24 <_gp+0xffff0bb8> + 2daf8: 003fca06 br 2da24 <__divdf3+0x78> 2dafc: 8023883a mov r17,r16 2db00: 9011883a mov r8,r18 2db04: e02f883a mov r23,fp - 2db08: 480f883a mov r7,r9 + 2db08: 480d883a mov r6,r9 2db0c: 00800084 movi r2,2 - 2db10: 3881311e bne r7,r2,2dfd8 <__divdf3+0x62c> + 2db10: 3081311e bne r6,r2,2dfd8 <__divdf3+0x62c> 2db14: b827883a mov r19,r23 2db18: 98c0004c andi r3,r19,1 2db1c: 0081ffc4 movi r2,2047 @@ -16512,29 +16491,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2db98: 002f7040 call 2f704 <__clzsi2> 2db9c: d9800317 ldw r6,12(sp) 2dba0: d9c00217 ldw r7,8(sp) - 2dba4: 113ffd44 addi r4,r2,-11 - 2dba8: 00c00704 movi r3,28 - 2dbac: 19012116 blt r3,r4,2e034 <__divdf3+0x688> - 2dbb0: 00c00744 movi r3,29 + 2dba4: 10fffd44 addi r3,r2,-11 + 2dba8: 01000704 movi r4,28 + 2dbac: 20c12116 blt r4,r3,2e034 <__divdf3+0x688> + 2dbb0: 01000744 movi r4,29 2dbb4: 147ffe04 addi r17,r2,-8 - 2dbb8: 1907c83a sub r3,r3,r4 + 2dbb8: 20c9c83a sub r4,r4,r3 2dbbc: 8460983a sll r16,r16,r17 - 2dbc0: 98c6d83a srl r3,r19,r3 + 2dbc0: 9908d83a srl r4,r19,r4 2dbc4: 9c64983a sll r18,r19,r17 - 2dbc8: 1c20b03a or r16,r3,r16 - 2dbcc: 1080fcc4 addi r2,r2,1011 - 2dbd0: 00abc83a sub r21,zero,r2 + 2dbc8: 2420b03a or r16,r4,r16 + 2dbcc: 057f0084 movi r21,-1022 + 2dbd0: a8ebc83a sub r21,r21,r3 2dbd4: 0027883a mov r19,zero 2dbd8: 0013883a mov r9,zero - 2dbdc: 003f9106 br 2da24 <_gp+0xffff0bb8> - 2dbe0: 3446b03a or r3,r6,r17 - 2dbe4: 18001f1e bne r3,zero,2dc64 <__divdf3+0x2b8> + 2dbdc: 003f9106 br 2da24 <__divdf3+0x78> + 2dbe0: 3444b03a or r2,r6,r17 + 2dbe4: 10001f1e bne r2,zero,2dc64 <__divdf3+0x2b8> 2dbe8: 0023883a mov r17,zero 2dbec: 0011883a mov r8,zero - 2dbf0: 01c00084 movi r7,2 - 2dbf4: 003f9d06 br 2da6c <_gp+0xffff0c00> - 2dbf8: 3446b03a or r3,r6,r17 - 2dbfc: 18001526 beq r3,zero,2dc54 <__divdf3+0x2a8> + 2dbf0: 01800084 movi r6,2 + 2dbf4: 003f9d06 br 2da6c <__divdf3+0xc0> + 2dbf8: 3444b03a or r2,r6,r17 + 2dbfc: 10001526 beq r2,zero,2dc54 <__divdf3+0x2a8> 2dc00: 88011b26 beq r17,zero,2e070 <__divdf3+0x6c4> 2dc04: 8809883a mov r4,r17 2dc08: d9800315 stw r6,12(sp) @@ -16542,40 +16521,40 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2dc10: 002f7040 call 2f704 <__clzsi2> 2dc14: d9800317 ldw r6,12(sp) 2dc18: da400117 ldw r9,4(sp) - 2dc1c: 113ffd44 addi r4,r2,-11 - 2dc20: 00c00704 movi r3,28 - 2dc24: 19010e16 blt r3,r4,2e060 <__divdf3+0x6b4> - 2dc28: 00c00744 movi r3,29 + 2dc1c: 10fffd44 addi r3,r2,-11 + 2dc20: 01000704 movi r4,28 + 2dc24: 20c10e16 blt r4,r3,2e060 <__divdf3+0x6b4> + 2dc28: 01000744 movi r4,29 2dc2c: 123ffe04 addi r8,r2,-8 - 2dc30: 1907c83a sub r3,r3,r4 + 2dc30: 20c9c83a sub r4,r4,r3 2dc34: 8a22983a sll r17,r17,r8 - 2dc38: 30c6d83a srl r3,r6,r3 + 2dc38: 3108d83a srl r4,r6,r4 2dc3c: 3210983a sll r8,r6,r8 - 2dc40: 1c62b03a or r17,r3,r17 - 2dc44: 1080fcc4 addi r2,r2,1011 - 2dc48: 0085c83a sub r2,zero,r2 - 2dc4c: 000f883a mov r7,zero - 2dc50: 003f8606 br 2da6c <_gp+0xffff0c00> + 2dc40: 2462b03a or r17,r4,r17 + 2dc44: 013f0084 movi r4,-1022 + 2dc48: 20c9c83a sub r4,r4,r3 + 2dc4c: 000d883a mov r6,zero + 2dc50: 003f8606 br 2da6c <__divdf3+0xc0> 2dc54: 0023883a mov r17,zero 2dc58: 0011883a mov r8,zero - 2dc5c: 01c00044 movi r7,1 - 2dc60: 003f8206 br 2da6c <_gp+0xffff0c00> - 2dc64: 01c000c4 movi r7,3 - 2dc68: 003f8006 br 2da6c <_gp+0xffff0c00> + 2dc5c: 01800044 movi r6,1 + 2dc60: 003f8206 br 2da6c <__divdf3+0xc0> + 2dc64: 018000c4 movi r6,3 + 2dc68: 003f8006 br 2da6c <__divdf3+0xc0> 2dc6c: 04c00104 movi r19,4 2dc70: 0021883a mov r16,zero 2dc74: 0025883a mov r18,zero 2dc78: 02400044 movi r9,1 - 2dc7c: 003f6906 br 2da24 <_gp+0xffff0bb8> + 2dc7c: 003f6906 br 2da24 <__divdf3+0x78> 2dc80: 04c00304 movi r19,12 2dc84: 024000c4 movi r9,3 - 2dc88: 003f6606 br 2da24 <_gp+0xffff0bb8> + 2dc88: 003f6606 br 2da24 <__divdf3+0x78> 2dc8c: 01400434 movhi r5,16 2dc90: 0007883a mov r3,zero 2dc94: 297fffc4 addi r5,r5,-1 2dc98: 04bfffc4 movi r18,-1 2dc9c: 0081ffc4 movi r2,2047 - 2dca0: 003fa106 br 2db28 <_gp+0xffff0cbc> + 2dca0: 003fa106 br 2db28 <__divdf3+0x17c> 2dca4: 00c00044 movi r3,1 2dca8: 1887c83a sub r3,r3,r2 2dcac: 01000e04 movi r4,56 @@ -16584,17 +16563,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2dcb8: 0005883a mov r2,zero 2dcbc: 000b883a mov r5,zero 2dcc0: 0025883a mov r18,zero - 2dcc4: 003f9806 br 2db28 <_gp+0xffff0cbc> + 2dcc4: 003f9806 br 2db28 <__divdf3+0x17c> 2dcc8: 8c00fd36 bltu r17,r16,2e0c0 <__divdf3+0x714> 2dccc: 8440fb26 beq r16,r17,2e0bc <__divdf3+0x710> 2dcd0: 8007883a mov r3,r16 2dcd4: ad7fffc4 addi r21,r21,-1 2dcd8: 0021883a mov r16,zero - 2dcdc: 4004d63a srli r2,r8,24 + 2dcdc: 400ad63a srli r5,r8,24 2dce0: 8822923a slli r17,r17,8 2dce4: 1809883a mov r4,r3 2dce8: 402c923a slli r22,r8,8 - 2dcec: 88b8b03a or fp,r17,r2 + 2dcec: 8978b03a or fp,r17,r5 2dcf0: e028d43a srli r20,fp,16 2dcf4: d8c00015 stw r3,0(sp) 2dcf8: e5ffffcc andi r23,fp,65535 @@ -16744,7 +16723,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2df38: ba403626 beq r23,r9,2e014 <__divdf3+0x668> 2df3c: 42000054 ori r8,r8,1 2df40: a880ffc4 addi r2,r21,1023 - 2df44: 00bf570e bge zero,r2,2dca4 <_gp+0xffff0e38> + 2df44: 00bf570e bge zero,r2,2dca4 <__divdf3+0x2f8> 2df48: 40c001cc andi r3,r8,7 2df4c: 18000726 beq r3,zero,2df6c <__divdf3+0x5c0> 2df50: 40c003cc andi r3,r8,15 @@ -16761,7 +16740,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2df7c: a8810004 addi r2,r21,1024 2df80: 88e2703a and r17,r17,r3 2df84: 00c1ff84 movi r3,2046 - 2df88: 18bee316 blt r3,r2,2db18 <_gp+0xffff0cac> + 2df88: 18bee316 blt r3,r2,2db18 <__divdf3+0x16c> 2df8c: 8824977a slli r18,r17,29 2df90: 4010d0fa srli r8,r8,3 2df94: 8822927a slli r17,r17,9 @@ -16769,7 +16748,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2df9c: 9224b03a or r18,r18,r8 2dfa0: 880ad33a srli r5,r17,12 2dfa4: 98c0004c andi r3,r19,1 - 2dfa8: 003edf06 br 2db28 <_gp+0xffff0cbc> + 2dfa8: 003edf06 br 2db28 <__divdf3+0x17c> 2dfac: 8080022c andhi r2,r16,8 2dfb0: 10001226 beq r2,zero,2dffc <__divdf3+0x650> 2dfb4: 8880022c andhi r2,r17,8 @@ -16780,45 +16759,45 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2dfc8: b007883a mov r3,r22 2dfcc: 288a703a and r5,r5,r2 2dfd0: 4025883a mov r18,r8 - 2dfd4: 003f3106 br 2dc9c <_gp+0xffff0e30> + 2dfd4: 003f3106 br 2dc9c <__divdf3+0x2f0> 2dfd8: 008000c4 movi r2,3 - 2dfdc: 3880a626 beq r7,r2,2e278 <__divdf3+0x8cc> + 2dfdc: 3080a626 beq r6,r2,2e278 <__divdf3+0x8cc> 2dfe0: 00800044 movi r2,1 - 2dfe4: 3880521e bne r7,r2,2e130 <__divdf3+0x784> + 2dfe4: 3080521e bne r6,r2,2e130 <__divdf3+0x784> 2dfe8: b807883a mov r3,r23 2dfec: 0005883a mov r2,zero 2dff0: 000b883a mov r5,zero 2dff4: 0025883a mov r18,zero - 2dff8: 003ecb06 br 2db28 <_gp+0xffff0cbc> + 2dff8: 003ecb06 br 2db28 <__divdf3+0x17c> 2dffc: 00800434 movhi r2,16 2e000: 81400234 orhi r5,r16,8 2e004: 10bfffc4 addi r2,r2,-1 2e008: a007883a mov r3,r20 2e00c: 288a703a and r5,r5,r2 - 2e010: 003f2206 br 2dc9c <_gp+0xffff0e30> - 2e014: 183fca26 beq r3,zero,2df40 <_gp+0xffff10d4> + 2e010: 003f2206 br 2dc9c <__divdf3+0x2f0> + 2e014: 183fca26 beq r3,zero,2df40 <__divdf3+0x594> 2e018: e5ef883a add r23,fp,r23 2e01c: 40bfffc4 addi r2,r8,-1 2e020: bf00392e bgeu r23,fp,2e108 <__divdf3+0x75c> 2e024: 1011883a mov r8,r2 - 2e028: ba7fc41e bne r23,r9,2df3c <_gp+0xffff10d0> - 2e02c: b0ffc31e bne r22,r3,2df3c <_gp+0xffff10d0> - 2e030: 003fc306 br 2df40 <_gp+0xffff10d4> - 2e034: 143ff604 addi r16,r2,-40 - 2e038: 9c20983a sll r16,r19,r16 + 2e028: ba7fc41e bne r23,r9,2df3c <__divdf3+0x590> + 2e02c: b0ffc31e bne r22,r3,2df3c <__divdf3+0x590> + 2e030: 003fc306 br 2df40 <__divdf3+0x594> + 2e034: 10bff604 addi r2,r2,-40 + 2e038: 98a0983a sll r16,r19,r2 2e03c: 0025883a mov r18,zero - 2e040: 003ee206 br 2dbcc <_gp+0xffff0d60> + 2e040: 003ee206 br 2dbcc <__divdf3+0x220> 2e044: d9800315 stw r6,12(sp) 2e048: d9c00215 stw r7,8(sp) 2e04c: 002f7040 call 2f704 <__clzsi2> 2e050: 10800804 addi r2,r2,32 2e054: d9c00217 ldw r7,8(sp) 2e058: d9800317 ldw r6,12(sp) - 2e05c: 003ed106 br 2dba4 <_gp+0xffff0d38> - 2e060: 147ff604 addi r17,r2,-40 - 2e064: 3462983a sll r17,r6,r17 + 2e05c: 003ed106 br 2dba4 <__divdf3+0x1f8> + 2e060: 10bff604 addi r2,r2,-40 + 2e064: 30a2983a sll r17,r6,r2 2e068: 0011883a mov r8,zero - 2e06c: 003ef506 br 2dc44 <_gp+0xffff0dd8> + 2e06c: 003ef506 br 2dc44 <__divdf3+0x298> 2e070: 3009883a mov r4,r6 2e074: d9800315 stw r6,12(sp) 2e078: da400115 stw r9,4(sp) @@ -16826,7 +16805,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e080: 10800804 addi r2,r2,32 2e084: da400117 ldw r9,4(sp) 2e088: d9800317 ldw r6,12(sp) - 2e08c: 003ee306 br 2dc1c <_gp+0xffff0db0> + 2e08c: 003ee306 br 2dc1c <__divdf3+0x270> 2e090: 85a1883a add r16,r16,r22 2e094: 8585803a cmpltu r2,r16,r22 2e098: 1705883a add r2,r2,fp @@ -16837,38 +16816,38 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e0ac: 1c806926 beq r3,r18,2e254 <__divdf3+0x8a8> 2e0b0: 90c7c83a sub r3,r18,r3 2e0b4: 1023883a mov r17,r2 - 2e0b8: 003f5206 br 2de04 <_gp+0xffff0f98> - 2e0bc: 923f0436 bltu r18,r8,2dcd0 <_gp+0xffff0e64> + 2e0b8: 003f5206 br 2de04 <__divdf3+0x458> + 2e0bc: 923f0436 bltu r18,r8,2dcd0 <__divdf3+0x324> 2e0c0: 800897fa slli r4,r16,31 2e0c4: 9004d07a srli r2,r18,1 2e0c8: 8006d07a srli r3,r16,1 2e0cc: 902097fa slli r16,r18,31 2e0d0: 20a4b03a or r18,r4,r2 - 2e0d4: 003f0106 br 2dcdc <_gp+0xffff0e70> - 2e0d8: e4bff51e bne fp,r18,2e0b0 <_gp+0xffff1244> - 2e0dc: 85bff22e bgeu r16,r22,2e0a8 <_gp+0xffff123c> + 2e0d4: 003f0106 br 2dcdc <__divdf3+0x330> + 2e0d8: e4bff51e bne fp,r18,2e0b0 <__divdf3+0x704> + 2e0dc: 85bff22e bgeu r16,r22,2e0a8 <__divdf3+0x6fc> 2e0e0: e0c7c83a sub r3,fp,r3 2e0e4: 1023883a mov r17,r2 - 2e0e8: 003f4606 br 2de04 <_gp+0xffff0f98> + 2e0e8: 003f4606 br 2de04 <__divdf3+0x458> 2e0ec: 100f883a mov r7,r2 - 2e0f0: 003f2b06 br 2dda0 <_gp+0xffff0f34> + 2e0f0: 003f2b06 br 2dda0 <__divdf3+0x3f4> 2e0f4: 180d883a mov r6,r3 - 2e0f8: 003f1306 br 2dd48 <_gp+0xffff0edc> - 2e0fc: 813fe436 bltu r16,r4,2e090 <_gp+0xffff1224> + 2e0f8: 003f1306 br 2dd48 <__divdf3+0x39c> + 2e0fc: 813fe436 bltu r16,r4,2e090 <__divdf3+0x6e4> 2e100: 0007883a mov r3,zero - 2e104: 003f3f06 br 2de04 <_gp+0xffff0f98> + 2e104: 003f3f06 br 2de04 <__divdf3+0x458> 2e108: ba402c36 bltu r23,r9,2e1bc <__divdf3+0x810> 2e10c: 4dc05426 beq r9,r23,2e260 <__divdf3+0x8b4> 2e110: 1011883a mov r8,r2 - 2e114: 003f8906 br 2df3c <_gp+0xffff10d0> + 2e114: 003f8906 br 2df3c <__divdf3+0x590> 2e118: 023fffc4 movi r8,-1 - 2e11c: 003f8806 br 2df40 <_gp+0xffff10d4> + 2e11c: 003f8806 br 2df40 <__divdf3+0x594> 2e120: 1811883a mov r8,r3 - 2e124: 003f5406 br 2de78 <_gp+0xffff100c> + 2e124: 003f5406 br 2de78 <__divdf3+0x4cc> 2e128: 1025883a mov r18,r2 - 2e12c: 003f6d06 br 2dee4 <_gp+0xffff1078> + 2e12c: 003f6d06 br 2dee4 <__divdf3+0x538> 2e130: b827883a mov r19,r23 - 2e134: 003f8206 br 2df40 <_gp+0xffff10d4> + 2e134: 003f8206 br 2df40 <__divdf3+0x594> 2e138: 010007c4 movi r4,31 2e13c: 20c02616 blt r4,r3,2e1d8 <__divdf3+0x82c> 2e140: 00800804 movi r2,32 @@ -16895,20 +16874,20 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e194: 00800044 movi r2,1 2e198: 000b883a mov r5,zero 2e19c: 0025883a mov r18,zero - 2e1a0: 003e6106 br 2db28 <_gp+0xffff0cbc> + 2e1a0: 003e6106 br 2db28 <__divdf3+0x17c> 2e1a4: 85a1883a add r16,r16,r22 2e1a8: 8585803a cmpltu r2,r16,r22 2e1ac: 1705883a add r2,r2,fp 2e1b0: 14a5883a add r18,r2,r18 2e1b4: 8c7fff84 addi r17,r17,-2 - 2e1b8: 003f1106 br 2de00 <_gp+0xffff0f94> + 2e1b8: 003f1106 br 2de00 <__divdf3+0x454> 2e1bc: b589883a add r4,r22,r22 2e1c0: 25ad803a cmpltu r22,r4,r22 2e1c4: b739883a add fp,r22,fp 2e1c8: 40bfff84 addi r2,r8,-2 2e1cc: bf2f883a add r23,r23,fp 2e1d0: 202d883a mov r22,r4 - 2e1d4: 003f9306 br 2e024 <_gp+0xffff11b8> + 2e1d4: 003f9306 br 2e024 <__divdf3+0x678> 2e1d8: 013ff844 movi r4,-31 2e1dc: 2085c83a sub r2,r4,r2 2e1e0: 8888d83a srl r4,r17,r2 @@ -16927,35 +16906,35 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e214: 98c0004c andi r3,r19,1 2e218: 0005883a mov r2,zero 2e21c: 9464b03a or r18,r18,r17 - 2e220: 003e4106 br 2db28 <_gp+0xffff0cbc> + 2e220: 003e4106 br 2db28 <__divdf3+0x17c> 2e224: 1007883a mov r3,r2 2e228: 0023883a mov r17,zero 2e22c: 880a927a slli r5,r17,9 2e230: 1805883a mov r2,r3 2e234: 8822977a slli r17,r17,29 2e238: 280ad33a srli r5,r5,12 - 2e23c: 003ff406 br 2e210 <_gp+0xffff13a4> + 2e23c: 003ff406 br 2e210 <__divdf3+0x864> 2e240: 10c003cc andi r3,r2,15 2e244: 01000104 movi r4,4 - 2e248: 193ff626 beq r3,r4,2e224 <_gp+0xffff13b8> + 2e248: 193ff626 beq r3,r4,2e224 <__divdf3+0x878> 2e24c: 0023883a mov r17,zero - 2e250: 003fca06 br 2e17c <_gp+0xffff1310> - 2e254: 813fd336 bltu r16,r4,2e1a4 <_gp+0xffff1338> + 2e250: 003fca06 br 2e17c <__divdf3+0x7d0> + 2e254: 813fd336 bltu r16,r4,2e1a4 <__divdf3+0x7f8> 2e258: 1023883a mov r17,r2 - 2e25c: 003fa806 br 2e100 <_gp+0xffff1294> - 2e260: b0ffd636 bltu r22,r3,2e1bc <_gp+0xffff1350> + 2e25c: 003fa806 br 2e100 <__divdf3+0x754> + 2e260: b0ffd636 bltu r22,r3,2e1bc <__divdf3+0x810> 2e264: 1011883a mov r8,r2 - 2e268: b0ff341e bne r22,r3,2df3c <_gp+0xffff10d0> - 2e26c: 003f3406 br 2df40 <_gp+0xffff10d4> + 2e268: b0ff341e bne r22,r3,2df3c <__divdf3+0x590> + 2e26c: 003f3406 br 2df40 <__divdf3+0x594> 2e270: 0005883a mov r2,zero - 2e274: 003fe006 br 2e1f8 <_gp+0xffff138c> + 2e274: 003fe006 br 2e1f8 <__divdf3+0x84c> 2e278: 00800434 movhi r2,16 2e27c: 89400234 orhi r5,r17,8 2e280: 10bfffc4 addi r2,r2,-1 2e284: b807883a mov r3,r23 2e288: 288a703a and r5,r5,r2 2e28c: 4025883a mov r18,r8 - 2e290: 003e8206 br 2dc9c <_gp+0xffff0e30> + 2e290: 003e8206 br 2dc9c <__divdf3+0x2f0> 0002e294 <__eqdf2>: 2e294: 2804d53a srli r2,r5,20 @@ -16975,19 +16954,19 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e2cc: 10c00226 beq r2,r3,2e2d8 <__eqdf2+0x44> 2e2d0: 00800044 movi r2,1 2e2d4: f800283a ret - 2e2d8: 4a3ffd1e bne r9,r8,2e2d0 <_gp+0xffff1464> - 2e2dc: 21bffc1e bne r4,r6,2e2d0 <_gp+0xffff1464> + 2e2d8: 4a3ffd1e bne r9,r8,2e2d0 <__eqdf2+0x3c> + 2e2dc: 21bffc1e bne r4,r6,2e2d0 <__eqdf2+0x3c> 2e2e0: 29c00c26 beq r5,r7,2e314 <__eqdf2+0x80> - 2e2e4: 103ffa1e bne r2,zero,2e2d0 <_gp+0xffff1464> + 2e2e4: 103ffa1e bne r2,zero,2e2d0 <__eqdf2+0x3c> 2e2e8: 2244b03a or r2,r4,r9 2e2ec: 1004c03a cmpne r2,r2,zero 2e2f0: f800283a ret 2e2f4: 3214b03a or r10,r6,r8 - 2e2f8: 503ff426 beq r10,zero,2e2cc <_gp+0xffff1460> + 2e2f8: 503ff426 beq r10,zero,2e2cc <__eqdf2+0x38> 2e2fc: 00800044 movi r2,1 2e300: f800283a ret 2e304: 2254b03a or r10,r4,r9 - 2e308: 503fee26 beq r10,zero,2e2c4 <_gp+0xffff1458> + 2e308: 503fee26 beq r10,zero,2e2c4 <__eqdf2+0x30> 2e30c: 00800044 movi r2,1 2e310: f800283a ret 2e314: 0005883a mov r2,zero @@ -17027,26 +17006,26 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e394: 00800044 movi r2,1 2e398: f800283a ret 2e39c: 3212b03a or r9,r6,r8 - 2e3a0: 483fec26 beq r9,zero,2e354 <_gp+0xffff14e8> + 2e3a0: 483fec26 beq r9,zero,2e354 <__gedf2+0x38> 2e3a4: 00bfff84 movi r2,-2 2e3a8: f800283a ret 2e3ac: 39c03fcc andi r7,r7,255 2e3b0: 29c00626 beq r5,r7,2e3cc <__gedf2+0xb0> - 2e3b4: 283ff726 beq r5,zero,2e394 <_gp+0xffff1528> + 2e3b4: 283ff726 beq r5,zero,2e394 <__gedf2+0x78> 2e3b8: 00bfffc4 movi r2,-1 2e3bc: f800283a ret 2e3c0: 2292b03a or r9,r4,r10 - 2e3c4: 483fe126 beq r9,zero,2e34c <_gp+0xffff14e0> - 2e3c8: 003ff606 br 2e3a4 <_gp+0xffff1538> - 2e3cc: 18bff916 blt r3,r2,2e3b4 <_gp+0xffff1548> + 2e3c4: 483fe126 beq r9,zero,2e34c <__gedf2+0x30> + 2e3c8: 003ff606 br 2e3a4 <__gedf2+0x88> + 2e3cc: 18bff916 blt r3,r2,2e3b4 <__gedf2+0x98> 2e3d0: 10c00316 blt r2,r3,2e3e0 <__gedf2+0xc4> - 2e3d4: 42bff736 bltu r8,r10,2e3b4 <_gp+0xffff1548> + 2e3d4: 42bff736 bltu r8,r10,2e3b4 <__gedf2+0x98> 2e3d8: 52000326 beq r10,r8,2e3e8 <__gedf2+0xcc> 2e3dc: 5200042e bgeu r10,r8,2e3f0 <__gedf2+0xd4> - 2e3e0: 283fec1e bne r5,zero,2e394 <_gp+0xffff1528> - 2e3e4: 003ff406 br 2e3b8 <_gp+0xffff154c> - 2e3e8: 313ff236 bltu r6,r4,2e3b4 <_gp+0xffff1548> - 2e3ec: 21bffc36 bltu r4,r6,2e3e0 <_gp+0xffff1574> + 2e3e0: 283fec1e bne r5,zero,2e394 <__gedf2+0x78> + 2e3e4: 003ff406 br 2e3b8 <__gedf2+0x9c> + 2e3e8: 313ff236 bltu r6,r4,2e3b4 <__gedf2+0x98> + 2e3ec: 21bffc36 bltu r4,r6,2e3e0 <__gedf2+0xc4> 2e3f0: 0005883a mov r2,zero 2e3f4: f800283a ret @@ -17086,7 +17065,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e478: 00800044 movi r2,1 2e47c: f800283a ret 2e480: 30d2b03a or r9,r6,r3 - 2e484: 483fea26 beq r9,zero,2e430 <_gp+0xffff15c4> + 2e484: 483fea26 beq r9,zero,2e430 <__ledf2+0x38> 2e488: 00800084 movi r2,2 2e48c: f800283a ret 2e490: 39c03fcc andi r7,r7,255 @@ -17095,86 +17074,86 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e49c: 00800044 movi r2,1 2e4a0: f800283a ret 2e4a4: 2292b03a or r9,r4,r10 - 2e4a8: 483fdf26 beq r9,zero,2e428 <_gp+0xffff15bc> + 2e4a8: 483fdf26 beq r9,zero,2e428 <__ledf2+0x30> 2e4ac: 00800084 movi r2,2 2e4b0: f800283a ret 2e4b4: 4080030e bge r8,r2,2e4c4 <__ledf2+0xcc> - 2e4b8: 383fef26 beq r7,zero,2e478 <_gp+0xffff160c> + 2e4b8: 383fef26 beq r7,zero,2e478 <__ledf2+0x80> 2e4bc: 00bfffc4 movi r2,-1 2e4c0: f800283a ret - 2e4c4: 123feb16 blt r2,r8,2e474 <_gp+0xffff1608> - 2e4c8: 1abff336 bltu r3,r10,2e498 <_gp+0xffff162c> + 2e4c4: 123feb16 blt r2,r8,2e474 <__ledf2+0x7c> + 2e4c8: 1abff336 bltu r3,r10,2e498 <__ledf2+0xa0> 2e4cc: 50c00326 beq r10,r3,2e4dc <__ledf2+0xe4> 2e4d0: 50c0042e bgeu r10,r3,2e4e4 <__ledf2+0xec> - 2e4d4: 283fe81e bne r5,zero,2e478 <_gp+0xffff160c> - 2e4d8: 003ff806 br 2e4bc <_gp+0xffff1650> - 2e4dc: 313fee36 bltu r6,r4,2e498 <_gp+0xffff162c> - 2e4e0: 21bffc36 bltu r4,r6,2e4d4 <_gp+0xffff1668> + 2e4d4: 283fe81e bne r5,zero,2e478 <__ledf2+0x80> + 2e4d8: 003ff806 br 2e4bc <__ledf2+0xc4> + 2e4dc: 313fee36 bltu r6,r4,2e498 <__ledf2+0xa0> + 2e4e0: 21bffc36 bltu r4,r6,2e4d4 <__ledf2+0xdc> 2e4e4: 0005883a mov r2,zero 2e4e8: f800283a ret 0002e4ec <__muldf3>: 2e4ec: defff304 addi sp,sp,-52 - 2e4f0: 2804d53a srli r2,r5,20 - 2e4f4: dd800915 stw r22,36(sp) - 2e4f8: 282cd7fa srli r22,r5,31 - 2e4fc: dc000315 stw r16,12(sp) - 2e500: 04000434 movhi r16,16 - 2e504: dd400815 stw r21,32(sp) - 2e508: dc800515 stw r18,20(sp) + 2e4f0: dd400815 stw r21,32(sp) + 2e4f4: 282ad53a srli r21,r5,20 + 2e4f8: dd800915 stw r22,36(sp) + 2e4fc: 282cd7fa srli r22,r5,31 + 2e500: dc000315 stw r16,12(sp) + 2e504: 04000434 movhi r16,16 + 2e508: dd000715 stw r20,28(sp) 2e50c: 843fffc4 addi r16,r16,-1 2e510: dfc00c15 stw ra,48(sp) 2e514: df000b15 stw fp,44(sp) 2e518: ddc00a15 stw r23,40(sp) - 2e51c: dd000715 stw r20,28(sp) - 2e520: dcc00615 stw r19,24(sp) + 2e51c: dcc00615 stw r19,24(sp) + 2e520: dc800515 stw r18,20(sp) 2e524: dc400415 stw r17,16(sp) - 2e528: 1481ffcc andi r18,r2,2047 + 2e528: ad41ffcc andi r21,r21,2047 2e52c: 2c20703a and r16,r5,r16 - 2e530: b02b883a mov r21,r22 + 2e530: b029883a mov r20,r22 2e534: b2403fcc andi r9,r22,255 - 2e538: 90006026 beq r18,zero,2e6bc <__muldf3+0x1d0> + 2e538: a8006026 beq r21,zero,2e6bc <__muldf3+0x1d0> 2e53c: 0081ffc4 movi r2,2047 - 2e540: 2029883a mov r20,r4 - 2e544: 90803626 beq r18,r2,2e620 <__muldf3+0x134> - 2e548: 80800434 orhi r2,r16,16 - 2e54c: 100490fa slli r2,r2,3 + 2e540: 2027883a mov r19,r4 + 2e544: a8803626 beq r21,r2,2e620 <__muldf3+0x134> + 2e548: 80c00434 orhi r3,r16,16 + 2e54c: 180690fa slli r3,r3,3 2e550: 2020d77a srli r16,r4,29 - 2e554: 202890fa slli r20,r4,3 - 2e558: 94bf0044 addi r18,r18,-1023 - 2e55c: 80a0b03a or r16,r16,r2 - 2e560: 0027883a mov r19,zero + 2e554: 202690fa slli r19,r4,3 + 2e558: ad7f0044 addi r21,r21,-1023 + 2e55c: 80e0b03a or r16,r16,r3 + 2e560: 0025883a mov r18,zero 2e564: 0039883a mov fp,zero - 2e568: 3804d53a srli r2,r7,20 + 2e568: 3808d53a srli r4,r7,20 2e56c: 382ed7fa srli r23,r7,31 2e570: 04400434 movhi r17,16 2e574: 8c7fffc4 addi r17,r17,-1 - 2e578: 1081ffcc andi r2,r2,2047 + 2e578: 2101ffcc andi r4,r4,2047 2e57c: 3011883a mov r8,r6 2e580: 3c62703a and r17,r7,r17 2e584: ba803fcc andi r10,r23,255 - 2e588: 10006d26 beq r2,zero,2e740 <__muldf3+0x254> - 2e58c: 00c1ffc4 movi r3,2047 - 2e590: 10c06526 beq r2,r3,2e728 <__muldf3+0x23c> + 2e588: 20006d26 beq r4,zero,2e740 <__muldf3+0x254> + 2e58c: 0081ffc4 movi r2,2047 + 2e590: 20806526 beq r4,r2,2e728 <__muldf3+0x23c> 2e594: 88c00434 orhi r3,r17,16 2e598: 180690fa slli r3,r3,3 2e59c: 3022d77a srli r17,r6,29 2e5a0: 301090fa slli r8,r6,3 - 2e5a4: 10bf0044 addi r2,r2,-1023 + 2e5a4: 213f0044 addi r4,r4,-1023 2e5a8: 88e2b03a or r17,r17,r3 2e5ac: 000b883a mov r5,zero - 2e5b0: 9085883a add r2,r18,r2 - 2e5b4: 2cc8b03a or r4,r5,r19 + 2e5b0: a909883a add r4,r21,r4 + 2e5b4: 2c84b03a or r2,r5,r18 2e5b8: 00c003c4 movi r3,15 2e5bc: bdacf03a xor r22,r23,r22 - 2e5c0: 12c00044 addi r11,r2,1 - 2e5c4: 19009936 bltu r3,r4,2e82c <__muldf3+0x340> - 2e5c8: 200890ba slli r4,r4,2 + 2e5c0: 22c00044 addi r11,r4,1 + 2e5c4: 18809936 bltu r3,r2,2e82c <__muldf3+0x340> + 2e5c8: 100490ba slli r2,r2,2 2e5cc: 00c000f4 movhi r3,3 2e5d0: 18f97804 addi r3,r3,-6688 - 2e5d4: 20c9883a add r4,r4,r3 - 2e5d8: 20c00017 ldw r3,0(r4) - 2e5dc: 1800683a jmp r3 + 2e5d4: 10c5883a add r2,r2,r3 + 2e5d8: 10800017 ldw r2,0(r2) + 2e5dc: 1000683a jmp r2 2e5e0: 0002e82c andhi zero,zero,2976 2e5e4: 0002e640 call 2e64 <__alt_mem_onchip_memory2_0-0x1d19c> 2e5e8: 0002e640 call 2e64 <__alt_mem_onchip_memory2_0-0x1d19c> @@ -17193,11 +17172,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e61c: 0002ea34 movhi zero,2984 2e620: 2404b03a or r2,r4,r16 2e624: 10006f1e bne r2,zero,2e7e4 <__muldf3+0x2f8> - 2e628: 04c00204 movi r19,8 + 2e628: 04800204 movi r18,8 2e62c: 0021883a mov r16,zero - 2e630: 0029883a mov r20,zero + 2e630: 0027883a mov r19,zero 2e634: 07000084 movi fp,2 - 2e638: 003fcb06 br 2e568 <_gp+0xffff16fc> + 2e638: 003fcb06 br 2e568 <__muldf3+0x7c> 2e63c: 502d883a mov r22,r10 2e640: 00800084 movi r2,2 2e644: 28805726 beq r5,r2,2e7a4 <__muldf3+0x2b8> @@ -17205,19 +17184,19 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e64c: 28816626 beq r5,r2,2ebe8 <__muldf3+0x6fc> 2e650: 00800044 movi r2,1 2e654: 2881411e bne r5,r2,2eb5c <__muldf3+0x670> - 2e658: b02b883a mov r21,r22 + 2e658: b029883a mov r20,r22 2e65c: 0005883a mov r2,zero 2e660: 000b883a mov r5,zero - 2e664: 0029883a mov r20,zero + 2e664: 0027883a mov r19,zero 2e668: 1004953a slli r2,r2,20 - 2e66c: a8c03fcc andi r3,r21,255 + 2e66c: a0c03fcc andi r3,r20,255 2e670: 04400434 movhi r17,16 2e674: 8c7fffc4 addi r17,r17,-1 2e678: 180697fa slli r3,r3,31 2e67c: 2c4a703a and r5,r5,r17 2e680: 288ab03a or r5,r5,r2 2e684: 28c6b03a or r3,r5,r3 - 2e688: a005883a mov r2,r20 + 2e688: 9805883a mov r2,r19 2e68c: dfc00c17 ldw ra,48(sp) 2e690: df000b17 ldw fp,44(sp) 2e694: ddc00a17 ldw r23,40(sp) @@ -17231,7 +17210,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e6b4: dec00d04 addi sp,sp,52 2e6b8: f800283a ret 2e6bc: 2404b03a or r2,r4,r16 - 2e6c0: 2027883a mov r19,r4 + 2e6c0: 2025883a mov r18,r4 2e6c4: 10004226 beq r2,zero,2e7d0 <__muldf3+0x2e4> 2e6c8: 8000fc26 beq r16,zero,2eabc <__muldf3+0x5d0> 2e6cc: 8009883a mov r4,r16 @@ -17242,29 +17221,29 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e6e0: d9800217 ldw r6,8(sp) 2e6e4: d9c00017 ldw r7,0(sp) 2e6e8: da400117 ldw r9,4(sp) - 2e6ec: 113ffd44 addi r4,r2,-11 - 2e6f0: 00c00704 movi r3,28 - 2e6f4: 1900ed16 blt r3,r4,2eaac <__muldf3+0x5c0> - 2e6f8: 00c00744 movi r3,29 + 2e6ec: 10fffd44 addi r3,r2,-11 + 2e6f0: 01000704 movi r4,28 + 2e6f4: 20c0ed16 blt r4,r3,2eaac <__muldf3+0x5c0> + 2e6f8: 01000744 movi r4,29 2e6fc: 147ffe04 addi r17,r2,-8 - 2e700: 1907c83a sub r3,r3,r4 + 2e700: 20c9c83a sub r4,r4,r3 2e704: 8460983a sll r16,r16,r17 - 2e708: 98c6d83a srl r3,r19,r3 - 2e70c: 9c68983a sll r20,r19,r17 - 2e710: 1c20b03a or r16,r3,r16 - 2e714: 1080fcc4 addi r2,r2,1011 - 2e718: 00a5c83a sub r18,zero,r2 - 2e71c: 0027883a mov r19,zero + 2e708: 9108d83a srl r4,r18,r4 + 2e70c: 9466983a sll r19,r18,r17 + 2e710: 2420b03a or r16,r4,r16 + 2e714: 013f0084 movi r4,-1022 + 2e718: 20ebc83a sub r21,r4,r3 + 2e71c: 0025883a mov r18,zero 2e720: 0039883a mov fp,zero - 2e724: 003f9006 br 2e568 <_gp+0xffff16fc> - 2e728: 3446b03a or r3,r6,r17 - 2e72c: 1800261e bne r3,zero,2e7c8 <__muldf3+0x2dc> + 2e724: 003f9006 br 2e568 <__muldf3+0x7c> + 2e728: 3444b03a or r2,r6,r17 + 2e72c: 1000261e bne r2,zero,2e7c8 <__muldf3+0x2dc> 2e730: 0023883a mov r17,zero 2e734: 0011883a mov r8,zero 2e738: 01400084 movi r5,2 - 2e73c: 003f9c06 br 2e5b0 <_gp+0xffff1744> - 2e740: 3446b03a or r3,r6,r17 - 2e744: 18001c26 beq r3,zero,2e7b8 <__muldf3+0x2cc> + 2e73c: 003f9c06 br 2e5b0 <__muldf3+0xc4> + 2e740: 3444b03a or r2,r6,r17 + 2e744: 10001c26 beq r2,zero,2e7b8 <__muldf3+0x2cc> 2e748: 8800ce26 beq r17,zero,2ea84 <__muldf3+0x598> 2e74c: 8809883a mov r4,r17 2e750: d9800215 stw r6,8(sp) @@ -17284,104 +17263,104 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e788: 30c6d83a srl r3,r6,r3 2e78c: 3210983a sll r8,r6,r8 2e790: 1c62b03a or r17,r3,r17 - 2e794: 1080fcc4 addi r2,r2,1011 - 2e798: 0085c83a sub r2,zero,r2 + 2e794: 017f0084 movi r5,-1022 + 2e798: 2909c83a sub r4,r5,r4 2e79c: 000b883a mov r5,zero - 2e7a0: 003f8306 br 2e5b0 <_gp+0xffff1744> - 2e7a4: b02b883a mov r21,r22 + 2e7a0: 003f8306 br 2e5b0 <__muldf3+0xc4> + 2e7a4: b029883a mov r20,r22 2e7a8: 0081ffc4 movi r2,2047 2e7ac: 000b883a mov r5,zero - 2e7b0: 0029883a mov r20,zero - 2e7b4: 003fac06 br 2e668 <_gp+0xffff17fc> + 2e7b0: 0027883a mov r19,zero + 2e7b4: 003fac06 br 2e668 <__muldf3+0x17c> 2e7b8: 0023883a mov r17,zero 2e7bc: 0011883a mov r8,zero 2e7c0: 01400044 movi r5,1 - 2e7c4: 003f7a06 br 2e5b0 <_gp+0xffff1744> + 2e7c4: 003f7a06 br 2e5b0 <__muldf3+0xc4> 2e7c8: 014000c4 movi r5,3 - 2e7cc: 003f7806 br 2e5b0 <_gp+0xffff1744> - 2e7d0: 04c00104 movi r19,4 + 2e7cc: 003f7806 br 2e5b0 <__muldf3+0xc4> + 2e7d0: 04800104 movi r18,4 2e7d4: 0021883a mov r16,zero - 2e7d8: 0029883a mov r20,zero + 2e7d8: 0027883a mov r19,zero 2e7dc: 07000044 movi fp,1 - 2e7e0: 003f6106 br 2e568 <_gp+0xffff16fc> - 2e7e4: 04c00304 movi r19,12 + 2e7e0: 003f6106 br 2e568 <__muldf3+0x7c> + 2e7e4: 04800304 movi r18,12 2e7e8: 070000c4 movi fp,3 - 2e7ec: 003f5e06 br 2e568 <_gp+0xffff16fc> + 2e7ec: 003f5e06 br 2e568 <__muldf3+0x7c> 2e7f0: 01400434 movhi r5,16 - 2e7f4: 002b883a mov r21,zero + 2e7f4: 0029883a mov r20,zero 2e7f8: 297fffc4 addi r5,r5,-1 - 2e7fc: 053fffc4 movi r20,-1 + 2e7fc: 04ffffc4 movi r19,-1 2e800: 0081ffc4 movi r2,2047 - 2e804: 003f9806 br 2e668 <_gp+0xffff17fc> + 2e804: 003f9806 br 2e668 <__muldf3+0x17c> 2e808: 8023883a mov r17,r16 - 2e80c: a011883a mov r8,r20 + 2e80c: 9811883a mov r8,r19 2e810: e00b883a mov r5,fp - 2e814: 003f8a06 br 2e640 <_gp+0xffff17d4> + 2e814: 003f8a06 br 2e640 <__muldf3+0x154> 2e818: 8023883a mov r17,r16 - 2e81c: a011883a mov r8,r20 + 2e81c: 9811883a mov r8,r19 2e820: 482d883a mov r22,r9 2e824: e00b883a mov r5,fp - 2e828: 003f8506 br 2e640 <_gp+0xffff17d4> - 2e82c: a00ad43a srli r5,r20,16 + 2e828: 003f8506 br 2e640 <__muldf3+0x154> + 2e82c: 980ad43a srli r5,r19,16 2e830: 401ad43a srli r13,r8,16 - 2e834: a53fffcc andi r20,r20,65535 + 2e834: 9cffffcc andi r19,r19,65535 2e838: 423fffcc andi r8,r8,65535 - 2e83c: 4519383a mul r12,r8,r20 - 2e840: 4147383a mul r3,r8,r5 - 2e844: 6d09383a mul r4,r13,r20 - 2e848: 600cd43a srli r6,r12,16 + 2e83c: 44d9383a mul r12,r8,r19 + 2e840: 4145383a mul r2,r8,r5 + 2e844: 6ccd383a mul r6,r13,r19 + 2e848: 6006d43a srli r3,r12,16 2e84c: 2b5d383a mul r14,r5,r13 - 2e850: 20c9883a add r4,r4,r3 - 2e854: 310d883a add r6,r6,r4 - 2e858: 30c0022e bgeu r6,r3,2e864 <__muldf3+0x378> - 2e85c: 00c00074 movhi r3,1 - 2e860: 70dd883a add r14,r14,r3 - 2e864: 8826d43a srli r19,r17,16 + 2e850: 308d883a add r6,r6,r2 + 2e854: 198d883a add r6,r3,r6 + 2e858: 3080022e bgeu r6,r2,2e864 <__muldf3+0x378> + 2e85c: 00800074 movhi r2,1 + 2e860: 709d883a add r14,r14,r2 + 2e864: 8828d43a srli r20,r17,16 2e868: 8bffffcc andi r15,r17,65535 - 2e86c: 7d23383a mul r17,r15,r20 - 2e870: 7949383a mul r4,r15,r5 - 2e874: 9d29383a mul r20,r19,r20 + 2e86c: 7ce3383a mul r17,r15,r19 + 2e870: 7945383a mul r2,r15,r5 + 2e874: a4e7383a mul r19,r20,r19 2e878: 8814d43a srli r10,r17,16 2e87c: 3012943a slli r9,r6,16 - 2e880: a129883a add r20,r20,r4 + 2e880: 98a7883a add r19,r19,r2 2e884: 633fffcc andi r12,r12,65535 - 2e888: 5515883a add r10,r10,r20 + 2e888: 54d5883a add r10,r10,r19 2e88c: 3006d43a srli r3,r6,16 2e890: 4b13883a add r9,r9,r12 - 2e894: 2ccb383a mul r5,r5,r19 - 2e898: 5100022e bgeu r10,r4,2e8a4 <__muldf3+0x3b8> - 2e89c: 01000074 movhi r4,1 - 2e8a0: 290b883a add r5,r5,r4 + 2e894: 2d0b383a mul r5,r5,r20 + 2e898: 5080022e bgeu r10,r2,2e8a4 <__muldf3+0x3b8> + 2e89c: 00800074 movhi r2,1 + 2e8a0: 288b883a add r5,r5,r2 2e8a4: 802ad43a srli r21,r16,16 2e8a8: 843fffcc andi r16,r16,65535 2e8ac: 440d383a mul r6,r8,r16 2e8b0: 4565383a mul r18,r8,r21 - 2e8b4: 8349383a mul r4,r16,r13 + 2e8b4: 8359383a mul r12,r16,r13 2e8b8: 500e943a slli r7,r10,16 2e8bc: 3010d43a srli r8,r6,16 - 2e8c0: 5028d43a srli r20,r10,16 - 2e8c4: 2489883a add r4,r4,r18 + 2e8c0: 5026d43a srli r19,r10,16 + 2e8c4: 6499883a add r12,r12,r18 2e8c8: 8abfffcc andi r10,r17,65535 2e8cc: 3a95883a add r10,r7,r10 - 2e8d0: 4119883a add r12,r8,r4 - 2e8d4: a169883a add r20,r20,r5 + 2e8d0: 4319883a add r12,r8,r12 + 2e8d4: 9967883a add r19,r19,r5 2e8d8: 1a87883a add r3,r3,r10 2e8dc: 6d5b383a mul r13,r13,r21 2e8e0: 6480022e bgeu r12,r18,2e8ec <__muldf3+0x400> - 2e8e4: 01000074 movhi r4,1 - 2e8e8: 691b883a add r13,r13,r4 + 2e8e4: 00800074 movhi r2,1 + 2e8e8: 689b883a add r13,r13,r2 2e8ec: 7c25383a mul r18,r15,r16 2e8f0: 7d4b383a mul r5,r15,r21 - 2e8f4: 84cf383a mul r7,r16,r19 + 2e8f4: 850f383a mul r7,r16,r20 2e8f8: 901ed43a srli r15,r18,16 - 2e8fc: 6008d43a srli r4,r12,16 + 2e8fc: 6004d43a srli r2,r12,16 2e900: 6010943a slli r8,r12,16 2e904: 394f883a add r7,r7,r5 2e908: 333fffcc andi r12,r6,65535 2e90c: 79df883a add r15,r15,r7 - 2e910: 235b883a add r13,r4,r13 - 2e914: 9d63383a mul r17,r19,r21 - 2e918: 4309883a add r4,r8,r12 + 2e910: 135b883a add r13,r2,r13 + 2e914: a563383a mul r17,r20,r21 + 2e918: 4305883a add r2,r8,r12 2e91c: 7940022e bgeu r15,r5,2e928 <__muldf3+0x43c> 2e920: 01400074 movhi r5,1 2e924: 8963883a add r17,r17,r5 @@ -17390,68 +17369,68 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2e930: 70c7883a add r3,r14,r3 2e934: 298d883a add r6,r5,r6 2e938: 1a8f803a cmpltu r7,r3,r10 - 2e93c: 350b883a add r5,r6,r20 - 2e940: 20c7883a add r3,r4,r3 + 2e93c: 34cb883a add r5,r6,r19 + 2e940: 10c7883a add r3,r2,r3 2e944: 3955883a add r10,r7,r5 - 2e948: 1909803a cmpltu r4,r3,r4 + 2e948: 1885803a cmpltu r2,r3,r2 2e94c: 6a91883a add r8,r13,r10 2e950: 780cd43a srli r6,r15,16 - 2e954: 2219883a add r12,r4,r8 - 2e958: 2d0b803a cmpltu r5,r5,r20 + 2e954: 1219883a add r12,r2,r8 + 2e958: 2ccb803a cmpltu r5,r5,r19 2e95c: 51cf803a cmpltu r7,r10,r7 2e960: 29ceb03a or r7,r5,r7 2e964: 4351803a cmpltu r8,r8,r13 - 2e968: 610b803a cmpltu r5,r12,r4 - 2e96c: 4148b03a or r4,r8,r5 + 2e968: 608b803a cmpltu r5,r12,r2 + 2e96c: 4144b03a or r2,r8,r5 2e970: 398f883a add r7,r7,r6 - 2e974: 3909883a add r4,r7,r4 + 2e974: 3885883a add r2,r7,r2 2e978: 1810927a slli r8,r3,9 - 2e97c: 2449883a add r4,r4,r17 - 2e980: 2008927a slli r4,r4,9 + 2e97c: 1445883a add r2,r2,r17 + 2e980: 1004927a slli r2,r2,9 2e984: 6022d5fa srli r17,r12,23 2e988: 1806d5fa srli r3,r3,23 2e98c: 4252b03a or r9,r8,r9 2e990: 600a927a slli r5,r12,9 2e994: 4810c03a cmpne r8,r9,zero - 2e998: 2462b03a or r17,r4,r17 + 2e998: 1462b03a or r17,r2,r17 2e99c: 40c6b03a or r3,r8,r3 - 2e9a0: 8900402c andhi r4,r17,256 + 2e9a0: 8880402c andhi r2,r17,256 2e9a4: 1950b03a or r8,r3,r5 - 2e9a8: 20000726 beq r4,zero,2e9c8 <__muldf3+0x4dc> + 2e9a8: 10000726 beq r2,zero,2e9c8 <__muldf3+0x4dc> 2e9ac: 4006d07a srli r3,r8,1 2e9b0: 880497fa slli r2,r17,31 2e9b4: 4200004c andi r8,r8,1 2e9b8: 8822d07a srli r17,r17,1 2e9bc: 1a10b03a or r8,r3,r8 2e9c0: 1210b03a or r8,r2,r8 - 2e9c4: 5805883a mov r2,r11 - 2e9c8: 1140ffc4 addi r5,r2,1023 - 2e9cc: 0140440e bge zero,r5,2eae0 <__muldf3+0x5f4> + 2e9c4: 5809883a mov r4,r11 + 2e9c8: 2080ffc4 addi r2,r4,1023 + 2e9cc: 0080440e bge zero,r2,2eae0 <__muldf3+0x5f4> 2e9d0: 40c001cc andi r3,r8,7 2e9d4: 18000726 beq r3,zero,2e9f4 <__muldf3+0x508> 2e9d8: 40c003cc andi r3,r8,15 - 2e9dc: 01000104 movi r4,4 - 2e9e0: 19000426 beq r3,r4,2e9f4 <__muldf3+0x508> - 2e9e4: 4107883a add r3,r8,r4 + 2e9dc: 01400104 movi r5,4 + 2e9e0: 19400426 beq r3,r5,2e9f4 <__muldf3+0x508> + 2e9e4: 4147883a add r3,r8,r5 2e9e8: 1a11803a cmpltu r8,r3,r8 2e9ec: 8a23883a add r17,r17,r8 2e9f0: 1811883a mov r8,r3 2e9f4: 88c0402c andhi r3,r17,256 2e9f8: 18000426 beq r3,zero,2ea0c <__muldf3+0x520> - 2e9fc: 11410004 addi r5,r2,1024 - 2ea00: 00bfc034 movhi r2,65280 - 2ea04: 10bfffc4 addi r2,r2,-1 - 2ea08: 88a2703a and r17,r17,r2 - 2ea0c: 0081ff84 movi r2,2046 - 2ea10: 117f6416 blt r2,r5,2e7a4 <_gp+0xffff1938> - 2ea14: 8828977a slli r20,r17,29 + 2e9fc: 00ffc034 movhi r3,65280 + 2ea00: 18ffffc4 addi r3,r3,-1 + 2ea04: 20810004 addi r2,r4,1024 + 2ea08: 88e2703a and r17,r17,r3 + 2ea0c: 00c1ff84 movi r3,2046 + 2ea10: 18bf6416 blt r3,r2,2e7a4 <__muldf3+0x2b8> + 2ea14: 8826977a slli r19,r17,29 2ea18: 4010d0fa srli r8,r8,3 2ea1c: 8822927a slli r17,r17,9 - 2ea20: 2881ffcc andi r2,r5,2047 - 2ea24: a228b03a or r20,r20,r8 + 2ea20: 1081ffcc andi r2,r2,2047 + 2ea24: 9a26b03a or r19,r19,r8 2ea28: 880ad33a srli r5,r17,12 - 2ea2c: b02b883a mov r21,r22 - 2ea30: 003f0d06 br 2e668 <_gp+0xffff17fc> + 2ea2c: b029883a mov r20,r22 + 2ea30: 003f0d06 br 2e668 <__muldf3+0x17c> 2ea34: 8080022c andhi r2,r16,8 2ea38: 10000926 beq r2,zero,2ea60 <__muldf3+0x574> 2ea3c: 8880022c andhi r2,r17,8 @@ -17459,19 +17438,19 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ea44: 00800434 movhi r2,16 2ea48: 89400234 orhi r5,r17,8 2ea4c: 10bfffc4 addi r2,r2,-1 - 2ea50: b82b883a mov r21,r23 + 2ea50: b829883a mov r20,r23 2ea54: 288a703a and r5,r5,r2 - 2ea58: 4029883a mov r20,r8 - 2ea5c: 003f6806 br 2e800 <_gp+0xffff1994> + 2ea58: 4027883a mov r19,r8 + 2ea5c: 003f6806 br 2e800 <__muldf3+0x314> 2ea60: 00800434 movhi r2,16 2ea64: 81400234 orhi r5,r16,8 2ea68: 10bfffc4 addi r2,r2,-1 2ea6c: 288a703a and r5,r5,r2 - 2ea70: 003f6306 br 2e800 <_gp+0xffff1994> - 2ea74: 147ff604 addi r17,r2,-40 - 2ea78: 3462983a sll r17,r6,r17 + 2ea70: 003f6306 br 2e800 <__muldf3+0x314> + 2ea74: 10bff604 addi r2,r2,-40 + 2ea78: 30a2983a sll r17,r6,r2 2ea7c: 0011883a mov r8,zero - 2ea80: 003f4406 br 2e794 <_gp+0xffff1928> + 2ea80: 003f4406 br 2e794 <__muldf3+0x2a8> 2ea84: 3009883a mov r4,r6 2ea88: d9800215 stw r6,8(sp) 2ea8c: da400115 stw r9,4(sp) @@ -17481,11 +17460,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ea9c: da800017 ldw r10,0(sp) 2eaa0: da400117 ldw r9,4(sp) 2eaa4: d9800217 ldw r6,8(sp) - 2eaa8: 003f3006 br 2e76c <_gp+0xffff1900> - 2eaac: 143ff604 addi r16,r2,-40 - 2eab0: 9c20983a sll r16,r19,r16 - 2eab4: 0029883a mov r20,zero - 2eab8: 003f1606 br 2e714 <_gp+0xffff18a8> + 2eaa8: 003f3006 br 2e76c <__muldf3+0x280> + 2eaac: 10bff604 addi r2,r2,-40 + 2eab0: 90a0983a sll r16,r18,r2 + 2eab4: 0027883a mov r19,zero + 2eab8: 003f1606 br 2e714 <__muldf3+0x228> 2eabc: d9800215 stw r6,8(sp) 2eac0: d9c00015 stw r7,0(sp) 2eac4: da400115 stw r9,4(sp) @@ -17494,13 +17473,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ead0: da400117 ldw r9,4(sp) 2ead4: d9c00017 ldw r7,0(sp) 2ead8: d9800217 ldw r6,8(sp) - 2eadc: 003f0306 br 2e6ec <_gp+0xffff1880> + 2eadc: 003f0306 br 2e6ec <__muldf3+0x200> 2eae0: 00c00044 movi r3,1 - 2eae4: 1947c83a sub r3,r3,r5 - 2eae8: 00800e04 movi r2,56 - 2eaec: 10feda16 blt r2,r3,2e658 <_gp+0xffff17ec> - 2eaf0: 008007c4 movi r2,31 - 2eaf4: 10c01b16 blt r2,r3,2eb64 <__muldf3+0x678> + 2eae4: 1887c83a sub r3,r3,r2 + 2eae8: 01000e04 movi r4,56 + 2eaec: 20feda16 blt r4,r3,2e658 <__muldf3+0x16c> + 2eaf0: 010007c4 movi r4,31 + 2eaf4: 20c01b16 blt r4,r3,2eb64 <__muldf3+0x678> 2eaf8: 00800804 movi r2,32 2eafc: 10c5c83a sub r2,r2,r3 2eb00: 888a983a sll r5,r17,r2 @@ -17521,15 +17500,15 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2eb3c: 88a3883a add r17,r17,r2 2eb40: 8880202c andhi r2,r17,128 2eb44: 10001c26 beq r2,zero,2ebb8 <__muldf3+0x6cc> - 2eb48: b02b883a mov r21,r22 + 2eb48: b029883a mov r20,r22 2eb4c: 00800044 movi r2,1 2eb50: 000b883a mov r5,zero - 2eb54: 0029883a mov r20,zero - 2eb58: 003ec306 br 2e668 <_gp+0xffff17fc> - 2eb5c: 5805883a mov r2,r11 - 2eb60: 003f9906 br 2e9c8 <_gp+0xffff1b5c> - 2eb64: 00bff844 movi r2,-31 - 2eb68: 1145c83a sub r2,r2,r5 + 2eb54: 0027883a mov r19,zero + 2eb58: 003ec306 br 2e668 <__muldf3+0x17c> + 2eb5c: 5809883a mov r4,r11 + 2eb60: 003f9906 br 2e9c8 <__muldf3+0x4dc> + 2eb64: 013ff844 movi r4,-31 + 2eb68: 2085c83a sub r2,r4,r2 2eb6c: 8888d83a srl r4,r17,r2 2eb70: 00800804 movi r2,32 2eb74: 18801a26 beq r3,r2,2ebe0 <__muldf3+0x6f4> @@ -17542,32 +17521,32 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2eb90: 144001cc andi r17,r2,7 2eb94: 88000d1e bne r17,zero,2ebcc <__muldf3+0x6e0> 2eb98: 000b883a mov r5,zero - 2eb9c: 1028d0fa srli r20,r2,3 - 2eba0: b02b883a mov r21,r22 + 2eb9c: 1026d0fa srli r19,r2,3 + 2eba0: b029883a mov r20,r22 2eba4: 0005883a mov r2,zero - 2eba8: a468b03a or r20,r20,r17 - 2ebac: 003eae06 br 2e668 <_gp+0xffff17fc> + 2eba8: 9c66b03a or r19,r19,r17 + 2ebac: 003eae06 br 2e668 <__muldf3+0x17c> 2ebb0: 1007883a mov r3,r2 2ebb4: 0023883a mov r17,zero 2ebb8: 880a927a slli r5,r17,9 2ebbc: 1805883a mov r2,r3 2ebc0: 8822977a slli r17,r17,29 2ebc4: 280ad33a srli r5,r5,12 - 2ebc8: 003ff406 br 2eb9c <_gp+0xffff1d30> + 2ebc8: 003ff406 br 2eb9c <__muldf3+0x6b0> 2ebcc: 10c003cc andi r3,r2,15 2ebd0: 01000104 movi r4,4 - 2ebd4: 193ff626 beq r3,r4,2ebb0 <_gp+0xffff1d44> + 2ebd4: 193ff626 beq r3,r4,2ebb0 <__muldf3+0x6c4> 2ebd8: 0023883a mov r17,zero - 2ebdc: 003fd506 br 2eb34 <_gp+0xffff1cc8> + 2ebdc: 003fd506 br 2eb34 <__muldf3+0x648> 2ebe0: 0005883a mov r2,zero - 2ebe4: 003fe706 br 2eb84 <_gp+0xffff1d18> + 2ebe4: 003fe706 br 2eb84 <__muldf3+0x698> 2ebe8: 00800434 movhi r2,16 2ebec: 89400234 orhi r5,r17,8 2ebf0: 10bfffc4 addi r2,r2,-1 - 2ebf4: b02b883a mov r21,r22 + 2ebf4: b029883a mov r20,r22 2ebf8: 288a703a and r5,r5,r2 - 2ebfc: 4029883a mov r20,r8 - 2ec00: 003eff06 br 2e800 <_gp+0xffff1994> + 2ebfc: 4027883a mov r19,r8 + 2ec00: 003eff06 br 2e800 <__muldf3+0x314> 0002ec04 <__subdf3>: 2ec04: 02000434 movhi r8,16 @@ -17644,7 +17623,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ed20: dec00504 addi sp,sp,20 2ed24: f800283a ret 2ed28: 0101ffc4 movi r4,2047 - 2ed2c: 813fd626 beq r16,r4,2ec88 <_gp+0xffff1e1c> + 2ed2c: 813fd626 beq r16,r4,2ec88 <__subdf3+0x84> 2ed30: 29402034 orhi r5,r5,128 2ed34: 01000e04 movi r4,56 2ed38: 2080a316 blt r4,r2,2efc8 <__subdf3+0x3c4> @@ -17696,13 +17675,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2edf0: 290ab03a or r5,r5,r4 2edf4: 28a2b03a or r17,r5,r2 2edf8: 0021883a mov r16,zero - 2edfc: 003fa206 br 2ec88 <_gp+0xffff1e1c> + 2edfc: 003fa206 br 2ec88 <__subdf3+0x84> 2ee00: 2090b03a or r8,r4,r2 2ee04: 40018e26 beq r8,zero,2f440 <__subdf3+0x83c> 2ee08: 1007883a mov r3,r2 2ee0c: 2023883a mov r17,r4 2ee10: 888001cc andi r2,r17,7 - 2ee14: 103f9e1e bne r2,zero,2ec90 <_gp+0xffff1e24> + 2ee14: 103f9e1e bne r2,zero,2ec90 <__subdf3+0x8c> 2ee18: 1804977a slli r2,r3,29 2ee1c: 8822d0fa srli r17,r17,3 2ee20: 1810d0fa srli r8,r3,3 @@ -17714,11 +17693,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ee38: 297fffc4 addi r5,r5,-1 2ee3c: 80e0703a and r16,r16,r3 2ee40: 414a703a and r5,r8,r5 - 2ee44: 003fa806 br 2ece8 <_gp+0xffff1e7c> + 2ee44: 003fa806 br 2ece8 <__subdf3+0xe4> 2ee48: 0080630e bge zero,r2,2efd8 <__subdf3+0x3d4> 2ee4c: 48003026 beq r9,zero,2ef10 <__subdf3+0x30c> 2ee50: 0101ffc4 movi r4,2047 - 2ee54: 813f8c26 beq r16,r4,2ec88 <_gp+0xffff1e1c> + 2ee54: 813f8c26 beq r16,r4,2ec88 <__subdf3+0x84> 2ee58: 29402034 orhi r5,r5,128 2ee5c: 01000e04 movi r4,56 2ee60: 2080a90e bge r4,r2,2f108 <__subdf3+0x504> @@ -17745,18 +17724,18 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2eeb4: 1806d07a srli r3,r3,1 2eeb8: 1462b03a or r17,r2,r17 2eebc: 3825883a mov r18,r7 - 2eec0: 003f7106 br 2ec88 <_gp+0xffff1e1c> + 2eec0: 003f7106 br 2ec88 <__subdf3+0x84> 2eec4: 2984b03a or r2,r5,r6 - 2eec8: 103f6826 beq r2,zero,2ec6c <_gp+0xffff1e00> + 2eec8: 103f6826 beq r2,zero,2ec6c <__subdf3+0x68> 2eecc: 39c03fcc andi r7,r7,255 - 2eed0: 003f6706 br 2ec70 <_gp+0xffff1e04> + 2eed0: 003f6706 br 2ec70 <__subdf3+0x6c> 2eed4: 4086b03a or r3,r8,r2 2eed8: 18015226 beq r3,zero,2f424 <__subdf3+0x820> 2eedc: 00c00434 movhi r3,16 2eee0: 41400234 orhi r5,r8,8 2eee4: 18ffffc4 addi r3,r3,-1 2eee8: 28ca703a and r5,r5,r3 - 2eeec: 003f7e06 br 2ece8 <_gp+0xffff1e7c> + 2eeec: 003f7e06 br 2ece8 <__subdf3+0xe4> 2eef0: 10bfffc4 addi r2,r2,-1 2eef4: 1000491e bne r2,zero,2f01c <__subdf3+0x418> 2eef8: 898fc83a sub r7,r17,r6 @@ -17764,9 +17743,9 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ef00: 1947c83a sub r3,r3,r5 2ef04: 1c47c83a sub r3,r3,r17 2ef08: 3823883a mov r17,r7 - 2ef0c: 003f9b06 br 2ed7c <_gp+0xffff1f10> + 2ef0c: 003f9b06 br 2ed7c <__subdf3+0x178> 2ef10: 2988b03a or r4,r5,r6 - 2ef14: 203f5c26 beq r4,zero,2ec88 <_gp+0xffff1e1c> + 2ef14: 203f5c26 beq r4,zero,2ec88 <__subdf3+0x84> 2ef18: 10bfffc4 addi r2,r2,-1 2ef1c: 1000931e bne r2,zero,2f16c <__subdf3+0x568> 2ef20: 898d883a add r6,r17,r6 @@ -17774,7 +17753,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ef28: 1947883a add r3,r3,r5 2ef2c: 88c7883a add r3,r17,r3 2ef30: 3023883a mov r17,r6 - 2ef34: 003fd306 br 2ee84 <_gp+0xffff2018> + 2ef34: 003fd306 br 2ee84 <__subdf3+0x280> 2ef38: 1000541e bne r2,zero,2f08c <__subdf3+0x488> 2ef3c: 80800044 addi r2,r16,1 2ef40: 1081ffcc andi r2,r2,2047 @@ -17791,30 +17770,30 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2ef6c: 344d803a cmpltu r6,r6,r17 2ef70: 19a7c83a sub r19,r3,r6 2ef74: 3825883a mov r18,r7 - 2ef78: 983f861e bne r19,zero,2ed94 <_gp+0xffff1f28> + 2ef78: 983f861e bne r19,zero,2ed94 <__subdf3+0x190> 2ef7c: 8809883a mov r4,r17 2ef80: 002f7040 call 2f704 <__clzsi2> 2ef84: 10800804 addi r2,r2,32 2ef88: 113ffe04 addi r4,r2,-8 2ef8c: 00c007c4 movi r3,31 - 2ef90: 193f850e bge r3,r4,2eda8 <_gp+0xffff1f3c> + 2ef90: 193f850e bge r3,r4,2eda8 <__subdf3+0x1a4> 2ef94: 10bff604 addi r2,r2,-40 2ef98: 8884983a sll r2,r17,r2 2ef9c: 0023883a mov r17,zero - 2efa0: 243f880e bge r4,r16,2edc4 <_gp+0xffff1f58> + 2efa0: 243f880e bge r4,r16,2edc4 <__subdf3+0x1c0> 2efa4: 00ffe034 movhi r3,65408 2efa8: 18ffffc4 addi r3,r3,-1 2efac: 8121c83a sub r16,r16,r4 2efb0: 10c6703a and r3,r2,r3 - 2efb4: 003f3406 br 2ec88 <_gp+0xffff1e1c> + 2efb4: 003f3406 br 2ec88 <__subdf3+0x84> 2efb8: 9100004c andi r4,r18,1 2efbc: 000b883a mov r5,zero 2efc0: 0005883a mov r2,zero - 2efc4: 003f4806 br 2ece8 <_gp+0xffff1e7c> + 2efc4: 003f4806 br 2ece8 <__subdf3+0xe4> 2efc8: 298cb03a or r6,r5,r6 2efcc: 300cc03a cmpne r6,r6,zero 2efd0: 0005883a mov r2,zero - 2efd4: 003f6406 br 2ed68 <_gp+0xffff1efc> + 2efd4: 003f6406 br 2ed68 <__subdf3+0x164> 2efd8: 10009a1e bne r2,zero,2f244 <__subdf3+0x640> 2efdc: 82400044 addi r9,r16,1 2efe0: 4881ffcc andi r2,r9,2047 @@ -17831,10 +17810,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f00c: 1806d07a srli r3,r3,1 2f010: 4821883a mov r16,r9 2f014: 89a2b03a or r17,r17,r6 - 2f018: 003f1b06 br 2ec88 <_gp+0xffff1e1c> + 2f018: 003f1b06 br 2ec88 <__subdf3+0x84> 2f01c: 0101ffc4 movi r4,2047 - 2f020: 813f441e bne r16,r4,2ed34 <_gp+0xffff1ec8> - 2f024: 003f1806 br 2ec88 <_gp+0xffff1e1c> + 2f020: 813f441e bne r16,r4,2ed34 <__subdf3+0x130> + 2f024: 003f1806 br 2ec88 <__subdf3+0x84> 2f028: 843ff844 addi r16,r16,-31 2f02c: 01400804 movi r5,32 2f030: 1408d83a srl r4,r2,r16 @@ -17847,7 +17826,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f04c: 2462b03a or r17,r4,r17 2f050: 0007883a mov r3,zero 2f054: 0021883a mov r16,zero - 2f058: 003f6d06 br 2ee10 <_gp+0xffff1fa4> + 2f058: 003f6d06 br 2ee10 <__subdf3+0x20c> 2f05c: 11fff804 addi r7,r2,-32 2f060: 01000804 movi r4,32 2f064: 29ced83a srl r7,r5,r7 @@ -17859,7 +17838,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f07c: 300cc03a cmpne r6,r6,zero 2f080: 398cb03a or r6,r7,r6 2f084: 0005883a mov r2,zero - 2f088: 003f3706 br 2ed68 <_gp+0xffff1efc> + 2f088: 003f3706 br 2ed68 <__subdf3+0x164> 2f08c: 80002a26 beq r16,zero,2f138 <__subdf3+0x534> 2f090: 0101ffc4 movi r4,2047 2f094: 49006626 beq r9,r4,2f230 <__subdf3+0x62c> @@ -17884,13 +17863,13 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f0e0: 1187c83a sub r3,r2,r6 2f0e4: 4821883a mov r16,r9 2f0e8: 3825883a mov r18,r7 - 2f0ec: 003f2306 br 2ed7c <_gp+0xffff1f10> + 2f0ec: 003f2306 br 2ed7c <__subdf3+0x178> 2f0f0: 24d0b03a or r8,r4,r19 2f0f4: 40001b1e bne r8,zero,2f164 <__subdf3+0x560> 2f0f8: 0005883a mov r2,zero 2f0fc: 0009883a mov r4,zero 2f100: 0021883a mov r16,zero - 2f104: 003f4906 br 2ee2c <_gp+0xffff1fc0> + 2f104: 003f4906 br 2ee2c <__subdf3+0x228> 2f108: 010007c4 movi r4,31 2f10c: 20803a16 blt r4,r2,2f1f8 <__subdf3+0x5f4> 2f110: 01000804 movi r4,32 @@ -17902,7 +17881,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f128: 4a12b03a or r9,r9,r8 2f12c: 2008c03a cmpne r4,r4,zero 2f130: 4912b03a or r9,r9,r4 - 2f134: 003f4e06 br 2ee70 <_gp+0xffff2004> + 2f134: 003f4e06 br 2ee70 <__subdf3+0x26c> 2f138: 1c48b03a or r4,r3,r17 2f13c: 20003c26 beq r4,zero,2f230 <__subdf3+0x62c> 2f140: 0084303a nor r2,zero,r2 @@ -17913,35 +17892,35 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f154: 1187c83a sub r3,r2,r6 2f158: 4821883a mov r16,r9 2f15c: 3825883a mov r18,r7 - 2f160: 003f0606 br 2ed7c <_gp+0xffff1f10> + 2f160: 003f0606 br 2ed7c <__subdf3+0x178> 2f164: 2023883a mov r17,r4 - 2f168: 003f0906 br 2ed90 <_gp+0xffff1f24> + 2f168: 003f0906 br 2ed90 <__subdf3+0x18c> 2f16c: 0101ffc4 movi r4,2047 - 2f170: 813f3a1e bne r16,r4,2ee5c <_gp+0xffff1ff0> - 2f174: 003ec406 br 2ec88 <_gp+0xffff1e1c> + 2f170: 813f3a1e bne r16,r4,2ee5c <__subdf3+0x258> + 2f174: 003ec406 br 2ec88 <__subdf3+0x84> 2f178: 0005883a mov r2,zero - 2f17c: 003fb106 br 2f044 <_gp+0xffff21d8> + 2f17c: 003fb106 br 2f044 <__subdf3+0x440> 2f180: 0005883a mov r2,zero - 2f184: 003fbc06 br 2f078 <_gp+0xffff220c> + 2f184: 003fbc06 br 2f078 <__subdf3+0x474> 2f188: 1c44b03a or r2,r3,r17 2f18c: 80008e1e bne r16,zero,2f3c8 <__subdf3+0x7c4> 2f190: 1000c826 beq r2,zero,2f4b4 <__subdf3+0x8b0> 2f194: 2984b03a or r2,r5,r6 - 2f198: 103ebb26 beq r2,zero,2ec88 <_gp+0xffff1e1c> + 2f198: 103ebb26 beq r2,zero,2ec88 <__subdf3+0x84> 2f19c: 8989883a add r4,r17,r6 2f1a0: 1945883a add r2,r3,r5 2f1a4: 2447803a cmpltu r3,r4,r17 2f1a8: 1887883a add r3,r3,r2 2f1ac: 1880202c andhi r2,r3,128 2f1b0: 2023883a mov r17,r4 - 2f1b4: 103f1626 beq r2,zero,2ee10 <_gp+0xffff1fa4> + 2f1b4: 103f1626 beq r2,zero,2ee10 <__subdf3+0x20c> 2f1b8: 00bfe034 movhi r2,65408 2f1bc: 10bfffc4 addi r2,r2,-1 2f1c0: 5021883a mov r16,r10 2f1c4: 1886703a and r3,r3,r2 - 2f1c8: 003eaf06 br 2ec88 <_gp+0xffff1e1c> + 2f1c8: 003eaf06 br 2ec88 <__subdf3+0x84> 2f1cc: 3825883a mov r18,r7 - 2f1d0: 003f0f06 br 2ee10 <_gp+0xffff1fa4> + 2f1d0: 003f0f06 br 2ee10 <__subdf3+0x20c> 2f1d4: 1c44b03a or r2,r3,r17 2f1d8: 8000251e bne r16,zero,2f270 <__subdf3+0x66c> 2f1dc: 1000661e bne r2,zero,2f378 <__subdf3+0x774> @@ -17950,7 +17929,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f1e8: 2807883a mov r3,r5 2f1ec: 3023883a mov r17,r6 2f1f0: 3825883a mov r18,r7 - 2f1f4: 003ea406 br 2ec88 <_gp+0xffff1e1c> + 2f1f4: 003ea406 br 2ec88 <__subdf3+0x84> 2f1f8: 127ff804 addi r9,r2,-32 2f1fc: 01000804 movi r4,32 2f200: 2a52d83a srl r9,r5,r9 @@ -17962,14 +17941,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f218: 300cc03a cmpne r6,r6,zero 2f21c: 4992b03a or r9,r9,r6 2f220: 0005883a mov r2,zero - 2f224: 003f1206 br 2ee70 <_gp+0xffff2004> + 2f224: 003f1206 br 2ee70 <__subdf3+0x26c> 2f228: 0101ffc4 movi r4,2047 - 2f22c: 493f9c1e bne r9,r4,2f0a0 <_gp+0xffff2234> + 2f22c: 493f9c1e bne r9,r4,2f0a0 <__subdf3+0x49c> 2f230: 2807883a mov r3,r5 2f234: 3023883a mov r17,r6 2f238: 4821883a mov r16,r9 2f23c: 3825883a mov r18,r7 - 2f240: 003e9106 br 2ec88 <_gp+0xffff1e1c> + 2f240: 003e9106 br 2ec88 <__subdf3+0x84> 2f244: 80001f1e bne r16,zero,2f2c4 <__subdf3+0x6c0> 2f248: 1c48b03a or r4,r3,r17 2f24c: 20005a26 beq r4,zero,2f3b8 <__subdf3+0x7b4> @@ -17980,7 +17959,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f260: 898d803a cmpltu r6,r17,r6 2f264: 3087883a add r3,r6,r2 2f268: 4821883a mov r16,r9 - 2f26c: 003f0506 br 2ee84 <_gp+0xffff2018> + 2f26c: 003f0506 br 2ee84 <__subdf3+0x280> 2f270: 10002b1e bne r2,zero,2f320 <__subdf3+0x71c> 2f274: 2984b03a or r2,r5,r6 2f278: 10008026 beq r2,zero,2f47c <__subdf3+0x878> @@ -17988,20 +17967,20 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f280: 3023883a mov r17,r6 2f284: 3825883a mov r18,r7 2f288: 0401ffc4 movi r16,2047 - 2f28c: 003e7e06 br 2ec88 <_gp+0xffff1e1c> + 2f28c: 003e7e06 br 2ec88 <__subdf3+0x84> 2f290: 3809883a mov r4,r7 2f294: 0011883a mov r8,zero 2f298: 0005883a mov r2,zero - 2f29c: 003ee306 br 2ee2c <_gp+0xffff1fc0> + 2f29c: 003ee306 br 2ee2c <__subdf3+0x228> 2f2a0: 1c62b03a or r17,r3,r17 2f2a4: 8822c03a cmpne r17,r17,zero 2f2a8: 0005883a mov r2,zero - 2f2ac: 003f8906 br 2f0d4 <_gp+0xffff2268> + 2f2ac: 003f8906 br 2f0d4 <__subdf3+0x4d0> 2f2b0: 3809883a mov r4,r7 2f2b4: 4821883a mov r16,r9 2f2b8: 0011883a mov r8,zero 2f2bc: 0005883a mov r2,zero - 2f2c0: 003eda06 br 2ee2c <_gp+0xffff1fc0> + 2f2c0: 003eda06 br 2ee2c <__subdf3+0x228> 2f2c4: 0101ffc4 movi r4,2047 2f2c8: 49003b26 beq r9,r4,2f3b8 <__subdf3+0x7b4> 2f2cc: 0085c83a sub r2,zero,r2 @@ -18024,7 +18003,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f310: 898d803a cmpltu r6,r17,r6 2f314: 3087883a add r3,r6,r2 2f318: 4821883a mov r16,r9 - 2f31c: 003ed906 br 2ee84 <_gp+0xffff2018> + 2f31c: 003ed906 br 2ee84 <__subdf3+0x280> 2f320: 2984b03a or r2,r5,r6 2f324: 10004226 beq r2,zero,2f430 <__subdf3+0x82c> 2f328: 1808d0fa srli r4,r3,3 @@ -18046,27 +18025,27 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f368: 882290fa slli r17,r17,3 2f36c: 0401ffc4 movi r16,2047 2f370: 1906b03a or r3,r3,r4 - 2f374: 003e4406 br 2ec88 <_gp+0xffff1e1c> + 2f374: 003e4406 br 2ec88 <__subdf3+0x84> 2f378: 2984b03a or r2,r5,r6 - 2f37c: 103e4226 beq r2,zero,2ec88 <_gp+0xffff1e1c> + 2f37c: 103e4226 beq r2,zero,2ec88 <__subdf3+0x84> 2f380: 8989c83a sub r4,r17,r6 2f384: 8911803a cmpltu r8,r17,r4 2f388: 1945c83a sub r2,r3,r5 2f38c: 1205c83a sub r2,r2,r8 2f390: 1200202c andhi r8,r2,128 - 2f394: 403e9a26 beq r8,zero,2ee00 <_gp+0xffff1f94> + 2f394: 403e9a26 beq r8,zero,2ee00 <__subdf3+0x1fc> 2f398: 3463c83a sub r17,r6,r17 2f39c: 28c5c83a sub r2,r5,r3 2f3a0: 344d803a cmpltu r6,r6,r17 2f3a4: 1187c83a sub r3,r2,r6 2f3a8: 3825883a mov r18,r7 - 2f3ac: 003e3606 br 2ec88 <_gp+0xffff1e1c> + 2f3ac: 003e3606 br 2ec88 <__subdf3+0x84> 2f3b0: 0101ffc4 movi r4,2047 - 2f3b4: 493fc71e bne r9,r4,2f2d4 <_gp+0xffff2468> + 2f3b4: 493fc71e bne r9,r4,2f2d4 <__subdf3+0x6d0> 2f3b8: 2807883a mov r3,r5 2f3bc: 3023883a mov r17,r6 2f3c0: 4821883a mov r16,r9 - 2f3c4: 003e3006 br 2ec88 <_gp+0xffff1e1c> + 2f3c4: 003e3006 br 2ec88 <__subdf3+0x84> 2f3c8: 10003626 beq r2,zero,2f4a4 <__subdf3+0x8a0> 2f3cc: 2984b03a or r2,r5,r6 2f3d0: 10001726 beq r2,zero,2f430 <__subdf3+0x82c> @@ -18089,17 +18068,17 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f414: 3825883a mov r18,r7 2f418: 1906b03a or r3,r3,r4 2f41c: 0401ffc4 movi r16,2047 - 2f420: 003e1906 br 2ec88 <_gp+0xffff1e1c> + 2f420: 003e1906 br 2ec88 <__subdf3+0x84> 2f424: 000b883a mov r5,zero 2f428: 0005883a mov r2,zero - 2f42c: 003e2e06 br 2ece8 <_gp+0xffff1e7c> + 2f42c: 003e2e06 br 2ece8 <__subdf3+0xe4> 2f430: 0401ffc4 movi r16,2047 - 2f434: 003e1406 br 2ec88 <_gp+0xffff1e1c> + 2f434: 003e1406 br 2ec88 <__subdf3+0x84> 2f438: 0005883a mov r2,zero - 2f43c: 003f7506 br 2f214 <_gp+0xffff23a8> + 2f43c: 003f7506 br 2f214 <__subdf3+0x610> 2f440: 0005883a mov r2,zero 2f444: 0009883a mov r4,zero - 2f448: 003e7806 br 2ee2c <_gp+0xffff1fc0> + 2f448: 003e7806 br 2ee2c <__subdf3+0x228> 2f44c: 123ff804 addi r8,r2,-32 2f450: 01000804 movi r4,32 2f454: 1a10d83a srl r8,r3,r8 @@ -18111,24 +18090,24 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f46c: 1004c03a cmpne r2,r2,zero 2f470: 40a2b03a or r17,r8,r2 2f474: 0005883a mov r2,zero - 2f478: 003f1606 br 2f0d4 <_gp+0xffff2268> + 2f478: 003f1606 br 2f0d4 <__subdf3+0x4d0> 2f47c: 02000434 movhi r8,16 2f480: 0009883a mov r4,zero 2f484: 423fffc4 addi r8,r8,-1 2f488: 00bfffc4 movi r2,-1 2f48c: 0401ffc4 movi r16,2047 - 2f490: 003e6606 br 2ee2c <_gp+0xffff1fc0> + 2f490: 003e6606 br 2ee2c <__subdf3+0x228> 2f494: 1c62b03a or r17,r3,r17 2f498: 8822c03a cmpne r17,r17,zero 2f49c: 0005883a mov r2,zero - 2f4a0: 003f9906 br 2f308 <_gp+0xffff249c> + 2f4a0: 003f9906 br 2f308 <__subdf3+0x704> 2f4a4: 2807883a mov r3,r5 2f4a8: 3023883a mov r17,r6 2f4ac: 0401ffc4 movi r16,2047 - 2f4b0: 003df506 br 2ec88 <_gp+0xffff1e1c> + 2f4b0: 003df506 br 2ec88 <__subdf3+0x84> 2f4b4: 2807883a mov r3,r5 2f4b8: 3023883a mov r17,r6 - 2f4bc: 003df206 br 2ec88 <_gp+0xffff1e1c> + 2f4bc: 003df206 br 2ec88 <__subdf3+0x84> 2f4c0: 123ff804 addi r8,r2,-32 2f4c4: 01000804 movi r4,32 2f4c8: 1a10d83a srl r8,r3,r8 @@ -18140,11 +18119,11 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f4e0: 1004c03a cmpne r2,r2,zero 2f4e4: 40a2b03a or r17,r8,r2 2f4e8: 0005883a mov r2,zero - 2f4ec: 003f8606 br 2f308 <_gp+0xffff249c> + 2f4ec: 003f8606 br 2f308 <__subdf3+0x704> 2f4f0: 0005883a mov r2,zero - 2f4f4: 003fdc06 br 2f468 <_gp+0xffff25fc> + 2f4f4: 003fdc06 br 2f468 <__subdf3+0x864> 2f4f8: 0005883a mov r2,zero - 2f4fc: 003ff706 br 2f4dc <_gp+0xffff2670> + 2f4fc: 003ff706 br 2f4dc <__subdf3+0x8d8> 0002f500 <__fixdfsi>: 2f500: 280cd53a srli r6,r5,20 @@ -18178,7 +18157,7 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f570: 008104c4 movi r2,1043 2f574: 1185c83a sub r2,r2,r6 2f578: 1884d83a srl r2,r3,r2 - 2f57c: 003ff306 br 2f54c <_gp+0xffff26e0> + 2f57c: 003ff306 br 2f54c <__fixdfsi+0x4c> 0002f580 <__floatsidf>: 2f580: defffd04 addi sp,sp,-12 @@ -18228,14 +18207,14 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f630: 2941ffcc andi r5,r5,2047 2f634: 1906703a and r3,r3,r4 2f638: 800d883a mov r6,r16 - 2f63c: 003fe906 br 2f5e4 <_gp+0xffff2778> + 2f63c: 003fe906 br 2f5e4 <__floatsidf+0x64> 2f640: 000d883a mov r6,zero 2f644: 000b883a mov r5,zero 2f648: 0007883a mov r3,zero 2f64c: 0005883a mov r2,zero - 2f650: 003fe406 br 2f5e4 <_gp+0xffff2778> + 2f650: 003fe406 br 2f5e4 <__floatsidf+0x64> 2f654: 0123c83a sub r17,zero,r4 - 2f658: 003fd106 br 2f5a0 <_gp+0xffff2734> + 2f658: 003fd106 br 2f5a0 <__floatsidf+0x20> 0002f65c <__floatunsidf>: 2f65c: defffe04 addi sp,sp,-8 @@ -18276,10 +18255,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f6e8: 81a0983a sll r16,r16,r6 2f6ec: 2101ffcc andi r4,r4,2047 2f6f0: 28ca703a and r5,r5,r3 - 2f6f4: 003fed06 br 2f6ac <_gp+0xffff2840> + 2f6f4: 003fed06 br 2f6ac <__floatunsidf+0x50> 2f6f8: 0009883a mov r4,zero 2f6fc: 000b883a mov r5,zero - 2f700: 003fea06 br 2f6ac <_gp+0xffff2840> + 2f700: 003fea06 br 2f6ac <__floatunsidf+0x50> 0002f704 <__clzsi2>: 2f704: 00bfffd4 movui r2,65535 @@ -18303,10 +18282,10 @@ void QUEUE_Empty(QUEUE_STRUCT *pQueue){ 2f74c: f800283a ret 2f750: 00800604 movi r2,24 2f754: 00c00204 movi r3,8 - 2f758: 003ff606 br 2f734 <_gp+0xffff28c8> + 2f758: 003ff606 br 2f734 <__clzsi2+0x30> 2f75c: 00800404 movi r2,16 2f760: 1007883a mov r3,r2 - 2f764: 003ff306 br 2f734 <_gp+0xffff28c8> + 2f764: 003ff306 br 2f734 <__clzsi2+0x30> 0002f768 : #undef errno @@ -18848,7 +18827,7 @@ static void ALT_INLINE alt_load_section (alt_u32* from, while( to != end ) 2fc60: e0fffe17 ldw r3,-8(fp) 2fc64: e0bfff17 ldw r2,-4(fp) - 2fc68: 18bff51e bne r3,r2,2fc40 <_gp+0xffff2dd4> + 2fc68: 18bff51e bne r3,r2,2fc40 { *to++ = *from++; } @@ -19653,7 +19632,7 @@ void alt_tick (void) while (alarm != (alt_alarm*) &alt_alarm_list) 302c8: e0fffd17 ldw r3,-12(fp) 302cc: d0a01004 addi r2,gp,-32704 - 302d0: 18bfcf1e bne r3,r2,30210 <_gp+0xffff33a4> + 302d0: 18bfcf1e bne r3,r2,30210 /* * Update the operating system specific timer facilities. @@ -20251,7 +20230,7 @@ static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } - 30830: 003fe206 br 307bc <_gp+0xffff3950> + 30830: 003fe206 br 307bc /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ @@ -20306,7 +20285,7 @@ static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) 30888: e0bffd17 ldw r2,-12(fp) 3088c: 1080800c andi r2,r2,512 - 30890: 103fbe26 beq r2,zero,3078c <_gp+0xffff3920> + 30890: 103fbe26 beq r2,zero,3078c { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; @@ -20357,7 +20336,7 @@ static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) 30900: 10c00d17 ldw r3,52(r2) 30904: e0bffb17 ldw r2,-20(fp) 30908: 10800c17 ldw r2,48(r2) - 3090c: 18bfe51e bne r3,r2,308a4 <_gp+0xffff3a38> + 3090c: 18bfe51e bne r3,r2,308a4 ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; @@ -20365,7 +20344,7 @@ static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) if (space > 0) 30910: e0bffa17 ldw r2,-24(fp) - 30914: 103f9d26 beq r2,zero,3078c <_gp+0xffff3920> + 30914: 103f9d26 beq r2,zero,3078c { /* If we don't have any more data available then turn off the TX interrupt */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; @@ -20392,7 +20371,7 @@ static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) } } } - 30958: 003f8c06 br 3078c <_gp+0xffff3920> + 30958: 003f8c06 br 3078c { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); @@ -20519,7 +20498,7 @@ int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) 30a60: 10c00917 ldw r3,36(r2) 30a64: e0bffe17 ldw r2,-8(fp) 30a68: 10800117 ldw r2,4(r2) - 30a6c: 18bff136 bltu r3,r2,30a34 <_gp+0xffff3bc8> + 30a6c: 18bff136 bltu r3,r2,30a34 if (flags & O_NONBLOCK) { return -EWOULDBLOCK; } @@ -20749,7 +20728,7 @@ altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, } while (space > 0); 30c5c: e0bffe17 ldw r2,-8(fp) - 30c60: 00bfcf16 blt zero,r2,30ba0 <_gp+0xffff3d34> + 30c60: 00bfcf16 blt zero,r2,30ba0 30c64: 00000106 br 30c6c n = in - out; else @@ -20789,7 +20768,7 @@ altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, 30c9c: 10c00917 ldw r3,36(r2) 30ca0: e0bffc17 ldw r2,-16(fp) 30ca4: 10800117 ldw r2,4(r2) - 30ca8: 18bff736 bltu r3,r2,30c88 <_gp+0xffff3e1c> + 30ca8: 18bff736 bltu r3,r2,30c88 ; #endif /* __ucosii__ */ @@ -20805,7 +20784,7 @@ altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, while (space > 0) 30cbc: e0bffe17 ldw r2,-8(fp) - 30cc0: 00bfb716 blt zero,r2,30ba0 <_gp+0xffff3d34> + 30cc0: 00bfb716 blt zero,r2,30ba0 30cc4: 00000506 br 30cdc } while (space > 0); @@ -21027,7 +21006,7 @@ altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, /* Copy as much as we can into the transmit buffer */ while (count > 0) 30e9c: e0bffe17 ldw r2,-8(fp) - 30ea0: 00bfc716 blt zero,r2,30dc0 <_gp+0xffff3f54> + 30ea0: 00bfc716 blt zero,r2,30dc0 30ea4: 00000106 br 30eac n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; else @@ -21112,7 +21091,7 @@ static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE 30f38: 10c00917 ldw r3,36(r2) 30f3c: e0bffc17 ldw r2,-16(fp) 30f40: 10800117 ldw r2,4(r2) - 30f44: 18bff736 bltu r3,r2,30f24 <_gp+0xffff40b8> + 30f44: 18bff736 bltu r3,r2,30f24 ; #endif /* __ucosii__ */ @@ -21125,7 +21104,7 @@ static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE } while (count > 0); 30f54: e0bffe17 ldw r2,-8(fp) - 30f58: 00bfd016 blt zero,r2,30e9c <_gp+0xffff4030> + 30f58: 00bfd016 blt zero,r2,30e9c 30f5c: 00000306 br 30f6c */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ @@ -21553,7 +21532,7 @@ unsigned int alt_busy_sleep (unsigned int us) 31264: 00a00034 movhi r2,32768 31268: 10bfffc4 addi r2,r2,-1 3126c: 10bfffc4 addi r2,r2,-1 - 31270: 103ffe1e bne r2,zero,3126c <_gp+0xffff4400> + 31270: 103ffe1e bne r2,zero,3126c "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" @@ -21593,7 +21572,7 @@ unsigned int alt_busy_sleep (unsigned int us) 312bc: e0bffc15 stw r2,-16(fp) 312c0: e0fffc17 ldw r3,-16(fp) 312c4: e0bffe17 ldw r2,-8(fp) - 312c8: 18bfe616 blt r3,r2,31264 <_gp+0xffff43f8> + 312c8: 18bfe616 blt r3,r2,31264 "\n\tbne %0,zero,0b" "\n1:" "\n\t.pushsection .debug_alt_sim_info" @@ -21618,7 +21597,7 @@ unsigned int alt_busy_sleep (unsigned int us) */ __asm__ volatile ( 312f8: 10bfffc4 addi r2,r2,-1 - 312fc: 103ffe1e bne r2,zero,312f8 <_gp+0xffff448c> + 312fc: 103ffe1e bne r2,zero,312f8 31300: 00000d06 br 31338 "\n\tbgt %0,zero,0b" "\n1:" @@ -21644,7 +21623,7 @@ unsigned int alt_busy_sleep (unsigned int us) */ __asm__ volatile ( 31330: 10bfffc4 addi r2,r2,-1 - 31334: 00bffe16 blt zero,r2,31330 <_gp+0xffff44c4> + 31334: 00bffe16 blt zero,r2,31330 "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (us*(ALT_CPU_FREQ/(cycles_per_loop * 1000000)))); @@ -21690,7 +21669,7 @@ void alt_dcache_flush_all (void) 31374: e0bfff15 stw r2,-4(fp) 31378: e0bfff17 ldw r2,-4(fp) 3137c: 10820030 cmpltui r2,r2,2048 - 31380: 103ff81e bne r2,zero,31364 <_gp+0xffff44f8> + 31380: 103ff81e bne r2,zero,31364 { __asm__ volatile ("flushd (%0)" :: "r" (i)); } @@ -21842,7 +21821,7 @@ void _do_ctors(void) 314c4: e0ffff17 ldw r3,-4(fp) 314c8: 008000f4 movhi r2,3 314cc: 1088d004 addi r2,r2,9024 - 314d0: 18bff62e bgeu r3,r2,314ac <_gp+0xffff4640> + 314d0: 18bff62e bgeu r3,r2,314ac <_do_ctors+0x20> (*ctor) (); } 314d4: 0001883a nop @@ -21886,7 +21865,7 @@ void _do_dtors(void) 31524: e0ffff17 ldw r3,-4(fp) 31528: 008000f4 movhi r2,3 3152c: 1088d004 addi r2,r2,9024 - 31530: 18bff62e bgeu r3,r2,3150c <_gp+0xffff46a0> + 31530: 18bff62e bgeu r3,r2,3150c <_do_dtors+0x20> (*dtor) (); } 31534: 0001883a nop @@ -22484,7 +22463,7 @@ static int alt_file_locked (alt_fd* fd) 31a88: 10800017 ldw r2,0(r2) 31a8c: 1007883a mov r3,r2 31a90: e0bffe17 ldw r2,-8(fp) - 31a94: 18bfdd2e bgeu r3,r2,31a0c <_gp+0xffff4ba0> + 31a94: 18bfdd2e bgeu r3,r2,31a0c } } @@ -22737,7 +22716,7 @@ alt_dev* alt_find_dev(const char* name, alt_llist* llist) while (next != (alt_dev*) llist) 31c94: e0fffc17 ldw r3,-16(fp) 31c98: e0bfff17 ldw r2,-4(fp) - 31c9c: 18bff01e bne r3,r2,31c60 <_gp+0xffff4df4> + 31c9c: 18bff01e bne r3,r2,31c60 next = (alt_dev*) next->llist.next; } @@ -22857,7 +22836,7 @@ alt_dev* alt_find_file (const char* name) 31da4: e0fffd17 ldw r3,-12(fp) 31da8: 008000f4 movhi r2,3 31dac: 1093a404 addi r2,r2,20112 - 31db0: 18bfcb1e bne r3,r2,31ce0 <_gp+0xffff4e74> + 31db0: 18bfcb1e bne r3,r2,31ce0 next = (alt_dev*) next->llist.next; } @@ -22942,7 +22921,7 @@ int alt_get_fd (alt_dev* dev) 31e5c: e0bffd15 stw r2,-12(fp) 31e60: e0bffd17 ldw r2,-12(fp) 31e64: 10800810 cmplti r2,r2,32 - 31e68: 103fe01e bne r2,zero,31dec <_gp+0xffff4f80> + 31e68: 103fe01e bne r2,zero,31dec * file descriptor pool. */ @@ -23008,7 +22987,7 @@ void alt_icache_flush (void* start, alt_u32 len) 31ed4: e0bffc15 stw r2,-16(fp) 31ed8: e0fffc17 ldw r3,-16(fp) 31edc: e0bffd17 ldw r2,-12(fp) - 31ee0: 18bff836 bltu r3,r2,31ec4 <_gp+0xffff5058> + 31ee0: 18bff836 bltu r3,r2,31ec4 * For an unaligned flush request, we've got one more line left. * Note that this is dependent on NIOS2_ICACHE_LINE_SIZE to be a * multiple of 2 (which it always is). @@ -23142,18 +23121,18 @@ alt_exception_cause_generated_bad_addr(alt_exception_cause cause) 32030: 10c00e1e bne r2,r3,3206c 32034: 21000044 addi r4,r4,1 32038: 29400044 addi r5,r5,1 - 3203c: 31fff91e bne r6,r7,32024 <_gp+0xffff51b8> + 3203c: 31fff91e bne r6,r7,32024 32040: 0005883a mov r2,zero 32044: f800283a ret 32048: 20c00017 ldw r3,0(r4) 3204c: 28800017 ldw r2,0(r5) - 32050: 18bfee1e bne r3,r2,3200c <_gp+0xffff51a0> + 32050: 18bfee1e bne r3,r2,3200c 32054: 31bfff04 addi r6,r6,-4 32058: 21000104 addi r4,r4,4 3205c: 29400104 addi r5,r5,4 - 32060: 39bff936 bltu r7,r6,32048 <_gp+0xffff51dc> - 32064: 303fe91e bne r6,zero,3200c <_gp+0xffff51a0> - 32068: 003ff506 br 32040 <_gp+0xffff51d4> + 32060: 39bff936 bltu r7,r6,32048 + 32064: 303fe91e bne r6,zero,3200c + 32068: 003ff506 br 32040 3206c: 10c5c83a sub r2,r2,r3 32070: f800283a ret @@ -23206,7 +23185,7 @@ alt_exception_cause_generated_bad_addr(alt_exception_cause cause) 32128: 20805215 stw r2,328(r4) 3212c: 10006215 stw zero,392(r2) 32130: 10006315 stw zero,396(r2) - 32134: 883fde26 beq r17,zero,320b0 <_gp+0xffff5244> + 32134: 883fde26 beq r17,zero,320b0 <__register_exitproc+0x3c> 32138: 18c9883a add r4,r3,r3 3213c: 2109883a add r4,r4,r4 32140: 1109883a add r4,r2,r4 @@ -23218,16 +23197,16 @@ alt_exception_cause_generated_bad_addr(alt_exception_cause cause) 32158: 12406215 stw r9,392(r2) 3215c: 21c04215 stw r7,264(r4) 32160: 01000084 movi r4,2 - 32164: 893fd21e bne r17,r4,320b0 <_gp+0xffff5244> + 32164: 893fd21e bne r17,r4,320b0 <__register_exitproc+0x3c> 32168: 11006317 ldw r4,396(r2) 3216c: 218cb03a or r6,r4,r6 32170: 11806315 stw r6,396(r2) - 32174: 003fce06 br 320b0 <_gp+0xffff5244> + 32174: 003fce06 br 320b0 <__register_exitproc+0x3c> 32178: 18805304 addi r2,r3,332 3217c: 18805215 stw r2,328(r3) - 32180: 003fc606 br 3209c <_gp+0xffff5230> + 32180: 003fc606 br 3209c <__register_exitproc+0x28> 32184: 00bfffc4 movi r2,-1 - 32188: 003fd006 br 320cc <_gp+0xffff5260> + 32188: 003fd006 br 320cc <__register_exitproc+0x58> 0003218c <__call_exitprocs>: 3218c: defff504 addi sp,sp,-44 @@ -23266,7 +23245,7 @@ alt_exception_cause_generated_bad_addr(alt_exception_cause cause) 32210: bdffffc4 addi r23,r23,-1 32214: 843fff04 addi r16,r16,-4 32218: 8c7fff04 addi r17,r17,-4 - 3221c: bd7ff91e bne r23,r21,32204 <_gp+0xffff5398> + 3221c: bd7ff91e bne r23,r21,32204 <__call_exitprocs+0x78> 32220: 008000b4 movhi r2,2 32224: 108afa04 addi r2,r2,11240 32228: 10000926 beq r2,zero,32250 <__call_exitprocs+0xc4> @@ -23278,7 +23257,7 @@ alt_exception_cause_generated_bad_addr(alt_exception_cause cause) 32240: 98800015 stw r2,0(r19) 32244: 0022be80 call 22be8 32248: 9d000017 ldw r20,0(r19) - 3224c: a03fe41e bne r20,zero,321e0 <_gp+0xffff5374> + 3224c: a03fe41e bne r20,zero,321e0 <__call_exitprocs+0x54> 32250: dfc00a17 ldw ra,40(sp) 32254: df000917 ldw fp,36(sp) 32258: ddc00817 ldw r23,32(sp) @@ -23296,7 +23275,7 @@ alt_exception_cause_generated_bad_addr(alt_exception_cause cause) 32288: 10bfffc4 addi r2,r2,-1 3228c: 15c01426 beq r2,r23,322e0 <__call_exitprocs+0x154> 32290: 80000015 stw zero,0(r16) - 32294: 183fde26 beq r3,zero,32210 <_gp+0xffff53a4> + 32294: 183fde26 beq r3,zero,32210 <__call_exitprocs+0x84> 32298: 95c8983a sll r4,r18,r23 3229c: a0806217 ldw r2,392(r20) 322a0: a5800117 ldw r22,4(r20) @@ -23309,23 +23288,23 @@ alt_exception_cause_generated_bad_addr(alt_exception_cause cause) 322bc: d9000017 ldw r4,0(sp) 322c0: 183ee83a callr r3 322c4: a0800117 ldw r2,4(r20) - 322c8: 15bfbf1e bne r2,r22,321c8 <_gp+0xffff535c> + 322c8: 15bfbf1e bne r2,r22,321c8 <__call_exitprocs+0x3c> 322cc: 98800017 ldw r2,0(r19) - 322d0: 153fcf26 beq r2,r20,32210 <_gp+0xffff53a4> - 322d4: 003fbc06 br 321c8 <_gp+0xffff535c> + 322d0: 153fcf26 beq r2,r20,32210 <__call_exitprocs+0x84> + 322d4: 003fbc06 br 321c8 <__call_exitprocs+0x3c> 322d8: 183ee83a callr r3 - 322dc: 003ff906 br 322c4 <_gp+0xffff5458> + 322dc: 003ff906 br 322c4 <__call_exitprocs+0x138> 322e0: a5c00115 stw r23,4(r20) - 322e4: 003feb06 br 32294 <_gp+0xffff5428> + 322e4: 003feb06 br 32294 <__call_exitprocs+0x108> 322e8: 89000017 ldw r4,0(r17) 322ec: 183ee83a callr r3 - 322f0: 003ff406 br 322c4 <_gp+0xffff5458> + 322f0: 003ff406 br 322c4 <__call_exitprocs+0x138> 322f4: a0800017 ldw r2,0(r20) 322f8: a027883a mov r19,r20 322fc: 1029883a mov r20,r2 - 32300: 003fb606 br 321dc <_gp+0xffff5370> + 32300: 003fb606 br 321dc <__call_exitprocs+0x50> 32304: 0005883a mov r2,zero - 32308: 003ffb06 br 322f8 <_gp+0xffff548c> + 32308: 003ffb06 br 322f8 <__call_exitprocs+0x16c> 0003230c <_exit>: * @@ -23373,4 +23352,4 @@ static ALT_INLINE ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); while (1); - 3233c: 003fff06 br 3233c <_gp+0xffff54d0> + 3233c: 003fff06 br 3233c <_exit+0x30> diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c index bfe2481..c0b3e26 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c @@ -1,10 +1,9 @@ - - #include #include "I2C_core.h" #include "terasic_includes.h" #include "mipi_camera_config.h" #include "mipi_bridge_config.h" +#include "system.h" #include "auto_focus.h" @@ -82,82 +81,56 @@ void mipi_show_error_info_more(void){ printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); } - - bool MIPI_Init(void){ bool bSuccess; - - bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K if (!bSuccess) printf("failed to init MIPI- Bridge i2c\r\n"); - usleep(50*1000); MipiBridgeInit(); - usleep(500*1000); - // bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K // if (!bSuccess) // printf("failed to init MIPI- Camera i2c\r\n"); - MipiCameraInit(); MIPI_BIN_LEVEL(DEFAULT_LEVEL); // OV8865_FOCUS_Move_to(340); - // oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - - usleep(1000); - - // oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); - return bSuccess; } - - - int main() { - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); + printf("DE10-LITE D8M VGA Demo\n"); + printf("Imperial College EEE2 Project version\n"); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + usleep(2000); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + usleep(2000); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); + usleep(2000); - printf("DE10-LITE D8M VGA Demo\n"); - printf("Imperial College EEE2 Project version\n"); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - - usleep(2000); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - usleep(2000); - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - - printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); - //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - - - usleep(2000); - - - // MIPI Init - if (!MIPI_Init()){ - printf("MIPI_Init Init failed!\r\n"); - }else{ - printf("MIPI_Init Init successfully!\r\n"); - } - -// while(1){ - mipi_clear_error(); - usleep(50*1000); - mipi_clear_error(); - usleep(1000*1000); - mipi_show_error_info(); -// mipi_show_error_info_more(); - printf("\n"); -// } + // MIPI Init + if (!MIPI_Init()){ + printf("MIPI_Init Init failed!\r\n"); + }else{ + printf("MIPI_Init Init successfully!\r\n"); + } + // while(1){ + mipi_clear_error(); + usleep(50*1000); + mipi_clear_error(); + usleep(1000*1000); + mipi_show_error_info(); + // mipi_show_error_info_more(); + printf("\n"); + // } #if 0 // focus sweep printf("\nFocus sweep\n"); @@ -171,16 +144,11 @@ int main() else ii -= 20; printf("%d\n",ii); - OV8865_FOCUS_Move_to(ii); - usleep(50*1000); + OV8865_FOCUS_Move_to(ii); + usleep(50*1000); } #endif - - - - - ////////////////////////////////////////////////////////// alt_u16 bin_level = DEFAULT_LEVEL; alt_u8 manual_focus_step = 10; diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject index 601cc56..33b8c17 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject @@ -1,37 +1,44 @@ - - + + - + - - - - - - - + + + + + + + - - + + - - - - + + + + + + + + + + - + + @@ -40,16 +47,16 @@ - + - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml index 5734959..06daa80 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml @@ -1,8 +1,8 @@ - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h index 8c9a8c4..c15ca05 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h @@ -244,12 +244,7 @@ void alt_log_write(const void *ptr, size_t len); /* extern all global variables */ - /* CASE:368514 - The boot message flag is linked into the sdata section - * because if it is zero, it would otherwise be placed in the bss section. - * alt_log examines this variable before the BSS is cleared in the boot-up - * process. - */ - extern volatile alt_u32 alt_log_boot_on_flag __attribute__ ((section (".sdata"))); + extern volatile alt_u32 alt_log_boot_on_flag; extern volatile alt_u8 alt_log_write_on_flag; extern volatile alt_u8 alt_log_sys_clk_on_flag; extern volatile alt_u8 alt_log_jtag_uart_alarm_on_flag; diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c index de0abe1..644fdb4 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c @@ -35,28 +35,17 @@ /* * Linker defined symbols. - These used to be - * extern alt_u32 __flash_rwdata_start; - * extern alt_u32 __ram_rwdata_start; - * extern alt_u32 __ram_rwdata_end; - * but that results in a fatal error when compiling -mgpopt=global - * because gcc assumes they are normal C variables in .sdata - * and therefore addressable from gp using a 16-bit offset, - * when in fact they are special values defined by linker.x - * and located nowhere near .sdata. - * Specifying __attribute__((section(".data"))) will force these - * in .data. (CASE:258384.) */ -extern alt_u32 __flash_rwdata_start __attribute__((section(".data"))); -extern alt_u32 __ram_rwdata_start __attribute__((section(".data"))); -extern alt_u32 __ram_rwdata_end __attribute__((section(".data"))); -extern alt_u32 __flash_rodata_start __attribute__((section(".data"))); -extern alt_u32 __ram_rodata_start __attribute__((section(".data"))); -extern alt_u32 __ram_rodata_end __attribute__((section(".data"))); -extern alt_u32 __flash_exceptions_start __attribute__((section(".data"))); -extern alt_u32 __ram_exceptions_start __attribute__((section(".data"))); -extern alt_u32 __ram_exceptions_end __attribute__((section(".data"))); +extern alt_u32 __flash_rwdata_start; +extern alt_u32 __ram_rwdata_start; +extern alt_u32 __ram_rwdata_end; +extern alt_u32 __flash_rodata_start; +extern alt_u32 __ram_rodata_start; +extern alt_u32 __ram_rodata_end; +extern alt_u32 __flash_exceptions_start; +extern alt_u32 __ram_exceptions_start; +extern alt_u32 __ram_exceptions_end; /* * alt_load() is called when the code is executing from flash. In this case diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c index 67d63fd..1f7056d 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c @@ -52,13 +52,13 @@ char alt_log_write_buf[ALT_LOG_WRITE_ECHO_LEN+2]; /* global variables for all 'on' flags */ /* - * CASE:368514 - The boot message flag is linked into the sdata section + * The boot message flag is linked into the data (rwdata) section * because if it is zero, it would otherwise be placed in the bss section. * alt_log examines this variable before the BSS is cleared in the boot-up * process. */ volatile alt_u32 alt_log_boot_on_flag \ - __attribute__ ((section (".sdata"))) = ALT_LOG_BOOT_ON_FLAG_SETTING; + __attribute__ ((section (".data"))) = ALT_LOG_BOOT_ON_FLAG_SETTING; volatile alt_u8 alt_log_write_on_flag = ALT_LOG_WRITE_ON_FLAG_SETTING; diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile index 462f36a..3f9282b 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile @@ -93,12 +93,12 @@ OBJ_DIR := ./obj # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 16.1 -ACDS_VERSION := 16.1 +# ACDS_VERSION: 16.0 +ACDS_VERSION := 16.0 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 196 +# BUILD_NUMBER: 222 SETTINGS_FILE := settings.bsp SOPC_FILE := ../../Qsys.sopcinfo @@ -147,6 +147,17 @@ BSP_CFLAGS_WARNINGS = -Wall # C compiler command. CC = nios2-elf-gcc -xc +# C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' +# tells the compilter not to generate GP-relative accesses. 'local' will +# generate GP-relative accesses for small data objects that are not external, +# weak, or uninitialized common symbols. Also use GP-relative addressing for +# objects that have been explicitly placed in a small data section via a +# section attribute. provides the default set of debug symbols typically +# required to debug a typical application. 'global' is same as 'local' but also +# generate GP-relative accesses for small data objects that are external, weak, +# or common. +CFLAGS_MGPOPT = -mgpopt=local + # C++ compiler command. CXX = nios2-elf-gcc -xc++ @@ -393,6 +404,7 @@ BSP_CFLAGS += \ $(BSP_CFLAGS_DEBUG) \ $(BSP_CFLAGS_WARNINGS) \ $(BSP_CFLAGS_USER_FLAGS) \ + $(CFLAGS_MGPOPT) \ $(ALT_CFLAGS) \ $(CFLAGS) diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk index 91d14b0..770118e 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk @@ -150,12 +150,12 @@ flash2dat_extra_args = $(mem_pad_flag) $(mem_reloc_input_flag) # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 16.1 -ACDS_VERSION := 16.1 +# ACDS_VERSION: 16.0 +ACDS_VERSION := 16.0 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 196 +# BUILD_NUMBER: 222 # Optimize for simulation SIM_OPTIMIZE ?= 0 diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk index 67debcf..9d9d24b 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk @@ -77,12 +77,12 @@ ALT_CPPFLAGS += -pipe # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 16.1 -ACDS_VERSION := 16.1 +# ACDS_VERSION: 16.0 +ACDS_VERSION := 16.0 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 196 +# BUILD_NUMBER: 222 # Qsys--generated SOPCINFO file. Required for resolving node instance ID's with # design component names. @@ -157,9 +157,9 @@ SOPC_SYSID_FLAG += --sidp=0x410e0 ELF_PATCH_FLAG += --sidp 0x410e0 # The SOPC Timestamp -# setting SOPC_TIMESTAMP is 1621008007 -SOPC_SYSID_FLAG += --timestamp=1621008007 -ELF_PATCH_FLAG += --timestamp 1621008007 +# setting SOPC_TIMESTAMP is 1622558600 +SOPC_SYSID_FLAG += --timestamp=1622558600 +ELF_PATCH_FLAG += --timestamp 1622558600 # Enable JTAG UART driver to recover when host is inactive causing buffer to # full without returning error. Printf will not fail with this recovery. none @@ -256,18 +256,6 @@ ALT_CPPFLAGS += -DALT_NO_INSTRUCTION_EMULATION # SOPC_SYSID_FLAG in public.mk. none # setting hal.enable_sopc_sysid_check is true -# C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' -# tells the compilter not to generate GP-relative accesses. 'local' will -# generate GP-relative accesses for small data objects that are not external, -# weak, or uninitialized common symbols. Also use GP-relative addressing for -# objects that have been explicitly placed in a small data section via a -# section attribute. provides the default set of debug symbols typically -# required to debug a typical application. 'global' is same as 'local' but also -# generate GP-relative accesses for small data objects that are external, weak, -# or common. none -# setting hal.make.cflags_mgpopt is -mgpopt=local -ALT_CFLAGS += -mgpopt=local - # Enable BSP generation to query if SOPC system is big endian. If true ignores # export of 'ALT_CFLAGS += -meb' to public.mk if big endian system. none # setting hal.make.ignore_system_derived.big_endian is false diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp index 44e0a15..9da8033 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp @@ -2,11 +2,11 @@ hal default - 14-May-2021 21:40:25 - 1621024825749 - F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\software\D8M_Camera_Test_bsp + Jun 1, 2021 3:52:17 PM + 1622559138001 + /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp settings.bsp - ..\..\Qsys.sopcinfo + ../../Qsys.sopcinfo default nios2_gen2 1.9 @@ -322,6 +322,18 @@ false common + + hal.make.cflags_mgpopt + CFLAGS_MGPOPT + UnquotedString + -mgpopt=local + -mgpopt=local + makefile_variable + C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common. + none + false + common + hal.make.ar AR @@ -538,18 +550,6 @@ false none - - hal.make.cflags_mgpopt - CFLAGS_MGPOPT - UnquotedString - -mgpopt=local - -mgpopt=global - public_mk_define - C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common. - none - false - common - hal.make.ignore_system_derived.sopc_system_id none diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html index bc960e9..8a08e02 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html @@ -7,7 +7,7 @@ BSP Type:hal -SOPC Design File:..\..\Qsys.sopcinfo +SOPC Design File:../../Qsys.sopcinfo Quartus JDI File:default @@ -22,13 +22,13 @@ BSP Version:default -BSP Generated On:14-May-2021 21:40:25 +BSP Generated On:Jun 1, 2021 3:52:17 PM -BSP Generated Timestamp:1621024825749 +BSP Generated Timestamp:1622559138001 -BSP Generated Location:F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\software\D8M_Camera_Test_bsp +BSP Generated Location:/home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp
    @@ -1446,7 +1446,7 @@ Identifier:CFLAGS_MGPOPT -Default Value:-mgpopt=global +Default Value:-mgpopt=local Value:-mgpopt=local @@ -1455,7 +1455,7 @@ Type:UnquotedString -Destination:public_mk_define +Destination:makefile_variable Description:C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common. diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h index d00394e..30290a1 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2' in SOPC Builder design 'Qsys' * SOPC Builder design path: ../../Qsys.sopcinfo * - * Generated: Fri May 14 17:18:20 BST 2021 + * Generated: Tue Jun 01 15:52:19 BST 2021 */ /* @@ -464,7 +464,7 @@ #define SYSID_QSYS_IRQ_INTERRUPT_CONTROLLER_ID -1 #define SYSID_QSYS_NAME "/dev/sysid_qsys" #define SYSID_QSYS_SPAN 8 -#define SYSID_QSYS_TIMESTAMP 1621008007 +#define SYSID_QSYS_TIMESTAMP 1622558600 #define SYSID_QSYS_TYPE "altera_avalon_sysid_qsys" From 084959db0e2ca27d17845b06744da1987ae6a6a6 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Wed, 2 Jun 2021 18:50:54 +0100 Subject: [PATCH 02/32] Add temp file to migrate to main.cpp --- Control/platformio.ini | 7 +- Control/src/temp.cpp | 447 +++++++++++++++++++++++++++++++++++++++++ 2 files changed, 453 insertions(+), 1 deletion(-) create mode 100644 Control/src/temp.cpp diff --git a/Control/platformio.ini b/Control/platformio.ini index 1f6cf0c..7daf920 100644 --- a/Control/platformio.ini +++ b/Control/platformio.ini @@ -15,4 +15,9 @@ framework = arduino monitor_speed = 115200 upload_port = COM[3] monitor_filters = send_on_enter -lib_deps = plerup/EspSoftwareSerial@^6.12.6 +lib_deps = + plerup/EspSoftwareSerial@^6.12.6 + links2004/WebSockets@^2.3.6 + me-no-dev/AsyncTCP@^1.1.1 + me-no-dev/ESPAsyncTCP@^1.2.2 + me-no-dev/ESP Async WebServer@^1.2.3 diff --git a/Control/src/temp.cpp b/Control/src/temp.cpp new file mode 100644 index 0000000..fb81261 --- /dev/null +++ b/Control/src/temp.cpp @@ -0,0 +1,447 @@ +#include +#include +#include +#include +#include +#include "Ticker.h" + +const int potPin = 34; //used to simulate battery voltage. +const int butPin = 16; //used to increment a variable to simulate distance increasing. +const int U_Led = 14; //LED subsitute for the 'movement forward command'. +const int L_Led = 12; //LED subsitute for the 'movement left command'. +const int R_Led = 15; //LED subsitute for the 'movement right command'. +const int D_Led = 13; //LED subsitute for the 'movement back command'. + +/* const char* ssid = "ssid"; +const char* password = "xxxxxxxx"; */ + +int potVal = 0; +bool butState = 1; //Variables only for testing - will be removed in final + +int d = 0; //Initializing variable for odometer distance. + +void send_sensor(); +Ticker timer; + +char index_html[] PROGMEM = R"=====( + + + + +Rover Command Center + + + + + + + +

    ROVER COMMAND CENTER

    + +
    + +
    +
    +

    Movement Control

    +
    + +
    +
    + + + +
    + +
    +
    + +
    +
    +

    Sensor Data

    +
      + +
    • + +
      +
      + +
      +
    • + + +
    • + +
      +
      + 28mm +
      +
    • + +
    +
    + +
    + +
    + + + + + +)====="; + +AsyncWebServer server(80); // server port 80 for initial HTTP request for the main webpage. +WebSocketsServer websockets(81); // server port 81 for real time data flow through websockets. + +void notFound(AsyncWebServerRequest *request) +{ + request->send(404, "text/plain", "Page Not found. Check URI/IP address."); +} + +void webSocketEvent(uint8_t num, WStype_t type, uint8_t * payload, size_t length) { + + switch (type) + { + case WStype_DISCONNECTED: + Serial.printf("Client[%u] Disconnected!\n", num); + break; + case WStype_CONNECTED: { + IPAddress ip = websockets.remoteIP(num); + Serial.printf("Client[%u] Connected from %d.%d.%d.%d url: %s\n", num, ip[0], ip[1], ip[2], ip[3], payload); + } + break; + case WStype_TEXT: { + Serial.printf("Client[%u] sent Text: %s\n", num, payload); + String command = String((char*)( payload)); + + DynamicJsonDocument doc(200); //creating an instance of a DynamicJsonDocument allocating 200bytes on the heap. + DeserializationError error = deserializeJson(doc, command); // deserialize 'doc' and parse for parameters we expect to receive. + if (error) { + Serial.print("deserializeJson() failed: "); + Serial.println(error.c_str()); + return; + } + + int MVM_F_status = doc["MVM_F"]; + int MVM_L_status = doc["MVM_L"]; + int MVM_R_status = doc["MVM_R"]; + int MVM_B_status = doc["MVM_B"]; + + digitalWrite(U_Led,MVM_F_status); + digitalWrite(L_Led,MVM_L_status); + digitalWrite(R_Led,MVM_R_status); + digitalWrite(D_Led,MVM_B_status); + } + } +} + +void setup() +{ + + Serial.begin(115200); + pinMode(U_Led,OUTPUT); + pinMode(L_Led,OUTPUT); + pinMode(R_Led,OUTPUT); + pinMode(D_Led,OUTPUT); + pinMode(butPin, INPUT_PULLUP); + + +/* Serial.println(); + Serial.println(); + Serial.print("Connecting to "); + Serial.println(ssid); + + WiFi.begin(ssid, password); + + while (WiFi.status() != WL_CONNECTED) { + delay(500); + Serial.print("."); + } + + Serial.println(""); + Serial.println("Rover connected to "); + Serial.println(ssid); + Serial.println(); + Serial.println("Rover IP address: "); + Serial.println(WiFi.localIP()); */ + + WiFi.softAP("RoverAP", "SplendidCheeks"); + Serial.println(); + Serial.println("RoverAP running"); + Serial.print("Rover IP address: "); + Serial.println(WiFi.softAPIP()); + + + if (!MDNS.begin("rover")) { + Serial.println("Error setting up MDNS responder!"); + while (1) { + delay(2000); + } + } + Serial.println("mDNS responder started! Rover Command Center can now be accessed at 'rover.local' "); + + + + server.on("/", [](AsyncWebServerRequest * request) + { + request->send_P(200, "text/html", index_html); + }); + + server.onNotFound(notFound); + + server.begin(); + websockets.begin(); + websockets.onEvent(webSocketEvent); + timer.attach(0.5,send_sensor_data); +} + +void loop() +{ + websockets.loop(); + potVal = analogRead(potPin); +} + +void send_sensor_data() +{ + + butState = digitalRead(butPin); + if (butState == LOW) { + //increment ODO: + d += 10; + } + // JSON_Data = {"BTRY_VOLT":v,"ODO_DIST":d} + String JSON_Data = "{\"BTRY_VOLT\":"; + JSON_Data += potVal; + JSON_Data += ",\"ODO_DIST\":"; + JSON_Data += d; + JSON_Data += "}"; + websockets.broadcastTXT(JSON_Data); +} \ No newline at end of file From a120aa10fc109d03153863255398b62be8d980c2 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Wed, 2 Jun 2021 18:53:55 +0100 Subject: [PATCH 03/32] Add Json dependency to project --- Control/platformio.ini | 1 + 1 file changed, 1 insertion(+) diff --git a/Control/platformio.ini b/Control/platformio.ini index 7daf920..54c5471 100644 --- a/Control/platformio.ini +++ b/Control/platformio.ini @@ -21,3 +21,4 @@ lib_deps = me-no-dev/AsyncTCP@^1.1.1 me-no-dev/ESPAsyncTCP@^1.2.2 me-no-dev/ESP Async WebServer@^1.2.3 + bblanchon/ArduinoJson@^6.18.0 From d8209c009f5a0bc6c490b4e3aca7602abe703c6a Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Thu, 3 Jun 2021 01:09:29 +0100 Subject: [PATCH 04/32] Add dummy credentials file --- Control/.gitignore | 1 + Control/src/credentials.h.dummy | 7 +++++++ 2 files changed, 8 insertions(+) create mode 100644 Control/src/credentials.h.dummy diff --git a/Control/.gitignore b/Control/.gitignore index 89cc49c..c7b130a 100644 --- a/Control/.gitignore +++ b/Control/.gitignore @@ -3,3 +3,4 @@ .vscode/c_cpp_properties.json .vscode/launch.json .vscode/ipch +src/credentials.h diff --git a/Control/src/credentials.h.dummy b/Control/src/credentials.h.dummy new file mode 100644 index 0000000..11fbc84 --- /dev/null +++ b/Control/src/credentials.h.dummy @@ -0,0 +1,7 @@ +#ifndef CREDENTIALS_H +#define CREDENTIALS_H + +#define WIFI_SSID "SSID_here" +#define WIFI_PW "Password_here" + +#endif From 3920375c4383d57177c3af6c66ceb1590fa5c235 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Thu, 3 Jun 2021 21:28:20 +0100 Subject: [PATCH 05/32] Update library dependencies --- Control/platformio.ini | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Control/platformio.ini b/Control/platformio.ini index 54c5471..175e408 100644 --- a/Control/platformio.ini +++ b/Control/platformio.ini @@ -17,8 +17,8 @@ upload_port = COM[3] monitor_filters = send_on_enter lib_deps = plerup/EspSoftwareSerial@^6.12.6 - links2004/WebSockets@^2.3.6 - me-no-dev/AsyncTCP@^1.1.1 - me-no-dev/ESPAsyncTCP@^1.2.2 + sstaub/Ticker@^4.2.0 me-no-dev/ESP Async WebServer@^1.2.3 + me-no-dev/AsyncTCP@^1.1.1 + links2004/WebSockets@^2.3.6 bblanchon/ArduinoJson@^6.18.0 From de87475e47708eedf8afae6ef2ed026af15eb19c Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Thu, 3 Jun 2021 21:29:00 +0100 Subject: [PATCH 06/32] Add debugging compilation and monitor flags --- Control/platformio.ini | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/Control/platformio.ini b/Control/platformio.ini index 175e408..9880f9b 100644 --- a/Control/platformio.ini +++ b/Control/platformio.ini @@ -14,7 +14,13 @@ board = esp32dev framework = arduino monitor_speed = 115200 upload_port = COM[3] -monitor_filters = send_on_enter +monitor_filters = + send_on_enter + esp32_exception_decoder +build_flags = + -DCORE_DEBUG_LEVEL=5 + -Wno-unknown-pragmas +build_type = debug lib_deps = plerup/EspSoftwareSerial@^6.12.6 sstaub/Ticker@^4.2.0 From 87b16945f21f9b01e98b2673ed74cd30b4bb961d Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Thu, 3 Jun 2021 21:29:14 +0100 Subject: [PATCH 07/32] Merge code from temp.cpp into main.cpp --- Control/src/main.cpp | 485 ++++++++++++++++++++++++++++++++++++++----- Control/src/temp.cpp | 447 --------------------------------------- 2 files changed, 437 insertions(+), 495 deletions(-) delete mode 100644 Control/src/temp.cpp diff --git a/Control/src/main.cpp b/Control/src/main.cpp index d3e85ef..a76a5e3 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -1,61 +1,450 @@ #include #include -#include +// #include Software Serial not currently needed +#include +#include +#include +#include +#include +#include +#include +#ifdef LOG_LOCAL_LEVEL + #undef LOG_LOCAL_LEVEL +#endif +#define LOG_LOCAL_LEVEL ESP_LOG_VERBOSE +#include "esp_log.h" -#define RX1pin 14 // Pin 10 on expansion board -#define TX1pin 4 // Pin 11 on expansion board -#define RX2pin 15 // Pin 12 on expansion board -#define TX2pin 2 // Pin 13 on expansion board -#define RX3pin 18 // Pin 6 on expansion board -#define TX3pin 5 // Pin 7 on expansion board -#define RX4pin 17 // Pin 8 on expansion board -#define TX4pin 16 // Pin 9 on expansion board +#define RX1pin 14 // Pin 10 on expansion board, UART1 +#define TX1pin 4 // Pin 11 on expansion board, UART1 -void forwardprint1() { - if(Serial1.available()){ - input1 = String(Serial1.readStringUntil('\n')); - Serial2.println(input1); - } +// Function Declarations +void printFPGAoutput(); +void returnSensorData(); +void notFound(AsyncWebServerRequest *request); +void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length); + +// Global objects +AsyncWebServer webserver(80); +WebSocketsServer websocketserver(81); +Ticker ticker(returnSensorData, 500, 0, MILLIS); + +// Global variables +int battery_voltage = 0; +int distance_travelled = 0; + +#pragma region HTMLsource +char index_html[] PROGMEM = R"=====( + + + + +Rover Control Panel + + + + + + + +

    ROVER COMMAND CENTER

    + +
    + +
    +
    +

    Movement Control

    +
    + +
    +
    + + + +
    + +
    +
    + +
    +
    +

    Sensor Data

    +
      + +
    • + +
      +
      + +
      +
    • + + +
    • + +
      +
      + 28mm +
      +
    • + +
    +
    + +
    + +
    + + + + + +)====="; +#pragma endregion + +void setup() +{ + esp_log_level_set("*", ESP_LOG_ERROR); // set all components to ERROR level + esp_log_level_set("wifi", ESP_LOG_WARN); // enable WARN logs from WiFi stack + esp_log_level_set("dhcpc", ESP_LOG_INFO); // enable INFO logs from DHCP client + + Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) + Serial1.begin(9600, SERIAL_8N1, RX1pin, TX1pin); // Set up hardware UART1 + // Set up remaining communication ports here (Energy, Drive, Vision) + + Serial.println("Connecting to AP"); + WiFi.begin(WIFI_SSID, WIFI_PW); + while (WiFi.status() != WL_CONNECTED) + { + delay(500); + Serial.print("."); + } + Serial.println("\nConnected to AP"); + while (!MDNS.begin("rover")) + { + Serial.println("Error setting up mDNS, retrying in 5s"); + delay(5000); + } + Serial.println("mDNS set up, access Control Panel at 'rover.local/'"); + + webserver.on("/", [](AsyncWebServerRequest *request) + { request->send_P(200, "text/html", index_html); }); + webserver.onNotFound(notFound); + webserver.begin(); + + websocketserver.begin(); + websocketserver.onEvent(webSocketEvent); + ticker.start(); +} + +void loop() +{ + printFPGAoutput(); + + String FPGAinput; // Forward serial monitor input to FPGA + if (Serial.available()) + { + FPGAinput = String(Serial.readStringUntil('\n')); + Serial1.println(FPGAinput); + } + + websocketserver.loop(); // Handle incoming client connections +} + +void printFPGAoutput() +{ // Print serial communication from FPGA to serial monitor + String FPGAoutput; + if (Serial1.available()) + { + FPGAoutput = String(Serial1.readStringUntil('\n')); + Serial.println(FPGAoutput); } } -int counter; -String input, input1, input2, input3, input4; -SoftwareSerial Serial3; -SoftwareSerial Serial4; - -void setup() { - Serial.begin(115200); // Set up hardware UART 0 (Connected to USB port) - Serial1.begin(9600, SERIAL_8N1, RX1pin, TX1pin); // Set up hardware UART 1 - Serial2.begin(9600, SERIAL_8N1, RX2pin, TX2pin); // Set up hardware UART 2 - Serial3.begin(9600, SWSERIAL_8N1, RX3pin, TX3pin); // Set up software UART 3 - Serial4.begin(9600, SWSERIAL_8N1, RX4pin, TX4pin); // Set up software UART 4 +void returnSensorData() +{ + // Collect sensor data here? + String JSON_Data = String("{\"BTRY_VOLT\":") + battery_voltage + String(",\"ODO_DIST\":") + distance_travelled + "}"; + websocketserver.broadcastTXT(JSON_Data); } -void loop() { - if(Serial.available()){ - input = String(Serial.readStringUntil('\n')); - Serial1.println(input); +void notFound(AsyncWebServerRequest *request) +{ + request->send(404, "text/plain", "Page Not found. Check URI/IP address."); +} + +void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) +{ + switch (type) + { + case WStype_DISCONNECTED: + { + Serial.printf("Client[%u] Disconnected!\n", num); + } + break; + case WStype_CONNECTED: + { + IPAddress ip = websocketserver.remoteIP(num); + Serial.printf("Client[%u] Connected from %d.%d.%d.%d url: %s\n", num, ip[0], ip[1], ip[2], ip[3], payload); + } + break; + case WStype_TEXT: + { + Serial.printf("Client[%u] sent Text: %s\n", num, payload); + String command = String((char *)(payload)); + + DynamicJsonDocument doc(200); //creating an instance of a DynamicJsonDocument allocating 200bytes on the heap. + DeserializationError error = deserializeJson(doc, command); // deserialize 'doc' and parse for parameters we expect to receive. + if (error) + { + Serial.print("deserializeJson() failed: "); + Serial.println(error.c_str()); + return; + } + + int MVM_F_status = doc["MVM_F"]; + int MVM_L_status = doc["MVM_L"]; + int MVM_R_status = doc["MVM_R"]; + int MVM_B_status = doc["MVM_B"]; + + Serial.println('<' + MVM_F_status + ',' + MVM_B_status + ',' + MVM_L_status + ',' + MVM_R_status + '>'); + } + break; + case WStype_PONG: + { + Serial.println("Websocket keep-alive PONG"); + } + default: + { + Serial.println(String("Websocket received invalid event type: ") + type + String(", exiting")); + exit(1); + } } - forwardprint1(); - forwardprint2(); - forwardprint3(); - forwardprint4(); -} \ No newline at end of file +} diff --git a/Control/src/temp.cpp b/Control/src/temp.cpp deleted file mode 100644 index fb81261..0000000 --- a/Control/src/temp.cpp +++ /dev/null @@ -1,447 +0,0 @@ -#include -#include -#include -#include -#include -#include "Ticker.h" - -const int potPin = 34; //used to simulate battery voltage. -const int butPin = 16; //used to increment a variable to simulate distance increasing. -const int U_Led = 14; //LED subsitute for the 'movement forward command'. -const int L_Led = 12; //LED subsitute for the 'movement left command'. -const int R_Led = 15; //LED subsitute for the 'movement right command'. -const int D_Led = 13; //LED subsitute for the 'movement back command'. - -/* const char* ssid = "ssid"; -const char* password = "xxxxxxxx"; */ - -int potVal = 0; -bool butState = 1; //Variables only for testing - will be removed in final - -int d = 0; //Initializing variable for odometer distance. - -void send_sensor(); -Ticker timer; - -char index_html[] PROGMEM = R"=====( - - - - -Rover Command Center - - - - - - - -

    ROVER COMMAND CENTER

    - -
    - -
    -
    -

    Movement Control

    -
    - -
    -
    - - - -
    - -
    -
    - -
    -
    -

    Sensor Data

    -
      - -
    • - -
      -
      - -
      -
    • - - -
    • - -
      -
      - 28mm -
      -
    • - -
    -
    - -
    - -
    - - - - - -)====="; - -AsyncWebServer server(80); // server port 80 for initial HTTP request for the main webpage. -WebSocketsServer websockets(81); // server port 81 for real time data flow through websockets. - -void notFound(AsyncWebServerRequest *request) -{ - request->send(404, "text/plain", "Page Not found. Check URI/IP address."); -} - -void webSocketEvent(uint8_t num, WStype_t type, uint8_t * payload, size_t length) { - - switch (type) - { - case WStype_DISCONNECTED: - Serial.printf("Client[%u] Disconnected!\n", num); - break; - case WStype_CONNECTED: { - IPAddress ip = websockets.remoteIP(num); - Serial.printf("Client[%u] Connected from %d.%d.%d.%d url: %s\n", num, ip[0], ip[1], ip[2], ip[3], payload); - } - break; - case WStype_TEXT: { - Serial.printf("Client[%u] sent Text: %s\n", num, payload); - String command = String((char*)( payload)); - - DynamicJsonDocument doc(200); //creating an instance of a DynamicJsonDocument allocating 200bytes on the heap. - DeserializationError error = deserializeJson(doc, command); // deserialize 'doc' and parse for parameters we expect to receive. - if (error) { - Serial.print("deserializeJson() failed: "); - Serial.println(error.c_str()); - return; - } - - int MVM_F_status = doc["MVM_F"]; - int MVM_L_status = doc["MVM_L"]; - int MVM_R_status = doc["MVM_R"]; - int MVM_B_status = doc["MVM_B"]; - - digitalWrite(U_Led,MVM_F_status); - digitalWrite(L_Led,MVM_L_status); - digitalWrite(R_Led,MVM_R_status); - digitalWrite(D_Led,MVM_B_status); - } - } -} - -void setup() -{ - - Serial.begin(115200); - pinMode(U_Led,OUTPUT); - pinMode(L_Led,OUTPUT); - pinMode(R_Led,OUTPUT); - pinMode(D_Led,OUTPUT); - pinMode(butPin, INPUT_PULLUP); - - -/* Serial.println(); - Serial.println(); - Serial.print("Connecting to "); - Serial.println(ssid); - - WiFi.begin(ssid, password); - - while (WiFi.status() != WL_CONNECTED) { - delay(500); - Serial.print("."); - } - - Serial.println(""); - Serial.println("Rover connected to "); - Serial.println(ssid); - Serial.println(); - Serial.println("Rover IP address: "); - Serial.println(WiFi.localIP()); */ - - WiFi.softAP("RoverAP", "SplendidCheeks"); - Serial.println(); - Serial.println("RoverAP running"); - Serial.print("Rover IP address: "); - Serial.println(WiFi.softAPIP()); - - - if (!MDNS.begin("rover")) { - Serial.println("Error setting up MDNS responder!"); - while (1) { - delay(2000); - } - } - Serial.println("mDNS responder started! Rover Command Center can now be accessed at 'rover.local' "); - - - - server.on("/", [](AsyncWebServerRequest * request) - { - request->send_P(200, "text/html", index_html); - }); - - server.onNotFound(notFound); - - server.begin(); - websockets.begin(); - websockets.onEvent(webSocketEvent); - timer.attach(0.5,send_sensor_data); -} - -void loop() -{ - websockets.loop(); - potVal = analogRead(potPin); -} - -void send_sensor_data() -{ - - butState = digitalRead(butPin); - if (butState == LOW) { - //increment ODO: - d += 10; - } - // JSON_Data = {"BTRY_VOLT":v,"ODO_DIST":d} - String JSON_Data = "{\"BTRY_VOLT\":"; - JSON_Data += potVal; - JSON_Data += ",\"ODO_DIST\":"; - JSON_Data += d; - JSON_Data += "}"; - websockets.broadcastTXT(JSON_Data); -} \ No newline at end of file From bcdd392020f2d3f2f72f2102106e6236bec45a03 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Fri, 4 Jun 2021 01:02:32 +0100 Subject: [PATCH 08/32] Migrate to SPIFFS, untested --- Control/data/favicon.ico | Bin 0 -> 1150 bytes Control/data/index.html | 304 +++++++++++++++++++++++++++++ Control/src/main.cpp | 400 ++++++--------------------------------- 3 files changed, 360 insertions(+), 344 deletions(-) create mode 100644 Control/data/favicon.ico create mode 100644 Control/data/index.html diff --git a/Control/data/favicon.ico b/Control/data/favicon.ico new file mode 100644 index 0000000000000000000000000000000000000000..cbaef43c600ad681185a856bc52468f966e77896 GIT binary patch literal 1150 zcmbW1?Mstk6vm(0^Rj2d%@t^f$u_Ln%ZF$qm@?F-1ATx4K&4=~l9;qSPpg z(gdJj4tN$tA$QDvfcFMx~0%V^$M1(_j&-f)aQQ%0RfcU<%BD7xne^$BV_H+Sb-) z<^4G|@3PK;dG-iJqfvu-S^a*$om`Cmu7e)pUh&g+)6UM0%v$`U( zlap#9k@&naS?6Rg>LhsoEOTXc0b-f+NG_MthKGl>SS)6s zJCDBX^Z6X)?xWe{PA=iOEM98F(VRmwMSQ2byIT`~JRaA_$H!H2XULW6>gsA_1u}Q( zdkL&m;#s`CU0q$q=;-LaUuHBhF>&ahZfR+;b#`_d@;eR&gJw7!Hrw0V&F1E2TPPGV zrQg23K0TYwYC}Uqn%tGttMpb51OoO{Dy2)^WHR{&gp+;+;vX0o(CBB7-d=(Q&MC7k z*4EaZ$Ye5dHlu>yzC%v@@*6=v%iZ3Pdyu)vthm3^g+k$ + + + + Rover Control Panel + + + + + + + + + + +

    ROVER COMMAND CENTER

    + +
    + +
    +
    +

    Movement Control

    +
    + +
    +
    + + + +
    + +
    +
    + +
    +
    +

    Sensor Data

    +
      + +
    • +
      + +
      +
      + +
      +
    • + + +
    • +
      + +
      +
      + 28mm +
      +
    • + +
    +
    + +
    + +
    + + + + \ No newline at end of file diff --git a/Control/src/main.cpp b/Control/src/main.cpp index a76a5e3..6cc0738 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -8,9 +8,10 @@ #include #include #include -#ifdef LOG_LOCAL_LEVEL - #undef LOG_LOCAL_LEVEL -#endif +#include + +// Enable extra debugging info +#undef LOG_LOCAL_LEVEL #define LOG_LOCAL_LEVEL ESP_LOG_VERBOSE #include "esp_log.h" @@ -32,313 +33,22 @@ Ticker ticker(returnSensorData, 500, 0, MILLIS); int battery_voltage = 0; int distance_travelled = 0; -#pragma region HTMLsource -char index_html[] PROGMEM = R"=====( - - - - -Rover Control Panel - - - - - - - -

    ROVER COMMAND CENTER

    - -
    - -
    -
    -

    Movement Control

    -
    - -
    -
    - - - -
    - -
    -
    - -
    -
    -

    Sensor Data

    -
      - -
    • - -
      -
      - -
      -
    • - - -
    • - -
      -
      - 28mm -
      -
    • - -
    -
    - -
    - -
    - - - - - -)====="; -#pragma endregion - void setup() { - esp_log_level_set("*", ESP_LOG_ERROR); // set all components to ERROR level - esp_log_level_set("wifi", ESP_LOG_WARN); // enable WARN logs from WiFi stack - esp_log_level_set("dhcpc", ESP_LOG_INFO); // enable INFO logs from DHCP client + esp_log_level_set("*", ESP_LOG_ERROR); // set all components to ERROR level + esp_log_level_set("wifi", ESP_LOG_WARN); // enable WARN logs from WiFi stack + esp_log_level_set("dhcpc", ESP_LOG_INFO); // enable INFO logs from DHCP client Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) Serial1.begin(9600, SERIAL_8N1, RX1pin, TX1pin); // Set up hardware UART1 // Set up remaining communication ports here (Energy, Drive, Vision) + if (!SPIFFS.begin(true)) + { + Serial.println("SPIFFS failed to mount"); + return; + } + Serial.println("Connecting to AP"); WiFi.begin(WIFI_SSID, WIFI_PW); while (WiFi.status() != WL_CONNECTED) @@ -354,8 +64,10 @@ void setup() } Serial.println("mDNS set up, access Control Panel at 'rover.local/'"); - webserver.on("/", [](AsyncWebServerRequest *request) - { request->send_P(200, "text/html", index_html); }); + webserver.on("/", HTTP_GET, [](AsyncWebServerRequest *request) + { request->send(SPIFFS, "/index.html", "text/html"); }); + webserver.on("/favicon.ico", HTTP_GET, [](AsyncWebServerRequest *request) + { request->send(SPIFFS, "/favicon.ico", "image/png"); }); webserver.onNotFound(notFound); webserver.begin(); @@ -404,47 +116,47 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) { switch (type) { - case WStype_DISCONNECTED: - { - Serial.printf("Client[%u] Disconnected!\n", num); - } - break; - case WStype_CONNECTED: - { - IPAddress ip = websocketserver.remoteIP(num); - Serial.printf("Client[%u] Connected from %d.%d.%d.%d url: %s\n", num, ip[0], ip[1], ip[2], ip[3], payload); - } - break; - case WStype_TEXT: - { - Serial.printf("Client[%u] sent Text: %s\n", num, payload); - String command = String((char *)(payload)); + case WStype_DISCONNECTED: + { + Serial.printf("Client[%u] Disconnected!\n", num); + } + break; + case WStype_CONNECTED: + { + IPAddress ip = websocketserver.remoteIP(num); + Serial.printf("Client[%u] Connected from %d.%d.%d.%d url: %s\n", num, ip[0], ip[1], ip[2], ip[3], payload); + } + break; + case WStype_TEXT: + { + Serial.printf("Client[%u] sent Text: %s\n", num, payload); + String command = String((char *)(payload)); - DynamicJsonDocument doc(200); //creating an instance of a DynamicJsonDocument allocating 200bytes on the heap. - DeserializationError error = deserializeJson(doc, command); // deserialize 'doc' and parse for parameters we expect to receive. - if (error) - { - Serial.print("deserializeJson() failed: "); - Serial.println(error.c_str()); - return; - } - - int MVM_F_status = doc["MVM_F"]; - int MVM_L_status = doc["MVM_L"]; - int MVM_R_status = doc["MVM_R"]; - int MVM_B_status = doc["MVM_B"]; - - Serial.println('<' + MVM_F_status + ',' + MVM_B_status + ',' + MVM_L_status + ',' + MVM_R_status + '>'); - } - break; - case WStype_PONG: + DynamicJsonDocument doc(200); //creating an instance of a DynamicJsonDocument allocating 200bytes on the heap. + DeserializationError error = deserializeJson(doc, command); // deserialize 'doc' and parse for parameters we expect to receive. + if (error) { - Serial.println("Websocket keep-alive PONG"); - } - default: - { - Serial.println(String("Websocket received invalid event type: ") + type + String(", exiting")); - exit(1); + Serial.print("deserializeJson() failed: "); + Serial.println(error.c_str()); + return; } + + int MVM_F_status = doc["MVM_F"]; + int MVM_L_status = doc["MVM_L"]; + int MVM_R_status = doc["MVM_R"]; + int MVM_B_status = doc["MVM_B"]; + + Serial.println('<' + MVM_F_status + ',' + MVM_B_status + ',' + MVM_L_status + ',' + MVM_R_status + '>'); + } + break; + case WStype_PONG: + { + Serial.println("Websocket keep-alive PONG"); + } + default: + { + Serial.println(String("Websocket received invalid event type: ") + type + String(", exiting")); + exit(1); + } } } From e07744478db2255be0b946b985da68bce5d5e135 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Fri, 4 Jun 2021 17:12:40 +0100 Subject: [PATCH 09/32] SPIFFS tested, working --- Control/src/main.cpp | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/Control/src/main.cpp b/Control/src/main.cpp index 6cc0738..b122c92 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -43,20 +43,18 @@ void setup() Serial1.begin(9600, SERIAL_8N1, RX1pin, TX1pin); // Set up hardware UART1 // Set up remaining communication ports here (Energy, Drive, Vision) - if (!SPIFFS.begin(true)) + if (!SPIFFS.begin(true)) // Mount SPIFFS { Serial.println("SPIFFS failed to mount"); return; } + Serial.println("SPIFFS mounted"); - Serial.println("Connecting to AP"); WiFi.begin(WIFI_SSID, WIFI_PW); while (WiFi.status() != WL_CONNECTED) { delay(500); - Serial.print("."); } - Serial.println("\nConnected to AP"); while (!MDNS.begin("rover")) { Serial.println("Error setting up mDNS, retrying in 5s"); @@ -153,6 +151,7 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) { Serial.println("Websocket keep-alive PONG"); } + break; default: { Serial.println(String("Websocket received invalid event type: ") + type + String(", exiting")); From 99c3af355bdbf70e4825428bbd41a467f45dffd5 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sat, 5 Jun 2021 13:10:51 +0100 Subject: [PATCH 10/32] Add portable Ticker @ 2.0.0 Library --- Control/lib/TickerV2/keywords.txt | 14 ++++ Control/lib/TickerV2/library.properties | 9 ++ Control/lib/TickerV2/src/TickerV2.cpp | 58 +++++++++++++ Control/lib/TickerV2/src/TickerV2.h | 107 ++++++++++++++++++++++++ Control/platformio.ini | 1 - 5 files changed, 188 insertions(+), 1 deletion(-) create mode 100644 Control/lib/TickerV2/keywords.txt create mode 100644 Control/lib/TickerV2/library.properties create mode 100644 Control/lib/TickerV2/src/TickerV2.cpp create mode 100644 Control/lib/TickerV2/src/TickerV2.h diff --git a/Control/lib/TickerV2/keywords.txt b/Control/lib/TickerV2/keywords.txt new file mode 100644 index 0000000..52026d7 --- /dev/null +++ b/Control/lib/TickerV2/keywords.txt @@ -0,0 +1,14 @@ +####################################### +# Datatypes (KEYWORD1) +####################################### + +Ticker KEYWORD1 + +####################################### +# Methods and Functions (KEYWORD2) +####################################### + +attach KEYWORD2 +attach_ms KEYWORD2 +once KEYWORD2 +detach KEYWORD2 \ No newline at end of file diff --git a/Control/lib/TickerV2/library.properties b/Control/lib/TickerV2/library.properties new file mode 100644 index 0000000..96528a2 --- /dev/null +++ b/Control/lib/TickerV2/library.properties @@ -0,0 +1,9 @@ +name=TickerV2 +version=2.0.0 +author=Bert Melis +maintainer=Hristo Gochkov +sentence=Allows to call functions with a given interval. +paragraph= +category=Timing +url= +architectures=esp32 \ No newline at end of file diff --git a/Control/lib/TickerV2/src/TickerV2.cpp b/Control/lib/TickerV2/src/TickerV2.cpp new file mode 100644 index 0000000..c2267f8 --- /dev/null +++ b/Control/lib/TickerV2/src/TickerV2.cpp @@ -0,0 +1,58 @@ +/* + Ticker.cpp - esp32 library that calls functions periodically + + Copyright (c) 2017 Bert Melis. All rights reserved. + + Based on the original work of: + Copyright (c) 2014 Ivan Grokhotkov. All rights reserved. + The original version is part of the esp8266 core for Arduino environment. + + This library is free software; you can redistribute it and/or + modify it under the terms of the GNU Lesser General Public + License as published by the Free Software Foundation; either + version 2.1 of the License, or (at your option) any later version. + + This library is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + Lesser General Public License for more details. + + You should have received a copy of the GNU Lesser General Public + License along with this library; if not, write to the Free Software + Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA +*/ + +#include "TickerV2.h" + +Ticker::Ticker() : + _timer(nullptr) {} + +Ticker::~Ticker() { + detach(); +} + +void Ticker::_attach_ms(uint32_t milliseconds, bool repeat, callback_with_arg_t callback, uint32_t arg) { + esp_timer_create_args_t _timerConfig; + _timerConfig.arg = reinterpret_cast(arg); + _timerConfig.callback = callback; + _timerConfig.dispatch_method = ESP_TIMER_TASK; + _timerConfig.name = "Ticker"; + if (_timer) { + esp_timer_stop(_timer); + esp_timer_delete(_timer); + } + esp_timer_create(&_timerConfig, &_timer); + if (repeat) { + esp_timer_start_periodic(_timer, milliseconds * 1000ULL); + } else { + esp_timer_start_once(_timer, milliseconds * 1000ULL); + } +} + +void Ticker::detach() { + if (_timer) { + esp_timer_stop(_timer); + esp_timer_delete(_timer); + _timer = nullptr; + } +} \ No newline at end of file diff --git a/Control/lib/TickerV2/src/TickerV2.h b/Control/lib/TickerV2/src/TickerV2.h new file mode 100644 index 0000000..af1fb0f --- /dev/null +++ b/Control/lib/TickerV2/src/TickerV2.h @@ -0,0 +1,107 @@ +/* + Ticker.h - esp32 library that calls functions periodically + + Copyright (c) 2017 Bert Melis. All rights reserved. + + Based on the original work of: + Copyright (c) 2014 Ivan Grokhotkov. All rights reserved. + The original version is part of the esp8266 core for Arduino environment. + + This library is free software; you can redistribute it and/or + modify it under the terms of the GNU Lesser General Public + License as published by the Free Software Foundation; either + version 2.1 of the License, or (at your option) any later version. + + This library is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + Lesser General Public License for more details. + + You should have received a copy of the GNU Lesser General Public + License along with this library; if not, write to the Free Software + Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA +*/ + +#ifndef TICKERV2_H +#define TICKERV2_H + +extern "C" { + #include "esp_timer.h" +} + +class Ticker +{ +public: + Ticker(); + ~Ticker(); + typedef void (*callback_t)(void); + typedef void (*callback_with_arg_t)(void*); + + void attach(float seconds, callback_t callback) + { + _attach_ms(seconds * 1000, true, reinterpret_cast(callback), 0); + } + + void attach_ms(uint32_t milliseconds, callback_t callback) + { + _attach_ms(milliseconds, true, reinterpret_cast(callback), 0); + } + + template + void attach(float seconds, void (*callback)(TArg), TArg arg) + { + static_assert(sizeof(TArg) <= sizeof(uint32_t), "attach() callback argument size must be <= 4 bytes"); + // C-cast serves two purposes: + // static_cast for smaller integer types, + // reinterpret_cast + const_cast for pointer types + uint32_t arg32 = (uint32_t)arg; + _attach_ms(seconds * 1000, true, reinterpret_cast(callback), arg32); + } + + template + void attach_ms(uint32_t milliseconds, void (*callback)(TArg), TArg arg) + { + static_assert(sizeof(TArg) <= sizeof(uint32_t), "attach_ms() callback argument size must be <= 4 bytes"); + uint32_t arg32 = (uint32_t)arg; + _attach_ms(milliseconds, true, reinterpret_cast(callback), arg32); + } + + void once(float seconds, callback_t callback) + { + _attach_ms(seconds * 1000, false, reinterpret_cast(callback), 0); + } + + void once_ms(uint32_t milliseconds, callback_t callback) + { + _attach_ms(milliseconds, false, reinterpret_cast(callback), 0); + } + + template + void once(float seconds, void (*callback)(TArg), TArg arg) + { + static_assert(sizeof(TArg) <= sizeof(uint32_t), "attach() callback argument size must be <= 4 bytes"); + uint32_t arg32 = (uint32_t)(arg); + _attach_ms(seconds * 1000, false, reinterpret_cast(callback), arg32); + } + + template + void once_ms(uint32_t milliseconds, void (*callback)(TArg), TArg arg) + { + static_assert(sizeof(TArg) <= sizeof(uint32_t), "attach_ms() callback argument size must be <= 4 bytes"); + uint32_t arg32 = (uint32_t)(arg); + _attach_ms(milliseconds, false, reinterpret_cast(callback), arg32); + } + + void detach(); + bool active(); + +protected: + void _attach_ms(uint32_t milliseconds, bool repeat, callback_with_arg_t callback, uint32_t arg); + + +protected: + esp_timer_handle_t _timer; +}; + + +#endif // TICKERV2_H \ No newline at end of file diff --git a/Control/platformio.ini b/Control/platformio.ini index 9880f9b..0f97db2 100644 --- a/Control/platformio.ini +++ b/Control/platformio.ini @@ -23,7 +23,6 @@ build_flags = build_type = debug lib_deps = plerup/EspSoftwareSerial@^6.12.6 - sstaub/Ticker@^4.2.0 me-no-dev/ESP Async WebServer@^1.2.3 me-no-dev/AsyncTCP@^1.1.1 links2004/WebSockets@^2.3.6 From 0dee19f28ca086933637317325ab4daecb6b1cae Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sat, 5 Jun 2021 13:11:09 +0100 Subject: [PATCH 11/32] Updated main to use portable Ticker Lib --- Control/data/index.html | 8 +------- Control/src/main.cpp | 18 ++++++++++++++---- 2 files changed, 15 insertions(+), 11 deletions(-) diff --git a/Control/data/index.html b/Control/data/index.html index e9ed800..152b6f2 100644 --- a/Control/data/index.html +++ b/Control/data/index.html @@ -108,17 +108,11 @@ var BTRY_VOLT = 0; var ODO_DIST = 0; - function round(value, precision) { - var multiplier = Math.pow(10, precision || 0); - return Math.round(value * multiplier) / multiplier; - } - connection.onmessage = function (event) { var raw_data = event.data; console.log(raw_data); var data = JSON.parse(raw_data); - digiBTRY_VOLT = data.BTRY_VOLT; - BTRY_VOLT = round((digiBTRY_VOLT * (4.8e-4) + 4), 1) + BTRY_VOLT = data.BTRY_VOLT; ODO_DIST = data.ODO_DIST; document.getElementById("btry_meter").value = BTRY_VOLT; document.getElementById("Odometer").innerHTML = ODO_DIST; diff --git a/Control/src/main.cpp b/Control/src/main.cpp index b122c92..245331e 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -4,7 +4,7 @@ #include #include #include -#include +#include "TickerV2.h" #include #include #include @@ -27,10 +27,10 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) // Global objects AsyncWebServer webserver(80); WebSocketsServer websocketserver(81); -Ticker ticker(returnSensorData, 500, 0, MILLIS); +Ticker ticker; // Global variables -int battery_voltage = 0; +float battery_voltage = 4.0f; int distance_travelled = 0; void setup() @@ -71,7 +71,7 @@ void setup() websocketserver.begin(); websocketserver.onEvent(webSocketEvent); - ticker.start(); + ticker.attach(0.5, returnSensorData); } void loop() @@ -101,7 +101,17 @@ void printFPGAoutput() void returnSensorData() { // Collect sensor data here? + distance_travelled++; + if (battery_voltage < 6) + { + battery_voltage += 0.2; + } + else + { + battery_voltage = 4; + } String JSON_Data = String("{\"BTRY_VOLT\":") + battery_voltage + String(",\"ODO_DIST\":") + distance_travelled + "}"; + Serial.println(JSON_Data); websocketserver.broadcastTXT(JSON_Data); } From 9463a14cdcb077003c30d00896199d38810e0720 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Mon, 7 Jun 2021 14:08:58 +0100 Subject: [PATCH 12/32] Create Drive<->Control reference doc --- Control/ref/drive.cpp | 40 ++++++++++++++++++++++++++++++++++++++++ 1 file changed, 40 insertions(+) create mode 100644 Control/ref/drive.cpp diff --git a/Control/ref/drive.cpp b/Control/ref/drive.cpp new file mode 100644 index 0000000..5658baf --- /dev/null +++ b/Control/ref/drive.cpp @@ -0,0 +1,40 @@ +#include +#include +#include + +#define RXpin 0 // Define your RX pin here +#define TXpin 0 // Define your TX pin here + +void setup() +{ + Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) + Serial1.begin(9600, SERIAL_8N1, RXpin, TXpin); // Set up hardware UART1 + + // Other Drive setup stuff +} + +void loop() +{ + DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be + deserializeJson(rdoc, Serial1); // Take JSON input from UART1 + int requiredHeading = rdoc["rH"]; + int distance = rdoc["dist"]; + float speed = rdoc["sp"]; + int currentHeading = rdoc["cH"]; + + bool commandComplete = 0; + float powerUsage_mW = 0.0; + int distTravelled_mm = 0; + int current_x = 0; + int current_y = 0; + + // Do Drive stuff, set the 5 values above + + DynamicJsonDocument tdoc(1024); // transmit doc, not sure how big this needs to be + tdoc["comp"] = commandComplete; + tdoc["mW"] = powerUsage_mW; + tdoc["mm"] = distTravelled_mm; + tdoc["pos"][0] = current_x; + tdoc["pos"][1] = current_y; + serializeJson(tdoc, Serial1); // Build JSON and send on UART1 +} \ No newline at end of file From fd76a692e1913d3fd77c265e311c19df55d252bd Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Mon, 7 Jun 2021 16:29:04 +0100 Subject: [PATCH 13/32] Add ability for Drive to request current heading from Control --- Control/ref/drive.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Control/ref/drive.cpp b/Control/ref/drive.cpp index 5658baf..8a59cdc 100644 --- a/Control/ref/drive.cpp +++ b/Control/ref/drive.cpp @@ -17,7 +17,7 @@ void loop() { DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be deserializeJson(rdoc, Serial1); // Take JSON input from UART1 - int requiredHeading = rdoc["rH"]; + int requiredHeading = rdoc["rH"]; // if -1: command in progress, returning requested heading, dist/sp to be ignored int distance = rdoc["dist"]; float speed = rdoc["sp"]; int currentHeading = rdoc["cH"]; @@ -31,7 +31,7 @@ void loop() // Do Drive stuff, set the 5 values above DynamicJsonDocument tdoc(1024); // transmit doc, not sure how big this needs to be - tdoc["comp"] = commandComplete; + tdoc["comp"] = commandComplete; // If 0: command in progress, current heading requested tdoc["mW"] = powerUsage_mW; tdoc["mm"] = distTravelled_mm; tdoc["pos"][0] = current_x; From 0798db2d3e78423b90f6fb1b134ea52290e02b76 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Mon, 7 Jun 2021 16:59:56 +0100 Subject: [PATCH 14/32] Add expansion board mapping for connection to DE10 Lite --- Control/ref/vision.cpp | 1 + 1 file changed, 1 insertion(+) create mode 100644 Control/ref/vision.cpp diff --git a/Control/ref/vision.cpp b/Control/ref/vision.cpp new file mode 100644 index 0000000..e699eca --- /dev/null +++ b/Control/ref/vision.cpp @@ -0,0 +1 @@ +const int ARDUINO_IO[16] = {-1/*RX*/, -1/*RX*/, 23, 22, 21, 19, 18, 5, 17, 16, 14, 4, 15, 2, 13, 12}; // Expansion board mapping From c7a5a930f138508e4f57faa146709936d49629f3 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Tue, 8 Jun 2021 19:07:13 +0100 Subject: [PATCH 15/32] Vision "Fresh Start" from Updated Upstream --- .../DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys.xml | 113 +- .../.qsys_edit/Qsys_schematic.nlv | 58 +- .../.qsys_edit/filters.xml | 2 +- .../.qsys_edit/preferences.xml | 7 +- .../DE10_LITE_D8M_VIP.qsf | 2 +- .../DE10_LITE_D8M_VIP.qws | Bin 407 -> 1312 bytes .../DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.v | 12 +- .../DE10_LITE_D8M_VIP_time_limited.cdf | 13 - .../DE10_LITE_D8M_VIP_time_limited.sof | Bin 3330349 -> 0 bytes Vision/DE10_LITE_D8M_VIP_16/Qsys.qsys | 131 +- Vision/DE10_LITE_D8M_VIP_16/Qsys.sopcinfo | 1555 +- Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.bsf | 37 +- Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.cmp | 4 +- Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.html | 266 +- Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.xml | 4763 ++- Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys_bb.v | 6 +- .../Qsys/Qsys_generation.rpt | 226 +- .../Qsys/Qsys_generation_previous.rpt | 231 +- Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys_inst.v | 4 +- .../DE10_LITE_D8M_VIP_16/Qsys/Qsys_inst.vhd | 8 +- .../RemoteSystemsTempFiles/.project | 12 - .../ip/EEE_IMGPROC/EEE_IMGPROC.v | 14 +- .../ip/de10lite-hdl/uart.v | 273 - .../sbtWorkspace/.metadata/.lock | 0 .../sbtWorkspace/.metadata/.log | 480 - .../.metadata/.mylyn/repositories.xml.zip | Bin 438 -> 0 bytes .../.plugins/org.eclipse.cdt.core/.log | 2 - .../D8M_Camera_Test.1622552776633.pdom | Bin 540672 -> 0 bytes .../D8M_Camera_Test.language.settings.xml | 1469 - .../D8M_Camera_Test_bsp.1622552868714.pdom | Bin 1159168 -> 0 bytes .../D8M_Camera_Test_bsp.language.settings.xml | 2547 -- .../org.eclipse.cdt.make.core/specs.c | 1 - .../org.eclipse.cdt.make.core/specs.cpp | 1 - .../spec.C | 0 .../spec.c | 0 .../D8M_Camera_Test.build.log | 9 - .../D8M_Camera_Test_bsp.build.log | 129 - .../org.eclipse.cdt.ui/dialog_settings.xml | 7 - .../org.eclipse.cdt.ui/global-build.log | 61 - .../41/700f9430dec2001b1f77c40a2d51fad4 | 302 - .../70/707fa8e6dfc2001b1f77c40a2d51fad4 | 283 - .../a8/f0a55278dfc2001b1f77c40a2d51fad4 | 302 - .../be/d008f016dec2001b1f77c40a2d51fad4 | 301 - .../D8M_Camera_Test/.indexes/properties.index | Bin 1060 -> 0 bytes .../.projects/D8M_Camera_Test/.location | Bin 140 -> 0 bytes .../.projects/D8M_Camera_Test/.markers | Bin 212 -> 0 bytes .../GitProjectData.properties | 3 - .../.indexes/properties.index | Bin 583 -> 0 bytes .../.projects/D8M_Camera_Test_bsp/.location | Bin 144 -> 0 bytes .../GitProjectData.properties | 3 - .../.indexes/properties.index | Bin 80 -> 0 bytes .../GitProjectData.properties | 3 - .../.root/.indexes/history.version | 1 - .../.root/.indexes/properties.index | Bin 104 -> 0 bytes .../.root/.indexes/properties.version | 1 - .../org.eclipse.core.resources/.root/2.tree | Bin 22679 -> 0 bytes .../.safetable/org.eclipse.core.resources | Bin 944 -> 0 bytes ...eclipse.cdt.core.prj-D8M_Camera_Test.prefs | 2 - ...pse.cdt.core.prj-D8M_Camera_Test_bsp.prefs | 2 - .../org.eclipse.cdt.debug.core.prefs | 2 - .../org.eclipse.cdt.managedbuilder.core.prefs | 3 - .../.settings/org.eclipse.cdt.mylyn.ui.prefs | 2 - .../.settings/org.eclipse.cdt.ui.prefs | 5 - .../org.eclipse.core.resources.prefs | 2 - .../.settings/org.eclipse.debug.core.prefs | 5 - .../.settings/org.eclipse.debug.ui.prefs | 3 - .../.settings/org.eclipse.egit.core.prefs | 2 - .../org.eclipse.mylyn.context.core.prefs | 2 - .../org.eclipse.mylyn.monitor.ui.prefs | 2 - .../org.eclipse.mylyn.tasks.ui.prefs | 3 - .../.settings/org.eclipse.rse.core.prefs | 3 - .../.settings/org.eclipse.rse.ui.prefs | 2 - .../.settings/org.eclipse.team.cvs.ui.prefs | 2 - .../.settings/org.eclipse.team.ui.prefs | 2 - .../.settings/org.eclipse.ui.editors.prefs | 2 - .../.settings/org.eclipse.ui.ide.prefs | 6 - .../.settings/org.eclipse.ui.workbench.prefs | 2 - ...Test Nios II Hardware configuration.launch | 25 - .../launchConfigurationHistory.xml | 27 - .../org.eclipse.e4.workbench/workbench.xmi | 2114 - .../C/devhelp.libhover | Bin 15858 -> 0 bytes .../C/glibc_library.libhover | Bin 1042692 -> 0 bytes .../.plugins/org.eclipse.rse.core/.log | 0 ...al.core.RSELocalConnectionInitializer.mark | 0 .../FP.local.files_0/node.properties | 57 - .../PRF.ee-mill2_0/H.local_16/node.properties | 25 - .../profiles/PRF.ee-mill2_0/node.properties | 7 - .../.plugins/org.eclipse.rse.ui/.log | 0 .../dialog_settings.xml | 15 - .../org.eclipse.ui.workbench/workingsets.xml | 4 - .../sbtWorkspace/.metadata/version.ini | 1 - .../RemoteSystemsTempFiles/.project | 12 - .../software/D8M_Camera_Test/.cproject | 47 +- .../.settings/language.settings.xml | 4 +- .../D8M_Camera_Test/D8M_Camera_Test.elf | Bin 1147529 -> 1128331 bytes .../D8M_Camera_Test/D8M_Camera_Test.map | 4069 +- .../D8M_Camera_Test/D8M_Camera_Test.objdump | 35363 ++++++++-------- .../software/D8M_Camera_Test/main.c | 106 +- .../software/D8M_Camera_Test_bsp/.cproject | 47 +- .../.settings/language.settings.xml | 4 +- .../HAL/inc/sys/alt_log_printf.h | 7 +- .../D8M_Camera_Test_bsp/HAL/src/alt_load.c | 29 +- .../HAL/src/alt_log_printf.c | 4 +- .../software/D8M_Camera_Test_bsp/Makefile | 30 +- .../drivers/inc/altera_avalon_uart.h | 319 + .../drivers/inc/altera_avalon_uart_fd.h | 143 + .../drivers/inc/altera_avalon_uart_regs.h | 137 + .../drivers/src/altera_avalon_uart_fd.c | 100 + .../drivers/src/altera_avalon_uart_init.c | 312 + .../drivers/src/altera_avalon_uart_ioctl.c | 153 + .../drivers/src/altera_avalon_uart_read.c | 240 + .../drivers/src/altera_avalon_uart_write.c | 232 + .../software/D8M_Camera_Test_bsp/linker.h | 4 +- .../software/D8M_Camera_Test_bsp/mem_init.mk | 10 +- .../software/D8M_Camera_Test_bsp/memory.gdb | 4 +- .../software/D8M_Camera_Test_bsp/public.mk | 33 +- .../software/D8M_Camera_Test_bsp/settings.bsp | 66 +- .../software/D8M_Camera_Test_bsp/summary.html | 12 +- .../software/D8M_Camera_Test_bsp/system.h | 34 +- .../uart_interface_hw.tcl | 115 - Vision/doc/OV8865 Data Sheet.pdf | Bin 0 -> 1620233 bytes 121 files changed, 25589 insertions(+), 32018 deletions(-) delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.sof delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/ip/de10lite-hdl/uart.v delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.lock delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.mylyn/repositories.xml.zip delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.1622552776633.pdom delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.1622552868714.pdom delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.C delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.c delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.indexes/properties.index delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.location delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.markers delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.indexes/properties.index delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.location delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.indexes/properties.index delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.version delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/2.tree delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/devhelp.libhover delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/glibc_library.libhover delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/.log delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.ui/.log delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart.h create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_fd.h create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_regs.h create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_fd.c create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_init.c create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_ioctl.c create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_read.c create mode 100644 Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_write.c delete mode 100644 Vision/DE10_LITE_D8M_VIP_16/uart_interface_hw.tcl create mode 100644 Vision/doc/OV8865 Data Sheet.pdf diff --git a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys.xml b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys.xml index 9fb466d..bfcb16d 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys.xml @@ -781,7 +781,7 @@ true - + 0 dock.PlaceholderList @@ -827,7 +827,7 @@ false - + dock.single.Clock\ Domains\ \-\ Beta dock.single.IP\ Catalog @@ -853,7 +853,7 @@ - + dock.single.Hierarchy @@ -878,9 +878,9 @@ - + - + dock.single.Connections dock.single.System\ Contents @@ -897,12 +897,18 @@ dock.single.Interconnect\ Requirements dock.single.Instrumentation dock.single.Instance\ Parameters - dock.single.Address\ Map dock.single.Domains 0 dock.PlaceholderList + + + dock.single.System\ Contents + + true + + dock.single.Address\ Map @@ -965,7 +971,7 @@ - + dock.single.Messages dock.single.Generation\ Messages @@ -996,16 +1002,6 @@ - - - - - Messages - - - - - 0 @@ -1183,6 +1179,16 @@ + + + + + Messages + + + + + @@ -1611,21 +1617,9 @@ - dock.mode.maximized dock.mode.normal - - dock.mode.maximized - ccontrol center - - - - 1 - dock.single.Address\ Map - - - dock.mode.normal ccontrol center @@ -1633,9 +1627,10 @@ dock.single.Address\ Map - - - + + + + @@ -1852,21 +1847,9 @@ - dock.mode.maximized dock.mode.normal - - dock.mode.maximized - ccontrol center - - - - 0 - dock.single.System\ Contents - - - dock.mode.normal ccontrol center @@ -1874,9 +1857,10 @@ dock.single.System\ Contents - - - + + + + @@ -1892,21 +1876,9 @@ dock.mode.minimized - dock.mode.maximized dock.mode.normal - - dock.mode.maximized - ccontrol center - - - - 2 - dock.single.Interconnect\ Requirements - - - dock.mode.minimized ccontrol north @@ -1927,8 +1899,8 @@ dock.single.Interconnect\ Requirements - - + + @@ -2168,7 +2140,24 @@ - + + + + dock.mode.normal + + dock.mode.normal + ccontrol center + + + + + + + + + + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys_schematic.nlv b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys_schematic.nlv index 2179962..d9f42d6 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys_schematic.nlv +++ b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/Qsys_schematic.nlv @@ -15,45 +15,45 @@ preplace inst Qsys.TERASIC_CAMERA_0 -pg 1 -lvl 4 -y 740 preplace inst Qsys.mipi_reset_n -pg 1 -lvl 8 -y 1190 preplace inst Qsys.alt_vip_vfb_0 -pg 1 -lvl 5 -y 620 preplace inst Qsys -pg 1 -lvl 1 -y 40 -regy -20 -preplace inst Qsys.uart_interface_0 -pg 1 -lvl 2 -y 330 -preplace inst Qsys.EEE_IMGPROC_0 -pg 1 -lvl 7 -y 600 +preplace inst Qsys.EEE_IMGPROC_0 -pg 1 -lvl 7 -y 700 preplace inst Qsys.timer -pg 1 -lvl 8 -y 440 preplace inst Qsys.mipi_pwdn_n -pg 1 -lvl 8 -y 1090 preplace inst Qsys.key -pg 1 -lvl 8 -y 620 +preplace inst Qsys.uart_0 -pg 1 -lvl 3 -y 720 preplace inst Qsys.sw -pg 1 -lvl 8 -y 1290 preplace inst Qsys.TERASIC_AUTO_FOCUS_0 -pg 1 -lvl 6 -y 560 preplace inst Qsys.nios2_gen2.cpu -pg 1 -preplace inst Qsys.nios2_gen2 -pg 1 -lvl 2 -y 520 +preplace inst Qsys.nios2_gen2 -pg 1 -lvl 2 -y 550 preplace inst Qsys.i2c_opencores_mipi -pg 1 -lvl 8 -y 170 -preplace netloc EXPORTQsys(MASTER)altpll_0.c1,(MASTER)Qsys.clk_sdram) 1 3 6 NJ 280 NJ 280 NJ 280 NJ 280 NJ 300 NJ -preplace netloc INTERCONNECTQsys(SLAVE)sysid_qsys.control_slave,(SLAVE)timer.s1,(MASTER)nios2_gen2.instruction_master,(SLAVE)jtag_uart.avalon_jtag_slave,(SLAVE)altpll_0.pll_slave,(SLAVE)nios2_gen2.debug_mem_slave,(SLAVE)led.s1,(SLAVE)EEE_IMGPROC_0.s1,(SLAVE)mipi_pwdn_n.s1,(SLAVE)i2c_opencores_mipi.avalon_slave_0,(MASTER)nios2_gen2.data_master,(SLAVE)TERASIC_AUTO_FOCUS_0.mm_ctrl,(SLAVE)sw.s1,(SLAVE)i2c_opencores_camera.avalon_slave_0,(SLAVE)onchip_memory2_0.s1,(SLAVE)mipi_reset_n.s1,(SLAVE)key.s1) 1 1 7 450 420 850 810 NJ 710 NJ 710 1910 730 2190 770 2580 +preplace netloc INTERCONNECTQsys(SLAVE)sysid_qsys.reset,(SLAVE)onchip_memory2_0.reset1,(SLAVE)timer.reset,(SLAVE)key.reset,(SLAVE)sw.reset,(SLAVE)altpll_0.inclk_interface_reset,(SLAVE)i2c_opencores_camera.clock_reset,(SLAVE)TERASIC_AUTO_FOCUS_0.reset,(SLAVE)i2c_opencores_mipi.clock_reset,(SLAVE)mipi_reset_n.reset,(SLAVE)jtag_uart.reset,(SLAVE)alt_vip_itc_0.is_clk_rst_reset,(SLAVE)sdram.reset,(SLAVE)led.reset,(SLAVE)TERASIC_CAMERA_0.clock_reset_reset,(SLAVE)nios2_gen2.reset,(SLAVE)alt_vip_vfb_0.reset,(SLAVE)EEE_IMGPROC_0.reset,(MASTER)nios2_gen2.debug_reset_request,(SLAVE)mipi_pwdn_n.reset,(SLAVE)uart_0.reset,(MASTER)clk_50.clk_reset) 1 1 7 430 750 830 850 1190 830 1670 730 1890 770 2110 910 2700 +preplace netloc FAN_OUTQsys(SLAVE)key.clk,(SLAVE)i2c_opencores_camera.clock,(SLAVE)nios2_gen2.clk,(SLAVE)uart_0.clk,(MASTER)clk_50.clk,(SLAVE)jtag_uart.clk,(SLAVE)onchip_memory2_0.clk1,(SLAVE)altpll_0.inclk_interface,(SLAVE)i2c_opencores_mipi.clock,(SLAVE)sw.clk,(SLAVE)mipi_reset_n.clk,(SLAVE)sysid_qsys.clk,(SLAVE)led.clk,(SLAVE)mipi_pwdn_n.clk,(SLAVE)timer.clk) 1 1 7 410 450 930 400 NJ 400 NJ 400 NJ 400 NJ 400 2660 preplace netloc EXPORTQsys(SLAVE)i2c_opencores_camera.export,(SLAVE)Qsys.i2c_opencores_camera_export) 1 0 8 NJ 100 NJ 100 NJ 100 NJ 100 NJ 100 NJ 100 NJ 100 NJ -preplace netloc EXPORTQsys(SLAVE)led.external_connection,(SLAVE)Qsys.led_external_connection) 1 0 8 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ -preplace netloc EXPORTQsys(SLAVE)Qsys.eee_imgproc_0_conduit_mode,(SLAVE)EEE_IMGPROC_0.conduit_mode) 1 0 7 NJ 300 NJ 300 NJ 410 NJ 410 NJ 410 NJ 410 NJ -preplace netloc EXPORTQsys(SLAVE)Qsys.altpll_0_locked_conduit,(SLAVE)altpll_0.locked_conduit) 1 0 3 NJ 280 NJ 280 NJ -preplace netloc FAN_OUTQsys(SLAVE)mipi_pwdn_n.clk,(SLAVE)i2c_opencores_camera.clock,(SLAVE)key.clk,(SLAVE)onchip_memory2_0.clk1,(MASTER)clk_50.clk,(SLAVE)jtag_uart.clk,(SLAVE)mipi_reset_n.clk,(SLAVE)nios2_gen2.clk,(SLAVE)sysid_qsys.clk,(SLAVE)altpll_0.inclk_interface,(SLAVE)i2c_opencores_mipi.clock,(SLAVE)led.clk,(SLAVE)sw.clk,(SLAVE)timer.clk,(SLAVE)uart_interface_0.clock) 1 1 7 410 320 950 380 NJ 340 NJ 300 NJ 300 NJ 300 2640 -preplace netloc EXPORTQsys(SLAVE)Qsys.sdram_wire,(SLAVE)sdram.wire) 1 0 8 NJ 980 NJ 980 NJ 980 NJ 980 NJ 980 NJ 980 NJ 980 NJ +preplace netloc EXPORTQsys(SLAVE)sdram.wire,(SLAVE)Qsys.sdram_wire) 1 0 8 NJ 980 NJ 980 NJ 980 NJ 980 NJ 980 NJ 980 NJ 980 NJ +preplace netloc EXPORTQsys(SLAVE)Qsys.eee_imgproc_0_conduit_mode,(SLAVE)EEE_IMGPROC_0.conduit_mode) 1 0 7 NJ 490 NJ 490 NJ 550 NJ 550 NJ 550 NJ 550 NJ +preplace netloc EXPORTQsys(MASTER)Qsys.clk_sdram,(MASTER)altpll_0.c1) 1 3 6 NJ 220 NJ 220 NJ 220 NJ 220 NJ 160 NJ +preplace netloc EXPORTQsys(SLAVE)TERASIC_CAMERA_0.conduit_end,(SLAVE)Qsys.terasic_camera_0_conduit_end) 1 0 4 NJ 430 NJ 430 NJ 470 NJ preplace netloc EXPORTQsys(SLAVE)mipi_pwdn_n.external_connection,(SLAVE)Qsys.mipi_pwdn_n_external_connection) 1 0 8 NJ 1120 NJ 1120 NJ 1120 NJ 1120 NJ 1120 NJ 1120 NJ 1120 NJ -preplace netloc FAN_OUTQsys(SLAVE)sdram.clk,(SLAVE)alt_vip_itc_0.is_clk_rst,(MASTER)altpll_0.c2,(SLAVE)TERASIC_CAMERA_0.clock_reset,(SLAVE)TERASIC_AUTO_FOCUS_0.clock,(SLAVE)EEE_IMGPROC_0.clock,(SLAVE)alt_vip_vfb_0.clock) 1 3 5 1250 300 1670 730 1870 690 2150 860 2600 -preplace netloc EXPORTQsys(SLAVE)Qsys.uart_interface_0_conduit_end,(SLAVE)uart_interface_0.conduit_end) 1 0 2 NJ 360 NJ -preplace netloc POINT_TO_POINTQsys(MASTER)EEE_IMGPROC_0.avalon_streaming_source,(SLAVE)alt_vip_itc_0.din) 1 7 1 2600 -preplace netloc EXPORTQsys(SLAVE)Qsys.terasic_auto_focus_0_conduit,(SLAVE)TERASIC_AUTO_FOCUS_0.Conduit) 1 0 6 NJ 460 NJ 460 NJ 570 NJ 570 NJ 570 NJ -preplace netloc FAN_OUTQsys(SLAVE)i2c_opencores_mipi.interrupt_sender,(SLAVE)i2c_opencores_camera.interrupt_sender,(SLAVE)jtag_uart.irq,(MASTER)nios2_gen2.irq,(SLAVE)timer.irq) 1 2 6 NJ 870 NJ 870 NJ 790 NJ 790 NJ 790 2620 -preplace netloc EXPORTQsys(SLAVE)key.external_connection,(SLAVE)Qsys.key_external_connection) 1 0 8 NJ 710 NJ 710 NJ 830 NJ 730 NJ 770 NJ 750 NJ 750 NJ +preplace netloc EXPORTQsys(SLAVE)Qsys.clk,(SLAVE)clk_50.clk_in) 1 0 1 NJ +preplace netloc EXPORTQsys(SLAVE)altpll_0.areset_conduit,(SLAVE)Qsys.altpll_0_areset_conduit) 1 0 3 NJ 260 NJ 260 NJ +preplace netloc EXPORTQsys(SLAVE)Qsys.key_external_connection,(SLAVE)key.external_connection) 1 0 8 NJ 370 NJ 370 NJ 450 NJ 450 NJ 450 NJ 450 NJ 650 NJ +preplace netloc POINT_TO_POINTQsys(MASTER)EEE_IMGPROC_0.avalon_streaming_source,(SLAVE)alt_vip_itc_0.din) 1 7 1 2620 +preplace netloc EXPORTQsys(SLAVE)Qsys.led_external_connection,(SLAVE)led.external_connection) 1 0 8 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ 1420 NJ +preplace netloc EXPORTQsys(SLAVE)Qsys.uart_0_rx_tx,(SLAVE)uart_0.external_connection) 1 0 3 NJ 510 NJ 510 NJ +preplace netloc EXPORTQsys(SLAVE)Qsys.terasic_auto_focus_0_conduit,(SLAVE)TERASIC_AUTO_FOCUS_0.Conduit) 1 0 6 NJ 690 NJ 690 NJ 690 NJ 690 NJ 570 NJ preplace netloc EXPORTQsys(SLAVE)Qsys.i2c_opencores_mipi_export,(SLAVE)i2c_opencores_mipi.export) 1 0 8 NJ 240 NJ 240 NJ 240 NJ 240 NJ 240 NJ 240 NJ 240 NJ -preplace netloc EXPORTQsys(SLAVE)Qsys.alt_vip_itc_0_clocked_video,(SLAVE)alt_vip_itc_0.clocked_video) 1 0 8 NJ 890 NJ 890 NJ 890 NJ 890 NJ 820 NJ 820 NJ 820 NJ -preplace netloc POINT_TO_POINTQsys(SLAVE)TERASIC_AUTO_FOCUS_0.din,(MASTER)alt_vip_vfb_0.dout) 1 5 1 1890 -preplace netloc FAN_INQsys(SLAVE)sdram.s1,(MASTER)alt_vip_vfb_0.write_master,(MASTER)alt_vip_vfb_0.read_master) 1 5 3 1890 960 NJ 960 NJ -preplace netloc EXPORTQsys(SLAVE)clk_50.clk_in,(SLAVE)Qsys.clk) 1 0 1 NJ -preplace netloc POINT_TO_POINTQsys(SLAVE)EEE_IMGPROC_0.avalon_streaming_sink,(MASTER)TERASIC_AUTO_FOCUS_0.dout) 1 6 1 N -preplace netloc POINT_TO_POINTQsys(SLAVE)alt_vip_vfb_0.din,(MASTER)TERASIC_CAMERA_0.avalon_streaming_source) 1 4 1 1630 +preplace netloc FAN_OUTQsys(MASTER)nios2_gen2.irq,(SLAVE)jtag_uart.irq,(SLAVE)i2c_opencores_mipi.interrupt_sender,(SLAVE)uart_0.irq,(SLAVE)timer.irq,(SLAVE)i2c_opencores_camera.interrupt_sender) 1 2 6 950 530 NJ 530 NJ 530 NJ 530 NJ 670 2620 +preplace netloc FAN_OUTQsys(MASTER)altpll_0.c2,(SLAVE)EEE_IMGPROC_0.clock,(SLAVE)sdram.clk,(SLAVE)TERASIC_CAMERA_0.clock_reset,(SLAVE)alt_vip_itc_0.is_clk_rst,(SLAVE)TERASIC_AUTO_FOCUS_0.clock,(SLAVE)alt_vip_vfb_0.clock) 1 3 5 1190 730 1630 710 1910 730 2150 890 2680 +preplace netloc POINT_TO_POINTQsys(SLAVE)EEE_IMGPROC_0.avalon_streaming_sink,(MASTER)TERASIC_AUTO_FOCUS_0.dout) 1 6 1 2110 +preplace netloc INTERCONNECTQsys(SLAVE)i2c_opencores_mipi.avalon_slave_0,(SLAVE)nios2_gen2.debug_mem_slave,(SLAVE)jtag_uart.avalon_jtag_slave,(SLAVE)led.s1,(MASTER)nios2_gen2.instruction_master,(SLAVE)mipi_reset_n.s1,(SLAVE)onchip_memory2_0.s1,(SLAVE)uart_0.s1,(SLAVE)sysid_qsys.control_slave,(SLAVE)sw.s1,(SLAVE)altpll_0.pll_slave,(SLAVE)mipi_pwdn_n.s1,(SLAVE)TERASIC_AUTO_FOCUS_0.mm_ctrl,(SLAVE)timer.s1,(SLAVE)i2c_opencores_camera.avalon_slave_0,(SLAVE)key.s1,(MASTER)nios2_gen2.data_master,(SLAVE)EEE_IMGPROC_0.s1) 1 1 7 430 470 890 710 NJ 710 NJ 610 1870 790 2170 690 2580 preplace netloc EXPORTQsys(SLAVE)sw.external_connection,(SLAVE)Qsys.sw_external_connection) 1 0 8 NJ 1320 NJ 1320 NJ 1320 NJ 1320 NJ 1320 NJ 1320 NJ 1320 NJ preplace netloc EXPORTQsys(SLAVE)Qsys.mipi_reset_n_external_connection,(SLAVE)mipi_reset_n.external_connection) 1 0 8 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ -preplace netloc EXPORTQsys(MASTER)altpll_0.c3,(MASTER)Qsys.clk_vga) 1 3 6 NJ 320 NJ 320 NJ 320 NJ 320 NJ 320 NJ -preplace netloc EXPORTQsys(SLAVE)Qsys.altpll_0_areset_conduit,(SLAVE)altpll_0.areset_conduit) 1 0 3 NJ 260 NJ 260 NJ -preplace netloc EXPORTQsys(MASTER)Qsys.d8m_xclkin,(MASTER)altpll_0.c4) 1 3 6 NJ 220 NJ 220 NJ 220 NJ 220 NJ 160 NJ -preplace netloc EXPORTQsys(SLAVE)Qsys.terasic_camera_0_conduit_end,(SLAVE)TERASIC_CAMERA_0.conduit_end) 1 0 4 NJ 480 NJ 480 NJ 790 NJ +preplace netloc EXPORTQsys(MASTER)altpll_0.c4,(MASTER)Qsys.d8m_xclkin) 1 3 6 NJ 380 NJ 380 NJ 380 NJ 280 NJ 320 NJ +preplace netloc EXPORTQsys(MASTER)altpll_0.c3,(MASTER)Qsys.clk_vga) 1 3 6 NJ 320 NJ 320 NJ 320 NJ 260 NJ 300 NJ +preplace netloc POINT_TO_POINTQsys(MASTER)TERASIC_CAMERA_0.avalon_streaming_source,(SLAVE)alt_vip_vfb_0.din) 1 4 1 1650 +preplace netloc POINT_TO_POINTQsys(MASTER)alt_vip_vfb_0.dout,(SLAVE)TERASIC_AUTO_FOCUS_0.din) 1 5 1 1890 preplace netloc EXPORTQsys(SLAVE)Qsys.reset,(SLAVE)clk_50.clk_in_reset) 1 0 1 NJ -preplace netloc INTERCONNECTQsys(SLAVE)sw.reset,(SLAVE)timer.reset,(SLAVE)onchip_memory2_0.reset1,(SLAVE)key.reset,(SLAVE)alt_vip_vfb_0.reset,(SLAVE)uart_interface_0.reset,(SLAVE)mipi_pwdn_n.reset,(SLAVE)i2c_opencores_camera.clock_reset,(SLAVE)led.reset,(SLAVE)TERASIC_AUTO_FOCUS_0.reset,(SLAVE)TERASIC_CAMERA_0.clock_reset_reset,(MASTER)clk_50.clk_reset,(SLAVE)jtag_uart.reset,(MASTER)nios2_gen2.debug_reset_request,(SLAVE)EEE_IMGPROC_0.reset,(SLAVE)sysid_qsys.reset,(SLAVE)alt_vip_itc_0.is_clk_rst_reset,(SLAVE)sdram.reset,(SLAVE)nios2_gen2.reset,(SLAVE)i2c_opencores_mipi.clock_reset,(SLAVE)altpll_0.inclk_interface_reset,(SLAVE)mipi_reset_n.reset) 1 1 7 430 440 910 850 1290 690 1690 750 1930 710 2170 880 2680 -levelinfo -pg 1 0 200 3000 -levelinfo -hier Qsys 210 240 590 1020 1340 1720 2020 2320 2750 2900 +preplace netloc EXPORTQsys(SLAVE)altpll_0.locked_conduit,(SLAVE)Qsys.altpll_0_locked_conduit) 1 0 3 NJ 320 NJ 320 NJ +preplace netloc EXPORTQsys(SLAVE)alt_vip_itc_0.clocked_video,(SLAVE)Qsys.alt_vip_itc_0_clocked_video) 1 0 8 NJ 870 NJ 870 NJ 870 NJ 870 NJ 870 NJ 870 NJ 870 NJ +preplace netloc FAN_INQsys(MASTER)alt_vip_vfb_0.write_master,(MASTER)alt_vip_vfb_0.read_master,(SLAVE)sdram.s1) 1 5 3 1850 960 NJ 960 NJ +levelinfo -pg 1 0 200 3040 +levelinfo -hier Qsys 210 240 590 980 1300 1700 1980 2320 2790 2940 diff --git a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml index 2c6ab93..5ca182d 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/filters.xml @@ -1,2 +1,2 @@ - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml index ef41bac..86c4ee4 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/.qsys_edit/preferences.xml @@ -3,12 +3,13 @@ - + - - + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf index d52ca1a..f5e15d6 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf +++ b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qsf @@ -6,7 +6,7 @@ set_global_assignment -name FAMILY "MAX 10" set_global_assignment -name DEVICE 10M50DAF484C7G set_global_assignment -name TOP_LEVEL_ENTITY DE10_LITE_D8M_VIP set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0 -set_global_assignment -name LAST_QUARTUS_VERSION 16.0.2 +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:21:37 AUGUST 23,2016" set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qws b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qws index 82ecf992739581253eb66876118b7d80cedfc976..9a7beedca978bb85d11ff496ce86170b270e4bde 100644 GIT binary patch literal 1312 zcmeH_yG{a85QcwN3Q8+03kySt2?)jrc1A^Gf{_?vyK!+r_nm6iY$OSK-&B4C&*(2$F8{AupaGV77@m49`PZi;QG57z-0C@#?ipd#cj6cPkdxl-u-#9_rh9q@-+Rpb literal 407 zcmZusK@NgI44eg{KER8K5AcEk#KfZy@DGN7Tr| zQAm&3oAHi#0@luNn$CXkR`eo}H8!|;;Rt+6r{&$8Oahg*dw}LGa^hX_!X^xGL@6^w yP%X3gha@j6%wGiy`jTc!16ZNCbX98hRUDc7?OOj!8_?-fbE&Ytt)!-uAr3xXnO6h= diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.v b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.v index 48fb746..d9cd6e9 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.v +++ b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.v @@ -178,15 +178,9 @@ Qsys u0 ( .eee_imgproc_0_conduit_mode_new_signal (SW[0]), -// .uart_interface_0_conduit_end_rx (ARDUINO_IO[13]), // input from ESP32 RX2pin -// .uart_interface_0_conduit_end_rx_data (), // output [7:0] -// .uart_interface_0_conduit_end_rx_valid (), // output -// -// .uart_interface_0_conduit_end_tx (ARDUINO_IO[12]), // output to ESP32 TX2pin -// .uart_interface_0_conduit_end_tx_data (), // input [7:0] -// .uart_interface_0_conduit_end_tx_transmit (), // input -// .uart_interface_0_conduit_end_tx_ready () // output - ); + .uart_0_rx_tx_rxd (ARDUINO_IO[1]), // uart_0_rx_tx.rxd + .uart_0_rx_tx_txd (ARDUINO_IO[0]) // + ); FpsMonitor uFps( .clk50(MAX10_CLK2_50), diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf deleted file mode 100644 index ce89fc9..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(10M50DAF484) Path("C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/") File("DE10_LITE_D8M_VIP_time_limited.sof") MfrSpec(OpMask(1)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.sof b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.sof deleted file mode 100644 index 357725fbd8023c15176331875cf30becaf8cbb13..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3330349 zcmce-bChLIlPFrYx@_Avx@_CFZC96V+vu`w+tp>;=B@sH-^`nP*UY-_{qy!ZCr>CN zBVy;tjLh6yQC0-t?*;e$1q6`&F6Eu|9h{sU@#P%Mt&Q;oZLRIht&AP;Rg4`R&24S) z8CYrQX&LbaoXxF_@EI8y@#)#<80o)146OKy68MTv`Zh-T4o3LGM&?f6@&G^p@ZU-q z=%rcch4@97*_j2|L;-*SY`%%)Dy;k>Y;yGU{ESlSiZbT5j`-r@-|gBO8aq1LI&l35 zTaZagR*_J|LI2wx0cR5vV+X>2^8^Hi8NRD3nw#3_Tj49|+xHacJ$QeA{QegI-Gu~z@NY6c0RA@w2w=q% z2|x^x&^x(p+#0OJMo9B67YFR;@mU{)H??qi}@n^UkZl zb}RMF8N^VaYln{?hI-$e1CVNj@~W1k5?b9u${j+WZa}*|@<>1g$QdDssl zBiejjo$(|W3ma@%M*tLJnn_EI&tU=xs|@pY!ugiM=DVe^bcxpevSHp4-T!*50(AUN;e=y0I z6`ofr;qWB>5X7QhNb5MQK@>WDnww*o2cyByHdIF1{W&OT8HNPWiE4VGv{yyn>~7jZ z)v1~FG$2giQyxAGhbPW&31r_Z*04VK%AV0oGpX=IH9Jd%$aV z(d)*J3IC+Oa8OKq6C_lNEE=vzS|>tmDi@>_MNFC21=T6@$7{_(!{ecnLca_-=e%3D zmCCW1tR##7D5=*2EK38-xr2$1H^GHL$x_#nhVpgBqNJzq)f2Ihc(0d2jX7pa_XcB4@G$in}41f(;f(U&s8L z?fOxZ@YG20P8S=Kl@4nz&%#bzNO$Jl|iy&AZe#pFq`bNmqS^>>!>m$4-Ytq4Af3-SMTRG|e zC7S3PaB7*`I665v|8+(GZ}RY$=wNQB>uT;~rfXwsWUOmyY{U8A3lZX5nHxChJGk=@ z{uh=15wHKD&VTyzKe+S%E{6Z5z5gSW|DPkq{h#ss4(Pv-|Glf+!2Zn%$o|O*8d1J; z2m?BEyT6qfjTj7Am{^VJ{);uVj<$A&e{aU$ynsj3bCVwMZ)@e!*$x4hfD`wP( zIhL6V(#S76%l+20Cc$kDy_xQ0%H*&cPrS=7%GydDDqv}f6lc8dl(4K^iKLA4>$R4- zxBjwaXzcYs$bwnc&xS@NU7zRE;nvvY<8yakSM`b$cziL%Q8DNEaqPygi1tBOMrmGA zOHmPb;RW*9LQSKbe3hEoA##w8tXujkGh3?@IP1&(3s_eo%k{0w%UlnQH?zXc}0+#A4+qSIvT!79wr(w6H z#tQI7r-v!?`%s;eWT=$i*5gy02L)OuF71k~hDa^>QC4?e#$DfY6Sz(my-duy*VLYlQ`13ONJAo}0IR-E-S3pG#5%EN%gGFaAudTX~V?HWK)B zZ7cd>W44Jz-GuYO@0wVWbmp&m4PT?Z_k%mLnU{I`=+3tX&JT}ur*Vy;WeHQu5mx&5 zibr^6%Y#YllKc7N=0(es<)RE5^YttIdBz2w8*!~C6Z#}&{0I?FprGbNQb3kncxSB94y&&q}T-HEn`&QWexOk{PicN|fAnokk6)feKYQBp`u#V>ov#or2QM0cLj zId3tsgb(VfU0mhXXEHXD7|vfJ9d4}H)2fqx>W+(1YUlyca?`yFXqwpD%s5aqg2oC|*o{ zM-TU>)~Qsl_!xI)+wj%T2g+ic`GEGHiJqMcPVzS_+0 z_dHT|K|x#0X1i=*(_)2Za&U3f9ZXS7hs;(N-1v%cLp@o^N@rJ)POH|lIpm@)W`U!G zulQj@hwHI9l+&psA7ZWi<`#E12SOMjVDg+}^I8VLN~4|eU7=E4?s+%<0gcV|=cA;JmRaO` z^5$`De8xm*^3*e_Qi9^DEk%oHV{mmL<*Ey<3A;Sqyg_K95gAiVA`p8fq!rEFA4|~k zuhXrg_sM%+N%RTkde|y~f6 zD`3L>eb(4%fE*r@4zU+bHMh7RG;#EYzEU(1Z>x**ao>TYqmkfwwa`7HSPcPeXnU{g zT{Uw=@j;d7pCXgWKSo=(p&$I$r&30X7xym6lmPNA6<%wg!;7`0Ci z!WWMG$x3*!fEkAs`)U)NxO*{^He_zV(F+XixKJ9fFXymDtZY+*A6OWc_j+KEavE#NOd;Qf?1r>uwx5ef~F!hlahh*4p{HIH)TIoVuS-g^3Y4d(@iHfq?R@!!cY zo5vSI$Xz`MP`y6w?fdnDLI_SgBjgG21=!m>@_^ujX;vN?lS2EG@7Zu=wmRDc;64!A zNUH|u*z~}Y|E*ds4d_0w;-^W+>u(~!cWAUm{n4#wDiLL1 z{T^uMm*^Ci11yRk24qxg@;t3+hce~D9KX{}G5FMHW6tt?7_dQkgSzd_p;LT-kIB%V z73AP~g1$fw7}ITfCt!TQ=Kwmu@$!r|0I;RrZ`j@d0{2~?4A9K>g5H6q|}1FAK_mA{TR zH?~x`&HIQ5Hhgq3qD=xvotxR@+U7H6^>KBOxlnI;)(nONAmYLh)G7LeLZyO6!uOCT zh>QmLF&_!)1WwaLM_lBlxrIbTc&Z@OVNb_{Mw*lvS#Og}Did!CprvmM7Dr#Gx9EYpt3)Lr!+ZMVpOjMQV(uDbq;J1<3HiWkluzm54kes*RvH&PvDn zCU{XBB(d{R#)6r-`$!~a{U@ZaWQO!7$d|fnYmB@Rn3QDSBOPlI5Cu}O>ddHvI*KG^ z&y)F(Pt3uDYl>ooJl4YPHk1#3?z3vGJlv1`ib?{B2vED<&R>!M(n*DrHoi;*x0L^7 znFNvv^EfL=uxjIMl3;(-1k*@dNAQW6ClbWhU@#QYr{ zJqdx85z59$wK+n3{2WYY~7YgtV^5+zZRNSz`b@3St@rYmurKz|=QHx*IhS z&c+DI$xCGQJ~y%*MSO49GnoYT5tZKxr%5GyJN8r>AOgE|JtNsCNXLD@9#5Jn9I{JY zewIfDg{k=Tmz;Bzm-4usm-38p^eq!a%VsotJEMryzQ}!l-=lInlW+lBew9}uVj~RC z!_tDnbB~=RQ(R@Ho%ZAGS3l8bhrzMRKh2E)%lxNr^I!fa^RslT{(m-K zT?YgT*Tdt`!x)}tN1Dx<``#FdKR3Gpc6>ty#QzPwQ{gZ=kLR=~fqy{SpmLKIBd7)T z=GsPZU~ix7AwFE`?%k8_m!?XKG5i`0G|7;U!lYr(n;1(B;1$uL7r=9s;^dLlpqTZ5 z+RKyxA7)iLUC~==yJ&Hc9i9xnJ7oNXGARc3782_G&G&TPHT}VeY>wFOH$aOY9lR&6 z&gIhy`Rj8#m>QGHv{JwUtp;Sgb&g66hQk$9vM!doJqQ;6h(;fBY06lorpQr6bd8$J zoF!V7`{nLdykhT>Ugiy2=^nfr-V^ws&6BO;qh6zM_xD@KLPuBk$z^{lymUO;NKr^q zz^p_pU{%7T>s1r!2`XA~;HnjSTUq*u`^z>tbRx2Rd^$UW!FL)d6bK+@xtAZt*tug5 zC21|{JA(uIveQc?Q;W{_s9#^D!evvQw3?{3pafOjQf9JR>=yc`kgJ02lQI25Dt>AA z$3q+=&N^jI@Mz*r+VgnYGx+sm$fwyl9(lhw2jY|)F(4BCcMh3xIvMpP{+t5xMMn}| zw4H>kD$ym&TikUNtJrz7@p0Y6g%vG2hO@t>Urh4OjN$s$dFfQF1>aUrm#|?ZhW$AB z;5OHP_j|chRA_X+lfoA z)bKJ>5nJ%a99E1;!p+5no8*bM@D(tO$rIztt{+EWC~;%aTxhY$g~|$ZF)v zyl6?UI>ZoUci8mdp`9za@~6*(gBQkG(u^|9J}_yF@z6$oE7uj#E#tcX#Jizs?-tk4EB2#sD_1Q;rBY zEh{4d(mB`A-wZqlth?j!69#u9Obp)RMe+y3b-egh#U8(tp)MwglQkYv;@H-o6cI?> z&0&xdsOG1lI1OYYgz8_4FI?}8&jXRtLF7AU@2T5LJG|Y@3anI_V_T=qgJfwKIb&NF zDI#O0-;!9LO~Ea_QpkzjN4Ap4$orVpWKa^iiOIcWCRT)~pp|yzc6VFJz+`f!D+(0WlP?#t9xKdOBgQgKVXhZv5}+Q?L)eJj z4&pCtQ3DAaaW6&qO%UE*1dd>i>OJ)rE}y9YM?LW;gQT7d)S;^pgNL{97bYcNgrR2a zEi=)JgOqsyil|&sBC8nzDM|lkv46Abb`(2%2$3=^z))8sZ#?{kS@UyM^x{x5PC-yH z!U8n@A?WDE#gUhys2LGKS%9J%|4`$`-HL&rzLiXXpbqaL6z#t$ z97EYCK(VuwkcxFNjPkFS-z=GLmesQoUHM-x<%L-dJ9L!dqQ1>nHX1DElouBHcJLnp znz9iwl!Y+LYA8@&X@1^Gvg^bp?-(tO&pLQt3W=Bccl7&HehJ6jZZK>ksPH6-Ni;DP z?u$ee8M*j{l$%Fi#urKPjQ0@QR0F|9!*!3D3g>B3L?E3#x>$tQg-HQ__-~TLr~XC} zlIwS)N2TPt8AKPV8V1zo$UYBS%9keXayIB3oW zhSA^BRkjVg8%#r)Fx=l07{4=Qbzr_3UA3`i)1;MPc7Bv5ES5y({KSXWi0!^j>f%n% zNNuJzGclCjwuFaS_7ULt!qRntr`VOaK_o4ff6~^^;Laz+`d(`o`2*{V$-v#IymOb= z6MDzCU0%Mqt}t`1mCfG#eR_Gc3b;=d95(?F-slQyiY<6q`s45+>B(kvK! zDGKr8=o}i1pi&cQz&T9vB`KLqJNm!M6G$;xNKB+5{4Xo{6&XzDwinu1q{cxawG+UW ze-t0^s{`~k?6D$~|pg=e#9BuwMB-Isy zOv1jOh*E4V!6DBQ@n%+;8Ov|jJ=kRTMsqb2ft{tVQ zXW(!om2)%1{lDqRt)#!HZ3*fcAc;C|!A7xe<@M|+qE46zD(5B-BUK`q?j%wo`LBzrS7AT*+NGnZR%nd+Qmu8VgJ6ykWs%IbF+@*u*{n3(Q^++Nl5 zJnxw??2@N#lkNT8r>1_eBfLGY?MnF1?#7nh&b$4)g1Po*LHf>%K;P*$wr!@q3+opJ zHx_iZ$h8&;vM>_2{HeOErKt>iW9bK(Gcci2#x>j_5MAX|2|j<`aYTe z-mCwwTe|0+-i`%r$#m~uFUZ;1iY(KL&aN)!g`Q8@e@blidmu{~+<;{`j~0-Ht>W$0 zz-$A#veJ%xo=j;Vt$Mbbx7B7iS?alxg9>vR8gvSaie$fzhMneKFQ)|QuD>3Cd%Jx8 zK6owAJAaAs^<-=C==Sn@^5y+HNH`CJCo}C}RntWbJ%9UbS<5zHdtj zJk3{+%1$_VgAaMUbEMPZlf4L2-V4C{5aW^X?KO4mBfYW}_cd&Xt`z7ja!W`5A(id>dOk#c_73e&^;19%m6@=DpAiairG{c{ET{n7fVrfB=1?K=> zhZC%Td%ksieQF_kq~OsFZv9S0_gj|g;)pdq8AB-S1lwUFwJYKDok0jaMSJ$uem4L< zA|I*-13mUN&q4nzpSNsLCCPf(JDT19Qw~CU&P5-;iH2-V7uPd#Jd=%Q>jkr#Sfvpy z+jf)`GrjV08MU6x^gv4I8J?)}mI>SBkjm}uTvjA;Aqj-A@ym!F)on=9s ztpX6o@J|2-cwl*i9D6Xqc&_YyHCQn1+R+t#mPK!wQ+)bt2!sBiknGE}IGLPy(z+e< z)1^F(b#E@O%(|K^kLAN?ca?ET?#TLwzsTmDJ2hJ^*|E68qz6lin{!^`s5ZBigdZ_EVeJnWX?QDy?nt%0Z(X(##~m3__O(=PrvTowTuoi z_HABa6;AJ>yJmYJw#jW$Qgzm_7j>-=mI&O5*@TFtGqJ!#m`d2Qs-9Q_*fmbHRU8#S zyfT1|*EKG5El4&FNS-br)DyfNT=S))UnU@uyeIOv32;IPUyH9nMEuG)x2lmt8Hy&? z>Nlnl5ZBa5X8xwO*gW1~#?hbrNJsQK4V1U4>&q<^$NYWoQ0hDDpd2YR0=EULl{1k2 zdi#JkQNn~Ek6M~HPW1u-?oK-qd>-lGJ~a>rHw9QG+fe~0X-xioQ|jmwBJ^gL`;k&F zY5rdyR4;`94aCsJ6j-S3EgbEYknP0#F68Zn=cCo(#q< z_!OqZCFO$K%0NtOYzOr!lqOWJA*R>Sbfmr}`r2Ky$gx`-Z;Y8oPv?KqgSxH37-De6 z%|Ae3-x+)0NxAgg#o_q0nhZ#r&W=~1@wk8XV0e$u>UdYNyYj*xI#rvXg5JTD`p!f$d%lHX8#Ha^gjf~mqP=!Tsov+89vYt-7byh zxnN+juv%z_sF`Gq1I>KvdgCI9CENtrv(mAI+_rZvrV_UPfa@7WOu`X zvrWg4R@_`wsR~d*h;|ZjJX0%S~#y{6mqvCJrc_KPxZ$ZW`fxq}%4zsaN zeQdgOS(ls$x_$se_%Oyna5AO~|Hx8*8hyDuaJfIDLhgmibR(ie)F~Ne=umw}mKsWC z+c;Q{(MJxF1d8%@g+#gZnCs(waVLmPI*;Kgp=hSsy9gc16}RGV^sIXeeB2~y2qd6 zK66AVTj4fi`kNq2LvWWr$8r!V!agx>&@1DaRD1EKKjCaRX z@|LUOeaZrJP1c1!WJ|rO1ZwK4vUunEFO{;pUV~xhAAxVs0~7gM&>G=}t70N>*Hy)` z(DhsDL3sG8aw*dP8?2my`mPYN*nd^YIF0d~wO9;68wY0ZA2IpxE^(ObdcevuU^1O4XIKZLKcbL!UfF6yqweezth{4*L7!kGca@@7-Fk3ufLGSYvX&qep)NCB1Msu>02 zJ6`cMMaujhx`M9YzwoItT?*FWwz{#ZSq6kLb&^D_4pY9)>|Sytr_#lyRD-QQdDGW) zOpQYJ7{gW{wy!$(mD|R$Rvyf5FFxFV&HkvZ%fi%gab_;V{wOWqUd*2f>4pMUov+jm zP@$tD@ZJWjkVM6RSDOS@>1N36^ILQwRiT2{)L!o7!fi^o3?*Aeu*7ZR97M3j?enO1 zPR8VP33c!uP6Mwygh|u~TTA@8l*S|41?!H95}Ab~_T4|H)R3m0$0mo}6eT!AIZ(CZ zg|eBq9%9LDI$eoMliV$FXSzIgAHl~|$6FRMfol-D~OzoW_3itFN_d|5RMsjXQs z@98XJdpfJW;y>@cBw~B0($O9f&*ZP;&h#fj1mmkv$zzJ+gmEt`@guvzn{&A^dq#Dk z{bSwWwQu>+-PVpo=|o%spKz>2`Wa~N4q>HG_n$;l+TQDxCE+QBWvL-p7wfUTPXCNY zb3xhLYz0_(#>lavdL9&7huNJT1ENW9q$z(DxEDc5S*2OPq8_4*YlRmLPyM_lU%f65 zDxcc=f-gbGak&X!V=O9Cv#74k8&T~+TS~8VjCMR-9!-QF`M@8go*YTFk>X2Uw(XRH zXCoP4(#2OnL|j72`3Bm!x_Bn#j009s5zJwZT5yXNJryMPguLOct)ai%M4?W7IoL|) z?9}DudS}-a6)-i~Xp3?V4mxf0raPWx6@gl9z%^ZMn#C_d4eUcX-YBeRr3*QAfB+`c z?YHTX?K$o7AKlZ{mopDnT=Pv8;|mLL()%}XR)%{Rg#O2$Yy&vx6b0yQ0nf&w5Ghg` zmTaDJ3L(-Ep7MwZRIes@5^Z`8@WrNB;bms3P1M?pO8F1x*}3H`!?`2nPBvT2daQ`V zEXfO!FmHD1O>yV_R;wa);N!-Kp?<{5ns)@*!5)Fzs+B2zshtKFzaGtQC$mG|gPkun zhM!?AFE<@6p0ZUpYKkY07g8!!spGb4H*JfmM51xZwVpioRVz8bjZ*`=F2kMGR-rTO z`JRzN9!zs!HYCTPRfFShEzKwBhgg84btU(f=T-uStBX+1h3Z&@-!;}|jg*VP*0Pu9 zXwkD#ZJZYePRmb61!rt!AGQgYKfL=JOAB~iA*^#QYX!~T2V`*s;L$yfW6yir4By(> zT!3*mD*@$u+}xOG@npSz-;FhYKO~bR%H;|A)YnweN!6u#2aRWPrjN+2>ZkL()n29CGB z*~w#7o?6`onv^8#`7@Nk=j)D(YlXoT10}l5VRtiF%z74UFz`5TdRE$OK zl*6}i-_`_{n z02x_cZUA;00y#xltc+Q_g7mGjIIVU7NNFyms)p`Ta?XB&YQ)c38+`S;QEg%-X=vfq z??9O{d_Cyq$mZYRTp1uv7+0)G&XFk?V!1?xVY}a8NjX0;@3N&ni!YDLw&^^H5WhS( zprt-n6?Lkpa+_8n5OmiJV3<^)AD(Rm?W-oUUm4vtA$I2vRFmUCgy_oeswScb4p)<- zU@zBxcl7YNStFEh?nR}>A9ZDIc_7WBpcLnthpUpd;-ILUQxDXptaeSOHfYI}v zRvX%=Kw%}Dkol6TR+1?i`^Rm2$xICWQ5t)jFh|Ku&E4w$UYeVNQA(Szlo4&4Ft%aD z5*BOLf!;Na4XUxi2kB(Dq$~0iX5Tup4)L>gwLROrOtzCL7tfB@kk00IL=HpG)1cV& z`tgK;VvRjRQ`BE7a);J?uW{d5X$Td!qRIy*$Y5QF{_I}lu%3R1>YW}#Me75mAjYe^ zVcv{txWZi;b&u)>C_2u5JJ3iiI3HKM8SK;ksyifPaMxYn`yYgafZnWH%A=^xs9LDm z4K`D}6Wo-<%Y>vwSk%vzG*6q-HxA=Y)^e+VlHBsctmZZXh6xUSX?|GZe5NyAj;+ph z7Y%RsqZUh-PSI67PZ>qput?wpbRDqc;&vNw#;$urv1Ug)2dsb-iquFN+qQ9ii2gr* zLj56-&zFdoc4Mt&4zTWdv2Cg|=yKAA&N`t|aGK^Y_Q+YfEa2Feyq7*wgY173 zU6+LrbY8oR_8457cS_#Wpr7`|Psf7In0*sSPOpR>Fqk2d|`Q}kVU53b!{k;d@ba$cuVD9;S z3&RlZ_&_)d4}T!E;PsW|+3|rqF7__J5fo0tV%Q0dxQ4v{o7FjB6jcGw=y_InWC4*XlWfteTmg*1TAk3*KhFT34u6?avL}H{vp^GeE58 zRVJHoJ=m$r6RUMo?{H@DMDMg;CH6YB=M%eGA7NrglUi2_e-kFGsA6m{dusG!wMXtX zz}|I4sun_YR_I~8waP4zfH#cti-CKa#Q9Z@vS53y8hDtH)*D~(#pKD^e44C*W#br{ zGNKf$+(OeekmqLm&jNM+iy?Wb#1))w_{D1c?+eHXVX^#8ypi-)uLw6jp%GIxA>;6X z;44k4H{O>?{A8+3tW3;5O23);tr7FpVoLdl0}eG>+iW0^mAk9>5MKvad*|`WlNsfb zNM|%|UEKEk(wf6bbpE_XS*_%Pm$jJ(_?ctV8u>asp2ABn1^uS{V;&T>b8?7gthW{F z0UXQh;GvNF$i|>1w2)w2MwOdi0uNgY=+_oN8mCZlTN_zBV>o*(7=4_~GQ- zTR#Cq__5#~!p9Q35FS>@@skNbe78pRJkAQ}1Js4Twp{fL-d_+lKv>R*UwkZza5QQ* zbWIv~!5lL;LJ+XFyjBMnDpXvSH9r6kB2=Cm4>S0vIe6z~g`T^c4N^AWjSX(DHyx-w z7H$b;<(E@UQx73=U2JGVi?t3_Cr@X8+C3>T^ogEWcc0KkX-id);m^N8uwFuPixs#kF3k?4MIN5z&HD164H@8N^W0)+lYvgF++tVo z!2{&E@Y2Bdn)3kOR+zb4SfFODc$@4}A!o4X-_MQP>!Ho>qytB!g0<24>s=HBoiU@V2rK$)v!blL9RfP~3V}0-JOW;fBCLWpkP#W-&1A#G+W&-I zpeC;Yvp_{w17?ASs`O6>T_M)yx7fxk=)r6mf-??_G~_fZMjv)U_ zfl~1+O37XK4b>2belwJl_eKw5O<9q<*Vb;Va7Zs6OrYLCgfJIOcwfEOyr+V$#wA_Y z3Zj&MAUX4i`3%w9fqa=-Nz7w>Sa)Wu4yF@uT9UAGfqV6h>Vi5SGdQe$k?(~IZ39AT;>v)tT`fKx_fFz^1)vGpAZ1g{Z+ICz~jlH5!-i7fm6%!5Q}*WMecmuLP*KP3JK4 zD*)z=PcEdpXhEOE(vUpP{V0_MjVaz1fajC15_;^ULzNW|rggmIW#lAQ`RPuR?@& z2joL}+fXkRj^O};aUV~ej$pro#NXN@?d!3wwFK4m1h%lklvf>gobyb6aU3alv;CXY zb>{`X=4l7Pr&Wee%dkEF%*Ha#NzN;Gp`RI|e^?*M2?{7W6=KLG_ zWjCwNW6c=jXdDbe8jBId-g0k)G!ROW9|WT=z=L0h{V#g~IS~^dZ6hD&&>}?+7cNEp)Kcp+NB83G$8Kwyhf00JJB;8~6K7q`SP5PV) zt4O@*9xEvrNUt^|4h&rynqLaXOAcWWFPE3OWLw$I?GjK|RwOJWeH|P0i(b^laANUt z(!G&qqe>*~PaX+;&W4ml9aM9~>O=kZi~Q;EMkky!RG(qMDa@Q~LccPc3bd>I2){a$ zC$8KgiR4;dBsp^!OF#1E;Eq-`^;Xv*Q`Z*G_$PyLdYN(ZOh{hLHGTp>y{5G5Pf(e7 zxcZ%^!FjH(q;URfa+E$$S5W9A<-XSlwD)h#S7#iKN z@0o7{z6InKj%~p0&DWtumzD7_iB8GQN|B0a2STqCf-$U7&+6M9cX))Bb}mp)JSxpb ziuC;WtqKQ;Eu|X5Kqhp$E%Ltj% zE#!q)pSu*A(#XIZ5sQD2tVs*8+~vXWQ+MaIWX6Lt*^`Hh2T!0M?pRr)iUw)pp~QIM zvW|J)Bkiv#$6pHAyhWwkvHp#*d0rYv%l_q|emtF}$8Lj&{R#tgt`rz8693VNfE1zk zAiYzd3Z^SCJxTR^$%wJ?HDS)oDA~Y595|w^XOoo_dl^|iWD!Dw%V_Aai-ZcFxU-`) z%BUrsdKioZ6oj9qAbL-JbG6*tqI5-xm-a2|t&lUM==jK%@)PsUGqRQR7}k#sUC!5-vh1oztrqeKHj)f(4=hLvY=%g z{BhE)YLX|FMGeaeQ;Obdmepc!;*$&Ir086z0?aYv^{sz7<0gVAyE@bag&8P_3Sz@LyD3o z{G`;G80xLhnS>CH=7aNct&%b2b|ExP^O_|%nf_iKEnAY?ROjj-n70N+XaO}q`}Gxa zu2GM4tegJrthE03i2|XbHT6Qt7Zr;1ehlQf$pJe75_x4_;6Pu!odItI17oA@^Ph{DB8uP$y8sOI(pZ^?t(?N6{2w_0)^eTCtnj+7A%D zakV*e!J%k-TgQgspEuZN))^m+tG$&4e5JS{YI<+rF@Mj#{?Ea#^Ru|A?B#rY<{P?9 zG~s4rm;2|l?Ue|*zcqTA?UlwBzlf**SF`H{yy@six9{6QO7g>g(@)H2{7!;)7UUi33q1RkkwCwlBf2E%GQ}v zu*6#vlk4wV;Ve;kQVYmjPkR6?W8?iB^|Mf07a72;v)<0(EK9v-?=`CooX#q)_3TKz z4v6p72&ntSV0s<~!LvGymfDJrlP{|L3ph$hMo3x^wKx{_6E5vE^a>+Dq&1w}^DqDl zWGrNS=JFtRyESs`sJ9KD6W>t^ZVnTF`KrjBf&Ux_(b!(a5|z#A?I`k{8Q_=!tO|ce zd1A4F@`w#B(-umTp2!l)jl-KnHIqRHBZ4orr{0yi94A!!_c;1c4KJ>?<7I1$* z4#vnW(DV3yn5V`O6|DXTOb!dE(Ftv~F}+x!PWlr#{ZxFrNMX!7^5kmB;Rls{Le>#(6KHgC>gyY|nt zncFn9$G?l%xDkm7DTTDOC(GYq9~lckU!NEt7{ZOom1X}X0ylY$(>-|C+!B@CTFWCJr$Z?d2T#wMtMjR>7&X7p?d4xU_-(zu9IUj5)}!FI z9Hui1!+YdiqLMehv>Fgt%&7Fxsmix~ucrWa!2yyLJ0#nE;l4BGj`E~sDATLIyCHP0 z2Dhw~ZokMOQa~`@E)gkVumpT`AdE=f45!V<__NR+NoAfF(3wxF;)eV*w!F+C8p4j+ zryWgQ97yE}&N4XpI!+8Y?fj*mV}j2jt6KL$;AWBfiE1C%@1lA)@|SfJX54x6fji*` zm%SJS9i4Y7et)6!T=-Q@UMpl6KgnbD{haAmawc5H>s-*(7r<|hMoasV%dy1iF|N)} z|FCR$bXgGM2^0=bN@T}9?|Gl68KusHoYfVI8#t5)yIZXFb6W)(YsrDX+zmI0UgrlKxsR zaOwHKxO>Ow%DQe{G`6jZZ6}qa!isHsMU|vt+pO5OZQHg{v2CCAzTe*G?AGr2bAR6Z zYs}f_7=4Vnp4QetfBG{Ay1vW2iVx20Oo>mm@NAP+l=nQCcWTxtl>OqWvMLVVWBU+E zyToActoU6#6yF{K43_UN>1y6#i(XX!+VEQ8x;G&E>_aN}#n*FW<(Sp(jYfZ%f5@Gl zNHif02t>9QF$noMKO_*KaSpS|wz&^8gPVSp4Yo&B^!D+Clz4~3=mr}^`OYbcOxGzR zBCze5eE3eBm^0SSp3jLrsDr^49TH*07~V>KnD^|O*W%@_xUrL$5@B?BW2-nJ%JNSY zB6$p#D8_K@YzgQ>gHu;;qUxckz3GmatdMFs#ocZ8^f4|SRp4Px&*!}*Z4ed-cJZjq z-0P_s9O=s=J-*c5eD$DLSx$M96-*In98Q_DJa$NtS6AT?;1%voPi0l}y;s}UjxzRR zGktZN=u+R0RC!$6SwG1{`$QiRLDy6CiLJ52QypH$_I(>|}S{*NOv?vBly#J`F1KX@m7|5@<6*i%Abd!0g}&*9l`Q z`KKlY%Xa==T#B?2V;Jz3uZ^#jyr^1dg;-}8-Uj!R$jr(3JNZCR`9UyVnpVv0$lF03_KN0I?kn#PKU?TRmVC;Sun7q-Xfoi!Ap zc0O9&jZHdXXCTvdRl2gx;i~kFL!a z@fda&T7O|WkG;3M=fk(8_Nf`a2xQt@hXSeq`T?5>De0Hop-1yA;QR$ z_)s1}3S!ymucS?gui~`f*KSMrq^rj(@jg%G9cu&Yt&43#WfHYYx=oE0uVJ4}V@&B` z!eGeLe%^^9d)9c_IrX|a@H#Z*5;jXi(E_Go2kQeyhXcCsZ^_?PWYf-AhBMcmn*@?) ziZ>s(5BNS`vIdrK<*tYuBQ=%`zDmMn7hMlEbBCI1Ey*>RWmjuf1}DFp$#Nf+^QHPa zO!b%WOyRm5f^12C(7rtEi&@{?oQ-BsEnU0YbEmDanOS)V!Rnv8U%QBMCBUZ<@!BlE zFRk!w-`FXp6TGgB}b&0jZu+D7x^?Metlv&Bm6UGs?Qh6-8(Kl5Muu2iayS5gt{Q( zm`n~P!qd7XB6C~+{PsCT0|TLh$mF#X7TYdkqFJWc*pqQ*MYB{GeoMN z?xk|SR~U7KU~)kEPZ$A%;{ zZ*vAWz7~O0KC`Cf(BZVlD3<#ET#i2W>J5(hciQ}co6J1&Y$UAQlgs1EV*#8qJNNAv zX4rjk?VG6{WsT+pXhZdO;7=ouLSFp!Fu1=BhV1k5{17@bJ`$8)h=#0;hlJtad27@^ zv~PTg(pQ95Alt6~Fl*)!*8%f+D(XM(>N`W1-=cSM*XSb5vf#0)03bP)56P#e5Dc8`0I`H)kolM0NO zpmip~Ewvfa@71&lE0H%oP%VZSu(F&t)<5%-y)RYXzUi)S@5tJ{U)`Z!T0y?pDH{ss z4gN@_vX$)k4EOPE8lP4r-vCn;FAprhNfjl>Uq$4#w_o}C8iS6Tk56=R*0^%PjskX*>-A_{b+xO##^py6bVC5&X+Y}%a9!*TrApX;ca6cZmUOg3PYjWfcjyt1Dd513$2$Y*G(!T-e`$)%um0#p3w*25$;j{=Ktprou9a z{k*xxC|~;DBT;vS>u?zhP_D`CVLoH;3sAoPi?JSM<7x|6)wV3{WCO4b_Ys}S@APFX zHd30wG#^&t*Cs8OGKBjhS4%6p=F^^o;r8{=5#O=mB6jz8A_j6aY2VK&6Yo7`g%hdX1`l5V)+p-pmIU;DwOM$6yr=GdytV-wpGjqeFNek5IXBXIyh z9(|@j5P;>dZ4IiGh4myg0f9mDhoT$|(|V010F;ZcEg}Tkg|W4MAH@qid}7U%QUxhb zAyS}%s)l4n#D*?1nm!MvlV+74HJjKN;v~nqRgUs}`q5X+1DtLJrz&d#hCSh+=DNH6 z)yn$C2D<2^3CIp133a6z?^0!#?B+<-QHhx0*o|MW!JhbYfLE>#QOr;i>Y`|DoBOuq z;sQcTE(m^?b0oWwHeP(R2(g&jijMODT+WU*6Ru#KOa&+^_Z)9!&wphG7HMVoVKc`P zf~7l31L-3X)-Xx$C(ANoMI~%L1%@$mu)GMcjb{_7yle6pj#DW(1Uq61PLrI_buIin z>oxMbUNDZws0sq!6!p2fKX9va8;b#avjDu{u-_32_!!8Eqgldrgm_WVV-=mvn8*pE z$Z$CRqBvooEnUyO4!xB!td^Tfj^mF@J(c72%~bzx8Gk8AM2Mz6TKLV@4=)FDQMkK` zR(*#S<2+!IecBBLq-dJp^X1WC+f{^f&vmPdvS%tMcmG~Q2JU|pZuFgu%$GClj#M|ZsTbE7$ zjsi4^bG#=aEdkS|)_D}~Ts>u6!_>pmhW9Ls1ov;AUHQ6{Fl?MGfrfHKQo`tHHw8XI z{XZDUgwfSq$H1pRt(R1g!Ty_C9y&e(eZ&DKl~@iS3*Yv-_{P^qqKsQvB)+zbQ(nTgO)I>GPX zweRu)A>(XURrUn>1g7t*WiEbyb3rt1Z%b}5l0v}tO+`8Z>Y&m0cBvNR;RIyTo-SKUb27BkS?+SM2i`f7lLy
    ~xLHFT#rCMt zYC;Y4%ks&6+2QX+r7cb}nF(eM<{)5{GAUm2*{Eu~=01t3A~T@qDi#dN`#Rpa=kpd6 zx)`3SSH@wa7n>Hvysip~*!e_?iov47dV^PcJc00Z6Kbam=e$oBtqh(bh3H9X&ief$!_Pe)hqN#0Lu(pd z(Z6Zv^}L1~wAJ+|-x}4XGCB1*6;*@ZLWT{szquap=%=B#e?q>g+xONAl9*5{n{ zAGeNgt#CzCFm)OSzoBqclwl)Qxv)GR?*lnBa`re-Fb$FK1kU8#_&ymdU+JQK#`m@f z*JXXPqrP;C_X;U-qkY;T2KTm`T;H_`zntgCc5iH2Lyc7jVm~_>KdsT~n##`5Ld1Iz z*ZKAtklj2o#F|4oHs^NJ2KGp7q=N%PIzBu{qj+@vA=hefuQ6z@#0;S>|OtXxOd z@vNV3Vw(B(tpgxPk=@2cwzBXbqg}P}fAZ}z+~v3qtmB=0&|}T-Mzq%B^Cj-*@k0@cF`8G<=bM|Ge4b%Yh6csJ7hlDrt9A%Bs6KF z?m6~WG~MPNIpZ8I-GBA%ybadU%Yu2o>5H(c8N}a{P`yFr<{i)5y|V!l4heP93BT1x zi=;Ljt*5A9#*0O&66#!2!7;8>6@U`z)-cXOmY20Nw;8DB z!n-*N5$ax-%tGo9Ke)Q~&Wp>q&tLnWrJ2d*!*>l%5a8%BuSy%({BrdaGPU>vjRUYO z?XdIgZBB{Lrs*+Am(1Hmg^DPk7rnU?!_ndFWls7Aj=(Cw#MfuQ&~ptFz#%+HhHwiL zAnw=yZ|MXKe@u_83Xm~zjJgK)Qcx2b)&Of{ZHz{oa8vR15&!3}PXK7f;)@r@*++$P z3+#;pHA9DsW`6F(1x*CD;`y}&^pA%W&FnhnH1w}uM6^&~W1!&|2JjJ(R0|UTB-Nrq z{X?pS2>_C6$q@b_)xrb-Nwv|;8bDGl83K@03v>n~)e;(ByTVu5Qv*GCMEbQe)Y>4$ ze=c(O5o*FFnjs*6ea3asC^ zA(dKau=bvogo>~PPZJ<-2h|$xwk1{G2oOZu&u4T3KzBM^tv{-@dAnk6q(3G*G;yOW znwg0{;gLAwgV>+O0ILgsb%T%~1g#_0+C?Rf!2gR%TpkIcsc6Xu0uWLOXbv?W1Q)F1 zVdDcK7wQ2Q>;gq21c|FG>;o5E;sqg(U?1EQz=G8b_aF8F;KE0LjqtD%e#L%BgzNc3}1Y#^I|Lh{LeY z{tc1a`|y8o_yXdvQw41G4-TKkKpejQ!Qo%O|KRXR1~dS1_%sIM@Ei@q;fClR9G;_r zIP3}hgTr(5KRA5-gTtP{KRCP(|AWKV&3|zCB>O)&06j1OUt-v&BA-rsP!8stxhVVx z$_nIAAwafZUvf`?kmKF>oc6u#Ia-pUzzg_$K>O~461#y&{Cg?G)eA0o3lhT{$l)3h zss{Yw%GvtC1<@e*K=$#8cR-0(wP=AxWDw0(z<)Qp4b*T28PW0uKD7)C|JPkKSjfNS znIl^oQBJ*&uime?8;}@j1t2gH(7t@F&^Ysk=B^+TjJq0`b_G5_GlMH7GRWw^ol}5M zQDP8++
  1. >H=FiEp)hnjIa{`EeU6l|N6xzNBMWew+qz3uGffnL5WkLfmkm|T>S+l zK8uKg_N~AL2M$Ox6O$064yhbC96%P^HAsvsD)6QDZ?JR@6oB17;()to8*LL%e(Zq~ zYyaoP#MPU^Gf`FyWiUO6Yv3cLN7E!m#h`reJBiO%m}N5`uA^H!>aL?pjS4Q%p?wJ2 zpCX3qi-{xE2wZ+ZU`-jG>Bru!+zwT4Pkr6(-hNp&Pk+^W-SCS#g^2rf)S1XC1(F3# zpW)SA4`Pv*QYY+3-`(Af-$iFi8A%}pHyMO3Hx(V54s))ZU8pXM9ohy*glj31ud95L z5K&zkYzS%|cM%;e4w{n7K0udkBy&jDV08Mfk~o(qZ<+(i=~kZ&rw(1N z+w8=LDYAHiypx{A@viudum`0o8ZR9RmN-9_UaR_1rYv}}$f1f*p zuMc?hJo{j>FDXObtzrFCR5KB&aSTAncOxj$+Rzv^SDXJk(~$(RPJjetevHm3rkphz zNHqJCtjF2sOVs`70m;`AI$r#>+cxy)q1Rmz>QvXwVezNCF2~cu%7~11TzfyA_QD@B z*ces(B93#yFgzLU&tJIyomwN|OY_l7DS2hLi8;b#MJ5QMbARhj@*7{DJ_RMY+!BHl zno)^gOrxj;6J){mZ{MGgRU2|?mS$54Bq?{Pq1jH^8zT6{rbUD>n2mGSf54mf4-wTbivx6|vP+R#_gX{V*CD+{W zeR+fOs2ty<-_92q5+U-T)4Z%FQ`GAI>S=SV5=G?CGL2qg^AYeS%_iDBjKccdcrxMf zV&0A91s6qtZRuOk5r$%8NAM2v`d4o=jW$bQEhN!+WJ<_3O6=ah-wHk|e9Vr=KF%p2 zMu}64`3PlS>kD6X!!PxoabLSD4_06k*R?zi}J0*u_!9 zvSQ^|sTtM_om>f>xcgc}QQ1+x=DRnGcnibkZ#8D)7b;ahAC>)k?M_U1&IP|}bs5z$ zqe_YXG@E+AS;~ypYDi6m){RSjqIe*9&Izbh2r&tq^RV-U6@uP*DVHpqhH0ZA8rVA^olKossHZ3{ja2rr>%I*>nfKtMTvi&On$B z@Abvsom@#`;Bg#((=7ZYB&fLj?_-W|=H7(*{@A^BR}XN<;ngS`*&1Xf95?6l?YpMv z4wWc~N>|=i8k2lF3z4GGy7x2UNb&_8wudY3b+DhfMYAUF{CPB=iJV#Qtvc=C&uo*1bqAqk&)2eFN>+PJ55QwGWJV?8Kh^ z=zg1DB~uOPe}>=wh8Ah)IB~?YjW!2B<6GY+m=hDR8Lhw%%YdQDe=XsIAPJ*AhMJ0rO((IF$d!&!QOU0)ms)ar7iofJ<}O z9<}=+Cw=w#)jqwzs#DocW4T#vYHyf>f_2kG&{R&C(E3m?KZ0tl_%|VTuCF(s7$rlA z6}xr;qyCbUX{ffC=du-(mhom;O1rYbMDUDwb!OV#Ap|<%9Q6J#K;8le1WB$;~PbEcgiVn&*lZS>bU~9PZqyn9R{HrfA$9g5MAi}MrcPa0qs}48KpM% z(wKEdc2wMf`E#g05*LH`1+z2@J?6I8XRo(f#?&{KHF*Pin(6Uu?Nf_2zGP%+B7n>8 z#ti(r;{E6eyneMgE7d6hlNS2#0$8?eJ*7u`er$Ym8__+K!(-B~d)E7Uoab4-6q$`y zNSsHJ)Rv?=Zin(oE@%mBPM2XqSPQg^KT7U?c%7*8#Mya`)^XwYm(7ZC*Jv3#-qq#E zvVrQo-Ya{y&e6+~JrWOuq#kW49dpM;AKc#<1MOgpiKl*|Cr5!tj2QePwc)%$0)^g2 zvnfR@e7YYoy2bwixm8lMg%G-%XS}yrUkUQq{9_Bi1d8I>vVjPit__nAekjy{ANrwr zr|pa5vE6w?Qoey~^_(=?$fCWXJgt9$pVrRJ(1@!`i81l(tY*oeXF=O_c3)3h#zdj~ zhs)dHJw?03&>P814}Dz8UyNFmJ{x)w-_jN*y{2iA^v$r8N$`%sVhP{EvkP0t{=@G= zdW64|DSymhYbH?K^E7tGuUlR^bFkqM5~Sz#yd7~YVzJ?zo&^%bEAR6OyJO4ld|)ye zqCzS&7t?LpmQJl_l3H=|`LHzpEY!CoB}XQ^5)e?m+E6ncDNwj@3m>cySByO24Ff>U z5H8VAUi>{woZ~m~joYmG8lTFZSZ-Q- z^s(WR#w0b48_s0&%XPx5zJ=h>)B8 z^CH6K`0AEP%dL`yo!k2lSr~#I?`1MtM%QZ}Hozjj2cUmvX)k9(u=hAXaiJHBHc`u& z_~#5dWHW#mlesmt2niM@boTk8l&$>x-VluR9b_wv!SIMF#9FL>awdlI=d}eIh9Yr# z4k0jZ3E;A8Ya%YcE65G~HNjUIPe zUA~i~$lr|Qf5x})j_}Bvq_L-Sh4AK--|ff#)#&rWk->_k14+AB7GPRC>Q==~%PWfy zG-)aE+G&`MV3N$nLi(<{0WWpbZ&IvGkszg8p~f->vLNRgu0CO5M&Tek7UiM1=v0b6 zt#m4*-(7U|i;r9!n37s-L=NS9UIeacOS$AC~&H*_acduDPr|=WohLMCBKg3F$!Bq}@>Ytc*!p+%f3Y*j( z2{T-MR0`JfakeVuX?bi|Ev)$z%dKG61_|Y%aDO7d`(yYedg}Z8m4H^2z>q#RkpRp!y!Hz)CkXwx$XJAk5`G?ZUbYO6#2i@xJ@>j6GU0Q9)53r(<+z%o3(ZuV3|q6JFoUqoUq1 zB9_bPNR!X*Px&h^g{#{3A#Ec-gc)-dD>&)*lcSw^Uy5w;BXyd59ikH}qAnx8R<+@S zR~1V&TU|ivSu|J*p9h46!x8-@35{8{*?PxB!;9gnX;EGy9%mEJgXz`~rvPB>-Blxf zCH#j6;agKTr!f&5;=3NJF&h(g8j})>9XV~u%ahEcNrSG^9oLgdr7(%Ip-VSh|54*> zTk7k;$0_(!vs!LSslr;dFg1I+jPKS>x21HlT639>oH~+zLH8ILbQO2gSD??7*u7*^ z-syqW15w1Ao}PM@Vx)l~Q_gE3r=+lAJJI~bqtuXdZw{ahd@_(rV#Lf}I~t>xl#KH4Pc?dqSKZqzSjq?7dXk-OL*OG|u2 ztHu|zcd?b8^`FH!b6lH^Uo>L(IO|Vj2(9bs?LLe;K0swz_mww?R{^YGx&Jy@?Xcb# zi??~J)_ZE_viF!;NbfL@sUxy@2&%rg+xjbIV3(i3zpomQdW+1{et473|~9BhaHon(P5tGU)TbqLI>io!C)kV)KUrl%(?@OnI{ zebCoj9YqZ(DtxjQWn(a=)&dH26wz*s94;k_4;6-k$K0Y)Qh_%0L$}%HSQp>sb{&c6 z@+~|iB0q#8JKZQmBVis_p)(wYupR%@XJZgj)xJm~-h@e`mIkRRZl2PPDceqKDtUqq zF9T-s{ni~9qxY~j@y#}Oc_iut#lSLAZB%^`Q@f}FX^YIUXrqX^iN#PpE~^VHl+aZO z`%Zx1*zZqE?jZPOnZ7KAT#&vgY61f%-T&ExHPKZGr`ulcJ92gs{A0$(1iUNeO#~Be z6mQA8`n5`A-rwDfw#bV^hx9O&UEu zH%QS8Q3)$0(ogg^1Lqxa`DfDRJs;kiZtQ)1Rs~0e7y|>rlhETdcX7CsBrC1wWH7HL zhQjE|dnZXX$-JO`P<>cfH`uN(GfE7QISZai6UY-?RJ=1ph-7nB8!xk zS^W_Eg)_TcBjJ#I4%I+Kuz!goGSL*b4X=5dgCYe(Bhpz0PcX$UiQ36pq={p-ZSfD& zBS=!T)rP{{q(4e^pw8ZIBSOuNvMNiZr^T;>5p@kz8W<5t?92quDv^Gl5Jj>-nyj`U zVUBX`QnW0N=*e@*o(Bmm!;NOV6tLiLS4kj%--5AcLc)#I`p483g4J9;E6YWt`=^Np z%yQ+eCCF zYQ1!h!by<)!0i4!npj$Q4h*U3x3bp76PeNVB}Tm)b%IzcT+;o}AZ>dU9gPRWVd=5U z5wFv@Hk|lw10jOyE19^sjn3YK7*7jc3pS(k71N1TQIk?Rme-Y4ORl5i6^tNbR5_=U zt5YQhg_RNyc2-|AZ6L9(KWHh`9(Si%M7(x7<3CCaurlI;CYG6xq-ErRuz+8H7sAm~PqzP!EFubD_8?F~*5q_tv z;N2qn$VjpI+sjgXbVJuQM}BtYywxw7Bm@q7vzWpGTaZll%F7Y}F2nunQJ?mlK%U2ow=AmV=v><1tA#{|yYboHQL>j?=T5_jfXM8D$ zPel-qU?QrJfuGA@8Fx6=l|xvI{`red8WaHnK*X{xSD9h;QJ`IO8l%#f6=yaH{?1(ad{`Gz8C|C& ztthgv=lPrT(SZn@1dIto5n`3A4bC{RxY3U`H}d45n>@0j+|A-=F}u;T#Nh(MWLXsxtmu1Uu{IPUAQq0m%vv`+_j<8LF1QN! zy17|2Ry^U1%JY@yqjbsb@SHbNDC&Wu6qFE-mgxLxFCEQe2zT z;2AADpm(qlu$p9Y<{dwNau>##G_V+Ot{2O`BgCzZJ!rk^ota7d^V|JubUNCzatic-qz z&CbwHLTCm)n9(u6NhygfLhhI9Tb=zTR_;v2xdk{tQF5);sMwIJQ0!EDj8*rsqKCDfn}4)Q<- z;?CO!vQcs?(P5(n?g>BoaSE1Go5)>QC4UZ67-6|M+h#cMR-a{q#9~UA3b!}ZP=u92 z0W%46d)G0$VhqJijmGsIAi@e?iEWw^SRHZVy@`TJD2r6aek~UMY?UnSBXAaqtv6&K zpW8=1M~_Sn6jmYntJSY^-mgVFg^o+DQlx-RX+Sd%`P!iLCa=N(k*MAT0gR9@LKyX( zK1v)Ci9iy)w}+wByUwAd|;(RX`KFvNVjuz^OU}jq)MmK8W3q&qABZaqiCmU zS5BY=P@<4B|6WWFlA2mTsjMy}DSE-bB^nDU(wV<~P_KYD7oB6c5v<@q%vafiy_>~F{+M-9rWr5i@>DxFpz zX~W@92$z!#vP%jRzk`ASd`$D*JXPNS?uBcW%-a~udCs38_3tz^#9}d(hSiXeY98v) zS?1tu!;^Kc9=}yL2nN#IR#G1@+vr=?fgZLRVHd3!XTCi)sR&OzGdz?q(`gK#uq!7B^|GHwlpoY;^1SrZ;)=l_oBW2!e4-xC zw`l1_w0LoouCoh~t5HS7m^9%4yiq!k#;tvX!xwTbLpxf6$!JLZy)H~+2YKgtQAUL> zpljih7By8$E%UvA*>IKYA?Ns>yRwA2&!WOWft3z6^v6~_mAPg_trLLCICR zXQ^MPJ*#pW@8zn(tRRerB|L_ik?eGZmKejSSIF)xWb3o2}@rWpBrkdNNFVw_nckc0_O?&q@N^WG*K@Ul+P(=||DV>Yn(f zI7(gZcQf$s-JO;`+`Q4KpFq}sLj_ec2b||NMVD=*Cdfk&!_zQ5%%CZQLihD zo%S(n2p5||s5G=+l9u=-)S4z)O! zyv+!h?-CsQs)>Gvzu|E~{*ZuB%Y7!@aYO?ZGd^S2^8Idz6Ump;RU69I%p^|UKS8?= zqyIiT&vzXlN*ztK$qfD*LuT4MA-$eC<&VM0#wc#;H5>TXoBFLJC_oZRMwye|+0FrV zxGR+GgAAzcRv!uOqdLf(yokv>SvPcanZ3vIQ$-OIRZJ*>QWZJ~k6b zFBAayWYwreq8y0SF8t<&HE=5+`T7>N^5Y3f`m012b9XZMkY3C ze7_nVcxX)v6iFY|O!m>#`TlvXa1oc2H_SqyTxWKDY=GIelbKaGNEDZ@3^Zd6)EBCH zLJ+bMFQAyRqSy#XQQlJ#)vHR?@cr5TN)@2q7Yg;% zJ)zgJnn;S9|Nqqc(x-_2SMQ7ZU%juv0ZfT|CV}GKK9<6mL!0vfjM;sPvY+aOZB!KVtMT?wM3wB(IL^gLV1jA_eaI zE`bVfj4wC0T$4pxu*aD1ZZC{0#rn#n4LH4G-qoGBk0;BjroVJJJ6Mx&qdlk`joaTH zj`~B!w|)8NEbQLchKH5eZ?d3B&u2NVt%OUJfLoj30!zhe$>`w$xd{Ork%mb>NA{42kR*DhL@jLwSaurEHVC z{o6oaOx~XC8E3wC^N~L1sVj3KiM*5kf_;~buKAtzIt9h)DibbGIJE)e_QGchd;GA> z#ijIJ&J1?pF=S6@vYV;{)qaJsSL>SAj%MXi^v$!sqiGKxWEf0F4~`$fY!Rb*X=U$-7aUA!6XMO{ofTbZ4MM0hkgOh0M~?`B;%^$h%2$JGTL__xQxs+IaakR)BXot|E(2OdvlDa`{ zf9sMx=tMlW{%ToZ=bl9?B3!zMSbmHzl+d#^4&DdsV*3ecNg3EnZHLeLIyKp!{9{fjRlU> zYs7Ew1E!maT6s^6*Zec(MX1c;+h0_Jq9dR2OUWFEY~&luQHalMxH_mN zny3t3l*Y*@^k;p=eY(bT)goKvBfqf@t2PHL5K%)0<<(kpWFvc{RB87{J@rXEce%LLfm)45r?e~0jAn+s zmEXF=1}1aat?|kp(~-blX}&k+&AnOX9l0W@h9B6BhCPyU>sZLQY8559Nl zh)@{CUyb`^vfqg5k$1?&8m~ZJjXc%u%6PLxY#U0UJp3np%4^s6=Mym=xD@<*y20+x ztpSdFgG)Y6NG%Z}Lbo?~S)0LSd58Ry$$yij2ARH-LW2LBD%CPC%A1sbInk38RTC?A zGc=?t%tl5ccsWU&F9#Y{MWhH__Fp7! z2HfQ9;ep&TlD`W0e3{Hu1Y>%;Em-DwJqWGa$ZuFTNpxk>+bfk>0_A3EoZA|k$8mbS zA~LO0R$fUK#y(|kiKXwjX=Ru8zkR$+wTn47Zhst`p3t=^Uc8CE@slN<%{=1wKzE!p ze3dJ`=vliO|N6ooedA+MU5J&sGPm)zDO=c%UWmykW9Xw!S%Xw;wxBr$4|QR@uolJN z#Y@4~%kzK2D6=Cn8_E8)OsuWSYo`Kh5{UG3;JCc?5u0lUCBWiK;x|3ICNn;yvHBne$%X&7{cRL>zP|o(Is8eabjkK~b4%Ivj0{3bomdvgd zvgx5nslDq77sc8*%jjpS4|wTe9dhifz+;&kNcg&Ci{U5wI!MXd3ZozzHY#`8l6Xg0 zRmM?7afhod$b(``uvB@pKSvdd)0X@tL?4h&c^Z>Yne_ik`i2oU6=hPCDSevuuYH57 zy^1{SP0cBLt*kU}ax>Gi-@Y98+H9#8)vX6i)|p7wI+Af{L+Y^m^c!{;y#>!S%NAy{ zTdcJeZLR(gO^lvDulpu?c5)`$;h`>sPhQg}INWYE>}$)RVnxtYRTlBj_Emvd^-t3PKBo_3rE7|wT2 zp&)RJ@w6G$1An!0-v_aK!x4Xgw1~)T)w#AvEug(x8gf#SIjD&mM>Vnt_D}D17(^yN z_tbOhm;ea8ObRP?-ix!U>;}wfP01(s#9}#qucQ!bIS!caQTP|ggj|iF`@MBtjLKqC z0+^p4K^8z|Za=YNG#F$6tJ#asc08%-pnBNyK#Td}@>@e5oYtZd(`Mwm(;fW>%dslA z^hg_QbATq=xwdFs)pGj80Bm&u$9`qnj6hmW8l)jc`HSlZ`+ZRa?8p3F=ZN>UU_2RK z#-G7ukJ|HnMJ=&S&(2AJEhk5-_qa70A6?fA*sr66ww7>E^9676=uT?8D<(FpvGX)p z(@CguQy}@;YJOiP?V%j6<%fxo%{()wM$Vv7JT7<3Y{uFQ%^E0;mhJgN_uUW(oHHlV z&l+1waONLpo%H4haZlGZVzQV4G%H<|1c-H_e|1>a%bq5Pv5?`uxOSd@M2p$3MSD~N zwpZid0%mFQ@o~c&fRZGILrBDB<|$h~W{1DfF#I&;UsH4WH_YO(?gqZPh$5fJJ4wM~ zG9|%Or39UzUGj~7qwb>dB952HP=eAM_`umBbr?tZz7tGSC)6Y=83Y;FRwoQl%$U_8 z={UUn^h936eHuiZpEHeRL4nEK6LO8P&Q8@T9T0Lf-s z(w~;{Ce|ML2Hv;mEfBbfy0W!0PqK1nb(HQE|Duy50~%MOqLh7K1nJne95e^+9tYJD zVOHq-?k2a&d-@TwVO<3cyhrFJgGX!C<27B#X$Gf$!sF&TQ2mjQT)3d)o%GJrb|hK& z)6CKo&aCQ3KrbHHgz9WB2O!-4mvmjJj>V&3P?vCGIhYjZjnph4H6t=r*e7Q!g&Rfo zJYY45=*LdPM%35Ez?WW((6P}tMiN$B5MZW;rvI*T2Bxck+n1pX#}DjQnK23zi6 z%D50IQ^BMvz;QF}hR|7OudhrLtu|`|xGt6n`iyi_E^@As2S_JjPlBO#c|I0uTJvD8 zVUBT6rGdEyOH@Ukki!bHB4kDiEzJz(^RpH+xgya#I8hd7%a+(nh z7jud~s8$n9>EkK z;q9K28dyc#%RyB+bNr{^<4u5@H2V=9lK)HCV%|TstFCJFraiaZ?oC%&>Ey-f|3%P( zl|0xp2s757IpOBS?Y0#O@#~w|A9mBx9#HbR?-#VC12eKZQu?=v;1s<&ga6O4#lB!f zWE|AJ`(22ab(&O_9xPNoRb`>lmXOAzfKp)6eqTa)!VJPQoh*lBavW({#eFQKc6bVn za~4xmXz`&%8d*s97Lw9;xj7;^mmF1ZYZYj;cLiwqe0N1l`7W5 zLnOt{?kO9<0L?P-5bnU^W1VPCek*H%V z4cAXI!WeR%Yt+3d&fqc~m2V7U95p&5^-MS|hentzTsS_(5uEGbeRHxYvuB1}$&e~= z3^O#7fP2i8OTXNJGQcS;U|+f6!}DxZ91jN(_rogFR9U(fP!h`QYKagQc+A;m2z%wj1=l= zfXlfX%zq{Vp5$AC%#lGx-^LH}yf{fLAemN`>MI)6i2Kd-uqq+nl1KA(VFz&_t`Gy8 zlnpt&mZWHve{u=Bwhsh_{yq70Am>A(fU_Q>M^Nw)wf_xaLn(!1gh1q-xd@R9PTvQSw(Db6r&)YPuk# zQBz4=f~VN6pMRn^syK)!YWFO}J)fSkPorTs9e&s;qzSqtFg{pCR6e0WNVylYjJJ2o z<;HOzO)@cu0tt>1K%!S1!ndA8f`Cz)aD`*Ur0zf)R6#3wiBw&sCcOxPyP!G?!D&+9 z2L=Y^s3H`-3Rw}<>(qrinBXzc3t!o(L)j-d4G_8d|5DhZMf7N?b*p>>hKe1<1OsMqkt3Y;xgd|s3*a+;#=KSdCi=vnp24GZv9Sa-h> zDvd-@U}^N;yX;QLAu^^Vt-|$v&Wv2&B@k{2a?89R-2nA zn)=g|CX2FSrg%}%g3Z9`0%`#ZxVk!{E#zjFxDOR1r1W1In zsn7_0j(@y{ut-$1Sxa65;zFaO2dwNTshaQFK4DQb{Q#5=((u}GDZgxU(y{P5ba&u! zodJ|h?;PSW8fD10rqVPbAuK0PhB!t>QiT<2x>5Y)>c*cjjOmxM`WKYRFTTrt4| zOZa;lgG*OJ8dWl=SQScSaw%ncl#1Z*EeapAOSE8UtF2&L=)%fj2Lcx_VkZQG;UqfG z#Te;LZ}7Aw?MwMiP1J~gWryLZ^-b?xag{QZtCiS8<1Q=Ir=4%*?UwDTeJ>a(kV=@b zm(v7cWs{UGs`8HuU-RmSAcYTh7w;Z7%VjSh66)W7ol!@DcD?XB9@pPbSnD8{(!x~4 zCe9u~Q&u~1My{k+qp&|^Kcr}r7j6s8_N@#cN?Yy`KCV7c3^c7ahmDv?Lr2{xbckw6 zPJm2`N3&7M35!qzMcP%sPXnwo>Z-B---8v{2M3QEWi8)Bxtwa*&gdL9Z5t6IAbu8+ zmD`GZ*>-d4z9g2pjBX*O?jk1Y(}4$-DXC!5vnjBlR6~w=Ss5u5)!V(0uT2M^3N^13 z;#^P_4kYsAAWJPsaQ3!8vYu$y)*n_q{ugWS7$jS;tbvYg+uUQ@_RJpJdu-dbjXl_7+qP}nwqBoe zZ`^nh@9*pEu3pudS*v2Lj_T~Js_%2hF_^_q6oUF7IO)E8mq0@C6n0ntQ+HGQwH;>U zsV&StRMQ9)| zrGVJIm|x4-YW=UqBprI*#Xwz8Pw*!096xzfwzC1Ht04j}CB1FFuI#%?0TiVsk?Nn@ zK&D^LT2=zMfwUi6Old<#T#b$?xJDFe$Y<-n*8B zC%2_7K*1HVu6$9S>$S_FMsXB>IVGe`VbXA<3Z@Q*XU#K+X-tjz(^%rn4zwyVtR_v> z=It9xGP?55D#?@JOqHmpmKF=zUcn#kP-Hw}EA2th<&_gf3-R4gCQhRAujmih7e@77 zKL2E14<~y4ko?$Ar%tTkwgbSvpJvy6(kJtrA-wDt1Ado=c51=zEg79EB^RYPX@u;_ zn2MmEie2j%eBAkbIP_#-mq@)D{_8ZjxkKaHV>ec;npPeh!u4OuKRB&5R`iX4jxM*k ztR~P#y{w+5ZcU9sLHnpM_Irx=e1s#pGX^!UrLXf`HSCfqA-1lKgg2sFEEtC6N#dnOfPugia zJ!_H6OE5nRk?<%`lSU(93iRB|YCUDndKu}_5w%jzcna84epSNC~Fgr2iX zb(=^$z1?ea06UeO8W;uUMK={Pi~(@9MMz=f_RM{n19SMebfu>rjUDA%CP`XmFKUEEP|3&U} zjmR&yEqSJX4mDPeujXX1$7=p_1X~EWH~sj_*r!ruPT4G zUK77R)cr(1-}$tV*p-PL)foi2nZkJ!bU`%baXa5@DiwsRwArc*iX-s+VVabbm4c@O zIK>~vr_`8(^t60oZv76@IOZ@?nCbc9ft!d52;k|Y8k_M5$vk;gy4(+fA8=CpQuDG! zS3$Q`{7pr@4C0a-`e63+RvGM0^efNJV(XtIy^q*JG)X@%RV%lB5kJn5UQE@CI4%P? zLnV{AOZ!mUK5I&oNA2lyZ!p}Pg)=~ zlS9My#DgIx0zB@pH&IUtiQ9K`Kh?+hdj>~0vGKE%yh>$_eS>^2oW>aN7$o!&%CF?prA-ZOR^4{+fC`VUcK5zxJX&v7_UldFa5B%S%@9-`<3o{*fMjgH#tu z(sh^3s$;<2s!1MxG5+dX&MAp)(wdqKNqTdBUG$bvGeP%3Tg$fHri)tU7!}%Fd_O-b z(L1UC$#rb>T{JI%EXp-Fv^@^R3%S}Gc$)N{_Ek$`=C2S8LC`#amiafPCOVFBX*J+X zp}?Z8zlqJV#IE6b;4u2!due53kBn_Q3a29h=1n-==dgvRp+gv`#HTt5Tvld5i zV)zQ0pjxM9HL)n?#z-T&itCf*-e{WX$@jN|<1J$y|G*Bou=U;D;rna>U10O!*p+qT zIcaFfwKf?3b@bO}l7Y7UH?zDzZXNZxc(%8kW6y5!}DS_Z0+mdOT#-nGYa#_~b`6;Nz}<Qv2{& zgnP>{c>K)AV?aL^xEouJp`9KBV(4ZVe{ZAJd~OMvN`B1E>@~* zs;$XAV`b+7Jp^hyRq_Yc%Oj$et0UWEqK3L7>tjXHl=oa%S10pwS5-Hs(g_H1%M-<{ z@zt5X0po@OkYi0Apa$X8viF1$YoF5W&ac{qD{Eqo=~_p)+(>6Ho^^1)2x@+ERRxG+ zB6)&5>Rd69E)cXz-PAuBn+*!t=d(SBEttEQfDDQuT z)+vb?72?1AsoOoZqom^;7aV@UGcQUviGqL>efO!ukHo}1Lg&llipX;M<|A%-H2ZR| zk1Km6DbpTn68h#-mrT@uJU3tdL%6iwJZ6TZxTi^H6!=oMq2HEcxEzWO9~g+is4ex; zqvNJpwV=FyaJ`Et_qXU-h%&~K>ancz`}UvLM-U92{L-9Cm4-D!<^LEw0M9<}d7XZdhU zP)zjOCcd)D0XY0DcQH0A1xWRgElY@-*q_Shw#0|50yU#d*cGl~I8m0g&^n!E*AGAF z)}9Wkm8yVok0NkU2jn=D*LsnKnSD}FxXEYIlVm#3oKv3h#D`d$%Gpv(lo4s%RY`Q- z>%8{1T25W`(pU>)Cm1~L!%nf8#73NU7VWsF5tuiNdCr^tFs5%!+9c31dnqC#-cFQa zN%tl1kmH7?jye}pH=lL1^ZZq>7^2ask*mX=iWCLH9A4xUS@t~cgX>^$ArvjGTia0U z(5YtD0pGD71JCiC`K0@{+OEUcX__oU#ME#!Y0wdkEsb({Sk1||1-BsnfInGSOW{g^ zZ0~K>xRVQcK~c$0-kyajMco+d3zKtD(bz~=94?f@-OIEIsm6Ji$1IELf=JH7+Pkfi4eE5^kP)pS~U0z-^C+6Ui{CVd5AfDTQ9I zeP~^dx}6ETq*^l9gmwh;CW1|M(7cY1zzV~v)Q&_uFwA`U2cN7K4tJvVj!0=rs6a=E z;e#plk^QtPaxny4V?N5BnoXxWB~dTXkN!x+SUc(zm+HpagW3fa^y@>+ zL=Qh>$D#^itC#K;KiS4RXGJ+WO*2mX(s7L}=byqsazz$uy8Y%4yCZR2>Vxarg22{| zx_dKk6M3iN0uaxE=NQ+OYdJ0}=Qo}=LjUOR#uCTWlgH0b5QE2}qcB|UEQI!RGJ3-! zpl~c2RI#N{Wf#^XIu!rlv3$NvZ+u7bFb$HXjW_nc{P}Xp2ohk?8T0*$_2TR1>seGw zm8psrRG^D6G9qiQrX$B`p@DE$X?FGf5pIaqRz!EcY*65u{b%G6F&swtrNz`)QTkVQ z=8cR@-~6XP6IExtt9wOryM3=R`qAO`R&$pUb+Kphy852PH6(vuWD3?Fv3Dm*kS5?C z5um5onMSg!awuhFqqbHPsfAkbtqx+@O)%{C|8^!e%$~EazW0yQ(&c8|a{of>vH@J*3Jjb5FXyPPU@AHL>n2} zvY@BXp}KzVT)Anl8kGjMSgr|m!iRr<=4QW|AWqF*Sj{y@{Z~=rZ%C7&lDA6CX_+#P zT>HKNKI_;LY0MbgjKm(bt4}Ae7-)Zi>(D!I$t*7LaA9Pt=OVU~x zBsRK`5$0PzDVeK~Q5uD6*DiX&r+Ro@iyoTfuxO3lzow%_ui6sVoKoFK6I%7TYxy>? zE>DaV43CoWgI~ww)dG zudeyLA94^2h>e`Ysf6Z#*Wd(ZQ7kfxa;UCIbhWJgs&Zt^|7T>PWGq5~Q4#q?JQfIB zgkouw9j77;l1~!yOOiZTMOcnA$KeT}1ZdRS(0)TpS^G+olXb95k}r}i#Y>l3nD9uF zCl_Q*0^rNY$y|B?E{XgY0PAws|N8&NCi@ModSd_IN;(;NfR?rIQE9L=<6Gy<*dZ$B z^d@=8C>5X7MI@;JYr!m>G!wOhS$vX|#kWZ%zK@hR4AnQx{%Vipo zvh9nOpp&x`GNt{!xUE66&iz%V-<+^e;Fg21UTl5+ma@eDL7p=<)>2WLWKtloUxk8m z)`ba%>+fR_<@)AE@Y_K+i6#(u@@Z}VPR&Mrjf|{ZAFS;@Hm~l>or1OuX%4K|v=lBp zDimB!@d5aVP&Zc%Rw4>^eOj!;U}5V@o$S;P&d~3EFW!HKTy!zKMa$Iiv`y#}+1wvB zJYI|JqKH3Y$;14&zhF<}2EZXN0b7hCeD`NfB~g02|1L1ilQFp%b>#Tiwd!@hjDY77x}j5akUes44t1PvFHa5eCeN@oTOo%yi;PUYM&xDOfiGaPyMQxfiN%P z=WOPN)8pOVWblf9^Tzo0e(92Iyd@77{bnfGc@3HJ-9u~yr7j;NVdZ|SIb|?r9QH*e z{CQX-h;u4vn9H2Jjk$GYm(nc+o`nck-*znvrxiVrAg6Mw;FHPLwvgLs zfaoysJ}629mHOZXL7uM7>oTN)QJ?*&BeOVx5n-7H-huRC0i@N45usKJ$lvW09I-D| ziiXM@&33JZE--l-oDt%Ij0mbE$R4&`1TT;=&|V~0sfq{>oD!JvpQ_j_72YBZu*i+A z#^Arz0=4B{}CDp4dx5dzKVtr33WSJuoYSR zE(v=vfK+kcSbLFK`z-74^(_&i&9R~CnuS;YN6-st`U*+=XsX5+K2y_fIdSpvfjLm^ z{UmzD08)izKhWzed#G!N`Ny=kgAmVw1JzA{_?iSD%K;q(^!U)O=wfs2UjpI>h9!~7 zHLJH!UD&f5{hy%0dcoV{e_wzyEWz~0hivRtcPeP{Vx#)r4J!##m!FVxkNm`oce!FE z;HgQEciGH~MR633`@k?{NB6(NXYU0HjftGMTu>W|E567;{P;If1&egUTrJFC>oMNy zI30Qw?==~jv0i=SQ3}QjZjRo#3K#%#lLo4Y47Q8(7=Dzmq*9J)+eKg&?~Y4QC*AAA zK>mw(cl>-3suj?By+7_Sfd>*t{_$Dk$Kei?D1=Er{Th+^gw0eP`?HP!57>N&;jq8^ zPnNSJ2D*n}32H)&-hyCF1i!n`h!i8DQhicw;h&yGmPwRpXZN4%BdJgxhBGPQ`+eI+ z%xK$1L5|2x^5tWZN$X!P#Po$n{p+n}n#;E7GsQ1O>5D~&DBbRlB@pkZc{z%-@Vy09 zzi3*2PBJl9`!d^5cMfGP#T~G*d7D~6*%pl>@%(~yi-|PN+jPw&R=Mon>@trhZf%*( zrsf+a1+YloEhAz>Lbqi_UfNJXti88E_*PLHfSE1mKd)`tvtvr&V#VXc^%0gc@PR%0 z4E;e&zdM!YuIH{{y8{AQUk6BOlKURS#eyV)7~B^_SYKC{KyWuJ*ubNmTL%hZ^I^&K zoWnf=%%pnfVqcnf>{%VNXRRUo5mCa#2?YtM+^uR`3Q(REBwhs#-`*~Jt}m4~;w_H- zk{Sq2?e4<{{^oGZPIry`vOId+it^96xh%MU;UeBZaFY&YydHHo-!k74!`T+jXj$P0 z8mxa2`Kwf@baD^~*ot)6VGceuRTC~xo@k+Qer&j#>!J>c7-CU5>f%T3AEy4hUz{nh!=@xL# z9rGPO}t`mXE=N zx%;{MF5rEN-y$Sx1$Q%Jc~_cj$9|0L+(6twGr+v0Wh>yW5z3)b0_4W0&jZ%gX>R@i zr_Z_1LTcSL5A`r{Fi~U6o?8$iilOaF-6`VV-Y^t1n67>(CCp9wzl{sh8LPs46D5k_JV|UyJ=x==&3bpmo7@!DG>Zw>1;5=M3=4MPRt0xuGYgbjcC=i{F6U;#sLriB5?a zJ4tR4_Uk$VJw@ClS$$Z)NIL6wT?ss);Vduf9Om}t_F>a#R4{(Py0~eeJo`lo?+WkI z3}eAte!f(BORprt#5yE87(C?=Y#);6fEU+aKnrpTb52Skfm-aPNx&`lK7i-?=lUNS zlmoQg)3<>a=MO*&hYE)*cmLd=qgAD2YSx1@x6qmQY|O#YjF0`{n!4 zS^?s|c8Xv#UMkj1Hd$kthSu_bo3%C83PMK{J7+#In@&GX6k>DyRG zj;n&}fS2o2LaxukW$jluNNR6NhI3qZkvLo86(65a2{hVrq$fz)IzhYml3fxS?_bGb zG)~?wKQ?P zsyWP?KHvJ^H&u@V>C6O*^^5s8Cgk56p0xC{Wjm<{=vC@X6SnN?m~>*SW9O@tN3uvC z3&^UaKCx(~x~H>nPM>qzsPym)oMBO9D{_aRR1>5cP-vTpfgH_foi9vU9TqqqCZsVA zPX@YJVI5@-E&-EGmce6I~VX zpFDe`Ziz1@$=p~^ax$5b!Iybs8<Bgi-dy{CT!+TTG+eYB0i05h$U>oXXFDw6{(vNWI)H0xVQ*pHeG%^geq-*7+wwt~>cdR%Y$aji_gB`Z zqHfdB#x|qcCPBAIoMu9!dJJASU*6nELbH&OG@6#?K{`9?bz^EwvL)sT72DLKXz4CO zh)ff!xhx?fr*ymVd>rx?qp;a@71U|^rEiar;4D{rSZrP2QoUJFQ;PjJUDONx3Dj_X zR9>-GtWT-VT4Lu$&jw_wDkImY(?r9cf6$bP&f&iudX8Q1i_znH32_f9p z_x&5~^BhCo4BEn+I+-PY!;atE(GM`Ya?l9EJs?SK8Qd)QB2o-;$}9M64m{^W4n`GcTa@s!!Wi@@ zV<^d{FaLf%uIPbKyrmio-&Nh$%S7ohdb{fcNC=UKBofycGO6-RlV> zVX>hgZb+WqfBXD6XPS;(Y;7Gmwl#Ryp_Tv`7+#*=JAU{*-Ed@wvh5}$O?hDkmH(ag zQKpf1sMHj`^5wy%+zOr&-|YLFiQXTdI=i>~yq{fZ^kBb?c=4qD@`j;_FqrL=a4CLv zJ3C1F5-noRh)kYQIos$%755v8cc3^S!LRsEpJ67#et_kVBK=yu-4HmChU;fUm&U); zz0TzmQ0gMXN(aU5iL48ZSv1`^)%h)Z|{NFbLEJtFIaMo?Z zk==0C^UFwwKdVd*#08ZS2Zk7j@Hn0GmjO}POb!{7CPWQu=&*kROG-r#8BdCK`&V37 z?Wi;|5z`xkK0ZRemd zBJb25d`%&zabL2;Nzo8xzPKXj@FjBF;_D2?n8_PM^Mm&G9kO z7$5}Mu@ID`pEGx1=>LAp=zRg`rc~e0ZK=6AmQ>9aWrP}qIw*o%ZcBB52aXR_m3!@l zflbZlVZr|T)<9X+VvLJXQ&FQ|>WE^66ItAa!z=J(2-Tv*cmJL=gen`E=X$t2^I-j8 z#Nhs1qmJ*=!d}tf`f2>&zQEY3E*zdlreA?vH>V8Amq>==)}zu@3qI|3vWFV_cURB# zk{vPBVDnW4QQ)36J78Z!XZwLo z)>5pw6O%$rsX9r{@Cfnh%r&H4EoN5KQ-Ow z%%*M|I!fz0JM2>UxYVb38-t5p$_tBzLxZst1C0>oP`Fn!Oi)(7jKKGu8Q5A&Eg7U$ z|HK|TXZh&ztKaXPmBaO9I4Acykzy$Cy#tn|ly1@FJYUe(R>z?)NTHmQjO56BrNQWKI&vs9MnJ)}UH(z^=#;Tdr;G5n zv8fF4j$#O!{(-m=Kkidy4BE#DRgBNPH1QVDFUFSzye+*vD zUtGXovYe7%inQM}-e*RVQp<+4#pqhxyhn~-Li+#{&58|_G0vD2!FiEPvNkfB-a^wbS2?p#f?)O3F+FAy z&68&k?t$Y-r4*GhL9I1IhNR^rD`lgiCu&pATFrKc-?7TJ@fn)MQEY`>U+1!P*DN)c?lrfbenAvs30V;s=@vRTrCI>^ z3wdU4|7e)QV4x2~oZ&Hl49D>loLUXS6+i$%nqQlA3l2ZuNCWp9Q{TNY z=+y9M!|u5~>oU@5dsq&mMIy0NY+~xfTM^>&(}o0+{^ErL6?@0Fy<%g|=BT43XwD!f zttZ4-pYn$v`0Kcr93MkDfo^`(=8D3+e7BaDMWPzaYr{d>?T_>F2P5w?2dCD19*W~4 zNsxnyj5R@6fduAK=E*T~FuoU87>1H1DJtDl)t2dROS)L~qePUZ~*Bo}!oQX>Hkyh#*RlB$L6^ z&N1J3Lu5C-3biwj8ni1R)-A7X!C%A&%q72-qVm~R6l<{C6X&D?j)PQ`22AkAH@(5v zUyL-dNU`&Z7w7dn_D*Xex9N<2>feL9mq`|G4{xW;-9LS8-0PdysKsM4tJbpp8oiQe zFhrq5i3P>$C2GzrM#qI$4kexSmmMS)euN2yd)!vJViy*SNpZE2jH!*{t(~NuxEYnE zFY`@}4|n%bx=Z_Kc!JA4t>=a?eT}qDD)@}U--~wV1q$V=B_agll}14b3`wtL4_6`# z1tZf8YWHzd`zee9n^t#F{@!B{+T?N0bsYmax+O4f2G~FsLM?*3kgFh4;7Ecm^;iGl zl0JsaqbOW=Or{S}9hjABIYXgnD~x?8wWa=gM85iL7{E`Yp- zmW|Q`n<1X7&~n6!Ok6VYi9OR;J9FqNq872mZ&6p=Dyg{6^cy&A=plJVJJ~U6=*4Fo zNi1Azp$jK4O@9>0@I}}iLt`2=fenqZqadq0%ur1A5FwIu;tiqR`N8T+K8`01PJur* z4x;2oD^2>|YdyTq`#M=cUhGdkc!^G8Dq=nLmr-%v4(p@^+F299*+XV;X}bpAR#pm= z9+v_bf#borPk^*YiH=yN{O<=8+yyb2RR61F2Eh%_l;2Si=j4$j=V~Q(ah>VJ+01Rc zwN^;>=*Udn zgB@QgR)YzJiWmW);?{zE$ybGi5ZO3JAY%T{Wo4dBJ$MF=i8GP0^0jv5B}a29xGS0K zQ0;M32xCRFgUx4{?u%rA>4!tLb?#7-?7^@f*ZTB((t`2_2zKxgW>87 z^h@_c)iIc7x1g)X6g7DdzMO?%p304weJvnF0wo}~!8&$tWx+WN749$YzaMpA+m@4j ze0VW_c(KbNo5q|G5tYidUkaW1rDivs!>o;HH@6+Mw>KK)!VVeLNbkerW}&PP53bl$^~k8zrJjEF1`e_L=Lwc4eKuby zWBa43FP_`>)zvYaFdnuTl;|oHyeW&j)+(*4d+p~gRgy2*$Xt#a1W3x$z!H4#+0Xp% zKYFDx&EEp3t<99{MW85tN3B{RJyJWR>zFaTH^nTzQi#U{+=Wc(R_%oe#ax9*abah{ z(>oCU3vIGRZ7jGRP)j1E1j0{9;K|I_gWTbdJU z0loaki7)t^crknOe{`0Gb?#72Ji(c?1EZv+1VT?xKS$9G8D=^1nLVm?6^A93&M^0r$r_nMA`5V=f z(f_Obr^llffFA#k!GC)Ee>y(L|LO66ocJ8i6Be>3|3_y5=<#7As^hz+&j0%T|K0FT zbY2{$Vx!h#GC?VJ4-z^jTS=#`;?q)LuL|Oc83|mUgDUTsGq3`NLA)fl+}gT&ZC1+9Q4@Gx$k8XMq+0E3Fw;WbPU$tZi-r z^fhA?ZJ(>HTqq+@j){^ukK!5qkQhLOpn*^oWw3G@6_fsyCKo5`&OqqkWJ4i<1a zkj}Oi{1zKLl5co~qme76xe8k??Epoh%JCYJzG{h4{W%Y%>09if(W>a-N*{r+OCe z-6CsZV5w=VJS7w>7;hmhWi6f31JqDBGFSgtNngj_#?1#CuvR%il#QK8PblUac2~nK z1%B|#4Oyq{SUo)tjJfV*n|AN`RhZ44)SqDMct6iIU(n0-E<};lt}*>F)o_5M+?K2XE?25DsrWP zwZqJRqBb|4tF@}x7`v4qO7O8Xi-Z-d3sJ|M#5e8xBX>a@i|C))!jUKT!~&jh;F)S z*H^TA+R1GR`qTbHn)ScDm$gRH=x0|3tf}~w=9c%dmFL7P1QM(lQx!ZOyG2bS#r%+V za_BL}>+$;N=POHDb+gTTKd@_evu<{Bqov0PGva%D+u|nD@iH;u_H*)}&p#$~D0f4V zdp6bYu?e$T_R`|!Cpt6NvCJWW^>c;)iS4!Emhq3@K>Ou-Ym-n&^Dgv`<(;zwr>`^MY zlga7CpXvD+dU=iv&jd@z%?JFX#vo}P1 zB=6u2B)6zX(#!X7Ans7=o#t#zVJF`YbXY%)XaXs&>*jlO!r(LQV~a1VwQnE;eZ~-D z*}riH^8W>_BgV?-cCL_%*vE?0-8|zpoYG5zXLamxP|?Q2A1>RY3PJ917NSuajTOv= z#)F}9KcauMeSgH3P343Y^u7!3PxY*F(}&Ne{mmNmN)Jbwi(AGcN*a#Y4NJ75>` zu3hnU)5&C8`;!I3$Q&*I&c;LXjpkG?Q6%lti7IV<|6VCbW_~m*u zYPxj!!FSMX-2c-frPf6M_YcfZ9(xPKj}|++s(?y4Wt^S^#Ah8Kd8<5xw6&TOjA$o6 zCf$mB1!`AW)B|vmMO`pK2x6FGH8f9=fou|%FLu?l9Q*w=HXt7+@8{Bv5k;Z8l zW!vEK%D{-n_UaH0lY02vR`{#=Qj!pIy2&W}i>t#dnsd~6%N{`&msqi&nk12%!iU3i z5-2^GT$Ibq`mhdjNLK?TZhqeY!WceM;Hh#oXZl<`NbGQp8rvU&aVZpc;2@u(BB0!> zGs9m%NErMEz!sfYq@b_l0CW$;tP}G5CvZ5hfF8&YegY z{GL2;7ClqoMmD@xzd)S^>x8RG>_K7u&V~t^k!IBP&#L>CkDmMK@EN`h@f8H1jp#O4 zKCCgRlu~C5RC!H_?B}v&D=LWpG;gMIE~LVH>-9FS|L{3ommZyhfvxU9H$a{qAkq=N zf9;EMyp`s25x!@s-+NTQmxeZ%!l0F5w*f#9IcTCfNUdIJI7kgCE2fOnHzUGI>AP1%N>myJtoVoS!K=p631kC4WPiZ8_%4D1`qUAC{$~}&n1V$+wQmutp zhs(t;JdU1f(x+&ctAi{PSt)_O=JE6zC}xN;rneMFzzp$pTTNZ{yk+lQNNx!nT*s|z zBB#!i(^%~0P@@Mf2M4mM%9yud+7v*xj7xJj!R{;{uXcn<5p%V}lOTfYxq^AuXG?8i z^K)HjuZI2z6@j;{TptpB$4dGtslE7D zn87(X7moV^j~(yx@Z18nLE8%<#^dyQ2H_Kh?PGeOOa$=5*@|#S*bB?;KjQ6CA+cMC zEek_?eEHp#!G_)tqL)^^E@e;^jcB7cjdUw**n_*xC=$cO#dUmbv5a?F0AHp?w2sbO zg`#;i?e)1DDn#UHtkyasx%z`W6HfrX-q|p6h|$z03aa<{aGaU$53pT7pUKTQ!(UzJ z@wzz&MqnE(w6Ap=SsGG5SIk|)q!($Tozs6NOp{qjlrLN)P!QLM_jV%Q`VcMl6-C+u zZuSbD2b$EWZ34cx!x0n^LWTqR?AOlJ-N-;u7x`!vMYI}Yr&vSDjoXDETMUJ+#rF+xdO&*q^dG#lC$ z5cLs+?g~zBt^f*T;(uiy19|lSLNN_UL>8Pzo;Tr1(*C`Akpoyjy^ZZToCKaTgQpRZ z@Y8)tJCCEV-%Fwdp8~^P>y9A<8$RVNSe9`JrZ4@TCu^I61#NW%nvDb-W|)mKmVPsO z;ncx}flYQn61ssU1L27yxu^ah_15AT(G;IPihUxnBj_FuMQMJ0m99z*^H@U;0B#^i zYH*4;9I1E^2uv5zbz)3gDC1!gM@IYLETNOZs8I%m5!d%oxyH96- zrhAl?-I&9i%AP9}h~k}0)7RWDl8yQpQcR$#{}nX)e4SpoTJ9;2!vpj%M;wfWuN3(i z&nO)Ey=oO&EHc{IdV-ax5m_j%$n0a$$%F{dT7QOO!v246E5xxfX2>Ikfru5r7|l zbPrb}u$^k#+Q`J5yI_jntwyHlW?OIJ@gVNqZ%;`7pj4yXdPVmDyRi4-hVXMwnly02 zmB%5&n){G(;$3}T8+qb=j4@wEN0$50rdCk9MnF3AHaSGTuwVbomA8PEU&a!7g6eCU zqOa+bBmb77>TCLBPxU$etEYaveP!DkHd|kFYHv?n4cmXTrt+Sq0-(C^OwiY?f`suk zZDJ39O;K$|$L^`uqLlp{w*;_~(NsKXSplq~Du-(-mj~4L)zz$sumNTObA(;M`|#X< zF8eL{GoAB)&r3&gqOKO#Q_uYzWc0Xseh|eAlHuo)Am{#B5jAOk*aWKby(9*BHt*im z&@hgGLfPQmJAf=<{t0Kya>w9;``r~w8ZRBETM`v&vdcIuerl{`AYv;La zXrPD5eYORo?qf<{ix=x%iBM~h$@=^7sLy!yX=oxR*R3_2qhtH9B7XDjc$y>Vw)J5u z+V^mp!>zmRVJhb)ZEtt_rQ+<@wYS}D$L5=D?1P;4`nA`>%qF4F>xa=x)^pDku)rob z|0rk)=<0D0q041Y3#|Od&Sfwm!VeWgHiB^jq3hsT~RBk(=mj^G+#aS3rwIJ}EYi3=V*mzg~WNhK{1v)-|zdBKUH@Bm~NTxiwM2 z?bgh9EjwF2qK=`QT8fIUSyVxGO7@4k4OJH*sJ30ObKhbzg-4g&b_J{0B^MW1w_}x*P-X@ zm}*GNFeRK#i~`{jc8`Uq*%^>LrY3HWrpDbjvGg^V_|XiW0Kw6I67x~WZqbB}^Y3q; z`Ij@{B+q_9B|AjeC~PSGjT62D=~CvzB2h8xMD{tB$r+#1cn7KbCX#W4p}Vt{&4!-J zh<|LK>-MuLauPQHoRo8s1tt}tq^g`cc}!Z-Ikdh*BF;c+p2%aYK+Myw?+Kp{D{|)-@S$ffV<}COi$zs2dlc_1p+VbnO|Z0>Ym@$Dz1t4;k^#v{6!Xm% z^Qo~VWlmjZ)i13qZN2Xe4BgOz)LM@LFvIvTn3Ep-$gS$BS8K!V*y?hvfH3Reo-4@4 zPy*__s2L+f7{fiQPj+i^=vO!N&$(WGyCCCuZ%XT3o5GOZzd*CFJSj>IM`q<55QWC6 zLaT{<*HHV(leX8Ngen&eQTv6m2M;5=`Gb?_(>H_8xc(VYucwg8sIx7Z^OaDRT23(m zM!_7O75IGd$fDs)&xk!F>IwElkzl$FNd%-eSrFv-V#^DDGLupcDBmGZ=z2o>d*e@~623#3GK!(( zrxVp05-C20EZC4ggN9#2lp-(lk>e?bMW0$YEP)PF0S7DduT89gk-*A=oF~CLAzWX^ zi%nOxEXZ}YsS!4GtiP)|(_L59=N{>DL)qsRsr|eANNcge_ZsP)e`^Hc?*ppeIg)tq zY#72AK_!Q4_lGgV;n@^UJa}{o$HDvE;0ZUwXLF!H^}YE?{-NHgTa()m;<+y}P}4Q# zp0Z0zQ=CxG6svm>vA5gp?veACKyK^L2dc0D@zPGg#lX#}Ur!HBS0Un22+upF*;OLX z`{RGUrq`#iBHC`YvO}pk0S0UJXJqO~Q7edUVcIDYaY{q>^{-uQ?uXZnT&&h+=3^+S zz7|lj${0mxM}n`?eieaqR{H@`SmQTDj1YBu-s_4m$G^wW-tAS_v!GXS_~-R7Ew3^eLKSrpRL$qC%L z1O4uSj|#sjp26jkmRKF2GrvrStXvGGZzoGC(--A}8@Lv&O=~C363(OO*hV)J%W&lp zDYvA}RcXnWQ0A1ZNdkKIEbjji!#;arzB&F*`P{;P_N=!+ZvOVp{glwx^pl4sLA*VV z%|SXVNSY@r@+HTz(7j~S>xJJN)i^)b5d*5;cuC7_$hi+Iu5y>ZL}W2 zn54nhpwbq22}W^q!nBHGW=M8Xx;Gh{kpf{9LFyT8PO1MhmUM)>e3f5Ok{v!XYPvq9 zFcwwetQgD28qY}$nZo-QHlRKc<|vqwdZozD#$7s%2>4g&cnW)b(-F|uxM=OEBwE937k7z+0UYj zLrPtS4{jeU>?HIRp+o!~?D)YeOr`(~7$g25UpeCRNJ zrG52ete}w^suaAHIx!Mov9l#-bb$7&QtLxgRaXf_|0FogrS|2fr2SuTPTsjE#@A=wncT%{q^X+tS2a+xusZ%Mkwc>cOQumr;+ChMamq5ULu-Ll6{(uRAp` zRC&B@1!9VYmJ>3sK|MZYRu5$7g1PG)4+w@Skv}iZ3)nXQ8x3RqPc*Cp1K~DYUWDs~ zYm8pd!E?v|yV%RS_1~jEZIx{+)bRD@3ke^U#zj+|smxsrkcLjvZRea^ndO`&xoMvw z0Dfoj5MH!T3-yZV@Lw?<2Gq(09}X~VeJ|>wtTsX~_`XLh z`Qc`PtrO0o^O)XWZ82DHf&n)K$cQCm^2N0z#7<55O!=7<7oSkYc+c}#f}+E0P;-Nb zoh5BNJZMuc{xuA17ska-_A^Iyh!kUpw(1f*ANLZ&sGJQG^M

    GkB>-veK_ z#D_SO|9D?`@ThIy>lgU~xLSne?h9aTKR>M`SzKkCc3g$1b0G2JFQ zBXDKO0c!9BEZIx3Zw|D&%=y+y5_qeq=zR*8GSR@kMl+mffI{K2=K=LYx$uDUxu$dW zHG)r|?u7EWN#E;pFjvzS@Tm*P607Ej!exfUpu*)_1Ru{Xz^G6rGH-Q7G#B8?Jqqq? zq;GY9hwAkl)1Q{NYKDk}%~{WY5cXMpIm>Tx^_qa^6VT;s$t*{}L54vB9T~*^^#4%y zjbV~BO}k^;wrz9A+Oh4K9q!n+%^lmeZQC|>Y@B|c_j?b1o*!M6)ftfyebtqnRT+20 zjs5xtnWwHFm@P*!{o1jlp1bFI>*e)$X0Da)WZzyR@Qf~%z%GHO)hu9dqI!CMj7$h2 zyGs@iygk?jEBy9K#jchgmHyZHNEo->l()WcmC>IMwqW&k*k_W=;NvZmVo!Zj?_q8$ zLQu82IyFW_qFYe12iFa&@cA;I`7MAv@(oFF!+flqj$ky4j)Ya#lqjubL?J?qD}th2 ze;QwmHRL5-?lpD~S^uP%aK{8q=iPKyW3UL9Wyx+@C>7y@uAw*+RM-?bIj=m%+X^oX zvd49jBttMHp!~u1_M(7aV!jE~unr2qa1v6fIq`RcAOz|NF0zzParP#2Y&&8yv7G>uw5{*Qy16r-Nig$*W`Gb2h~Jq1(9is2}at1j*Zkh~bK&ggBw6 zEyKibt6ZWzoUrj9OFrpWy$q$88i>~tDGhY|j*DqVTMc$|KLO)YA+13==ea8pi4`LvMj4gCw+5o# zEQ6-MflDqYZ54q1RCaj=n^UVkB4r_8@qhGFNed}+@3okI3EBVkCvoF~Afvehp^-&J zbs5LP3w_RmxxMck#6oVK30{4X-nd?`2k_S1GCRME%Yq%LP+7q{x^cA^eu^bUUn z7`fLiw7~3q%ZQd>pKz~52cz_Z8%N&prP;V3is}-*=Usdpv%0uU<*Ch7;#oRv4jdTx zlvJMup&nXhSX|4y?g#BC=UzAZ^OtZ)<=N^C6lkAlvP7IfDV89!qbN}!`0>$(fCayr z?%s7vj0WOd2xe`M?S)F2hqFAL1_j~^dcm&%y%d{s_?J$y{_)u{?oA7GTn;G7> zqM@>LbB!L~tX*CIEiStgjS$_oqj@{0Mmm+X@jNG+)bTS~_*7O0?g6z#70}V7<8doz@VhJm*rnG5OiZ4@EQe@1vU;Cx1ksN$^Sf{lwYf{zc zqKqr#BXmjoLHlfCrQS1=yuhv|w){Scdw1g54?Xme$5OmL~!u!kD(P==WCSlLH z`IaMt88?+b!4i~k_bP^dX1UlBJ5Z5fK+Q9_XeJR>NIN@ufwk?tscmMHV5hOx1RKxdYM81

    COhTPP z#9BMe3(OFO%I&qqpPmbW_ok3RC35NItxd1CiBjN7NMa%RM7%LY1QQraQ}OBNPp=Nu zWqRa1%go?XbC!@P)cvBCs|cr4hhy#$IWSv=uu6nxuMv`sI;1ZhP9Ks;i}7vxm~Hy_ zZxu|qq6b=<_EL{7*GKn~_};%(wA#?Vrlm!vjmx}EBd zik^y7d9?L-b{>>|V2mdoX3iAd83Pr{J=BWDt;nFS%av)5p z2)_0*sYs3Lc@)&bY6<#VEhzl?;tR{K-+XtUP`O}o%~C^(JS3J! z&jf5B71?a7XGV@twy~!1Y*c02vGi)Ad^N3gbstSnNo%GWXWvok?aa0{*2;{XA~{Gf zdt9$!uSj=_2D?5Ka3922njqCE5#lHM^SF@A{`zR-xu=WqSLUNL<+I067yiHig7NgG z=DMF|Q#O@}x}LbSP0wXcdZkJ6H`pTJC2*a=cqa=SJvikgAWxV1`S-~daN5W{n`TbC z<-7SBv(y-kk>JpgaA*sQa_bf>aJ@-BSpowL6%m+20YB@A_F_olf-Ya%p$|+1kmb07 zTN`DBMO&2bo}|putcoS)JjSd{n3RNoHvwfvBe&arT&TBPX5TqeZ;Vowb4oV;5!kMb z;5=K3Zg(v3nanI7WF=?H?Pf)4Bu+D`NdH-k`4Qh4G@hhWne4PIy>3N*!fgpnI#y|A zVDiJi(o6qMITiZ8=5YCLZs=GdYoAmGsR>2d_EEg!Wtf4H|M|)N)}~&LJWahf&btrI z`M@R7T^3v|rB5xS738ZhH~(s-`=iRyXZ!2(AoR&D>&f&TP~8XBmGrlIPvKO#sBDXh75mdZ)k^2>5?XcNq_#&}K%|jyiN-7g#-D|NsbM!W4A?-1 zh8(pd{gMH9=--j=DhgT(Xs-#8O$pOlQl3?Ze5@f&U*nZIa7Ah&X71dG9wVc@!i>p! zR~R4g0g+$vP~vx-@#fZn>MrNxaoGz(DnD|OxY|qAaUBP!> z((B;KYE-RMXhJ)Odt)BHeesnZ!O(AsGb zh~Tb2A=8XnxP(m@@>esHIh$xqGm7Y$7G)#Li&1@?D_C?&iSE_@`sYi(tPA|bR_~XZ zZ4wZhi6`6)MZi`^7%9;Ql<3cbHL~P7MM}#pOjyv#cblLTho2C<5c)PYR8xamE-_JE z$`bUV;2FAAyxi^}wNu3>yk_<{{@WpV=W)H5!$&wNzH3p(q|JDk2g!JIO!WTWm@_Y; zt0C9zrUAYW&uZex1D$0~FSY&P|6l>OpxA3cS?>W{F1)7}f^c6?)t`HGUEj}kJs40GuoeC zpqWqdwyr8rCUk}dc>QLYF3fDH(SVqm3I-4M_wH32{dPNQN!JOp?D_GZaulmB`1 zBiWD~jZWH>9=B=S93RJZ=a!#w)@XRy#^Lu+#-z{)Ou(z8zi6bZjA6F8m^b&;5V?vh z-myS0(AE$BCn%~82#TWjlLQQc>F9&hj&Ukfbs%rm_psYPj9FqkK-+>=2b|STxp2`l zv4{pxRJ4DLMhGjE(3c3FsRWJvL*jyY!_q1JB|ZD%NYgJ!Yw-x0YYJR-a~OLtGPAoq zFKDrc_u|JP_9Z=>;RH@9xwI%yK1qE2vwU_m){K^oGon8pagz%p9#Hytlzk&kH6Dc@ zvS7p=D=1QuK+sJQ;gsr6b{lHxWwzL!HQ2(5+%(kfjO@owPlCGiu1vjwX1!%YWM+ln z(-I*zp<#GV?M z#*r2+>t+FsW_6sB_O_cKknQ{l2`)Mn$jRux{M8#H)d{@LbaTK@7ZYS@dN^}!L@;#N zg)++7POS-de|$LR9Rn5M{~q|U5$BzdGo+=rNA~m=_BT(sSRb9{UxRe)?M;vD?chZI z!ZV4Kx=C+)wc+rXeQR z`zz+5aC7nN;4x{RbmZMxAvO6LDrB%KoZ7NW;<`>LFM{Tqv zcF)B6J(xAIrLLb=RCti=X4N&;-f$7={{-@4&Ob^ye;14l=Z@LNu!+PcUv;yAuKjlh zBdio@VexZM9#eS$lo**WEt|Lb#1^S-P&P_Ws+1CO2Wt~v{F5Czi;#$i>0QBn3X}Cg z9n=3D9P##mV09f1c39JgunF4=en3W*^C@7?v8#$4%;Dgz4jnXOMN8an=ofX`8*ZKB z-@XxiU~-^PWU%ZbK`^GukX31F9&cp9plA_H_gf=1UyLYklga)Y6hIj}&) zG_fcMa5N9$O>$gEc*9XjI~L+hW`g(_07@cwB`pxn0r=3Vyw!m!T5(v0?GZDW?;*?^ zHY+N&Jnz6bcJsG$p2Vt})Zv^*i%Fi!lHL5O9zLb{+lbQ^^_j=ore0QwIwI#ob)t-G zW_u6Sh40e-*Fv``nD%Wd0@1=gGO>BVeg9RWJu@LY<+AmCU#FW4>ptG9>h=3aSPh0*b?z3SwDdU~>Ht~=XlY`sZ9llsdpAVE8^ zdFA!)Nj(UJ=(b%|J>t~QXbOcduke+kHOjj4`&TdyZRn`_4IfGN9fSnaeHZ|;G5c; zrnSEW?44-2PwbT}Vg>_$G$95&knE|MNoVp-bls&~9*z{?TYNwX@*PhW$Qd}uWtmp= zfXAIB>21sE1mGK-roR4hge~dG=nVG5xS}%h~+WQc9 zO+NPWL4dLK`8ClaC+m6h^>CWfRiN#DENf(6gBj%uQnbnB{LxQlc?ojBQpdm&9}x=xP_-r(!;AZ~2{PC* zeL7%b#^`X>BSyiFPX(1sITq*oTvp?STL11a-zS8M* zKw?<5@*=*@L!0b4Up?b?RAB|(~kw(+V8-K{ynY1MY+-LFjWMK5UPXR~9pGopV78tOmustCXKQuER!Dqrm#WgPpL zk=;4<+_@V@gY9;$e=?csT{$?RRd;8J&}(a5nNRgg0WMg~*s7Rz?MAQftLl<{hVNBj ziPP1K#tDdR+u1rn{NIxMI|kCn^eD7B06OTej8FDCr+&VY=`rE?__{5jz~)LD@B`QL01f_7?eXd2 zVdyW7UAwY;29I{d7sdQ(F7G3o%g7o@#&Y$dxxPCpN9OmL?AF?)#e(Tl@5Wf!hqLR+ zhnFXTpZDJ>V-ZTQ-<8E0cBeMNaq=Uwn_ON}PXVf==%$QmUbOszZ<-wRxGsaro6-C< zc-)?q8jz)609fJ99i`T{N~FfOt4-fsLC1hb+Fzt@_{u8@SIJ9`g3fSwlB1?3P!$JOz zaWZq3G*S~v_=Mv&2%M&&5l49Ybd3QW&^e3!$<4ZhP~1bKmCznqX-4~J0oP^+3gh^w6PY^)9JKkN2E2FrH3(3fVNzrW6n zdfu$gnty-+?Ft+rH5u!FmTl{oBKvZLy&8wVs*^Kat~C*R+c*Ym17c#H1JTU0=jS4o4SM19P^`7R-soJXL?m$h z>ya6mL^d8}7@8iyqHP9Pw6p%NMVrFvz$$qk7r3?+p}cN>5S941fswS<-?`80(EAVz ziJKLQuhH1x)HO%A9S(0eO5+ViJg@HPK3!rM5(@v3ZqFdtf{@xALSXH&zE#&uhwW>L zu5es_4hT6H+(PV#O6!~=>`@AzUH+-9wJ|Z#;I!gvMBnx_90I8D-M)v-x@hK824>U( zb(Hh50Zs^4)@S1ysnZXjIsdiLaR8%LUTQm(3Zq*X;dAe@nFiDJ9dGfJhLxj+ZaHp^ z(!%nR)(;}iYC>)1+%8&Xv*2R!H+j9 zh}gj`L!tsHi+97R)$HAjBGM>}OI4|;)Th}Mr}>!0Y>G$%^*n+jqW}^KiI!tysLCII z91`(4D&6!Gbq#bVmPIM<2GRJK!R2KYEl2p6hc-@sW~T*E78@k7yBkGlT^oGh8A1R? z%6d9-H-gDd+g~h?rz~DY#04lI0u;q;kZuRjtZ7-Y)AE0&0X%riKM1EjZ(4RUg2B*? z2DlSiHHfObD8zE}j~y}mUVwO1L0J#gA+Y?V_Nj>%z-YPazcv9s;ygJG#O}{sBbxOp zqo715l)OxzY?xRwBqtv|IOo=dX*(wi{ZNn5bDw5!9P1j@DU1^eeNIh0XHjj?agoLn z$!Cu)PlVB-2Q!}@UTcB7o7s54p=7<`GizcF(?;k7TnW1I9^!fLbs+P~imGK-Vl4W@ zZ)2L~k8&yt#2Rp8JPC86eSc$n=xR=cD9&&F?;i|rMcyu7^VtMUY`$HIP++0F?v@7{ z&D$K+C3yGE@VfAqkH_cZVkZx{{^b7rOUAeKhQ=Ql=|sq5qLB|EoWfJCJ(BM^CO9l_ zzlY2KqSme*NmA&U&TuanP!T?f3LitR23K9dn}{2FTw4qFPPh~?V2ky8x`hpf$3Gu4 zfw$o=I0z?SV3KvxF5J#_OOSnnOL#1_=Myu#3aoOAko8gMMWQ2-lahsu$*HAX3S z=FHcAYJsrT&B@wjuz|4!WniZ}8e)-oZ|pr?JCH%iMB(kspZ!DXm~m%a3@IhbGF2G? zpFNRO!KJ2(hrJW&kUniWs?WZkAl-gI0@XmdDHXz(&2E=06{F+BI7XPd1L5I5+>XEY zT7GR?GwG%3+RjU>@97z9bslSeNSlM49sk!c6o0`3w4SFBzdV86UA!AdYmYIi#!R3- z!+gJD;fZi&aD??XON(5s-E)-n_Ey%bq&v8u^>zsy8PL;Aa*+OV9uy7`W#q{YxBIK? zfRTbDKR7~Cax3cf$dv3x(v2etksTIGxnBXw1vvly_l+YU+}k`Y>aS_|%jVyo9Kq}1 z3H5zcDcB$7*!_mkz0|`BnJyymGs)qCb)&rzey_`mw@p%57m%rtlZPQ>0e2 z(dw-c5T+)=0yVHL2E(6W+Cz;r0yxwRv6O(e!y3Cr$y47sM@RQIHS z(!;My?*w%@7Ti}YkCwHKM4-jPBU9d4g zH}7szDQUX&{Y1aIh@sbRUPxxIL&PV}(t{2!)0xOHrUpIHQV6YK(+?WD)GjD|4QS_X z4$Y?iuG@AS17A1hJ%vpvu(;4|r2U19F?2D>&taQ6e!*6q=G)1j+t-tLrEWOYrDPpo z?>hvRi8%WqlQ~@fm_lojR$6cxB@2r1kjl&X@&v0`fezaxYd6?MLf-|_R3VM&aWX=J zWn^Kp4{kJ@dk?1*5Jnce0UUYtP6-za`Tl1 zdunhLny@DF^ARWvhV&6YjHu8VfijO;mMuWE*KYrTAei3^QarNKG)-U-P1QMQbva?< zdX2@fcL8hSv%IU0W$>-pz{CG7-XYo_v%P3M7)ji^GifPN3s1HMP_DP|tzDJb zX#*P0!h?xh^;M&8^&32f|A)35S(p)$U zuoF%vZGbKIguaXqDZw;JS#k3bZcZ7;Ey47H&G?Xg1>cZmL6>LJC^n^z$l>hUt%nV% zyG+-Qb8o)^3v2=!K!sa|0`!lf!ga&oWgEm%VpT2-Y5Ryze__7@?hz%HPQX4igf?H_ z?pR=F+O%UoDx3rQNO=V5!2vXc6)~%OfbhRsbUAjzoxFgizqPb#Jq5s`$Ur6~*5uwE zGz0*CiwbuK7Rc8}bfFawumA~@ZBWY*<`y6ffZqZ{DP{Tk3;^(3us{I(79a(H-vays zz;6L2h_C@-0C9v}!1+J$+tPpFw=CT_lvrdFMeS*2rjGBf-Q-<+%hxguxMo_LR$v7Z zz8LPP7Txts8?upnL!|fd*65JLwWMh@F+v8ISStzaa|w*Hw^$7OHrxa)6H>C33Z^L% zm08z$(_ZFJYzTjL@l$NaU&ChZSWsmwjz_F<3th8;@65-6G{xTefXU>6G?5u^!Qzha zZ@}VIDf}u+IkUg($jbV($r|@x2{nu0X1*nnZa!k|{DMw=O{~fQ&By8QQ4;yvhgehT zl>FT@Le1g+1;P55s1jcjK}8bz?@^``Kxd^5-OWQR-=}d_wb=c=o?w*Rw$yp7=CYy$>E zKm?2rBnr?f@jV2XUWovF$*%yg)FeCz@B%O$-bMh^;fxS49ZGyF0n-5>1(*(Bb%5yr zFaekj|C#_yhXuj^XF33k(f>Pcr7@ZIkTBFGRB;3;(kl3HlZjm8q11w4_phfBGNl*O zGr|RN$#1@I(wXnkd%?Sp*ngX8R{@d*8Q*$Of_{c>smB2xHZu_*=l&O>yb}btYwo(|8*nFn~~f1&wCniEm}z-qgL| z@eSa%!3q7>Y`k+7!0}~J@R@H7Tv34TMnn`q6qN#S>$^_@9(h!;XMe|wsU5r$UKr8> zOt8nn{l|Amd%*eN-*<&Ylz-n9W&n5dpPw_rBVMnH7t|YLP|gM74|q z^;^Hg`zz-v@)^6DSK##^nbazQq*Ljsvzi)KA5S=8oJH!3OHi`u(?_?l1BArCx<2|H`$1s#Ef) zr|a$LeOGiRaV0=B)@Jhi)f2rdEMPS?>$-|qBZU>IlF`)hrLLV@mPZ9$Zo3!F;*Z*P zbiuiL7Rt|>>Iw&@tosST(=f3wx+y5OZRX3_?zif!raXEj0Gga%IbLjVL6I(g;T-hK z-5l4fAp;>)O&|LV#_^$2l*w(APUr z3>N{f>qNs_c;1ewt;2ZiiPn-*SLKh@TBCG&8_b@_!cRN(bH;{_yJsMBP8TuPhg046 z-F&%@NKaFq%*tnYJtOC=C-SAclERHJGPb8-^cl16o{qK?p8|EJ@)QJed`&kA*RGso zUmtNVWAk&lGw<#5H^M25ey@kqkzG5+WAeaR10;H}vTBLHBcqvn`gY7+6Vn{Bzp-|k zxqn)7`CfCKm@5X$iZaOC{LtKsAp7iu@9kolL+$=7@_yRS<~YoU%=WgN&}cU7>uR*D z7fc~5(68TNbmMx``l(*GBEk7Kg!tihsYXXS!IQxtZQ@(h^^&W{S9zWC7pmKey-wm-UI6P8>E*DniO)?17_@YklDsWws4M8LL67FBnWNpIL^eyh8{)Oeu3YfkXe0^u(#w>PR4fgA-A3Gy z_$`thjAJz?*b)@~evPhRjEWR&;PpM6)4+s7Sp&!wgD-UBQ(-=!bi(Fq;7MBluIPNN zgO2TCERLBilH{Bt(saK0$6^4kC@SaEA13?$_jd~aD8kRz)jq0Lb1*zXkN6#`VKDXS zaBM9Du>SlR4KF9OuG^y zam4rJFz}@Hf2cfbs-8|Q>^~FCAg*D+<%sd8jwv7uUhgl1bGEqI$pp!aNa3zGM>nX! z);=UxP(ZI~yFfx(oL(_mlb|rKcm<2!eO*iLCCVW}&oH|?$S?;^*?X2>Q{pHRZ^npE z;t(LtImCL4lJ4@c_#|)VaJ^+%r#GfuZ|T>I#)LH7 z9og$7)@CJV#)b!87BjT)j0V8YS`&NYk-Wrwb)KEsv*`bb9hQA9`pThn1@DC_{A{;T z!;Z5I^lOdeLL0p;=Wk=(Z+qOSp&m5(rLX2uhcKAyD2vDe*=#ZwXsSl?kxYmJ;yaqM zhb0U6=eW+@^=B?$wbk=TLb|9}v)T1$c&H$*pEI5@iM*IR@r5YC@3Z5TEQJ!%5L%vFj=itKj^AC2n8nS>8 zp82;;7=e+zS~n4aGqs_TB99F_3Bv}&?zR1}-giE4nJf69@ZD0!nwYh@lm#`eaJAmS z=$o*0DbOZ=UDPfc^e$$js50aDs* zo#{B6HA_Wma1U#FK!dJz`s9zXUFD;sIv?0tFNAy|iEIzjD{*;(@uc6ZHiH=Gx==uK z`|d1WHbM~b4va%}iP(aq`kPPd)w)Mcw{H(~?No%zuq4)@90VVVNmtxl3ioR&=&)fl zbfM%tYhxTQ9j+WyzAi1AN}9==GJO(g{WGJa4R@0-^(2bj!ZZBt2L;A56PrdxY$pBG z2(~4|l1j!&&2OJ)aZibx2EvKvu+F+r!)p2KPU>5CH%>E`@s12tRssq&E~JHeO0{sd z9ynzK*KES6OHCbs-= zhi`%oMCm)?_K)PSb%p|8o1llc4O(=OIWaLx}EJ@m2h$>j;6vWs=eqI=y%Vw*osiF21HHSp;aynU7))8U2^Gzgx}?4=c_kY&VIbaXLzgNC{H5GM8;IX9>M9k zEMEeiOlxHS7|9cuP{aG%iP-x`3yrd}igQA(ET%KnpC|WM^0S40;*tnR;WMw+OQ-T6 zCCjiS8VPK_by)e=2yS{+hn2!VCTOa{#EZtPL$fS#yoH2v`GkDQqa{?ovTuI9t}VRedoxu==b2K9 zCx5ye8&*_4+P?CSt5u_4CRygbG6oSuqh%PPP$#>yxfMppKh^@De03|j&30MCVd40w zc``|&vf0`JvbS3|USB@Rei~jVKj7^_&f~KX2`9*|)&Id2tAEe&nc|;VaydcFS$Sqt zv)jGrKR(^&HwgK~DV(`)hmcL7gB)#EG`T$9mza1N8{y=Mw$K4DDRLrbR#ip$D_PF) z-8`$`#Z-CqRkNg4c@0hPqf*)Jwx3!>E#rg|E!-5@T~+>6o}6d`Kt7p0na}%{5nXg}beR)sm5G3sR5}ROm_#r_XvE}~J^3C-<#~u3pRd57u{Na3i z8wk`>o7(dk%Ij~~ju2%C;&(q4C+;)l+W5*uzOZxjIwI?vYBTRy-D5K)6x(Cw|Fz)xWX^d_lKid@0}MP9Q(OU;a4_!B^o58@*mntr2kw z1xPpgC){f=U94=5HbeOu!_yYSkcwZ`QtQz(o4$k1y*CLfPK-2zExfkLFC#8_&VBMK z!071hqw$n(WlX6AGK2P(zrTshf~4&IMnok1U^wH zKOx0;rl~W$g|qQv%2UruVU&H9zq0StvZ~9rn*X-;N$9SMo$44T3Bd}9W{LuJ*Y#tk zsYoVMo1MWNHIo<_iOR5bd(z`_@)zzwud; zGXe9w^UsA3=~v00dCs-?6V`Vv?RzgXeXH2)8SA6WN5P?_?z8GodFFzeI&Z=Lq>iq( z=aYU|@tKaA&@RD}?>Z*u|L&yfvT|m4@p?Ua?0m+rIF@`JY6d0@Xjl<>+2lM&(fS96 zCmdRdC1qtZDJ*V0wyk%)7~Oe$ntoBzb=xho)^4l#W4JWPyz|4ppiia9Ia;iyur``l zp73OTyT9;giT>tNogb(z^-4fkD;e4LH8rLDPaXr>RQ*(*1#SDWM!7w`Hm^ECdMXWq z5XTG?H?+Nuz+4gPRco^RBYw{LU0HX9SGj~&`9z6_Q{|86O*dviJ)x4P3{%+h^GyvXqo^mhxil6F0 z+TycpTNtAsk`d%is+#>;h4dDKI5;7;>$5>7*blz{K$0dH^}RA_Q=%rDRsC%?&sm2)eN6RYe-pOA5dLLEZu%mzU3lhUVw;Bv@0c zXBd|F{xm~!q4?HYv{fJp1DV_4tIXu{RMwbl|{=!Gr>wz z;2~`<-cEo?q1Wd4D(X$c*Tyzr1l3JYRkAp6^2-k8R)+=$>~MzsuIQ(K*k*~FgGr}2xa_^B;pz81fz)KSk!nGg|``h{KzOeULUwr4!-G?5X((1}wT!W&E; z##1@tE4#3*bMwdITIE8Yl)88omI~PW*uwCek}I9(RH_#H{9!Hy z>eKZ#aHgH2WT74~b}D66q~e04cpnQ_P$chvNMp)|`Zew#$5YrCK|>3Q*4IpOT%Ltl zVPi#hG~IV|L105Xf?%ITTg(N9Fv!jmch&Tmz@-g(G(L$c=w1n>&NCkQ2-7Iyp8H@B zetM2sGzzmj=r`z(JZ3Z&8GhIa*xm*rZYulyhrpev9BvXu2=+kaR;#`rrH&@@?Jbb} z06^ewiiBbnjX{H+eQshT%tc)*ATt6N(|E6X2_SIS@iKwVFF>#%f-p3O4Yl-RnSv=V zJV$Dzw`Y`%R$~~Kgcn1Va|d$pDY}YiI+Yd?&iCVEBy}uC*jIY2sBb^9a(-8`ENI+M zE?+ewJc`XKUDnAd>sO4psAlY6gi=yWdrGFAr4NaM73-05+Y#SWD=n!=5Gke6xrhi# zz`ziYP7>wP%zcg^`zu1c2*{4`v?)UM7w!N}{D;9ky%WITF2m?6hfGf6g+@vb%&c6R zNS>{5*m$XYp%zFUjUk_hu=)c-3IC#MGQUY0r4rn-Y*4{HpITTC1OxK=O#)m0@Eo3= zY(Kd&5Rcgo-?4PubZYL`A_%QYJw!mb6$3LeY~rnJyTv^z5y=)v#8c>2Kk2U0sec07 zvq3IU8}m9Coj!kXltaXm{w2S+6fTs$&uswBl6dJF&jec z_I|fL*Oe_REg{{vzObpj2dDZY3u8o-2K=$o4Q`{k%gB>1HTD?d3@5sv!MTN2F)+)- zkvg+FWNH6b57Fts^VH00Zw<@I^ny0iPAJ%$o6qFne z?zGfDI}BC>R=j65+Gy>f?wD{wV_&9l?C!auH{`*+6}VRILI#Xr81YX)U6(5@OU2b^(#o?(O4(da|((^qGU zGVL`)i*s(tfc|OS1AYh^3d%=@&ag&A4sYHJWqwF5)r9UmGR70CPb}0}M#5*;XrS_n z501B+9hG!(lO(5{)7S-H*g+Xh%lk!%fJr}L1HSi#wl~n2Q)HR#S+WCO1Mv!@BBvDK zm3YmPH(oeIOQ4mknHyRA=>@thBy~~R?#J>NX}p8O(v%raD$il=*LoIGPMn8{%qW;&SHawc+*Ly9?$0#q&{tik(_ z%zU!2X&V(#LtzKQ7_F$~h3LQmVwc1>Q}iXzLdxaOFi~rre6!!?kSA@m03vr7Ce3g& zicT5YjfAwb*;#sA{O#(SU|C)mREaMw1IbEuAn%5Pl(K<8a1c!J(5$A?Y84CxmneL9(+s-FRPx*Xt>9&T!&wzcQnDyo$mWsD_A2GUeps3Vc-%FiC~B-> z|K)LC4BEPi5A}sZpY?c+*3p9{$$Q#DzI~AGu z)$SP?QW2qjq(G8Lp(ru|ZVbFSNkXsL4kJ#Z^*qK9L6>$;?_Ki()kN$K%`uf^Plovh9d5LJqHZ8pVIY;{tOHr;9TJ%@bXJ#aGKCI%3w?rISC-Yz=m*Q zv=j`QT%F^>5uhA5>13Ku)RyQ;*A|ERDr;svK%jv zd)8N37z8%V^^{U_I!7_hE^h#v9t#t-oII5aJh&+wyG-~C$|{?@n}m89x3!}bn!?BjDB>#fXLMF|`i_erwND4Q zL6aGNxs4Ydg)=p_r8g5o0(iK^IMJXu5AqY!t&K&p!mK3x^r|J|Hv!}!H!Tq9x}1mH zKr9MKT_MyWD>E$EnPp`Y5ZDbD&f_f1>x^=9Wj2R&344^X7 zkki9>J8|>MofGggB z*IIV=#cqqYXtg7m1ADrxe7Sh#k-jz`{dr9l;t2Cjm#OVKy&_#9rzPN5X zYA)V$W4_hGVhQC0(R$wNL>Kh%_5c0f@@+?>`3)(p%cZzcxBR|esj6KmywAC?20Cme z{hpNy%j=9iv&1#N??K`T@_>wmYclwE@qL@ZZM8d_f}Lftj^9himaPa@82?movpeqx ztEq;$)Uz>tR=^5uW)OJ_RV5Ll5o+nai-BknPWcl=NE;*u77pFo4YsFT>;G#j`ZVRU z%3oVv>+1=w4Xgz?6({A+XsxSg0fbb9%%c8$JeF?qJHGkPSD`X8g>RFk*Y?~d1T>&6gt*a)q(~1 zvA81Fo&WnViPE(~xMXp8rNEZ+Qb%merP#HGWN|tg5w& zbPK<~?h93{7gqo=hT+DM8M^aXhpD-Zx8j>b3V-zeP;4}8^U*YW*dBt_(ZE$dAUBVy z_i%7RE|$+~3cdw(A8ux~OGu_UQhmHF;%l~tc-|cfNPrFWehPotgz0aaSlI+euj+>y$v9D0oL(Ey|L<&r!*Pj_wm7dK zRm9Q$^MC6gdNa-k1~j#~r`x!UrW2v>;{aE;{u*;H$W&T#Xqc}Gi(BPlE~;xQhulrL=}AT7Ryyn-&13u${^Q@R+*JIm!@~%t*shKjSuTRI2Cf9QFi%#H!d18I`7N?FO}*m&@>D!bYqlKb%f z`o5eoVPfTc`RI0BdwoHE8zOY#fk~{Ty*A;GwrUuVce93yg@4sF7SY?A%?J&_i--;l zVdG;9VqS?I3AtH~^Z2n}N9^1?Xe^?kC(6fBND&6mI0%c0fH&loO$!ZKln}g~jaw1v z7ZDlHyP1t^&p*f`2IvFR0S?~2Uq^YwUu7a9ABo_mFO-PmH)~MiH}aT?_6f@0^RbkK zUN8E~qx}m8IS(u#W~}AFRGm z?>v-~lYjcv+Rr$?9e1Y@#D+MC5(eisY(5ylF{PMfzG&#Cd&Z^Lb;jtZG75EQ=i|J3 z_hR#`*Wg0^>^s^iTpkl>W7(@tB#KIC*y*ISi!c@)k{~zaXDKWi*WlRKF$Spb0&c}& zEtiHhwHO24xH)W?{}*xZ6rD-bb&bZx6Wg|J+qT)UZJSS=j&0jUM;%)on;mpc-uL^) zIOANM@n8Knt7dIoRjt~4u2pmOhIR@l#bwE(gr|ZxvTb5U>ls*Hii))-v9RdRNbM*Y zzcUvd8;yn}PaJT|F0X>4I;hkuGYpe-Lhgs-&2?W5|CZCybx%HA#JD*LVA1x@0aY_2 z7|1KgWr0ZJ#mU{V@m)tE&uokFfuH~S(hR%9siu8`P2(<|gdM+ArSlQH_a^FZ8cNXq zKIMHj1ede_kG3GtjGOsBY*n0Ad|^$GbhcZ0A*W-(%xoS(S{!;i>|03ifs6s6Za5n6 z9c?aVxbuA;V2(IT`u6NemlElSa9w+?*@MF?B?W?&4 z8hEJa+UkZyAzT<$u=rNgX|}uSLiCZ2am*f39ez)o|5A`}4;TsZ6=N(2;jN0DE+>Ts z-o?&5_=_7Go{Oab5oRp8JAXl=Z9J$%nF;ABi7i%vD*il4d}~;H_rL(o zY`r#?D6o>ZbddkgZ!va1H6iQ-Wf1e5R|>3O3%nn(*rQSD@Z_5&V$HnhNG;d0oq@Wt zqjZQrGN?v^`LZLeLaNTW5{h_MYAvS0t%#A`9#&A?XMr4>$rPh2vk0xSCDwPg!zKpE z@+N!QQ^Z$`E^Z3F?mjWJ{fh0=0qC{m^`3Xv29W+qc;^ub8Eb~%L*FE#(?(YnV}SE_ zx}kYhSYfT!e0mYha}4{j`d>1igj~{otz|A|^Np_y`LZINwu0!UV(AM4>n=*Id1&@S zX%{6BqH&T6CsG0(w5}@s#UB_AJ5fUNI!X98*0dYxuHR|Kmk4f^C48{br%@p~qjtLU zIPyQG=g-D2W`34_fH!~)q8G=VY-+eWGgJ&WJR>trZAB zA?Zk0olDC`4++C65vng9r`JrDfy@9OKYjLq0#C>F^{aA54Y}70k4130%eG9L@gMGd z>HnC0FjQeBxRO1DDF!=L$h-S@EEsj1DS8X-mcs_CX{vOvN29Y6Ulhr{Q~&3MJ_i!> ze2M6JOb2R?@uKz%kCgVIXehHJP;NVC(VEyR=Rs;BQ&|vO7(?3QA+(ejeNP zHUpvH!;>P_?mz?ApYvgY-{?5n-K}?48#R_C{Xr)cYKlUxMcQ_*fHQI+cKk(&+JCY{ zuNWy)pMK5f`xwA1%i5J(C><$6=Vf~dQWOz!{NV$pWK0a;t%u%cm}rRNXFwpo*)fne)n};hdE5nCqRtig zi7jhEM|5f?fa-}}f+Leju$;?_jTbh116k~q6`Edp6ECUA$X!%d#vyZ_lG{KK7Ns%u z9i>;Ny~Xmd&+N@}o_~W7y9<4vCo#jfogxzRF;Au2HFH=QMt;tV558^n-(jnRz_b)j zsmD<9_^()DVm{f2??ptek4MaW;V6w|LLu&V;vrLo0S!*OVLL_SngHNjd zUuNFUOERJR|4YozF}N?WJmRf~aUBgRhs!?R&g#S)MAkt*t*FvN&uRJo|IzZPsVs8X z*i~`i5!Ur{m4*?nj(WNP1V!;{jAvDol&T{`+a-XHhp?Nf#Q%FyF#ng07 z=~kJuLSW4zVNWYVmW@_qc2fQcwoEhv!O1uyI8&JNAaQ81c@b#snZ-;cx`7IK|1jNC zQ!2GX8)TfNvrc4~!r{&fxJC7d=*!WK3a(I5Ma0?yC=QFHe<0fvOqh{6`PA@){gc-N zL2n-gHZmr4Fo)F@EV7(OT&*>&m7_K(e=8uWi9=dj_$NEYC}HH>=ZZs_t_z>hbt@(8 zDi|od-RP#s&efx>fQ-o#Mysx}SV*N_;cb5$U^n=gKV&yK5QceCpz~tAvkpXPX^b|- zwoayH{x20zS$=YM!Rz+nnaQ!3#SjXSG_luG-GZXl?nL8H!-5-k0pcz%x(UTmsr}__hDYAV-=T-YU@H5)e2qLY$9 zRaYWC9W=&R55;x)SL|{dM~cgd@vD1cTap=c$1e;ud@$$(T!M+`4Ide|CE-rihY=-0 zx}o%hd(&R9D`i4z0d3N*Hwl`i5W8$*XJhdu?R!&Fo_a_%6k%z5+kPtRAiOAA@Mf_2 zp;zS|U`>N~%~Vm}KjX=cjyHLnuo2zjaM|#3-Yji^B3#YfB1`D@z8}VWhzA80kxs~I zg&3ZgU{X8-ei`T#J6%Oel^FjUhLdfJi;AQzb++{sc+vx%y;hBc7A_nr&zn3(f~vl!|H7bhdJ*##omPP!0uEM8K)kRD6}r+4-<6B>^r{iC$UD!aa%1cQ%5iKs(_KW~6K1?3TT9vj zpY#2g$vI9z&~#+dVUaCnOMmMjRKQbV1p83c1zM1!0iFb@O_wDoCQqZKTfxkgWOUt` z`Potsm-7D+6(8%@36p(EBe7t&7ppVee%rD2zNY@8(l*Ll-!HrBb7&eH%5H+RiugoV z%cL%*$Fc(TJk#(_fpnrPM$!)qBXhk>QuPhj8wtM#VTv3KJLWZk()j2u`)@TOw86T( z0#ikft?8o~+@ZFB{G$mkvP3mYWuO7O*cUcfdPD_w5RQD~&%Ap-qcUUaMafRHm|oH8 zEYzkd@=m^{Ms>-Kr~z^%8b!jOWY=nY zmICWi2F!GO1gtxNuBlsJQFukbsx7Z=~|lIowz|>~5;? zT>4W#Yr@uL1CD>#u{R2Pz~R28ViM!Pm=exzj3DrBD>lMN_q1C`c+i~2WfXK`N{GoC zN=8z9!{&K4v2t}{ug3V1Wep)hMSA?-*ulGGH|*84T~{t7gCq#2I6ca2udxb)}Xe(<<2^@;7EjlBsgogty#=ReOQ+ zX<_|P_RQ|51lac%_pI;DslJ)MkA2&dA8-DDE4Fd>7>~|TXiJZo6rfe2W94K6lmjGV zl0)1qlyw;42I$Y~Oz?s6)cXEAXz-KF#i1gw!X-}hWV~ud{~m(!77CgzHNiA#iy69 zkCegzd)nv={0_@NAf^jFeU_4FH>A-tW7K1yOFr*yute%M+0=Z5;)@hCip-ZQW#}ed z=N^b|Kf=Tt3~_AIxkdI8!~?hjr1)r+A*(Y(ETdVmGs|3^TlqPsF$1+Rh7tA)XE^pB z2-Ce<5V$%DYoyyBS+3_{6RLs>JMALuc8?UrS-Xv7N-zI(iL_G=gqI>9Iab*~6W1>Z zVJr}f4fg6(u`Z3NL;6W2jmbe#mY%_m))f}S6(=@2uS(-F{A&;SJUTH3;gC`vn zp=p)Klf;Bx+`}CBuK3uq+jVf;BF>M#YfteQhL?cUHInIO_=1KeSMycpHB>?~m4t$S z`LE9xMtni^W8FjQYCLg$zQsxCrNMVicDq-*gU=3$Cd(Qw;uApNJqr4X$+1HXt8mb( z>SdX_PAjp*F@khe&rvVlLtB)2EzyFpk*|rg`@~ojU;29BFnp)WKUMrv`ddXR%LULT zb(}^YCcQx1W6o0Jhvo;~J9LmITRXRU>$Qa?Tr!rqYemz~v5*(hP^i+At0rqUQIDHG zlFjteOzbzy?32!*>pH=o|2})~(UKKEZFgix;73qPX~Ij#HrYTAb!E7W(A4=l;>Ukn z&97wFP=v0iks{u#b(3(?@7?|GQM_mw?6vawZ0E2!OY!oL=3g)N?8z?E(7ymiEu?LG zLF6%`^5o5CEuIGSvd%7DjLK>ZZ)Dr}gHsm`zGGD~>LH4wA9LG$|D0}KpHbN+ulCO5 zz4uBFad{>$M(wtp>rgWK|6ZWj^(gLQgk@AvS8TYfR(1bab}c*JZD-VyQ1)!>>ed!8 zG3_AA}wzUyLHjvspa>D;0{ z!l~D%O;~JFGo{<0SCq4JbGiCQ-Z#|!f#})o6D@=Ccy(@Jsh8YdoxvS(yj^GbtUPH@ zZx~E-TBjArh?U)Wv0Q!k>atp$@tdV%ru1IwG&!K>Vi{+(GLq+h=Kc5h!)_UTY^53r zw*2kt`nC@**2GE)6+ncRf1P1dDFFV>%;+L-b#LiqmfbKO9Yc{EmmCH5%=b>@oiUv0 z?aI5HRp_E7_UUEx^}@DOd#C>Mwr8^^BTDjvd1~Qxt|FQ~a@G+MDj-nXu-jlpIXROg z+u?VT$>!Rx&>!$neoo;IDt5;;aEYKgo*4C1MX~8v=uBn1A*Xo3x2t$0)`A8$^)w0l zq!_ZET+VzoTCW67hyK{VLB{o_6J{9t4=0-Pe=yJOXyV6>DKO z`Y;H!u6t-tIoD$w{zz))7`QK&VVIe+)az;WQ;%w=E?&E9i1O2Uen_giwWsj?!FgXa^U^)zOBOmiX%vsSK#F{9o}Q9d`5(H*{*G9 z@LlQ~gjrblr2O!-*Jiu)90J=3xb517jr~OKs(nE%uF-cCLeRb9{}#VQ{9;95d`Gpi zGn0(AGS>q$Xnx>EIdvrOb+JS=a9QZ&SQe7DEcF}$|MKi4#dn+K*cVa#E^1^pIqewB zjK)Rxma~S5LCUcuvFOkajM<8^pDsT${or7?A`>UtNw`K@s$X-k*a|@Q*p2?@AfdXY z#H%trdKA#sNWxh8MLjy?IO^b;a`)`~zD>L{w4UC-E4s0>FH#!uk_C}XcTmhoE$f2< z$}ZcoU4cun(G)2@$wt>fafW=lm^DGz*EkP&W6lI6vxn_YGjLkSi6Jr~AF zvG|2p@jfS8{pDWT{Ut_J6hP_05dU_#fa{~-(3|$gbn?<3i`+o#Uy2`mdxTjLSRD6u zlMn&*e#_kx>u-2=Av^rqC-m8qUDw~1(cWG>YHp8D;BC=I#d*ZZhyEwU;f_Ymi3bhu zPQr9f|dq-))w*BxHBg?yi7mk;FqoIyYgps`+n2S-PMyNFR?E)|7DyaUQ4r4uN(6q z11*VSU9J2+v+3jeu?Dibb;eH#%Tt+#*9>EzmKPuwEm}~<-m1ynTjqRfu=ZS*KdU~J zUc#EWv)7yv3O>~PtnOhrc>C)$o4wS{hDXuy#Ztiz*Q}#rP+nT)6W+^UWav()>v>i2 z9&Nq{>xl^&g?#K+7cXaY9GTpMOV`so-T-6IOkFuhmoH|UqLo$@xbD*D^WgmopPw#` zf@BuB9};qABlx#s-F=%ndlfJv1qRWP_oz7cQ(@YI-!fD_{l+NWaAfW|rcpKc`$<>p z#@v^U)?GR33|2g&XEvnL`{{wZBEX0wNl1ZWFo9)!k{oBy3(kT7aWW zaq2zn-f0#$hW4U88|sGr`#W|na~(~BhxEPc=-R#{-pD7{t`l$ao7 z|8kNRPg(~^S@%?7*MH`JPcF?)`W|qAnd`3heRu4N!0281f#Op_^gtUK2)QrAN#R>L z);}d8{l#8-tT;*gCiHtri(FZT1AB?FslhofG)b{{k(l0guy0X#!brjHPhC7^X8u=O z6BQpT0sV&&nZfvlV{_6o|2-!>iIDCpJ0$ykdxbJZpTLOZin@#mY7_RbuFtz?#zB+! zpc{)fr%fD?O=;?ZyD8U0)o@tfPCa?e+Q>Z>6aVu^Eqnq(ej}rEe4&!ANGLeTC)yP( zMQnUS(Rgv|{eD~^WkKl|r$6tylFh5QyVB%E)+-k+`Cx3Hf75!K&*J*CLN9b9?UozQ zOoII9v#1Z%%(h(?Vu(jZzaQP%EK@z-aKZMI-si97%>m@;^_%N?>N2kY>YFCd1@8wI z^tEmr!(9Y;%ykC?WXHDvmc0$$ZcT{CkU}ABsQS<9XFd`d{E86yGtZrOEfH<}fBx0J zYRZuku6D0?x?q9J-S-UAUP~`uB%N#|U%1Q~1KleH4c!)^c8#<#XYT;NwHtKsowKjv zHD`=>u0ZXH-??@xktSD#3zP_ErB54LUq79$g?xH^aYcEIhCCzhN&8^D7~NiV2-m@! z9{F{NB)0*y-}S0< z$mnd#-$pHIqt~8uU;+-?yn;=eemo7+;|JR+HO?1RU~89-AM1tFJ(7JF&1qAbYk@gi ziP^ibCV&wd*EegV2!BEt%RK)4^B0@V3=@Pgng)%A` zSutK8fst?uKvc>m2jM+8Uo|066Hxy)<4WN-jXBVT+E*9mm`~(AY!NUb@zx2~mwub2QM>m;FSmM6u6oaR8P{Xy{rFBG zhR_+(Z;5LZ_#k1XiNpjzk#3B!jqnns4_!T34Y;O@;(sk~g_P1X7!`4C11t4gZhk>rm#FN#ViWra;#txTdgOO^`eQe)i$)75RE^p!u z|53NKP8YsaeM0YR>ZUy+Z$|^bn{C$$c)@J*h40qIgZ(eqv?q^Qm%iOOiO22c@}V24 zN_!gxdy%Yn%_#NAm^+R)-2dV%TK^VReQ{xWE#3S8?j;-cFo-t%J=P0SAI*tNdN_ScNZgY3s(=-VsYn|&2 zzWDE*U@*ot;?|V*(ar$FOXy5*upULu7GB44>#uKE+xq9b`B2^Udls3d%eNh1>)>+Q z#_P~v{!hQlkE$Cu1E9)ZGB`C-ZcKuoD%&z>( z*Zgjml&91;yk_}x{*4>vjZ15^M|S0UTOaW=fcf;}TZ0jxh}IUxm1p!BySH)U=>yq| z{8D!FMV3h4sT)mOu=&FP;zn;9PlhNjH}*>>$=Q2}K(_1T_I0f}sO~(#cWrQ1!-{)C zuERhNuPq2AFv&s=0^ugYD(Yc;iC^R&pM{BxREAAE4YQBHgTYo3V|PaZ$S6>kQZ3C3mKuc18PhrGc9!S}T5h`M~=Kdd)RpbZz;-Iq`c> zaX|W+FUOGIdIEP#9=j-Bza%5OP_hmhaEB*WNVIt%ED>M_&w=&Y$iO0>s!vnu?PJq< za#5Ut+GUH#ck_Ag#Ve86AuC#!qI_0XR!y!x$h@n88uH&)f)=(@R#amxU*^g+Jxrg1 zL`&ur_S=(%(V8WH54?uX`nD7~`V@udx+k=-8b4~khg;;@elNvgN}(lF0hT|gf$EYT zR%M*~J@S8Eh=lLR=cBZcAq9yf$xq&=N+;M@z(D@~DaZ5(j&izE>7>1!zA!aP zk=vdyUefW3uuiV$lgQsalLwv;UM{!Q z_<`R1$@dYs`MU>ar~AU%uQ<>`jqURnk|Ho|z58B{f6#zkKiS$#2)PopG4r9tSQ!;g zLOU!4xZmC$N!~8wfU4C!Gc0k=?|eL+Cfht&c=IlD za)0ICzlxMloEdI3#z<#&`l1)A$Y;Hc;5(RO_-;uo9(|4DsOgGl0n&UwV!SDI zMX&Bhu$SaA(y+g~6JBXN<~~|VO4-lreu(xZz2&g`ni%-cqjN!@3hJxF_kv^6BPh{o zVD$}0_>ZSomzCY43BNB);AS>Ne=JdC<;4}n(5vB<$#gFGs!zS0*YdT2;R{s2{Ivn% zXZ1daR_1Z}*X!=H#E-SN-34>hOW3$C-%NfHQw@j(=U;v;I(7eeU;7P$mfmAl-qy9`uDpp*hf!p|DzV$BhA=e=p$&Oo<${qMjCqw#IG zy^El`TCStA?<)~6(0H~sqQQhlbMrYC$9=mS_m$I`?8Fq0+YidG$8Y;$Oba^9QDi-a z33Y!|Gi)~Klw`yUqi~I4~#d3bnB>l`tfM zC+(l9Wr>q>d;Ak|r6f(?H5AV1dUm_neCdZ((>NyG&jd%;XSX4Eo34P1UdLWfL(hm! zJ?VFV`w3l^05fit%R~8z6MG8>jCo8i)I|r`J}; z;DZk~kFK$pQC!q-UzPn~L^md2LzKv`#};})fs>`kh3jW|NX*9X9CBxmLJ+$ROd^n( zwKK8#GrRya-XFWjpjLKYpaNo6pdfqZtRNNK;b=jME?^uWL6mF|V_Bk9aK!U6eFZSB zv8tUQ?(5`W+S19dGO~l5+*vw7K}#@D%0HF8paU8U|@KI zV}d;3lDR?NYeR1Temj?cB@5^s+R0;@Am(c(=)`y1hter3*ucn9+EmayC>qgWmq-?G z#3)#LA8=E!tm7aiH#nN$H4O|<$M`p4m;)B#q^&1IKctb>`b`5CX;c`A+0pKEXD8sKIV6;CE=6m%L|l1&+9Rgp3~k&0MjgV8-B2Xa1w~*& z9S&A%p!#G?oVW6mEdx~#t@#o7!$-s_6U%Rv&ktevLz=-Jex&ub`a2Q2OQDDnUn>s_ z7hesK5)R!PzbZbiZ+dz|ktiG;?;>nZM(rosFM3Ox;B1UZ*X-@TpyxUhkToWM5E{DD zPfH~Q9lgN_gjsyRkUI4cjY5&XM-su1Lq}l+nStHCatkJ{h!|@oost)95fc(l158h- zQ>e%Xiq}Mo-XI?ZVtB}nmtMuH3W_WWxJg4m@QbAZL3y^Ho>e>ALRbv;d=m`oMh2s=1g%> z^DnQ(*GmdR;{4%}X=Ao5{$zH+RPImb8cIW$@J;f}5G!ujvOO=wrX_H+6gR^{Y83uI zsf=+0fv6FYVXoDuwCaB!_G(9;{^fW{6^Ioc%AcSggS&j5{=+r@jKvH>Xa|G-- z8o)M`RSb$o4?5H;B>JF!tP==0 zW9CX>Sbjzf>afu=QXo{`#mLNtXsPzTy7MM8>t^)MFLe4z+*Q~uEdDb7%}ZK&@(iPJ znZ}uhgYb<#ZIsyH;ijRHTW`$*9{n;5Yoy2@5E`J;ut3%wt4vVK$8cQ@H(BflHS`T#>a+~9e#LQz)#)R%v>;V@a+P(UwHn($9tMpfEe}sAgE8b9*a$BzF6vuQdePNcmOZV$FYfQpj;>B6Iq= zDUsq73U~Kn4tcmb4!DaDFQNuUuzWm!;AD*#7g_)&*t}Mku-UK$^C{(aOq2UQe^aVJ zrKc21DRU9yIz@ZRohv81;fU(|YE7rK9$QW?`$dhK6TnfX@?-2NGvb$}ezJ{l*)F;b zNZD>b>?!;rL2gpGLTBVsP%Op5fLekxSvhQmA03tWzGzx2;#7Yj8hIhJ)4f6-rTLrv zw>gN<8>=JU-GDXlH?~FOs6J~q4Z%eCdw@a4e|(^DxFzglf~}7}838)BXoqb#7T=ge zqtF|R&VCgGLbYfgHVbj5sOptw7zZNPo{|l=Cj}U$+5x0^Y1QHmtRLa2V8#aw|FXQd zr>1nf#;B(}{#KCAPAfNhf^$_a`JofcXL+&4&ze9?p)|g(Z;n|PxGXcE<}!4!Qm}-i z%Qb9xTejMV12H~$WzW1*^M_N0rvv|zZ;09)A{1&|Le-TzyzvMh316mgzpF&s4PPSi zH@?hbAuoZOAy-w1@bst30@x=o~37jgL2@L~mD zNF3O{$`Jw*-ist75}wFD2_J;S!Gb1v=9t(o7kwbU2`mPWUV%BGOFpqS7d~su{IG}_ zjQ~C`t1pt!D9a3<2`VPIQpvNl9xB9(Wc))c>Y(wC)BZy-%t5LjwT@RdGUOcP+r*-^ zI#Gbb;e;T%VEFYgP7u|H6Vx8HP|P71nrn%H7w9SfK_Yo%QgkF99PVG}jNtMw$%ol( zKgQdkFIgA~!ZRz;xp{8MKL|mQd;9X%(z?h6_`sP^VNw~+s09h*gHzrlpmYk-e3rB= zQcx7x5l9d>E|PV#0zdjO)W9&R{a9sa$ttlIwJKs&fyS|2@PaiPbCbI=`WdLmh(((S z0L2Y^!WTw*hH+E0QGKAnYYL36T_34g@K%6NRM-l_V3fqDbHeD^R5-i)!*J zf+?a;APnIHFx!W&?duF5p$Nmcfn9;0nc}XSiH@o9Pk)C$E*WRA_3HXoA?`PtC-1QL zn{&R@@S%y^orIXHRgREt zw}aM+)-FdDgl=&X4+Diel)+#)50Jy+^GKvrgo(xJp&`H|QiS&^qe<-cnkQ&!lc9$o zR$%&|aQbv5EuA z+-S_fq3iG);KvK1*j`{v5a*V-EaqWjY+^aOoNkfU{{>4h)wF;XgG^Al*``4rT@J#^wj4<&zd!-$HTp~pb7eCN+U6=q3r_YE@> z*vI3htu>f&*CN+2`k|@YM=Nisr#>M{je3bXo9GjCCjW;=7z*2E-4(s|{s_ z&GvR(So8Vt5C-50{ZyoPJg|sb^K?hYj_Pek0PXbGq7L5fsWwj1^Gp|6i|>YmZnxL6 z4c%&?do|bPe9YH&fq{3cWFWD*vuG6(w;jv6D(AQ?)j&EildLKV@{vJq$I*?$G)8Kg6mTBU z0xmiA!$Y^iF3J!rcWVf283E4k_AnEGZVOlO5ooi^WDSvM3+aDldciTfE_6X_cn%

    b0IC6&1s8cMqR7eILL5%k!}PI&qTn28GoaQ^qLJrx zHA^&7H`x*{Jjionw$pb44;~Gi_W;&ZX8m@<}Nprez=OI5vPq* zPaKqayxB7T@G$K2i=agW@=}4D2E~%7Vz<;)s>-ue7PZY`OJ+2ZlIjvOYAk6yedvu~ zBXG@xB|1l7x6CG`*gR{D2_1&cS!|SPgnQ8OTXN*IBcEshp*%?QW-}}qm+40<43t+c znG_-ZVw_MiE{Qi%#?+fl1Cp}fR*tg~Yw7T`b)ZqvGwA5{-Z>b{P+JAK>UN6N6e=^U zhjwb(jMDaGZE4#@yK=CW(Kf7+i(RWl4Rh$;nerze<-Yu6JFHn5B6d#T z!J{8_wc4Sb3s1-AH|$K~o}Gp{!&?f~f#TBS#gr$9qJv9(@tyB_n$P%OPTD3XGVG<2 zXK2;QEjqC%d6*3K#cA)jCmLmnJFj$ESgJE~( zN)26+o6UAG$lEszb>E@~65KkFbT{Duy@3U*xSRcc+Ul>g4e|>DVmK~vXd!>_>~EN8 zcHh(1gPVU|Xt>tAacVy>ZCx=Bn_Y8LFfijQefN7d*M-*UTsLk#RE8`r?e-y(yfi4b zPN3wXUCTt=CGGwJG)y~fP0emoQwu2RMYCM`UM8@|7HlS0ww!F|P92QPIbGDtLg$P) z%zbaQUCidR>4VS}-H7w`2fstjsTUZ2;A4e0+Q9bSRw!j6VVTIem{6LILLmgq-Bs3@ z^Xvv}EJ0U&Bpquhwn7DS+%-cer029FpNnnUN~(?IWWmz!V71VYXbzy|9vh&(W^u|tH2V}CcUj>^T1lMYLa;>YD z>-kLJ`7Bs^sB^d)GNbL@a>XiXcat_qAop3+3zkG{PtUhE#%5& zn?Y1271&cIk^+(FeL*(91qI6X_IC=jb7>ATB~Of;z^Hya)fHvAR2%HU4;7X$5d2;) zNIYM#a=sK#p|{v@r>cZXJN<__nw>#kaQ7-IDcm5Jtz<1A?aUu6RofSGvVz$iDYkZi zA|)4&lhHl${g0l}`f00mt%yNk`x0ypvoEv0(9{J;HNc+@JTAEzW+9f*nK`09VLckNSaJb+DCum4$pgDNWF5Rw$YCr_xB7rOBeB ztzci$1)P$aBW#s042Tfh;@P)j_V1>xVBN(nrjUADVZ|)y?4eIvtIclRTa9nUvKjo3 z3h%(ZLrhy;@gw`tZr3veOQN^M^T(5q~+WG&@z1rmGj2eJ-HAwH3YU8iVYVMiM19j3=y`q7qW^2+zj8 zk1tXx^V3CpqGD{U3FjvHid5GUSBU-(f{Kpmb*`wJwnK^EiEDS)nEF1xUTOVRuxB^x z#YmaH=}+|4o1O5Shtz%>`Bbim`lqc%Ct`MztWJ5)w3;|Qwzk@rFgkz0)RK#qBt|HY zv%-B7bk^IXWNMn7T4H(u_q5foT+zAlb-wLKxf^m7N{0?t`o@GfwA(XcJQ);QCs1Ph0y#%Nn@)Wqqf`c}KP;fcL9HhNK4L8Znm0oI^rP z3kd0nDrE++v2Kjq3v7-MfnrIfku4^G2`v*jvqZE&AY{mCN4^wvC0EXDEsKAdjQmYd z(gkLvK#owx)S8_H#IgJYRJm#EH=y^?i=EzH$mlcyTEwyF7T%u%B%!Pqprs}l*V&T@ zH>~liA0430Mum#8uha_40Z{%~Ns|J;2YtTb4lIRm(^e$Rm&KXu#nae&+w@FV>giKb ztZ${2Qc{U+L*o3iZ9E+~T2<_afqg>;EYtgFlo=HjN!(Y0QuP#Zoj{zaU`|k%7<^{S zCb`2nvhyi{2DaBbW>!mtHiSBcE*H5rO&#bq=)hFZq9Adr0b7G_Lkk#i1JOqK{nnYt zy_96zWN^j%e09B^X&0^FsI1gH-)=U0Y&hexc!+pRMTY)6GP4{lOrTxq$_ksYT{clW zZ>m?5luZ&-9@iWAH*ku@^Yr|%)w&ZJCwjl!SS3-&@+T#+2~vo$=Gb!kud?cS=r$5J z8jfsB1n2t3NuM#H&YCr(`zHVSiPn=yyn?YYTxd7}1aAUKm?oG+uS`(;NexOumrhWvzUmrbTlH1znuz6HdhLxDnT4L2U68B$ zMn?0vJN=K*;ViXjndpmCOQBONPj0!-2$tyQ2Vtw#is?OLbnR=gE1RYtY^I#aFy@1o zrl@Sbh7X@K8N>{)aP?h2Z13%}LHk z-et11f(3`u#Y;xwFmTFO$B&_g$beO17{{Ii-@=_Hurs~DY~f7ZxTQZ9l5>%Y?li`p zgDSElG2>Yu9{+~X4+hb~2rMJYHG)Okah{PY<5{CnJyHBeTVI!%Q?3Zi z!eb$p$US`2M5ANYPI%&!`-*J|n?b;AXzqaf&xR~aN05$uwQT9jXq#L$mVp3e8U_+$ zj-x}sO@+CU;5r-5m~f0f?eyzepCw?|%#N5WF<2fWgK2BeTx{mi5w`<8t2v>o(3PIF z8>!*2G@+REWAxg?-3qMGU}0D>>=`pq$Yv;U@-p^!t7ZIwFla3Mg-gte86?8^e&;M6 zn64ndPTVoG?MRjClMt(8$?mAdgtKP9@7!6ZtRj?>wbGhqr6Jh0U`0`rD8{x~MglXN z5ExmfK*4xKTmz`#jNO=F1J8LR`PU~>1Bw)@%niz;>kZd8c@Q4bbG%$CWho-zJ-?)S zy&$j0gzJ**K8_3!p=`Ef%rj2*wpbw(QX$2k3l`ua_>1x*3A6HcZxqdPDj42ieCcAD|!gP-weAN=(T|F;S0BZ7Z~_ zm$GCc7#|an1U*g?IK~cTFbz>lxGLI0LMj~ji*{##vy0X!t&LYTVhUbMg>4Y(;aFS{ zbW#OKLLdb^vLz!4uV=MoiAqdfiY(*aDT9)%B<$7*lbD&{D_J8Z@%i3({^N5^`?zSD z+L^IU?9DXaqh>pD=bw@ve(r z6&ksvnj2<1L8+b_r8It=8JT}rFknMnOWN1&5lnO*gMXiY8#>?>YE-nSr>ay3@w8RV z|57GPzrS+EjBhMcN*FD**l(dL67XT;0WHj5=@dtctr?D6`t18}i=$f|iz6GFVlyni zW#Vh-iXQq!7#Us?$)~^9n9COD``bPITI5~3XTq#m74kR2tIURuj~MfI+{m%yjO!;h zs}r*zxUb3yxw2(jfM?bcOIbF@q^~5d67ZIbV-m%MB+&)V!4@LedoRjHvCqE;UU0th zH0~7&?=;OI9FOBpypo&-#F_o3bwd5{#rVY=P`5HHs!yR&-1S{aOi8K%oFuLYLOMO$ zMYTF$DVcEAa1>_RK%q>?L{({3BF!)fwh7_!kDy4Lr9E_!37&kBQGNIy1m@A(gA-Qn z-p!eHf)s9owYSX$=GMD>G|tAHWwRvYBMF2Mn{|0imR8sSM^P6Gy^Tq#-v6Nb0RHxk zMz@|33Ez&3&eBXj?4gdMom(r+x_}IfQ8oj&z1JY@#*( zHg85ReeGeAYB!JdIeP7xf5uVHPh-UN*bi(3XTq@8AJ2$6{h;vIGK%2mq&-Yq)sd(l zzi8xP_S5~e^Na)uH;B$SKA~YN2(9;Sh{5s6pMin##4-Dml@P zSKTwKRP6jG;P-W~B4tZ{lIrk?uz%rgg{*k879v6WA?mBld#_w*dDuBtJMD6~X7#=M1ueE{SMA&YL5 zJAe<3Pj}g;=aJLWMZz-yqPrfff<6UG zJMvEat`V>`_%eEQY|Di2M-x`FXh#1#rkwhwMK$k>t0yC%nRH_kH!*6at&L(8MDIj( z*elqzz`62)zOKCpP4*mrICqT3`WPO?CDYcixfV~DpQ_Mf%(b{PKAypMT#t#T>s9GV zErIzNARj>6YpsV$Il#*$|X0aL8OB#pCJ!_IG0TXdRqDq+oq#9DftycwR`xS!6SJxTy zCm%6=ef$zL-p1onyK#5ktT+`dJ9&cB)@RDoR#!WzS!zkclq*|_#TC89s@FA!qC^)2 zcGq6KyO3*P`b^)-+fOXn>u0VEuj8$Wja%Z{q1nr^DK<`9`<4l^lwvjx9>z+6JB_&T zlC2H|gi?PiWaAZbBeC%sy>(WOW65 z5X%qww6)!{iRG+zq}f(VK%32=m2xGSQQXmODId$lP6*i)HVT!q-ENlifmMSK*&iZw zD2aG;8sXfV0J>(DfF*I@>O^irT7b8#7VPq+R3HHA_O(0rl*;|cQ7uagp{hl^u|@~xP=}Cet=42Hn^a$7Gc-6IbAbFfLAbS` z7P+DrD>b$`aP^)@B*z}w|2>FsRF+Iw;^Rso-<-A0WKg3M9jnz(v$4>S<1pMZ590gp zmZ$D)-j3w6<(!25aBj?L>vEY$OtVwAT1cwV6KoRQVvmP$u4nSEj-SBg1t%&>8d;pF zyXaUHA{DJ>NU;!mciWMf1e7K4_Hs8U5_;j7yM`5L<*bxZd5q0x>vLn0X7L;j2Vt6^ z*g{-ji%(mtIu7z@Kp@RHMRD0PBqnL59;BYsNXf`ars0f@(3Km_P5#Fg9cf8<`zXX^ z(}D(YgKeM8?a?Bzl098ooTC}@tElo2Gs1mCRU|4J*naho?^4{`N5lO}a8%^~T1P?N zh0?qa`j#f9ty2$tJ8YuBhc#_=*4$m{cDp?5uxc^~HXI11t>I(Wgk}>x(q?wrO3tBq zDkqR+9tf;CXEoF##h-jU%P-?&w4`6dY@|awPc&wa@KoHtYv=gn4%A_@7P~f3@`^*2NQr%(@j^Khbnanu{l&q`6JcKM0CzIg{) z;IoSxMd<_NLsu|=~|!zON)m6 zkcC284%O0l#i`Rp91t}QjEO;Mjm6PHmCM<#CgDquu^s=BffPGMqGrJ&z1kPB5f*_Z zV3DPVlB(-GSI5Nd2b53IF%UUSm~N7gv5b=W6CiYVB$fl4A$k&6*qRG~_j34I11=J- znwrkzhRaD@WW*Narp&TE31#97z>w)iSOzt1aV(pS*<%exnfH2u;=M55IMFRULl^TT zEzOm#h>KLz2#=yxEo(I}GcIm6X3v=IO$Y&)i)Hhk(UOx5I$T?Y+843$o|51gKNa*E zR*~Xf^gVObGcH+B6au%jVCLd z0lQEn>tz%vADKsH2L00s7$2LrD@$+d-Jy;e*zl_ab72CjkUeWQ7o2>VbF!9#t)R1T z7vT>MS!V+(>nZU9)-opCBh%XJ5Y8H&MjwSHUV*)s-`~~P3F+LP* z1my`2@D9X*NF?xl$jzR{q+&kO4tkMiJPlTz!S01#Ce`2w^lAb3gOs+D%MLKxJ{bEj zizgs_a^tF|)@(x9qfaFxIhP#^*&5+w~1;|#LPXO1WO0FJ?J~eq?E!M+&>5_={bPs#_T<= zq{=-{M+b5ITmvJ5vn0#JApknH6T*(I6*gT92k!)QlAJzO)hcXBO&GHA;o2W5X^4rn z=xi7Z981vJ=QxQdNG~KVZ%cX(ACcDPM0J(3nW(tCr7UO$HxX`0@d2eGMpZUiL}M~L zaABG%(zZuLBOJ4|>szm7BKAJjz(6V0FaF7zGcx%38Gt= zS!@TM)VMzZ1!Mo1vytz@5Z7P^B~e-%a>w7o=ug_sK4OORy0SHUm+EsRK19xg;{s9wAY7=0MOqcEB= z<1>5+dxrr}3HDxxO8bCBZgF9WIv(JL@;gfCN<`1>%~le!*8?zgtbL0~T5`=qBCZla z(rNG)Q)C|gbz|(;E;pl}I3c~>J>ZM}erW9XU(qwae^F@JLIs->a-$4pnqUci)$Y}Z zAek~|hb4b3Ig;*9m?6t#kr*;J5ZeVTnR2?2U-*}@FnS7!Q}gp?WeocLxWPNZ*B)Q; zBHU})2#h`T7r1Lu_Us%~eeET95}Ukhmt(nPXpY;LBfyz%nf9xoZ_nYLd(ks;Dt8Q! zWr7@?Ag79M0W5bV!m~fqk96xbq1parkiYbw!v5};`$m^uhh`0g#YUm^4JMjlWL|Z- z={@~8*3OWz(QMkZvPN8=D2XgtIzj)jVw@m0{{k$Pd$|dAcQI{z_?9_iesa!kK6`50 z=`@d`T(-(*FjujUfb)wD=RxKJ-zXF#lFTYX>*0Q1YdvY!^Bdj@j``QE7XD zdFRs~hQiThX1H}@v~2qM-b1??`*;xa$8EQJ~;*y)eXc!ij)z#S09hWTL(Ao{&AQUPvK5Mx~@goFcaZ5?0> z7)hmY5sE>FHE)_n2w*Dx+b2T{YhDJ2E`})INR=)5`L6Qifmd$ zFkj(FflUkfhAivKErRCdaPH?ECnkHi!6Jpzv$a+sd$YIH8?=?!^BvM2#x^IaGJc){ zR`9U(=mK_+x$Y0s)@a0A6tnp0`dKrq3A{}76;g`45U1R=z|&Q9ciS*+dsQ5@IH{#% z397fESl@s2OkkJ7;K?7JM+5v#i0VtU|+D-H_QB7!aGsZ{9d0fg9X!8i)pK3+KO`$Gte^ZVNL?DZrMvr4$V2-JlCtD z4`QynlBL89-48U3Cq&rMxL`A#E18U}62)fX^IMqC670jlQ`WJNtqts^ zU$W!lq%U;^fBSzm{n5uD8qC`hUqAf@EStrx5>8u}F>N)NwwhD-Bd}Ss-m`P4^}wRH zdT(Tew!zXn{tc0{ob^QS4~@O!djIMesr4}SMaY&iVOA#6LW1K_abiEB2H|K8)qx}#VZrU~+z0TT~;dXHUNM!WZ3NZy&JA6E_ z$FP{s2ezHPm80g;mzRU{0KZ3K$QzBw4K z&41wP@~7UQ=z4RDQ&7EAG#~S3bFNFR9$Fl#U8%+@Yky>vP0A(v4Vswuok4{S^@} zE-=>&nzh`_dZ<(}8>mjJQ2JpyY=Si-sA5^P27qD;*qU@rZ;iM^*%{i5xjJl!-zL#l*{hubY-#X9kD3t2{)zd`m$cCi`F#FO`j+>BYN)F;*R*Z zQE+OlgiEoFWneIW^B*a#vK1f*)F34yV+LQ9_S&OUJE$rvE?KHT*a}=7ip{BK9x-Mc z36vFuu`E9EdFZl;-9l1Pa#!xW_<74YrwiJN3-CN2p!g4lsE_fIJIT;{j*0hR9Z!-= zj>=}{VKzx*k3HU{YOmI;NG4qlZH9Q@Bt9dm7WW{6uUuz(k6oh1{K#tqjF$RgaPpr_ z$~Bdp5vHvSUclyj;ULnR^FbC?rvmq}>&;u-wAHNPCcv#25&tw?!)tT*xR!TprmA)x z=x^hlIPg8-8^WX@Y##ii`|RGL1Xb;6!rGQ%gy^)8v6Cv+2^o1*Y$k3W!gNZ&6ilNV zmaY8*RKv{s&D;)35v~8avGy|<7Vpn2pSEsdsJI17df-y-FcI!j@~l76?LkTzj|w+a&~WE|i5m6rBEi`BWI`C(xU$Zy7uI5-{0-c+b9p zsGqz9E7I;XE@m8huwG;pM>%o@41R;dh!z-u4$n4~d}%3}InU6$=XGXf?_Xm+@Iz~6 zPTvIgH?QoS(0_B8D_>$=kkGh}5?tj`!;dop%F21}VGxG+@b#1P_q@aif39W)E{T4m zZ{~3~Vsl`RieCNFDmVGG^(GbsFDIAaVhwx3j`;ZtKK#lDaLZ_p*0n(Xrih2^a6uAe z({UUVwZzn=QIv!ng3Y`cgk&19*E5yEj(M$7yKT&aqgjmb6$Bx1#0waN!>u&FzL|OnK@TWcjKwtpsB_7R_~b*R?rKwVq0F1 z^^M&Bu&J*n@bZ5PT=uq9C{0j+jFMn-S5Z7|MTKK!S9O>YnZrNI#Gz*8eD21J`o!{ z+Er4$Q*aMZBG}&z!cV>LM5w)YDW)2x=E+D+ddZDdJLDPv#YrD0GiE` zBWt9>GqSXU1eQ!$T|?v-teD}E)yQ0bsp;MK&Nmpj4Q1ogR{OJ&>8-q?C&T-D(Ofwo z=dQyiV%)T~uL4bi@0dyw%u#eF>gC);z;^&ko~&najZEq#EL!G*Mqz2E9q=VmI=VWIxXg_|8cc<_LuO@kFR_RB>J;^3eQQ;A%X9-n86PMmo@8H z(nZ3_v~|L=hqIgCs@?r^*vD_(HiLj%E@iV!6P1qxyMji0pIL>k@bRlZw2q)$)$i+X z;Pfmk5=J|3Q^^;B0T@Zg_1Pv+PtVk4o37Ko;g@vvWTr1$YiJ)2@NxIwQ#Ym2y#YM@ z2~1nZ$ISO=#drydI49j>Z5OAlbLW86BWmmiTMchW>`_70Cd5!Cy)v^T&(%dS* zdZk(-Edo=HC=zzBKEsU)RfNi!BGf2GF3#~ynwh@9I-+4g^lU`39o zdj#h#69)!M4ZhUg(*}(hh9TI{Bi%^ufw9Xx<^dndpxRuNbJK5Q1oW`|HW;3y;2d|_ zaet*hh7h&lo}^g`ln?9(!=AWfvdbBEoo7Os=I9pV#RAra$p@it$HW4&ivwSCI^o({ zp@igV2Pa9keY@#xSbvyuUoeIdA=b8@J#@>%-Tf`F$F>?@k_m-{bCi zCUhL7OB?UushGY74dmRKnKidbUqfX@M`s?iQU8i)5sF6UD_8Yo@ufWp{>Inm09f_O zjKS_nMdP7=3G9ZCX9hZVuliuf=1eG`91KnGFaCe--UqyrtGW|BRVt~gW!Y5AvXQ~y zD_J&j+aV8Q7(=|~N|udq93n{DF$^Tn9%FlmXVWqN3`^ihmTf_tiR^`>lX1wyFl^&^ zvc16Y@sVt{FrCE9$1t5N5a;{YUBNnh{#a(VLkOQI31ol2d#mbIy;twmQ+ETNInt}T z_uO;OIrrTEf2s;A6pxSP)HQdL;|fQPfTBFlN4V>Le;;;L1a|c*Q&J~}@NV%_Uj*SA z2CP>kWZ_c&rrwMzn(y&fi+t%9L$pUeKv=BXUx0)^pM;QKvc5eq{}z~%Sd@eFB;II} z&6hN6@Z*V3gZs~4Ez!i4*gufg?a(?}K8X4YY3UNaRDSG&8=6A=VH` z5oS6|zEOvrjGaX>1u$)fFNMax-TQVUa`amwFY3&N@z-CruP|xFQj8RWvXcBlGkoY0 zCC2f+BJjn9q{F~4uw=@DR_SuA=paQS@clyttE=ZjdHb4+W1bj`y z?}#WK()Q1`e(YQnhrdx#gmenAcx1I1xXAPmNcYwb&bj?(uzvCRhOx~5Jy#fA+Ca1) zgAj5&gkLpn)a*K9sTqpFD&0dt5tb_?U;6XxP^foRr-H`4RHd90@S zvRvZ%_DF*7{#|H|8Csb#1h9#7C+`% z$Mlz>K_zM%pxGUf`@)K#lEdPqCK>p&=RUg$mpj|wFhNJu zwN=iS87QY6=}vVt#fP~^qTUVBs2rKMnlVo3K^GU|Y^Af*coyJ~0ptDGk`iOt8=|zA zsynbP1|c(sgEDyH0USkLo5)gN-4>iW-s= zxzatQSrS`7Yhb)piz&^l6lTt&_1_hlMed)&&)KgfGg&l>oyQDg%gv<>TLuS(fxWkM z&E;7wA#0uZYHkG&liLcSB!s4DR|0qCaXXVOqy#oY0cnDg;3m=&2&V%4nLJ6YyhR+y z45VeS$Z9#gDVD~U=9%FN4`Mk$>I@j5sc_HCy6PLOW`Tol%m9L_yN!M^kXESC8|@k* zg56irj8CoehrU3H%j#vOGiW;)y_DUy}yM?rt@Ky zd$NDpIxWq|^o@c6zON|9`9#3kp&MO8>@>Q)8GT74;kVEjwkHg>bbUddJU%!FoHCo?egmZoE|r3Qa_UIEErW(q zl7O(HIKYBC%$aQdCyegDeTz$|-MLESvGcZEPp2RdCdC||6S)anSI#)md$1<-dXQJd z;_R~8N@Q^osd~c8!M7B#A$}R zQ;LW|V8LphfrY|{kYYg2L4pruY>xhanzYZkd!3}?y<%0Xk>KkkkXbM(#iL7t%i$>5AnetBqhZj`;D!>+m8rs~E++Wx~ZVZwzeb=gtc|AO8mg>>%J{*T-`CSJ8^J zvKeJHUl@y;jnr5gSii3@WE|XjrP2cfCKC_7!^TJc(}bjHCe|_; z{L7J>Hx7`Z5o$H7Evu-54bWo_mBao7ONxz|{-}B<7W!{Qhtpxxh-Rh+9$NA%+%-X6 z6z!9aX_y??H-lkJr*-+**6l#r*X&!465lIS4yHRFtEF{>Rx#|QLW z=X(5gUe25}!u0nDL*dD!?6s$15R42aI#>%uDJyEj=O_#uRVXsbRPp^j)}m1Xxyl7gPpQ_Vl<}$IL8J>t^vifqh=4qY8Ym zvR&JRR_U62jYl}^8Q2_ck!Qx_KCwkWfpRNrW=ff(7C!)sfT1G?eU7Z#+%xRHcUHoU z)KS>PpfGSfN&NPTGm^5mbqYbTQv)X6*4`+4Hxx3q#-T}b`K52owGJQl2MH^H@(3M_ti1&>W0cwa5Ws7UJw zC+}?#IGh~^iex||Eb$H-G=oJCKtkGc!<;SY%w?{4*sTq$31gyLhLm<5P<*1oYqw;?l1L_N>l(frueOdS&bbwSs-@eDl)IXs8 zU=W08p0+||We5wf!Otm<`%o~Qef}5*8w#6|<{Vr~xPBX)jVXEiaNxIIDAtko8-xt9 z4j>Nz6l|V$c4>| zk-}?!A&}&&L09Y|<^R2Wao#G3=^rF>mRX#T?k%@0c8t6a#(vb(skU0-H3$murhm00;Q)< z>>9BZu~ibZKW#^X1(!oNTcOyGcl8r@ypNm71R|mBly&0fbZPG#;_nu7FHVF zb?9XjvE@finK511Z@X?e8b%X(C2H#lL9VN!2JGDL3cv~R85D^npaJ?w_F?z}pfUa6 z)OoXu;(b%ehg;GzK=4;v64}5t0cKLjGFLRk@~aNr&8t<&Zm%-b0j=N32WfrkdYXc; z}46f=Dzbi12qBDV@MJTSPK3fMSp-IaLv_bu+LdR^@>%qt= z+*}>&u`{jGgCboIAm1YVY2b>7ByAtbc(SY(O@R>twjDAN>+Z1l34_6@$8P zW#QrvqvbJKhGX}jv^w-$Y%?wn3$TWqFidlupaQE}@z#1e1y+$)$z@DW2K?3|p{o`3 zjb#DGUO~C0?tRBOFdHq$VEh_znG@L5FK$@8TrNA~sm738AtvF#wnh8o(9Rw8cdbX4 zu+X1Lr~uRjSa;1*8n!Xofm~CP?ZS6);nrdc>Bx)aE}~XR>vX8YRbrJ04AS=6BGqjs z*Y_aIVfUm^g}TpkT8tv3*zXZ65oS$;ku4m1v4Pl}yj!Sp%qhJW za&oTt^b3tbyk*;eV$hb96&0ua%5M)mF<$+#Xs(fAks0(Jlhd1>_x76f2!;S19=y5% zgGes>%hA(&dLK+%Z=cIq47=}OgJ|QC4UsqCgu?oQCq+Idb}8w|6MJP`e+#E4&M68S zioiMD^w@sh^ZlrP%ub147FtT>b!h7k;0)s2hsG|DvqbyjkBW(->9yclad?u`R)Kk` zF?F<*-L(LH1Hp2)wZ#n8i=#j`-{UBcMmRk97iY=ZJ_ZnlVkhk<;l&~0+xPy`x{*ik z3T6HqkeP{93lf>e7h?<^P4E|&<6CC`PDSxnC%N!`$K^UMUwsV99Q-!KrhCNPdZy{V zlIij5WNC?fnKq{~J=DygxV7kM0&{%5P*|*|c3W0+bO&dksrY8JEYSmBa0|6O48fl$u6{CpQjgGmW@I8^#6q~|#9 z+vRi-adM#LX{%$^YxE$VG{{}_HtiQ_Z{X;{!z+FUjo|sH6jO62&PC4fcCN}brCy^N z()CqjBKPtr+i+~=o`RfTk8w+bc{DA8N)D{qj{-Ikv*@yopXbYB;67!UvHj4~i}w;} zFQV3OYq8?czPcMD_@;y_)8#T%A8#;r$pv|qgQ*%q(k$Fm=yKy%kQI-u_)J@jN2nx~ zxrM`yArMQ*`%+JXD>p(b(5m2{1u`F9&+D=719k2a) z+@ndCwCutvLD}2qUIoSt!<$Xl7qY=FccDK}0(1 zg}`6dq0r3re|^(-QdfX28h1bpu>n1FNT|F*4g)w9H=*S?$)^_dIjIE+G_$!uib%aA zh*=nud>i2+P-F^pd1bNs@V1d%8yzKx93k}QUZ*k=62jMs**u`oaw<}}$slx$r0aqv zvsY{iWCNIBb`jlZHg!rl?%;) zZ-TSI3#qCoj5ysKP!>)RvxfC0ol=oo=)-S_*a|mrhcSVgG>NQX-VcGqH9!i@NK)aI z$2k{{9eU8;%jI-50><$qOld4G;AA=CUCVo3lFpfRZx_R1Cs$6I`22UgGOpsU9RhTRQE^Xw% z^~@b&5-e*f(@LQZGgxdT`OcW3!8cb_W{*g0b=V2*Fagei=G&N6x~)?{wpGrlD!cX2F3avhW&#x-;_0@}HhFJPS~Fwwip9F;Rl`xGrBJh5|G*B&hI$f^$xrYE(y9MK$=GLL!Rq4N*W3 za5ia(V;88INvd+&vPptb;F3t#od9eq((%h6W})q@XhJUgFu?P7)~`{C(RTw;I)sVw zoGj9&aHdYE$L;pIS8SBJNY*V)?l%4~dNVArHY}8dITv6pnxGocP}WfhZ8xZztq$*5 zbV+Jl>Tw4nn9e=n!g6ZFh8+ymtPk{As_=lw!6<{ujC9~FE4g*y0df-y5!`{ggo8O# zM>HCa11=WSHE~m=(IWlus8(1bksh;B$SCqH(O04(U%H9sCz$1YHwd6=CcREf{+y}{ z9(2(c-YxRfnK*HLx|s0?{kXeD>N{f%KM@*#%H=lX`oaq`86UiA5VsNWVG^AS#sgt~ zN$@@pBzWO!BVYFE8%R7Xz?x$bmRdu6pB7(l7)DtN(~`Sz`U6CUe(D3=iu@pMAdt#3 zlvSejCzdyJbr#(cPYey~-dwVRS2~*4uY>fj_lg1;QEP*r6T5n0#*K)P`SuKhHm87#Jv{9H5p%4sXfhn9_gr4U0lgFzAG zhM$HsnPzlqVSf53Z6qkv!l#erDZVF1RR6=CMLGKKMGRTwNlNj8qIQTk3?yGQVrSnu zE*6-{KCv#N8F3hV^kS5Z*W-!GeOJMX7djzZGl`Lj?@o$&^Z6Jgqkvq8C)+LAKuB`z z8&Hj&LgidDOYA7HYWMinNSBotUGL)Ld68r(QTgKkfT{CscpG?M+6-e<#O5R$49PXq zpTI(Y{Ilqv-+vDA&0tBA^HO>S!q~u&{s0Xpkks~h%UpsB{xeRB?mWNKL&k!9>^`WD zEK&I>gg)u2at|4(nqlXy`}W%FgZ1DVqy>lb2=TeYifqDaW+-z) zuBrQ=C7LUaDo_UT^OSV-g7zW?_%%=yu$SI1wjhC_0|Os(73x%ht3W3MlWq~e%i)?8 z)Ae*+^Kf#!(}pr91~^q|U@CiJv4_U8w9LqWs!Ef&hR8)qWwj@A-dMrpt9T=pWhdAF z$cFYUQ1r0Lyx=~eUT6pN$i)o34jm*?9`A}|69E|>H>5|qz7ukx(cknlDIeV;&AH?* z!}%at?)px|g(QTEWt)E9C&KMy;>^NksT)3ki?W=S(@)OKcK4Flz*uKs15AH`X16sQ zl)(!Y(^iA5a#S0EPslM5bjJUFPGD%jLWH3T9=t8vG5wH;J_6I+hyoCBws8zc z19PysgJZ4+up)2USep*dupy?co$$B);MrABt5+BPZLnr&I5a7>Z6yT7QNw~(0t+>n6_HvFYRgY zbM?(}L&i74;crE9bNXwcVA?wQ96s3tz_fL7>s!dg$M?kY>gQuV`(m+fw*2Ir7a}xs zS7mspnPy3Vb2w}pSUFeN@dACu!3SIj#N1yz_7TxDFprqHa?7P{px7R6)NGk5eCM4u zdE)CvIBgvXlvKT%xtO$OMMZ}le&Lt0WZIDE|9F;>C-A`xfG(bmSFkL=l7GLqTT0wP zz>ViY2p*ENd*2OP-iRT5MeNZ_tnV+$W-u6esGS(|vEPya(^i?q$yC7hA}&+^3?tAd zU{d!QnAsq-K!z0|dFmr5Yw|oMStBfC+FDVV_R2WeB0xU=b(Gkb?sZRyV%!6RkaI4! zFOS(#waX-?bN)H_*yTZ4di*aOp3#ScWpE{xL{Q|30F#u#x6$ympN(t;Y@LnvcS*&* zd(7ptCpnJFWbVUo>S7G^_yF7Zqwd{yK9twV_Dj79x~KuJRn*Ci11K}s@HpH5<@66H zwqe1Gqc!_&7%D~9a@tC$O`cXl5Mu=BxIF0+y5i)$T41f*+qqnC%bxuy&?7ovFpHz# z>^u@1{L2wz{qV@njoT>w(8{Him-qDuVoWR#4(*9k>+Jv=-W=$vlNCw3 zpu*sI-guvm-jfv38yxsfwiY?b0$W>Nfb_+Egi%7hKyUzeLs9#*p5(`Xos~!-HhRC< zP24k>Qju!~7ry;bgEh{8Y!;T?5a3bCAAcb(s9+-VhY1 zOr(NLf%#q7BCeK&ezbJ5JHcUj zypeBaJgzvg_k!x`n9G|Hv@r6yci~jj!{MA9JYB3DjY8@VOITUl6NdZmlNpQk5KLR$ z7`R{wxhDnf30oK6NQ5yWKz-=rR|l;R>`qp<^rd4sFZm1LbOlycR_X6V4O~Q0S@$R{X-<_`h~uoWhK@J@QPMUTV>%5>!?moW5FO9hd~fz z@N>1Uu0}Ad0u};)_gj&*2k@1-JJG8iJZfF+i$E6Nf!(+d?2-0sk*$Yb9O@y)?Gs{& z4e@iD(bEVxVpF^f(}3EqYvmlUa!?4l@gqpvD!$zcOoyb@ZlbVMMQj$y6v=nfz`s3) z4}*NDgEy@H9-}t^cqmCSUfz^Gjwuq^$tfCbyjW)Sa~}_6btN#sshKef8Gb>A<89#To%(H==i+Mw z+*!I8!6jUe^Ez|v4I68A%|^0Q}(r1eET8lu)O3&#}=58 z9Z|OwKw-!Z+f_5=$tpLc0oKh*Xx8I)xax z8^GY9kK7W2It2}8Ba3DJ=w@UnKdank$f!_JFP2r;q+w_h+EF=-NS7IE2z5mjnMX-h zLv?2JDpH9%r9CN)`ZsS7L6<7AYCKM?MQ>$Y+W9;P^Nks&DG&S+T4*d>c6#rLSDie* zg@e=9YNAVgK@cEY4dztc4Gx1CBY{gnPRVt2C?74Ld4D`d4!qleA$0+vH(Fg#J+3GR z_^>r7rhwvy(|2+)kOFg3|tb$+)%+s9EI0rDaxdvltILS%#V;g^ zm?L&|k2iZ2uCq`QDLxlCSW;b_UNt5qvMGip_}u0EDD=JYhxuuu;ZV)Cy>aAcGgur9 z;&Fa}pcfR+r^h&=rpl1D{-O^oOT=(+mD3#@h+M}R$#set25j5o__o+jz;iov1OF~F z17tN@9fsJ^0Iw=QQR$}1l%f?_7N#Zq=Ae$BN|&g-)AV8b5Q-(kLi6TqD5Uo?vM|E# z!IYxd)`sFdK&HbjiWhYDcJ?@Mm0;Q`dvAOdbUuz>`|1*h+)2v}1%t+J>F3ebZ9F%& z+t`7V-|%Y=$^V3SZ$txj#p@h{KyHh+bE|9-1=@blepDUC)xQrmEr$Kd&){)|D^3fN zFXn>lcs1e7L97S=CQnm-v{vP6{DCb)XT?_>LwaWM2+T<82`%n+`qJRC)=~GRTnTW} zWbvPXM1ZRyEKi#LhH%;%&%Ys%v)r`x2+k@p^%s(ES7P7!l_g_!L+oQ?GIiv>*sw5s za9n1ez9U|;AHswlLl!yoGqTC-K4I$@o{hSX5_5N>!R zL=-=?RU+my7*!oQjz!E{u%_TJz!qt<^e`8kLFlO`x$ia8_Q6j{xE@R?sTx5^QQ?(G z>6MmkY30EK?ggvcaM~jZaZBuM-+MH(YFldp-BZy7x5AgDp zGB2dfEy*u4HUe~Q3u!p~*K$!h`CNMwFt2UMK#;hBpOQmv%oewbSMeR0)IER8pa!rE z2d{2zbw#0qd#g-;R{B;&IEBhmqEZo!fWGrzZnh*y9X)QF|tza5-2w1 zAbM>nk{k9$HhG-(u$VBSxV(ea6R>?+*s-rQ9p$-*QvfLwf)=P3h^9S_4^WHaz4yg3 z{$1-3BNtR3J-enbOFAvVxGq-kwH~KgZODlsnHqp~SBY0qHL}z(Byo84fyI?-rnc}p zhh|=Xw=~o+aIelYqzJYmnZjhE%~u=1xbqLsU@u2=1{M_o*?(H(3K%0)Ag=hDJSHO; zluyclRCLR>Ny#b72?O^lCvaZEpjtHZ0o4G=4N(bBE55-VWe}QR+|?j&0n4Gujs_U# z=OsqM+jQ`8PYql*N3U-<^1F^?jTCK^OxTve>VrV}zzF%Gj)GgZaur8gOcpb*o@Z^M zK|L7AYhYn0v1?6QzjZj6)zA;L-ioA*V|??$LziUkGk~b zh##M#+~uITqkG5qCvwlUwRE<583tBRc%lf4hRm++4h`+PT8@~aKZuI;r*g;%xevQo z1_i0FnB-MBzq6=4Bf$1*QQvU&P~pw4oW>-0np5hQcSVf&!`UukEzix2CklArcEx*S z)OcB5oCOy}Z659%xxv|O1JKuc$H`x{V6ohFdYpNtWMZMBcceur>j@a@H7;~-Fk?{R zTFY78EJtab8PAxOrz_*T?s3J2i-$!h@iv}vY5>FV@gSKwGC92|+*Eai$KBWPISX|z zceyRXiO+4F*SskAif-?HwlDpLAuM`jor$Z3 z7O?SZAeINZ!?VQZ{Qx+$dA7(N8WXwiMVQjSqxf|vaycg5ymARP0bKP$E4ROGZlh5& z#F{&<-xs7eo+gVE(<_cUIXK1NZT#^IruEQ^RrD>`vS-E_{ED<$dPo92ROx91Bt=Oy zaS$_8+yjaOFeOK6zCuWzwl2nL20DvhF4l7^A`K>CoF-5bRCsxBjkG3KOx(Sjzlw2C zidh!aTFi1}GnetHNADK>b1XtIz!IBT(x26-V07!;d8Zi3hJr!p${&YO`wQob+!LF; z3@T$)l7?qn{Yy%7vHc{4W{f-(z{7$do=&!kV#)}P!%-X%$UbgalUgNRMYBTeDb%bm zt`kR*07~5@%!pm!ITrsh1s~bsMx7ljeHFKj-^qNvw{{g_s@}mq^x^IJ3=_+nK-2l1 zA>AarDbL(kWfaqtWE&m^mupo`VBa-Hu__MT(c2{>u7Y?{nCJijbma5HZDIRYU`3|^)}&IZ4*JHdgk0&dzmrD&$h zFmmB3N9wv)>mDVU!hqa%Y5wbWp(3;eU)~w3p1tlS%3Cmqmb<8~E8&C{g+BwH=WS;z zQ#r2w4zU(3Jz|Eq%ZB2TgUubhyE0cPXN5F`mfhu81Kg&IuZ@{Ff|D#WT^YM@Il;B` zYqi*skbJaiFk=S!MZ{ia-Zoa`r?U_{4~Nyv*L0hJ0r_=SwI~h)M+SEAkYQ9o{fgLr z6fh`k&p2?8z4d6-sHgIEF@Fi8Zr6+zT zo9w_tCm1jYxB?MoPjEn1m9;xxZR6otEV*KB=wZS^Y3UvcSk#Rk#|KgDBlr2Q(D)2; z94uvF+Nx`>d{D)Gw2{1+Xv1IY%j=@YvC(mEO9Eo#eV1E}?FglwQttoTtK;+om`T3> zE}crA_77x0ajk6k;n`N%YCYR+=|%?8+@q0BGkQ=U(4!*~ew+9W2W*llDK2Tv8$$=} z1jso^bR{0Unz{$OtiURR#_=`-DL>T;7y$XduVc{PR zRP_G$Ahrc#{5#EYQ~aEaBah-J&Y!<j;`|(-_KFONHqI0Cri&u3r>cn^D^;2a9<(oDi0S@CyJb6>HhDTU6 z^xT!vVnH?+fNASl!cXKBaBJb(wF66|nqGz!pI@t^AwyQrF4WR>gMY{_9l$>>+oO&K zum1zuDCw}9G7vCz?eqm&gZ$uU#5^b}my-ua1K>PlB1TpMHK4564v6haY=y5|K0EM5 zEYk+R9w2%c7*PbzFASn{QY=VZDsX>>L2f5If?ik_oyF^MY=2s_Df>1iFaR0vs>qSX6z&C6Ui-{w!*w!=-Mz}Ul`LR1XpaKilHzt2E*hM zv}I-SQys%1arF z@h6a`#Jfy$GVUQPi@m#(;r~pC`>W$JbGYt^;&U;7Y@Lbfz{QB;{-X~rJE*ml185H~ znZOzCEB6|YLH(7t#j(;i9IOPlhB|qBuo@=6r;axAF|6EWyi3nfiEb15iSqh;d|Y}< zm#6M88yUWIi=B*dEJY5;*FOUBfdOG9K0i8V8xOZpX+x1zjP|z!EI^tJUL%jc7fW>S zztA7Y%G+6TxbbJ!Ic<&3o;-*{PERK;yGpyQip|h3LdMj4%$5PY8=tLyKlnNV8X(vw z@msJpdoAWS8?WeYs6cF7%%mPWR}_bYLrs6Oo3`McNSI3-MKFC-S^3+RB{mhBb)r%9;D|AVev@B;@1d| zes)vLE!cx+R^EQS@}~G19^-92IwyMl8L%WKmP^1ENs`siBi9$uT;6b2&|ziQkN>6# zK6+(j=1A87$_}%Iy2xoO&K){XUdz)~!ES4q{@PgV2EQ(CS0G`P)Mt5Ys$H<-*MG4@ zU2~>(GYek>l_D2|#_ zc3jz|ujTX6+SBBc6^>zAIgPtpv<2^Dbe?MC9T2U9ZXf=dAd(k(nZY+AQJYm(7c1h! zR9$dFl6Dt?>2)Tpgrjq_$KUU@1!DC@LDuI9ZPHgZgEv;dY` zMib7a^4mr3ObR#nF+yJ#Sm&Ri$g zA}TTcp#7+NOpp!uO>eLT!i09N7k`p|>nwMYOv=%_)+l33?iGaxZLK$+C4IT(xV*$7 zQf6F)c0qloLj=l24!6J)x&|%B)ZJHzyo4XXVTzYr{1TIHl$5+H?5gT@DP`d3woBv9 zt6fClvf%{Elc66(>IsX`AERTfPIj%SDuhZO?bgDNshKV1>qLHnX|A?>Ohs*!2Na4@ zXoLdNSXm*)!MHc1_mr4q!TvTdA1hSdPFpPo!}7IKp1ebD#f+I@sWf!6Ja%$Ibujjam_hOO025S-Vy|E+B)%?6y zaY#6M=z7HQ$0OjKK&YH?d2i~Qa zwgMgehX0VBB{ml#hdyt`UJ&3l`iUQKDMI7OjFJXWuKe-5wEhj$y=Rh*fdl20I?vHT zM%Zl)+?%U%vC5E2>I-8J+kj#$g5~8JjNJ=6!C!E=`G&+FNh~lUh#i51LYu&npu&p? zsJWl_-GV{S+Qud$83OEIT6gEg;H^TIhlJmJT7fG$Qkos7CW6j>Y_sKH&x*`i?iqHE zvBaS-28H2D#vs6ME0-;YKvp7#P?Un&&TS)G!j+tB*Oxq}%xO=QlXBuw6MM0lAu?p! z)eDk37U*dmU7e;GC1^aN|gk3v!< zsQL<8?bWXc>2tW`NToPI6)tsk`e;W*m{AEwr?VW+xMHhWB!!xzLXw2pZmS|nDY3J$ zbuU_xYr3p*zAd2kx((U3&vZ|6w61h@V|QJFEJCdFPYliv4UCZ~?MCZ&q4YUOv-?Q5 zT8XBOxWSm^*nan5cOPZM7)rWIzta@aGJVXcE&MEgi0*EN?f|^7>FE~(BY22a_C;73 z!s9A<;ez2g>cAB$dJq_fXO~I_BGiBrI|nX_!MHghFXKw3Au-2Cj+r9Bz0?6nWe8Mo zI)J3qBQg!X{=qQ}77)lPsf^Ernv6Px%ejL9qX@2!<($))R)sPhdn@Eo(Eu%BZUyl1ak1Xh98W9&#B?pfu|YxejHk9976G8K-kE- zNK_o?it+dr@T4o2k1Fnvq@-~+<~e|TYKu}Om%rO_+BT~mxf4DGlJDe77;9fh=sWYzf50-LE*4oZ&X zZ0JB)t^uVr>cP^^OIa8i;(DqoHcN*BYqAJ^VvWnck=Rw45A<0dlHHugc$9BhU87r{ z{+#Sbdp{)54+9y0V;eU(8%mm`NCOB1Wn|iQEi*2SNvmoISCQiwP;@;8(vXR4dJX=B z?Kcilh()vpND)r%mSuKVHcMJNkEEP^071Bhx!VVc0;Pz= zcnj?N{eX`Z1__2;LB^x!matpZ#WHraW?Dol+gGA zL6?=SRlXaZyA~ozpC_R`fW4YKF<8vue}}o#DK+xk4Tman&l6yL93H1F$E`=`m9WW| zd$sUkHCJe7UIqLCtHFM}#kLk6+9xyH|9-*N28vy4oWWHh8t==G=ybzWEXT1Po=G{o zR_bF|giWSnF^2%WR`L*+hRKJ`6w|EuNqX+Ji*hHH ziCXt$n2)|kr1O<~dUBt%HPCtdzEB^CFkN1iz-qXUG=<{Ga@Pj5zl7VLAB%WZGVsBz z0PkivAofulUNL`zaRp8ISxzb#-;RY{Am%4# zR37-2w-_mgLh@LkDR=dXV}>B7;`^S?N(qhLx(S}2eBPSNV+%x)wheknV(5To%6lW= z1*|gl%2F{!41-^sKeV^{2n;|>1fKn3705#Dcryt#8wofvt%@5d+F+tcW%6K%1`S5C z2Mao*e;8~;uR9an-m_rLyNZC^u{gFS*G|h!`oC_ughuwlL_YlTU_B=ocDH8!ZWYu; za<7cZf-B1LUKMVhjUE2Je$#@;j%u2I7q)M2K(XLj+M6M8iPh^4iDEjHqmS=IELZT^^RvR-$xCzROZyo3Y zBx6>g7fpU@fQeK;QSQ&Xl=(TK*{b+11=?NGk4CAJvD(rX73+{g_%zo&=gDVz=6Cfy=_qky%{ukoy>Lkx;m{JLP^ycwrzcyEHf?zcWd zFo&Ft>ce)^gYD%`J}?hmfmFy#BM zU|ev(lt#G}c*i?2AJ_pEt70L^KKz#6CusU*|$Svnj=Y_!? zLLrCr2uk;Lub33`MWm;aBopBv!Rg5C`1_}&mZGk~I!*F@d=`_QCRj^@;Mhap7%fQK zcxo@UJZ-({4QQkJZ4KtJCDo;97-}t9wgd_5fk|LnU>QtH0t|lq28MM)6iFhcnp%?9 zitTDPn1tLKftnSm%rwJj@dUwrXlC*W6w$-hCAiAxbx5SV8B^C=t9YbwBarFU>14*9 zmj^{_qA#CJbMMeQp0ShYODeD5dh>Rb@Cxa22yciV54KU}3P7toTX@P2nq?%gwN&Yt zuO}tpJ#?nW-{iGT1V7yHG}Nr%x-T77ZcLCAty1r{uVg*l5*oy=xEK5X#S;&g(m z4t*pnrycwhKZ4@N4TJ*9?gF_BhZ&gvS->-=Z{07t^rct*rw}lG}U&7q{5i&Zo79M6T>dypj#(9Vw z1^CsqDHYRZ!6-!tGLJ<7UQcKue&=tyL~znh72D4PLfR=OQcTdOC9|K+DaKSRlk;vK zy7;4ZIsLN1qcGfzT=STCop<=Bm*_COlmV~xmtYau;%Zh)WSut2^QBDL<923CxDsq# z)N*icQUy{CE*Ik0!SAHO6ddB9a8?~#-b)>jt{*qp8k>)-(<~D?9!=Ue;Bk$k&*`>^ z;a(P7RK<(%3g`4^xt8L*E8jiSJ z27MF4my{l%g`dyMDTMEe6In_Ns_KNQJi^Zgp3SoPOabHj9HlRU&|4$YoLdto<&=r=Q&`$&p7U13kVcl#ima zx3Bk)A%kKFfa{SjohjIDMFaotY?BQ16pKplUsTE$kfg7>8;?N0qARwWHfqMIZt;_m z@|~)aFnRiXmjuTPev6-6`g4+Of01c>Hr~}rj3dQe>7izZjy|#E+F{6G5{3?iLNib) zDak8`N+LKpT*_T(RTsrJqV(0K-eZ+iDYhThw73DMHp5+$&ZqB({#E%Y~ssfvr<6&XO|I zjLs8;^u0@1I}E39OwSY5ca8b#ioV5M3{jZ2uElQ#>%02cKQd;5)k!QcDT)Q)f@8=F zxqhVb1VU`8hF^*#Iee|cSAapl!C;4p6tT;1!m){)?=C`5jbk!@-(QJc%5-BjYhvqE z7`4Rs_}x~e;d=$-nXw)im-C1Y)EMG50>nxy=er5s3!RGY(BbtSEI}KtXbX zjywfH&c4LQa1s?@${P1gTdB~=z;<)wT(f4%-B3i_(rV)+r55~i5nKi`$SC~Bi=4F3 z7+SE6{M;zK4gm?DX@=<|EVkM*Q9<4B07HEIhEL9JN;xOp+ylH)7bUd8R(ELbS=)YQ zyTLFS3w;r|<(qQ2HqjS!(uk8S7rRyfS%%Sz#U%-_WQM&PV;#;JP=)Rz_&LRBk0R57 zf(;0$Q795FTit4{g}(uCKtHR0A@eLHdy~bX6qYgv&`C3=zcJKSF!Y!{OdY~HWH9GS zYCnNXo=ZtLk^NLBfU%8n22$ukW84}7C5|15`YhTB-~Zx>I0v_1jVwc!-kr*NnEo`Y zV;R;?ml=0R7<+jhKXVhF)WeCFwK7?aTiG;VLFY8Xt(3QUz8MdZodC$63>buQ+gR)o z&gU;FZzSr0fOA(#6CRcD9NGy*73*I128)G8U*YhfOdl@QT(jMDI~#es~o{W zJz`|)ZHRO_>@osuK($c!VnyQ6yR2QxDy38W_%+j5MRTFKXuK)lOW zmr=x0vr(XZHnt91nkk&AY7x`UKi^35Sx96GiE%vh)aGJ+ajJ+NfrQ)|fk~+Fa_t|G zz0zzWD-tCC^a9bh{uW-las`^;EDB|`zAf^(PhiS;-EEQEQNJeuwh&^T##rn0F(Z4e zUrs=0i%5^q?jyk3V@dQ^5ADU??EX|PKm^9-kMmi@UD(OBvD<1eqjWp_mRLuEyV3($ z*5J;be>Axuw7-3agch+JFWd~>e|9=f*1TI1i@i zuUxa*Xw3;dhkMabK2qy@oZd|kp?ddmgUB=Xsvyfb<?RpwH$*y_;_9QW@7AoEu&NEZhtX#771K-H#o^&@#}&{YMf64Q#OQk z$Pq5>xe$kh!8qA0Q{${Rsxcr%2+CB90m26THTK4|cUNFDMYShG!GygnUbjleJ-g!B zPh7T!Gh9E(=om9PX_wM=oz4Y~Hu6~_wPRHc4IH^0O0_j~m72X;xqJuPz4?#udPpw9 zwAEU{j_a5dm0W`kgnF>9_T;{P6D=1(a6q31zzB)2;M0s&O^KcfLx9Y%M|Wuk#?n0q z+PN)kFg2l`N_`P8ju~5^`D+3`w~&F!9qw)`zEM4SZe{ca3wg!yGOR`@>WsOw%*^DT zfQh1S0liTRGx;bB+0O36Zi@^|5(`VJAB`Gf2+F6~b5Mw|xu@oy%Vdxpp;J^uaB&i3 zo;$+T%e3Ig(&}k@Npio<9lUGqVbW|AkWb6uH254uH9(2LR!H43-3n#|kCtexb88{M z2Eo1!e2gB8;e=fJ{dorFEkXCeGY{g;w3!A@1AYF zYh05ZOmw^zZE&cZl@SwRu~XRbWhU}jTdyJQ@N%!g6Oo-@2~I7*yW zaK*?+>t6K&JX1k2N*qNPI+`E_fgur?x+%obuZLswlf&0FkRxyU4V=DwOO_N^&ZR|3 zVxPu>;kzazIPwQp^olbgHrQg)0U9z&4k;Y-D`y3mwi@?UhtEHCKEqrN9(e*Ax6sEh z7t$kp%HLgBD5VV~^7vJljNmTNtXs#4r~?+k4IFY$6n1*wv#`>2CCSv{!erYs|3>|e zAok|4$$K7N*~f7FeA?KC=ax$OKnlc4YXgy1_JA8a=6?<(IGGcNctjfAL@>2sVEylns}NjBXoF328PKNjK*&$0ZXbmZ^yh%Js_8vtT8_(LP| zr4^a%Oa`l@&r*_b8#aeExr0OH9ALx&aAcxHHh@OZi-)>qr|hD|fe&~NFLy}~&&!HE z%e09ZmlbGpIMb+0Q*r$Z6gL{g!L8Vw?1wf`8#oKyAJT*I~fgKHWR@&Ly;V{4L7H;bNF7H0 zQoO6w5e&m2d?%q8io~r8kr-R}x~>AXdxx?c^P<78TnMSW!EZF+vWD@bJzruX^R^EW zcPb$cntBNBNp>C^cbKFM0a!MVtjpt(@Ro4j?9-(=k;_XY6 zpHsH$*sPn9j{NBoK13&x4VL0$a&454XS2S{?2#MIt4z9l3-)mE!VgXq1rv^PVTXNA zb6HTN%+N*Q_?1B4Mq~pRhEL7Qy-(tWa|vg>i`_^T=h(-;!S3bkU{U6$k**Aw-F=|p zAW0o2U5&dTKFzdY~h=lOo*1CjJ(O&>F9dp)!o!rFq=(*Q06iWpNR zsrOl+4THc|552`0`+Xdd1u!X{NAKI*`g}YY#M!jfgullg7oElD&%;8*fjyzcv{hQ) z3}o}+=SQ;nW~?t9nq&OofYOrOsrN+dI3yg$J1b)U&#^_MA)Wf)mf6Wg5%h8Sn?8=+ zR$8T;wyw(5)#8V@HU;jBXmk&R6*Y4cc#~N2?POEm_ZyLX`pQ)jTO>YsEpll4sT2l$ zc5MB$6+sZIin}w2p(EGKo;wkQh@{CUF^M@gZY0`|cKIckdjBp4>`pUGTf+(PZ3LRT zFO`g?_!WuFDHt#m444%L`{-w6`lI7lbr^#jj(yo2)q@j8&i*OrOKfS{YTAa=)(;{F zo_Mc>b`NHNVG^0-2bPq>!Gw6(eBXhyRf=GCf00?l`@dQ zePY|+&q@ACtbHg914r^Ovf|)N-Pl3qQmFNsGotIrpb?k^=HL=3$&1M|BPGlcS1-1R zc=nuFhrCm7fL7!^Me+Q9xo79|~%8BAL<-y`d5vWoFQ=l9HvaBQ#^_rNbJ%6sq}+*a0`u5H$y~UTz`@9xbKz2u@qHWkKE+^xS;7Vd^De952t>Sz5m<~sHi=d&>EC8Ul25y47Yko1PyJBp~&Ur}x z9h4wc8OJ%b{cx+#M4faK*A!uZ$X(qlqP)q3hVKIx#!@b(FRY4CiC0M$h~Xh&Pmbu; zlNmTn2rQDx3(Jh-OK%RA4zHQ+ReCbW-W-EODKTM&=FPma}RXtDg0Ga~*KNhY5 z4(o^CYYhIftR-P!U83j*GE{%|eJh`8$BKZ=-jPo~5J!CKSpY2TGUeC-KM<_Kgz8rx zC3A%Gcun|{MACHEg~4WVk`k;jp1&W#Aa?; zWbDCNyxa)!9Vli!C@T)^7H(&w$7uxXDEeg1ifN2mL(mfmJzcwOR9QscwK;=l(Iuq3 z#1m;?BoyViJyieUSE>~1dLTor4-ya7FJ`&;vdIUXtwl(yHx+2wLzpQHAIlW+X(URsGRHb`h7a!@UW6b#n>@S`132xdaU}6YCqROxAuAVuhv| z+}Gsd5S=*JOUd07rKu|(Xv`rHgoy}#^^j)hO0;^?4C^K}d5W||y1*P^nyl}1Nl@|vZsLSfyKj>}-1=Qhf9kPNe=^NaE87IyXLPw8%Ll9%-e<87J zrv;3p_;q9oRjmCynGN{vA{-Jn`sy*c1g{o|+cR-%^6X%fv<-j~aU5NoL9w>COv+h1 zt_?Ht$8L0yDRmb@cczWl*P#tLUYBpWCo`?SO_K{7WFpvN>n)jayvz$oZh0Xj@(YO( zoSBSR#JJlEM-^=Dg@FLrRQ4p80*trLN6Gti6bDC6-y62ir40e$SkQ5!1?L-nnX+ii z`$%*z3${1C6KDEJ1|S?Evu*Y**pT?av-ZL1y3+RH3-CPhq$L0PJwE;JT}x~C29dTf zue8_$h;c~RZhz6LUbHE#E52vN{Ku=b<=dbE@`#2#+E2fLhlEi}+t#K}oyMWy_!ORE zZ804B824=J!K*}Gf9?#86b;KaNm~d-7*zVa(BQ6$F*Q8oYV*rK;0UN3lNe ztT{n@OR)G&W3FQ!_@Zh`GjiI>wSbCA)c_$*Gu%_THufa{4u$3jaCs#MDJ{XHM`UH`$rYjwO<*dl%#(hk4Gxp7FVBho^@VYA z@7s(R@&P;7@Y>^h1n<;B4Lj|8gW@3JwFk!bE>EsS@saz^jFhwu5U+J)?1?*;MQ-^0 zVi6A0R&9{&j50}DA(q8E=X;uUgG{5jbe0B))~BTq|c?)QA3PuCAWxD}ILpPU4uqehD(@f*l1FdS2QPfg|%0|lZvB4i;NzQ>g zLK1?kZ6kId7;GUh&|*$8WvC~997!#s1>9grBI^64Nn}`sWueXK0ppu*73n z!**>BC3Kbk5?DdDdOlC)Xp=j5w`EV+&ml=H4`YCDfX*S<2MdMI$)^!Hy5_!26F{R9 zP{~?gH#roXJu?c!`-IOUIxXg)ur(8D=`R5~RP5NPQzN;6x$jk21jYt?6RJ22Oh}gD zBo7I1z#a=Az6`%m7B&&!bJJxWv$NGJpDH=n&KA2=5s8gww0{{RKp8XkBGz69P(A@)h-?B-kch0h;0)09c3q2I=Kxn& zDIoZco7J51ZgI|T$LI1c^$Lt5L1x=BL729>a^|QdFftM-l3+M(wS&l=W?7r7^+b&8 zf@bA?t2)pOdE_NF0l~872L%jDA%UfrkyECSD4d~mk8v|_5R6%0{8GUaJB(x;rDXxn zwxvPB$P$a~|16jY zyOz@qz1uvLCBno9@az#5KV#Ue2(h##dfd?`AlomgV?91M=U zFP@BL1w#N=mL<}2?Co+Z4TuIWN%rBbzuay8Ujk_v4sQ-a1?;xAM@dKY<r8tUDg!cxq}_>$aAKSGRI*{7|wYUT#T$!b$x-zAMMY= zKQoc?qyusZ-;@A>Lo-GVa400d0JUF^d-^8i-n|sdVDh+p%wswK@{Ng4G9Jf?UZ2Bb~vqzqm#=cE)G@A>qu} zPG9?iSI1($i>CARkDxfbS0?RO_V~Wx$X6PdBD@Hm1y1jnUJGyd{Wf+YuEN6MMhrU5#Di zdnkx(4?5i0?!h>3rw%y?htli1CzBDR{vIx(3^Jp-7RU&Yq0Euo(6`JuycIFdG4w(b zCO(3L3y~6A_&BsYL%a(fKU>DK^bpW)*igERER;(GOSlf$y4lQ4WpH}Q7OBbcqu$Y2 zxw_`)XM7PyP7BBSZytdOE!+n|s}HqnL@H}hidZ4C%SFcNs=Z?}Z8@?7Qf_xxTns7^ zawMIHT_nAPyRC7a5WMrOo6~uO{`)3)@Rdwz6$OUeEq=JJg3+vVrsOlqFbLGUNj_#X zm{&t}(?-lpz^s8g3}ni^#wf=ER|D#DAlHmOMaMILEjBURAcro2&m)q+7j~EEYPjVn zlRJ9uP?Y9L@KXk%{CWG(GYHrbf{;1odx~s5N$25bu32)e@O7RTDNl?G_F~tFW@;iF zr|_wQXAn^A7RW6{kUD{-3G4X9n&}f7CZ{E8l%bJqhqg$YAP_4>vOqNh6>ju4z&64y zPh>13PQs)Gf$KT=1dz%mj%uKG8EIdbTag=WRg-$L6h%XWMUVZscI~hM!bzge2gfkj zh8Rl%+;iFV5-cw@c%lcv*<~{!M>5kmeHe!gYsVR`&1@degczX5@rzY~fp6Lw3W)%6 z1b|p7dJBFXY>`Lf2c5aFRuFB3NBnTNgZ45CjB(j)$+VKT2na)LfZw88Ivb#kS4OFz zONZ$(m??(N%z;Jlu|!WA788hF#0{8XVnNABATeC_3`3=-(Ci#ELyzYe-UotV^IWX0=H0ZvABk3f>#0B;>KCj?j!%w+(!ff4Pz#a+Yax9@*3UR&I zI5a`hfwB3)8TGjZj`~%4%h%9+Dz^i={ zQj+H48lnsfUh5G_Z)PH8JSM`>QGpOXSDr{}8I)s`9!44t6pR0Q?!%*=Oeprvo}u7J zn?Ee&+F%@Gg@Dk4<(1jYRe?710DBZz;mk4;!-09YD_|~zxrs-rta-7oPO67#>bnC|^j({=Q&Qa^n;TB_$B!v&1V+HH9A5P{=w0lw+kRbSJK;gHO7lqiB4wf`q>W z1x3Sxnml*`GUm7W86Vtu@D5QJ>r0y(wZovl#(KvB3T&S2QSJnAMvl-jebXA zgs>ok18k>Z5_NV(i1qKRr5B~NLRyYiaa%;=o>dAf;Oq*?aCM&eFVm70CXER z83j@c(@m07BI`Cqiv$76m~G@&FlLw%Bw$LDMPdmo3pFsM`#g5cM2NI+ek&uIHYg9y z4KCoji6!Bh_`N6#!d?KIJcZ?;I$Sxt*S#_bX|OHyUas8fiVyoI}S2{LF2lGae( zP%_%1mL*sHtrOPGlXEv{EU3Z4%kqNx(m3kF7!du8yYWUXO}=oL1q#5LvjfGogP&cL zwm#|v!`BS1Ln4`Vv`{aA4G2M@SZ7yRz*H9ClB{cJ2xog>EXo7^kk!A?f&t7_K+ zJjXZ!q#FXeUW2VD_f-chvs3QcG1wq|rLQoCY+i05tW(I6Yp+-_#Qr&AQD=KE91lMS z-6D#&0%109!v&5la7n&+j#Cicpj2u%K{#LF*`{abMeX z5vXtaex&V{m&P*tZLhD8-#mWFe|cl9>mNp0ybMLQ{f{4%6;msYhDWmOhFSod0kH`2S%ViBLNAOU(=mJ!wRtYP0JS~8jq*UqIqYD5zMF4 zKfo4}JCSJif^;HSOP&g)lg?q%@_HF14PbJ3Vj{YH496-i#Zv*8!MJAc`QTfDg}^CO z!4)1BJ_r9lcW(n_$x)pNMrLJIzb=*3*{v3oKr2O+N}>=lJW0R`tTDGrg(zf!pENC7 z_G0go(17gmdfK2_#2O#pDwX6yqcbir_Oxd`_CwbCw6&ZW;br$=@6Sm@dsgg`nf8qF zF|+L1S`LSiy*}O^W@oHnW!UeF$jF!ZellNGm!!tG>SadUd*j|4H-0iABQi5d-#wXD z?9++V%|O;x;l*Q;3&80JTJGH&n;x;W;V^ybaOeX)&!YF)BtCnm;sqN(d??t2B`yOg zv-WUu7pp-doSgA@qZ=9b%Aoc*cU_>q5nT5c<1>JmDff#NrOI+^acX%yV(d2j#NT8z zaR-r!04c?wQbSf|6iIS-#NwMOFaf9}I4j@=&J+0!X)I(xl=fVD&WHoFeIPoCtWH)# zT!KRJ<9>W?%w~}2&X^&&3t9!am&lqQKJF#S2wr3x`Ld3JRl=Y2AzN7Q2#8tcJ?gqB z20j8lO!cd5o;c=+k&#LlM9AUGhgSk0ye=W!U#bYjYWt*e=xjOC7-q3q{50ybX2fvM za2po2c^5(*PoHBTM3xLpu9vZ_Eu8Xmx{5by)+SWDfN85O_XOq)bi^}y6xbZ* z@Vt^3^qh>-h|$n>YX+lfC9E=>Bs}ox&J3MSs4qeiN1ZIL)|_$|9vMpzSUqY^Ie-LA z;TZu~mTWL9GfGsRkW%h9Ys56b6&9LDF)sBJN(kBznCJ|vU8f3Wg$p-u88X`#4g5Dl zsX;b2!PPgOMw)4~J0wYoHH$pNJWK#k5n1was=!1r!SY_g0+9tkNe$%AhB=Lp#1S^q z!1BkcrJQ+szw%W$wfZQYjlLRu%bxOfYO85k)sK|XC=(Ei1|XBQ)9aOoh+3acBlj=HG+YoP7HYafE5B*?EiCObP5Tw~Yxn&K_Izn)9Mv^DQffT;saTN5Dz)vWK$}--Z=_PSuUk}B<5Z__G zc6F5QoC4S1r2{5_J_i-%3v(%g=F@U_? zx{ctGOuHV>L`#?&*u&aE-ERV&@6Kp@1ec7!O@Oj}o{ZV(*NG`iVDsJtk3Z#X*Kn6K z0j0O)nDa*B9sVi_ztH>2< zv>=n{-0W5>C`@28!q+AdKC+jyW~*>U9QPbtPF)u_2&D^K}i&;4eJsGYD znwhjTu7iR;U<&3U8IFbBee$RfpU{II3))7=6vB$1K~C|9TQX4F1O*GLwT0iJNJCAT z^BEvZ2E#&j5FHOXl4M7tNAiwFD*7F|Ca?8Q9`2DOu?vCHv7iRfdH1v+{E?t^kjQTF zoi(AR2Db5Mx^UVolHpk%*6_=W;tewD`>c&9T~Fpz7?* z`l+t_=%GR0IBgvTY*Y^Ba2Kx25C$N44~^mvk`rPKj_7_d3ZoR)3>gPAVVf?W<4_yW)aV-oH_3Z#!B zZw@;787GN*K7uOkjdU6kY%M>!1$(jRC1i*Nhy4M3tS+V9UwWi!nSZ}x4rfQwC*e=? z^<>d}=3V9*{SgBfw6GWFNYV<=Q&5K*{V$NUE2r17!50Pap8)YJyuS_h2>?y})yL=c zcYBZ#T!v(Sac$4s|Hf4>;GbZq?5C}bgKJJ(U0rEZeGg_ZC-4YbSZyuUmxQaWSi_Q_ zcBC*2@Eo{l>qE%xldwNKEEpBcm?`u$)*jz5(Fs&fPFpu_GNt^Dlvs<5zaXU3Otu-t zuNh#Kk_+e5_NJ`)H%EBEjGul!5&dJh^`9=1``Je#uC}I} z^$0~$bdfi*Sp1yVCYrVi_~&lNJL0ZeH1UT}Rj}IX0GdM4M@f1*gwH1%?xyD&l ziwF8FQ@PdF7h&1IOrq}BPb6u2suja$aWZ#HV(n9r>2b~W4=;!GCZJ`kl|sg#j$wl3 zfkFaDWBpZ#5GLT6Uw%|`+#+u7xznsZ`x$Iky&Y?c<<(Yo29N9 z{;R(iZM7aqJ72)Gm7cE!r*?Nr%|E{^!os;GJE>c3HOqG@-cAexvv$unqG_zQ8uN{X z5qWbgJHJSi0hqS(R1eD_|HV5*yD2u)eLP89+w*SRunBy^PTYPy!soM5t1xX%`IMv^ zSmEYV-=$P5u4*k`dfe5%`*CqKzw=Y3)4Bts{}QQh{NLH%VJ-_P=Gw>Au^~PlmN7zs zI`8|SZan+mC~t$!ScZUny!;v4&z@?HdbNJ zxcfSE4Q+#uX3UzWZGS$=-&j(F^?~e!IUh!`J<9B8iF%2kegMztXlBt zqALOvV*wdQ#|h?JZJ>$`g#~#y&@bPNAFDK|6565{6jj`5a>kz>PB{WY)sulUxny@^ zDr?L_DWizOKKNi;83Kqq`okkA3}j!B3(h}rrd>DzCb~BZRy*atyP&R40O3GqvPCz| z(#Z1RHC(&tDS)`+$io9>X(WCG()$n7w-uB55s|C2U9ehS60vllW=`vBiSsI0V3`9Z zi<2z~U=-r6H!%Wwv2urV;?X1>6i|8{pwtS$Q$m_YaNb5xIc?p<4^uQo@e6qyKP7=_?AdKy7dl|M117=0g;xMeao9kpP-%gQarPf=q#;!(FnE_! zl`=9G5qn|>sX7Y?DWd>7q339!EV0|HE)#SHAh4uP61yCGC(!r!i;`y{vS(em?%=hL z;5b$;p%L`asN6tL+$jma12$WHyU)H~@CaLp;91#JmOf=A`W4)t)gSU6eGHpfAG{J{55hk=l(sN!?Z}6CYq}ODT5Yuyu@-C8 zL$-%kTNTJD%Z?)Qvt_c6hb}+f`;dSkLU#Tk&J+unwykMj82M^zbIDlN++h%7)=h?^ zCsU3xG6^V|Sn;}Fe#GwuM!5Z`#CpRKyuk8rVHN8++%KVDQG`bDGq>Gs%@mNPg{b^B zKB{=z*G%gq9!=lA_@X%Kk*6M7XJfn%`!jDw<@p1QkG^qXwkV)1j9xoNAvx)U`H+UdNQC~I98bCYm++Uk0Hc^VaTO5nT+HVJ^U|}OT9*8>J{%_H0 za`tUhL-{;jH#^hDP13O1y7e~Gv*d{I+uM2Pqk#4nh=S{BTwJg95PFtV6+4uKOZO9u3-u*J>YAXdaLH79P z;4R)~J^C=3!jmXu6rwzWR{ZyIG5I6b-%HH0Uv0%Mb_;>9bJR;pqdDta1Ha(;rR(9q z6VoR9;IX*%mp|ra4{psi;cDw7;1*acIib!qAcjW+eNYD(MFi06(3?2Wxbr^Kd*m~C zfEX7$UC1{H&t`dhaD%{j8=jSSis;YBxD$xgR=oFW-u(H@JA=TnXQ%erSK$(eCeynP zpBK85i8RJ)>v8>Z1bHE6OPEEUzC(x0tZX^l{*!cm16%>R+9`u3iD@gQteCc1rs?s= zd+0w)2Tb9&#I6W8769sw!-se~$NiYq`1I^D7Jh*EFeYlx$0E)eNI8LR)ScnP0~lCF z%`$*ybQEp`TLon+F`qw=vKmN_rRZluq~hL9oi_I4>zP^5r!3f#wm8wR&{m}JqY3vmugN}PTI^sggExNx!gO4!Fc)Oe6c8J9eZLI z@v?%}XzQX7I04ZZEki$XOB;kHtK#e|kffl+DYk{aQEYK2o0uXa*wVM-cey%y;OQj3u8LSE^TY6y? zq!?JX;bwg`$w8Xn5>hXqN-a#NqRX+GhQc~|nB|FrRUF(BEn`g(Sv1zFPZ7hl+EOGT zM#O=VZ3jwx+#kCnU0OI$+0dE+Yy`XxXo=?y7iMS_SdZ+XaSuaI3Wy9IB z;Ri4`)e@^b^nL&5rBtNg*;ef(?t__kLwF9eCvUT}e73ceGSI4Lo8L?sSsX%MQdqwg zzh*!kQ*zN_%Rp{#A)!K`!9p&lo~*5p+^O=-LoK-$Dbn7Q`Bfklzj~N5qW{mU{8h(- zm0b{oC!MWJed?Lt6W(Uc+$Q0h?~LQ@SMVlOm$%PFM%$Nx83~!znapWx;Z7n)0eJ8c z4vXh5^_mJ z4Gyuer{8Q`A|Tqoa~*v4;~4yX6WI?elw$F0tAe8*EW}gRGTCSn)$Jc7%IKMTpuQTg zf%YjbSSW70G=k9bZQgGlgqvb1Q1-2nL~gZp%FODa9KRc3mU#xAQ!Ky-m`UG_!k|0r z00n9BNQLwJ@wH8?Sz{z$feviCg&3%f-LtA@qndqN;V&Ym4kPdX2u6MV+GkzaI0~eQ zJ>1%9GR!=vj^DcDfgJk2*{3)Pu(%EiPP!KvQ%s3ezM}FRz?Ju>3^r;^L#VHKYAnh5 zbMDb*4Dx=!GMyg+ILo~ZP5hY!kdLpgXQSl%+eU(xu^oKoa9i-G872jrQl>nENOQx% z9t=y(F9nQfI}@py1y}AAVS;TQngJKFqFOuEaI*5Pp#joZ{d@=a^tc-78aMW<3cILj z)`z|)vXH3xEQ#WB$qToewnDWcxQ)i~=CJIDuNl_%Kw<>djz4RY}(%BVTF- zhB7n!nxQixUoNSi@zJ8~X!IVi{xc`2k&BqOO;Rx_+u|Yu-}Z~JQ0SVGkD-KV4FOkxC{qsRhd_vNP8lLq5w5CL zQRfkB?Ky4mWS(&Cs7GXv*(CXk)iXrfoHqWnj8f@bJ)9MtR+q@)D2M+T^~22{FB8=I zL-@ep>gT;nZRC||s zXxP9KKY}gk5`iynXMAbZgV?{L5iTur3q-^C+aU5LbGEUbh6$DjXCltRNE0Z837BSsD9uw0>2QRQB`R_5?^lQE zr}&U7=4}w*cyS&JigelM)@CDoe*t*m05I4KuJ!)diC|iCb z_|p}GU&*xOQYeqi8|nt`C}K4Z+k1iWtF2mgsbJdU zA1GGxGSRgR-0)fqg}*wDN4BS=$Q9RY7qQxUGdb#EseccnP*xygfAa@itonbL$9Z~&(`#=N16S?(X*UUrsyL-HzODs zW7^u6fOqu`iW(8OE7m9eF-@`hNP%fW@!NjzuIbZO8>MRg8kH|k1ZUP z%Wy|LGa9Hmx3nObwnh>#W6y=eu^eSWf2du?mco{G?>s@7o*M*l{S(R)3}NfRdh$57 zV<0G4gzwHZJGhAuJ%C=)CE{@trHf&R_PuHyt?AIu>{x#3%c!{8EAJDlAwd_)y$xJ0 zx2CVgcc_;0oCc_-YG7V(po2WlwaqC5hcbYsilKtC7)-KCOf9f0(}fW%9m$Ii`zFw8 z6dqArwNf>iz+<>NVjVa+472-;5OW4UkrDDLs5Ix8Q7NXa6^0pQM~pj9EC_@rb`G!6 z3U0+RVvMi{O3CtY{Yk0q0t0+eg%&9fTQfX11Bz4~mmSX=S#fWL6@zIi%6JE?fxU$g z7J5VCu1QcB69C4l;OefU;8M-{3EBuMr>*m@Y0aVF_W+hcH6#cwz$Rg7!Lq{2z%YD< zQdEkA12l#6gH2bYkP=->!hd(U?C;H)p$V>J#H97vrCa zte*`sk1LqA4oU0tmqR~|v6$UXHLSLRX7TeaO8v=np%(RK5AbT_G+Y*7=pGD0?1v z-{1S2*tEB+xRLrMv$;i{dT8mdzQw$GK&|xE{y=Q(;~VZx!sZs-G|0Y$UO3O2>iZ92 zrt)^{3IrEhlVIAqCG{FX)w9X+M{&#W3M{&nHVIowaCz&YC&xPL@FwAQ-!jOt)PzKC z7$A(Sz8d#~zm`Udup(Dt8F<^2<+N1{^H~pmq#$Iu1W+l! z(OFXRu-7qR$Cv%Jd;^(0y@|}H$7{PPNC6;S2AIa=Lk>1cure)w{8Fi z)7GKY)~csmqBY#Q{wi)VwSGqI8urF^L#{GPx%P3GMN9)6ce2P7N^pFFNisSL zjd!`)y0(d~Klo~U8$)Gu$TVa!_!ijK;uM>N)g{m^(?wv`+$~x>+nP^X2Uc4hfAz2! zZJ2GonDoOAP1F@a^4$v8)x05s&hhIay%n$#evCtHjCMy2}$^@&KGQsi38 ze6y$^&gOZuEGT5Yvx=bRfk^ZH)c(p+v~!XlO)O9BVI~2pb&l?Un-w1}hBS!LhbN!4nZe=N0!2}+I)P%Fij_Ca+PpU7>RN4Ye521982CDhtOe_8P%TS|XiMQS!R0ad zMs#o@CXZb_BxhFE4DJdg2A9Fcv~<{vj$+HJhda^Je5(Q8!7H` z30qvW`5?x!;94fbo`lr#N7CgPS5{X`@Jgl#-Lm(Mk}?Fgz|b^GQDSIW0J+#nK?WM9 z)JQKbry*_aS!$^y(rM=fxo;r@BZoQpJEp7dw!s8K>BO;cYc5#G!3Ne|bzWv!qw2&R zKgeN3c$g$3i)3_VfE!{JP(hTxV&3ibfO#Ed(+tXjO`@L~;AGNCEQ^_LHX5*MKv;-p=Z)^EeZ zVQ$*0afgLcdwbg#_-pYJ<@cr==0S@aR||393_RPqj!nYw33FEud_&1-5TaS`p-WIp zOamzC)N2{=h87a41R5;lq7t&E^LL;`pSl#Qt@C&Z#;&TyS0rwzPL3Lg^nu?PQY$z9 z;-!f))vJCk;xq)`f1lFiu;8BWi$|u+w~;Zvu4kK%8-CzYaVG1}!K{fk2~#k5?b)wh z6dP<3p5rT-EdKBQXXbDVFJS6|y#CA;YScYad&0J}6EnOq)pu#tA-o@gmAJ#0w&J$6 zUv0H`|AZ6kq|82dZSFH5YhmccQ-Rwe;3`+)eqx$H$WXBy@j^m{5bQN9e8tbK-P`Nk z|FwsWxdwB`)-T{;g}=rYJXWg)XvvijU6GCym8|VNkENP*Jeq#Lq~>G-o&OOtN^cqZ z@2fGq4E48#O~R3dfJV3O#F~^J(n|a3=6*z5KmVxeyio4AWeP0pV2` z1OGKf8!Yt(NV%37O0~~>B_BJ@0n^r1x7ykf2>iv@X#8Th8Y|`_JMO-a_gI|18yTm# zCTLHYcKm(dS_8K|#)@hT9^LfN!49*GN|rc*f`ya9vynA&H@GvH+6}2F#U7rpNKVeA z8te!HUb%BTjd=p?5i6<8|Y=SOweq~{59dx8O)d> zF-ypYjUV>fw#)t+FL6#&XI^l!6L@;ely6EfuO#omsx)is0 z8sQ7@`SOg3kD-pJM(*~l`%-s?vfxEAwMP5X)=B+`2Vz^0hH7CFE7(TBTnUViDVGwP zAg$qX!YClduc$IajDsZ*$f#sU@Usj6f&^uxATXP`l6lX{yEDWnnaJ10ZEu|FVF_eQ z77Ao4iytAVH5-VW#!pye+Z%9;)e*M;!Wlq2%mk>dHJ^_W4#Y72GtW- z41`yStOH>MMNbl(OWg%P>Hxk(EVwFxd%S>3$$~-1#KaYXB{nE=cIOyoBSIqF1LAUK zbA^IhW(42FHJcPl9{_|In?Dn85^DE*YD7kG`K)5&*QvvJZ|BV6h2);~Zio&pudYVJ5PlD8lA`{IHRZ!3NL- z>X5FYE~LRiqTAz^k&2ONGw4O0@g!IkmlxL`;Z#Vd5OzT^L5XAS(UNIkmvKcEs>O;I zjtxMyzF4)QR@gLMnPHBUNM*z$g9Q$6J&r0_IlGLqYWXINQ{X0{ z517VqabP1OCol1=;(!*et0uN~(0os=9H~w!m?GlPRP23G;A;YCFiJAi!!$r{K_MY0 z3|(c^v!<{GL4QS6Tq@0qlSNTAfaL+D(w5L80H;>*IZ+qha()yNVpoC;;=*0z4C~fl zu|6$X+A&!gAOk-Pj)dbb4gsuN1>|rD8`m`RIXTX|DKoeQm|DT}A|S?=Lni|=?v&;Z z@g}pl)ny-vEQ5TX)Tv;Z@9hOl4e%Av=}IAA$S1?E44~D~2v%z~Q|>AoO=bxyw`65j zUJjPc0)x!nM>y14UZgt~HLPPRNhok!?-#}VQ@=gZJ#)VOd40*lw;XW*g^ zO5__p7gCbU<~ada&*SGw2#Z4m`-Fq+Nx+5_+Q^Nn^HRg1ePKl%|SCLRYkcK%JG8{`UV zPody$y&|_qmrVK%R2w@51uJ-~=(D%FuMI6m@jY0y!+MwIX^+`2GBg2L@aUbVvEqZ* zvd9~m8DqBIrs#HI!<`pnkHd=ye3NR=q2CF)74lUa!fS>l*0JEOxcok5ZSiHeF9M{J z@=e@GyWxYF{2~KQaJT&=5>?dYNt9>U6tpSBP2hKv4ORxrWECBiEIGjr^Bv^i`KwyV zH{OvL`=_WPQ+F6);s)rMPrSp|!8LMH7GU?EPWhqP+|QdAR2N_vYCByb9jrxo6&jruG8nrX+H=q50+4W0`Fi zv8QK7jFAK!)iU0Cqp=GQUWQS@dwi}|$igM2`FF#O&R@ighja&@NLA89F30n})D$%+ z8*`OeZ$1A*xXEvKZ-v`UY+hJXrog|ixr4(CVnjy_DXDfdN!K;Ux>5dikmh|j0UQR{dm&<9qm2==5 zwXX4c-eVH(y2p9Fl-?I2yFP^c?Zcd!kBCW{04%N)vj+V;%Q6Qqn-}{f{5(PZ;74Dz^?XRxaY1&H_Grc0Pn(sKajo@IQ-Y%|LC)pDOsprA&eJODctT)ZKRqG0&4 zJMkWpFlrG{GI9SQp+We4yj3zK#$X)QAKSmxEcP&MjiOP#k`o&a+&Nx;Z&ASS_pJL( z*iR=u7{9Y^>>3=^nxr$NH(5ZwI(Xl@=oXq(bUSu1!oL!{nVKhX&+i@sOlX$Q+pe`m z=2nXlPsb&1I4E=;>p`^`0K0o!3Xzf}LQr=!=rpYhU52C6xZb0sY3tGG56sGClldjN3iYPNcK)HbYqG1I|LuQ= zIH3n~^w0`y;zSm=SB$PZLgV3Xw9!)$8r^KmnwyN@t-67ok;!xD5LmX2uvGCxiJkZs zenQ+tq5>nEi!zeeGGUk!Dg;YxP~upLNiIbTn!kNJ!$UH$dGr*SnqlgPufh}pe4FGA zY9BvjqKB_YJM&*RN%m(yf*Bs!$l-jXRMxxqv>+lZDIaCf2XQajQi^V>ga zvelkk+Z+%ooWC1oSOCrucJXJTl>zH61Or;2U!N-{w)?k18f%MB;?GU zV;N>@7?cSkB$Tt{mNHuHuVCo+wdT)m$4x10tX|VNqIC9% zyS*csGyM8*iIG2m(|`CX>_oeKrI&r^GVAm9=kH9+0+y2Rn2#_-fnk`ovVLT=t_hTL z(XBs(ljiV}(ghj}z-#G~^qcqwCBpKJ1!Q{lkBw;~s`jmuOk0yDpXwR=Af(}g96k7K zNsO^(5nC(UXCbs1Y`XIvT+Yc@l;D_DG6r8k)0_JNR{`;nDw#2V(h|G7Acy1sikBj9 zSF^~QFN-AomDcesgDX=Gk+4vayX^PO<>u>g^m=nmWaWlv;i7x6P1AAx6{b}wKJ_Zc z%mNXK9?~V8w+bo5jt0Hnwn6y-euA12Ka{f_jjuq@$$64S=XkLdG%?5exL4}<@+zOF zDV^kS_gX~XzJUXG;pC7U=bZPn$a@M@APt?Z#qG*x0@{YaD|ObsVg$EctT_`!A`9P| zRaZP77rR!As*affg774KjY8mnmu^*mnMvGY9qws4h@ST6#-pM^SoI~xN|fJra~2Bg|T znq?qvaB&>y+b+&si!kTntXd9ie(vVy{z(|@8jOFZEMJjp84phI5q~6l95Acg5OqLc z_by`@zT}0Z9)6ntkUBn&upD2t(RLE zT2O`qy$DsPISa!H_k(dh9iPw|J8z@*^|+#7W50ip;=MASzeLG8{|9=w7dCq)SvW(y z<>6z0+tR^_e)-`f$=;e+JXaMZ528$W@z{i39~N!yqITf+aR6;qW!Fi&#f{EgIPN7vF8< zJLrFB{&83dA@dkWjWti4Qqz7hPBf+&2{xOV|z6kd3{7YvD?TyV82GaIvJ z{n=YBM%AZ*IX7j^ys#F-f`vEjFpurnEefB_!>dALVjheUU;x^i|% zcSv!|48vebYJzsL^xMSwvd}fA8rLDi_=PCsxJfyR-(u?7wSiF((2)PU^WeQywi)o^ zRW2@o1IC(e)L>~pBn^!0dB$R}h z>O!%>l#vhzY>Fj0g}pH>!?^+pW3IcdpobziQg;yt8(EhI!6vX0 z<9Wpx^~EN+UUYz}oSw~T%d7R3#)iZPXUI4cVC{J_l(h8swqrZ0f-7~ViS_diB&<__TK-(A)E zhwzwYe>scWxA#QcB)sL*;-Q^I&QqEplP(+Iy_Y20S3H3J`_t&?(IadknukT+SU7Mp}^xA)%EaN7Eb%U4YH{Gr5rW5I|8_pygBiCbZ{HDX$B@pT@@ zsSe!Tm7VsL)~&YMbG)ER^jnvqi$^Idrmgt-Un|4NAowIPMQ(NPZC%@m|6+q~U zvD#XcOY&ITMC~&$jE-x9igRrfcRfAK*Xp@ys6P-boA2N$`_7M=S?NqYd;FFf=7L1E zZPuCr_`-D_as2Fm)RsPlh@ZB`GQD!~_C@D>(J5{~q%Aq777V$^s5Y+~2#to5IXd~% zTTxJ)>Y$YpPQ0bZf@Vmap7mzB;1X4%P6S~Z7hi-gEi~Q5uJ=i7_@hu3uUe^FgF2AbQVoIa=V$0YxNf zEn_-@5vyq-nmvqw1BtE_1}vW{?^mFHh{Tr+bgX2$gbwCe@GIHI*ciZFSI5X^qU6ba zBMdW76@n!;C~>U7_(xQN+UTyGYUwb^5R6g_O;*TRR?F8Bs(Wzt&yFnk?=D=4_Vf&z z@2Q)#Z?ZL!maEs0Mj|r&mN2O4O)amQc}dLLuAc}n(~EfbsT0w<6ER{e&mp&hNX4>( z#Z17$#7$tyP?43VP@aQ9;Bax`#>2SEV40+%qwH*_q$ZQXlyxvhZETsSqIT-4w7qk= zHF`^8E^&D~0(yjDS^wEqq;b>MrYpLdF1AHsZ#M0J^37%k(^e|#U^1WGRy9gD!%;&vHLmOa-!xUAh)-yoUS%3wNy{^ z6?Ou~SAqr4OSxTJ$EJ;M%FAe5wq@?vGDd{WC>Nu~#z0u1RyHr2Oh8I;jnmnKq6Cd}@Sn@v2Q1DUzl{F0_wKsfa@kqK(S zFV8NTIkk{dYU)U(lt2~TT&^JevO%-U_G*gVYl24p1Cj_ahi}I)1^&hY(STMrZLJne zbFn%Z^Fh+}A&{RX#J#Q}8qnWEXZupvI#>k5I(iLyy#(Hdmm4-Lr>!0PUMEK5Tez^c zBVDYvmWp+E%plAq3J16Q$-0JrCVr;`G|$)#^N2lqjyEjtF5_ROaP7b<^dmxJYB__o zytw4Ony>7+r+^+ou*3!>&Z!lQfZByLa?}I0)T!l;M5BLl2p`UvF^QYDUUq~p5-?Z* z$6e_7Q+y>8Sb*?$oa23q1`yD@yg3!MmgeTW8-@r#MssW@GWMg}xPi!Gbr{k@ZiSH{ zlP$5eEb3UuRtEy2B|}9PZ;`?gNo?jxGH`Np$a=nZ|0X74kFf&C0v>4PZe} z&sscTiPibX7xg$0#5oD+X_PM`*!CS2dD|c+s4XlLVyV{EK1S+U$k7Oth1yz@H6z7< zg801vUU;w<_9k9snKT3DqRpV6h>g+u>frJo{hAGLV4HNR0$yyUM!>hp{W zZ5UlZ>6y;fw&PK~)+Gk`^a{elEUcd9D}Tg2)?(C7(xQgNuu#tWF-=KMr) zF2M5_(F-H6K8YE_4xS{{D4tG0Hn|skrzhY+sbbn18b zWO1wqE9wgNVmED_3xg~t21<We@95ZTq{bJOO zPjPm%FYjkb)n9Ri>zt4)Z;Kke4C{3kM_geN$Lc!9$3gwqie7|IJ3n zWy@@o-z1F2i>51$YT4Yk9ak3LZ5S&c(giH9zX`ql%xZ;DaJ(V`RyQ_0Rz1Pb8T|1|^QQf)P+VOysaQj|cXmpw_G9>zlv%xEMEW{a^nV7TQAY#}(fr&uh&D8V>xCA@)z&-a(U{2(JCv)f%18q&w4boCwzhCH=^Ts&H?_H( zoW--P*&)NHqej#@OKf2oBO|jvnuY95@bTgLGB(L14yLUK27VN?)-3PnC>B<(KIkWo zo9P{70n=77FJ9&-C{Og0?PE5@<+cP|ZJi*ub8Y~=z&HOq#&Yqc;{wYnW;e1f%!4Nv z(F-XsNozfDdliMy0Jec%ki)H#!HmqY2&G_i&#KuXIyL|?O5)7vt0eObc{l`wZhkTq9TUtoKwKHLwm0~*ALaqbJzQRhiJfV)tf z=o;twMxTC~Wt0F)w?Npv-PZmsS9JNnxmbLKnW4vTG=EftU3Bv+x zeS%4;e%dO2+M2Jn;u{GlRQ;nBypk#3qpjDu&HRgIaT39w-q=}?DngrfVM)%onC41g>g<(oyi%|R=!7@NUIDKTb_;LNx zVFYKFNEv8?A?diuE(Rr35Z;H7`x4C;A?ww@WW5rswwhH zn}l~U&~eUw!E8^|$l9MUiJIfb)49deJbldXgK!c~!+Y5w@4#_+gF&p(g5O?P$leGQ z=i0#T8W0~oDg(>-Wroht)|@o!%vgB!pr1gVFl~+Hv$$izcQh5yzT75q%(}NWdE_m| zNc6w;Q=iRl>faLF@a3Ash0t9>SLp2V3%jDxXF;9t`kP69Hy> zA%tA{S8Q}j!=_fe5$LsN_3aT`-Z1-9*XGC%MRbVvGC_PX~ zc~l(m7r@!l20ZQGzW_8DwF3qr6a7;3?g%r#f*%OdC$MQ-Vk6T<%?9L{7?`}i$B7bI z3%Oc!uwcv8@>Eu9J%M}GXpE~YL5Yx;?||r1RlpKi3tV4a!cWNMR6C`7P6JAS70SA9 zAa7Jqa%surBKyGxEs2Im#m{+&=UR9@sLYBb*Fb)T@Ir=K=<)@&<$UJcL9#GDIwSOm zl02lA$a2Tt&-5@w7gY9MEPIMSL86cR4G%VL?3EDeC> zD7+WP0Yw@M+P=G`g%^wD68Q2jtm5#)4+aVVlv?C%$mB^`D5(};nI%I-T^=XC483yX zV8Y!-F=!A629mMso$>cJ=~EAP@HAzU{)8m&N^|=7Q!f!Sb)(q=$4TFR2VP3BjL@+c z2-wkfj6siPJ}7LG9h4+9g=WH*V%^sW3$Z<1U5VC8Z^>)y1R(YvG)ar}c;(~(@Y;Ie zgPfO%blg7Ry7Q>+MMH5d{v^LSjaRwEXMwkGEN_yzb}BNz4y^v4g|>-aDTq%uTN~jj zam*Z@MvcK8{{nuyWwI#_i7(zRa2G^i@Om&&!7P(YXn(kHFbtQ)Lj)zIP(s&NF)Wqk zKesqe07KGIbgqm@SjA62gqsIgrPDChtviCXWEP4~;_o}a-N1!+g-jwIJZ zx?^Wd{KG$;oU~^aTG7T2Id-2DOewx`Z``)oQFYRkv?906+mOkVvQSb3w3G}Lbsv>c#3fS{Ivky#p($;TI55+!Z7oLClwl z$J8DF#TND)E}3`YhB9v56hiU9fGbNRU93y~H=f3NS%57z`zNFSwyeNGy;HAj@ z$lgNXL8pGI<;V)Yt&xfV=cky)h?;dgP~p2^98t{Si}d}JV?(WHx+ubVBO90=`TZo| zO*oDgz6+5~J2=P5zMTOx#(<2$m(T+lSS*nmf}`!d&m>37L)LLZMyDR&wyq(P6)SNi z5QJsQ^6q{PGdht#Fd=Q*n2)#dU8<1SojGlND}EHD4s$^q2Fl0T)Z86bvv&R&>7m}s z1Y#!Pp?7D|ej=1WrO~-2KU2wDuxye_UnyfsY62lcS)CAd}e-cHl@2Zh^Q^5izG+Sp%KW4@DQ z;p-Q8ku!+dPiqI?A6k#YZQ$fNBQ8u9ugf|D<~f`8P5s z&INnT+9aN4A5{--7a?{Bv+Ipq;X3}*q^b=0rk(3Trx{)3=o1{ec!mM>L@KOk_qYRz zX)8P83kN0};t$)K4WlN*j4l|NEU}Jd8>Zl5%i5J#cS&PH;UPwyLwnN<+kJ8SenPUyqP7Dm*j-$3fVZww^PxxjSG0%KG(GJ^rAMvR^@ybbI@i z-IQ*v%J8v;YJ9J;FbFcTgYhpw&DleXrg!tSi9XP>^!>X{5^qK6+qRGCui;zfce{JT zmb^`%*bf|uyJ7M*ED8bId6L9jhWIVEhqz(i`GV*NL5JLS3 z5-J1*g9%F9xDgmtVwOo_qWPcSV6YwpRr zvAOG+D@>ez1ta6a@H)kbxmvkRF}W|%?$=&tuo7?B_d-ce1ruL<TjQ%RZ9Rk7P@QwELzW3x3y0LOkgX29W#9QsduWg(wk!ylIvz^4L#q1^n7oC_x57SnZGgjEmq6XxJd474!%iDM1 zf~Sknz5?w2hG3H~@LDOMEoVQEuxA9nC1IglNJNZ-_%2iujAyf*0gl7D1l1c${bpM(q5y)8nrT%*rHVySm$sxfCM=A0J`7vOH>00=%|F!b^ZJR zGcLIgbg^lIWlP5Wf!$v+$&=OiWsiaf8{5Rm(Nac$5a$jIjE5q1Qw0@l8kPQPt1H$u z%8g|+Y&CQ%>f?0{P|`P>)n_q2+HwzhfSBQz-{eO>99cxa$H6aE>?adCE)mwz8mmeq zMQU4wMhCWJ0ZuP!0gJVVrrJWe7_8oyXYq5Zt%)*J2d)OmDsD8#Xs<1{OM*~1I`yE# z$|})~nIO{v!T7ozjM|eTyC?36m_IgwOt4I9?k~(3bN|Is`e-Aq;3i>v>8#oPDz2$$ zVMbp~bOWF;Z!|VeC9(!LhSB5wl237tC%n)dX2q|_v^B3_-kSa~LC!|Z4EhW;A=XME zow7rDehzEzh9tV-6r~}zNC2St zdWY1oP(TwX8G>`2Ebp4Wg9;9EHbO-dEe9wMLj)nVD236P+HwiCpzs}!sa&}UcZpbzh&Dn1`VHO1H7cg6j(_NG* zWGXRkOB~Bs@f)^Ghz$-=Muvw|F zu-fXxa@y(|dR_^EJXfpF6El2=ex}2B!{A<(7K?Hk;|nG3QcX6A+MXM12L#e62bLWu zG#0=L(&VKt^})<}#j z4g?#i7cHzLZYbsOgwIRrJ zQI2I~DZK5&>>aWadV-*0QPo!X3WJE%3h8?sCMAxRC94BQUx@70&tX;9ea&l(lh^UoyP*P2PhJJBHVn z;*VF~T{WNq4HfNjpk;R0zm-dAq=Ip3O>aQl$kc;Kz6}5ma}1(~E7~Wp2`YYg zO+&u6O4dw&)fQ^GcxmSDjNC)92#$wwYRw;^RTlO<0S#256f~4KAr>av4pv>Z%oV;k zh#U*(dXz-Svi1)TyHCP0?v&V9rJg{{{BLANH?OZzJAq|=7K&^FB|{~bKH4vrf`gp3 zU_q^j$}591)zaIIS_bGbtZDYkn0_#GCk8M|ETuJq#TKNIYM)bV_#YXRuWpR6|!DCz&d2IfVV1bGz-~k zVVq^PiN+I$-keZoU4AdiGr*PJB_WFQr_@F0q&>n|wU6PIW~Z;orOk2w*(fNR<>)b{ zty!ZTGL)__yo>K0J;Bvh&y#N8?BA5x=XvQub(W3Lsv~fFKv~x+U4q+DD+i^C+XmF< zA!9Y>lJ4Qa%XJ&S@!6D-(sa*?3p?4o02aSZ6s0d!B4CH&{5KKYCDvt({Zw`6sFC?X z(d87GFWgmN_7WJ=I-5ldj$s>9gr==ICmv6a&>iK4%pMqB^Z8|_(T069*rt@RyE2U9 zYPqY<7$F%`p?L|<@QHP2;r8i92u=-*AxXmWsXAq0GtEu=&6M3JndeN);@Q?_XxX9?_5)1T6EnS*V0*;kN?%F~1O1l^YWoOQ zH%m*{f@(R*L`bo9nvB8-%OkWoO1S4mwX0>qcJ?kmfw9ESpFNgj@<`&E)|=NNUYzin zsyij@Bq7si-~@4`+RM?YKZ`v@vimw??m}YPqZBffHvv+e$=}2srqf8+2(WLHOps+Q z1I#6WU%6Kc30ruMd4UM3-8r7{J_mU5bF4!qD=8DOkgXPQ2~#puWDz0wF_TB}Q~VqU z&$Y0PG!;>_9H2a`-GVHgL)Mx5p)Ab@46lFb{1fIrmuTi@?jC7?ZHOGH_PHJGg!of@ z$A|*%#AXF3QDKC6t1n}6)q=KkUC2?9g}BejwVuEZ%e|;ZjFBqqkjY>fkT#lyY)v3! z7_cl1Sc(eRBxC}5viwFYi99sGS-IRX6ZADI^difiFb8Ms8y-WS-kZvG+Mfo}?oN|yOV7%~Ai zqJEv*^F0nP=t4MF8)Ma?OM0WcQ1CFRHSGbO+cLJFdPv5t@hBU;``#U$EA>+LPOX}X z5qAl?J{-E866JymqIuOrJia{~Hb1^$_;Otbl$0puHvw)pJ?#B6cx0^t(YGhMwpP;g zBRyzy(^fnr-s|@9O(9z69(t=~w6aF}fW7`bJ85-d!cf=vPH-uifv!Eifh%8M& zn8q)yGExs6mrEfNeG@t$N|?VJPnGRHToBZ~qP0*me>&YbqmO#E*H8cx9sY$xA7`W% z)H_$mR94%cwxWESr>!BaLf#~`$*hpkT_h|5&S0G8O{`n-6{#m+ld#eakNs%kr{orq z;8G)u1e2AK!XdfWN3f8s0oIia6{(Q3pa|khPI>Itl5mj^M}WP%A5PExwop7Rpt!4w)>(PQXI8CJ-`IWQ|QRNk+?w zwGY#Qe6Yz3#vDc`Dx&p|U zvg9i3I-x>b56_h1{kyH}h~Xo}4wPN6%_Cz^+hZu`UAj7kHWnQr1s{Eru^fSrjZaz)K7s!0JaYpipClFXdv$><7%6!@0?u43gC${8SIYaPv|ey6G^Et7Q%+rG)~o zzd@Lij{57L#%W+4NmiCNBzQncx^iZ$>rsEBj%~7_-})lz`q)0#xX|ChfIs^|N9~z&$IP_;NR_oW zZLYCIp)`C^c3cG?TWZzh!?7NwHE!DaCGjm@vNHidRcH6(vJy1#c2|KB-j+qL85? z3vri|&&?ROZ))c$WY^`^%=&i_u&OJ5Ri}FvU@OvwEn~t-K*&^)MTF(yU_mdUk!3hn zj<|4ds6!Y+45g_-Ja#xXy)Saw3Z^}LCj;AFindoHzK--`X2p@^GT&pVX>Hc=zYH|( z_nu{K%{NKQ+{59nd-ch3AaoF59qogn8cRQl&@}ol?94n*xQ+b-ub30cK_$lxdRF!G z1+_uKCwI<5mY#WrQ7#02Rq0|RQo0;G~M&N9zJ zj#_vU)L~gZ$vAwCK%E|8FZlabLa6gSa}K-q`zZ5zAzHN)p4&xpaj!4TGDbQXXTdXN?!GOamJka+466VC@F zT{vSlnl;iQ#>6`&VInn&<}!#L1LM>t=8UySgKlEJZx3ET%5NeMX1yS;0bS^?>w4vg%XRQrWY<0`SVHl?&r0 z!zh2VGkB??U-8u#8?-UN-lqVq#7uJ=Em9&dA|FnzEi)Z>ScWCqfO5OG$l$ZD#E-C& z=$8*VR7EQ+19WlK>BNqJ44D|ZQjibht^PHf8MO`z4T(`7VTYyMX#k`VCZXESB;()= zj3d?Z&TNXGSdgif5yj6bu?$d2p4MLxEg7Pk%{xrx0Ha-HpkgrMHU)YEXbioOlbD$Y zh8xt$YVj+poxrjI77D0^vSpT>%q4*84;HNHmIP7_omqj3(&H7+lla44!0f_RP3WI~7J9TWmai~<>jY{apB5Kv~7 zVspsM9m9e2VV*#(JFgEAt@Q{xa>x7@BhzL}cpj=uL=M4um4M_on9xg5aJ+2V$d+10 zE;x{A0+Vz+Pj+!hK=v^F%P)Wpf(#<|75~RBm2x!r7_{>4mX@?P$rQeQcHeLsbz*l8 z74)2SG7{{kL}l99x4;8U$Y!RL$OdE?m;@}D=s~s$!H+-*-G7Ta-vwb8L-6=FT?gfr z2>IAX4npmQ-!**yJPf}HGB1XPms%gnwtiaV%DLgXZXZj@f#1Dq>(W$GbwHd@E< zSLs?uNNzQ8Yu`KG3eg7WBc48Gy)Y!4QC;JkFCy$M2*`cwA2epWUTBe zEh}#HAdVi4-ROWZq1g%6|9R!}O^|1=TiJpONVz)L=`JJGp*7u`&XnP_)wAB^4e~3U z(E}|Ov7F(!jtyY-RvPyF<@zLxkb%)lFOHn6qp+zI#!t zL|(?U_0)mwU6DD~5Jxqcs&0a~G6Ue~hiU6#N;73QVvuP{uvM%(Z@^D_IShsN=Vx{e zjycd89Hwjb+P9U9Y3tX{kBy-o&n%5-Vm(>141z!xqzol7ZN(3xx62l=YVrkUz2e7N zD3^u6oRi=nX_#>wOlP6()>zl<)bQdrMx`*1NZP4gIBk{N=e50FoYpU!>B;|eo3eRm zo2}{zGH3a5&>zC0q@Nj$@CxFh0_}UJhFV?OgqfN}1i|K(hoDvt3T zsVHC#T^`6nZ5g;1n#)KmLH5p`@`SqPWaoHoPB?94(Ja_9j>OgBU8Oju{kZ%){4uCi z;og5gmg{d&BTQS>GsBhK8)~sBpHMj)g@pqp>efitxo`Eu+?ZAon;=v;7a@0CM7Ax| zk{_O|EbR{$7|gkF(ibUhU-5CsNr1Uu5UGc0vgn((IMp4}cFCG)D=N1d19$LPtC%K2HbTa6iZsY!Da3Yl5G zphOy$RN`uv=$S$zVaL)mqPv`t{5mbEQn%Z#Ib1yvu2qMV-1iMHX`fP3pp{;@n3=cr ziLS$MkzCebA*IxDNT(KEXaA)sI2r{~v2SRvJ9^k=79fk{X-S#Y9ce;H6^p*e+>c+u z!1_Jy_`gZbNN4~b88F7>$hgN$Tfz|s9ZP(+N2!+}KkPnp>t)OEWAlS7E||h;>+Hv) z1M@;;^Qr1Q*3rw}B*YCJx@;HGl%PJ=hQUU#8oqeuia(_p{iVes;i@`!9$rjav81UQ zG4s)YIZZRZSy6BN{ylZ{lSQHa6X=3J<~q69@`AGMd$ObNAX5gYBhUq$p&X~JjS-7^ zE3(Z*)|Jh7Inbu5%J+DRFai`@RC@?U4%JpwuhGcW{ZDKvU#)k^NY4BgJ3FifFr{ZpOP(GNUJpcH#v zoPguBRnEOx+lGCEFWzZj$3J#AvUX2EsRF`8P=TRmWXVG9*`jAL{@VeOC-7S~N6xK! z+UnWD)7FlCECKR3mdku^S*sg;w5s>P;+Rmc3(6@euNxNMcYXoK)x8)rbe%wqVl?tj0Fzs?;)~XDlwRk*0>( z?_vIU`)}1RnI7S^%gLcLVy5PY_$6n&yaL|&VnkQ??q&;n# zPWYY?GX#QCx|3cv4kq7kU@vqFNZ2@-{2gVy&-I{|n_rtib%O0;P=ejhVLe4p-HEck%Z?sq5g63Kzcc0G0;l`s zeac6wZ0McOrc^b$cCmH-R`s!2pSth{_#ute7ayEUdNx=~!w65S>(>V3C2ok99h8v) zGO+-es#+?N7tTRu!Nks#0!a}`%QnZHf>r6Vs^9=Q-R0Raj1(4?b_q@1Fy&XlnxVO1 zD_5v@DDbM9QhR!+M2v4A$TfYBseMJ^a{X$xV6-3oMm6XLD}Gy4O5T7^y;s#Lhf44V zxO4+MeYGA3>^PFOLAmXM$*bSXvuuN7fM?q<+tS(GWvOwxMPsksFCIhH9^#8H*GyH% zhShrq}+Mhr4GmJOy6A|(ZL z5H%TiN@S4?HbSGpBY0+`EPSsm85*mdcP9f~z!_5Uw6#DZ!?GrT1|vj(X@3o*~EP!k}>kyRz&h}MeJK!%=RYm%acBq0fcNUmzO z-?OAi62}TvXODGNQWrogC49G45WxAZcaI7+efXj}vokK`+&`Al{1Z29bJ(B!W-+@% zfoRjR6&RMP_sJI(IbhAjJahCVj|WTgZ;1Vb%y!SGtS z;L-s;ZADkKizJ9N*!1KcFFjmU3NNX)0y4z?tyn(QN)5czOL!PI0Squ=>u*mPYO|kY zDm6Z!#s^aPuVBw5Hhq+hDqJjX*r?i^vh#;wU~@7ZdJ}1fM~BUTcJ=c|A}v-KslOon zB$HBqepyYXzlMat>v?il%~036niNssC%M}O=#)|p5kiI%n`R;h2CSM)3=$)4un`&! z9)Xc-j2QBHm_~?{6c`xP3gBr+zR}?Q&mt@|k_0*ul+sBw_2M`j zq4%iaF6v3{kfVty(oTbTgm#gpnj~Nh7uFkW;)IY+gT}>mUB0oH6R`wGU3Yviy%;yRXJmRowa#mREsweD4iEFcu0lF4x+WA(q<0 zQjuj41iA)E^)Z>jcKb90c$PX1)tdono-I%r^a^|zZz6l^1}IZB&N;q4i;or^s29(Q zUHm=z!d)vgn)8Hv8X#9?V`IHs6n7&|Pjgzq9!&MpsH8xc|83vwBpkxF}Bo>XTXd!*Rh*yBNULAABA;~^=FG2@}E<;K2h`hWPLo|1KX6)B-@;3A{)K(hFC_nTQVDLghqo$Ul8G_js`Xol*&b+-Ok|JJ6hbRZ}tkp$Mu4JI51(>bt zu*s-i-O{*FaG9}vw-p~yC`aX)Lj4%x>aLWq1e(={>fE=76W^J<2Lo90N;(|6lRu_x zx`nr$Fs7YDP1`K?c%Fd(gqy~0YEiRH)RyOSA*;9DE^+L>s&7%*~0 z1v$OzgX}$biA#F1jgDZH#cUH*!PnPYUtWfVU?G*fmx#O|+`GI=lR`XYYP_1;BYvH#>k z$`YLu-`Xqn;Bs(N9O`6OskaU!v&4Z{qe*3coa7>yA_J`dvwk(z*!Ceb%*hM4t? zFt~<_=*IyQIUwU__gis7-W+|WQvdBaHU4&-Q9t|1%Xr#)313gR^L{&#E#bQSBg%EtT{M| zr>*pfMR@7gQI*WlVSvWQMZ)7}Zr(5k(^mE3-`yFNJ5Su2jOQ{k#i;rnmdUqcY6;$@ zTOVbz;03q#EGx8K2HS=j6J~%@zCG>CcfXpQD9yLw&augzo8zox@K~KMfjkeyDFQhmUk3En zEqKOJYzRo9!?9w&mNa<9<_dZ#D_GxFc-o3{Q(YchSe*#aJUtd#hdX)T4HYtKvbxb7 zNnt)97-DN9_=!Da+L)05K_Po&x)+9+t!~|Qjr4ma*=oH9e++$M!EDXeB3v4b|LWVp z(^5T(t4*meyklOI$F{_pd@fRknIP(#SdWE>6!3fWh76vrN+QJHtO$wyBbD=>IFx4fm&10FG z{R3(7$s#{e@#3%G8E!-ex@zZEIUKM&#}6lDcJbq`;>xV+1aD>RV-p4hK}H|NMoeAB zN@->Wbrv!%2d;rFC$$_m$J*_JiK*Yd*~No4XWuBXN!Xqlhh&U#$8Z69kvxeM{Sg{& zMt5eCursb&VSxkVYHIFA16yxH7$MUbv8*1Z5vrB+9~Nd?+QHsv=r`NX(r>P&?Bi%1 z#x;--hYMaFgy<4?sdS0t87y4G;jFrWvT7!|9FeTL*w(A)jb}PH9NY-1VHTmgm-67*nYx1Vzo(FuKi+l3(q7C$SpOJcc5Dyjn14? z^E;Rn{4i?s|JnJJn(txK`rEo<{p@mP8vW}f48<9*=IlpA20E`))s8nBI9h3{Ai+Du zhL}c({26HOTr9LhqS4TAwx1@qHcx8zwTxGbdu&4d?zM@bzGiLQ{s48W_vcqD~<(Lzp1 z$$=rm3TFE~%UQH^I{eOm7j|8oFt7?KkN#-2{!qR5u|3Rko+>V1&=ajcvU6Vl{Wl;W zKQGEWj^WR9Ia>UXh5EA)=*mjG%FvF0$UAg9&l)yZPKw#jM{p@TV#B$L?O<9Mbq%ja ze&eCt+5F33``gBoJIxt=nw{IUZ$gFWa*Q!VI_BXU#a1D@^7s~_!l$gb_n>FEj^k-hi7Mw+>f}5hZ23g0)wD142D`d8V`;0$ zQ=?lsbV&P_V{t`*MMr(a)YM|m1b%4a#x}xL8~K(vy!j+bVJf2^iu^>+&ghOBAB_%2 z2WABFgIt4nQPj&gz1nFPB+nfDt?fEpyVo&du^e8S2}y?`niXQ%j5QNHg#a229)V5O zkW3?%)x$JGq~_o*89eRCHyS*GGcxtc7O>J^QLmVsGtR{`mFo*Y?#XQd&RE2WdrOt4;D9B3#D zh8#|nFavNJe1cabA)kkFLWYza7&5G2)*10-;fG!DnX_sgp6*Y@SYEk;% zE6V+cFwv2(o`Jq=cnI>pz6G5YHpf4p)SU0*crHhaAF^b8tgB6XX;b57)#BKFbWfSs3r3uL zABTh?18TMc)ch+1rmmd3E^#tPy|US<`W`HUGzBWp9A28t?-;%R1GwnL&83k#kLvw3 zt(=*$l zQfBu+^ol@Th}R*7$}d%mM~mnG3cfUOt}H%t;eQybXLokat72RVS?6zp&A_atbUW%H z3ptVy^(-l|Jdq`T<-r)Ab|H-Z$qv6rs?}uncyVrIfRd}~Q#dH~U1xDS;#Ig0BET`7 z-JC}PzN7KR(G*K1P{~@M7YVTr&fjvWQ++fiV!yB!T!7X9DYjZG~ zjte{ifKF3jJCTCHxDUefdkUIjrkIwdNls!rW^-0?UDIzEOZpEv6n{~PD)B=4c#JpB zs8ORTM>p%Y*(`?G4Fj6YCLvetOWW#?TU!;(=7-F-z(&$KrKLE)+~tK4>hK;`nvQRy zhTl8-#nB8haueGn`>uw)J)Bar8cnCf42i5L##XQm0wEVlgRuutH=9O-M;L>_kc&nV z)7Ck8G9aXT+L0e%wx5LxGf*uOHso?~Vw#0M_w-1ax1+I*b}^ax;1gQ!{o}DVhu?j( z*ts{s7k%a;58*>Tp37c99)cFZ=9h{rgTT|pQaaE~(8Y$s5R(Bzx+|nG186jO1g}U! zJ`dxB3@JG6?VXD*&U*=c8c}wZLeE#~**+uoN z!PY?Ub1?s653ue(Q^5$xpAqS^_2=B@91R)Py z(IIL*j8U_76kJrh=QTgpZ>x(dVvs0N*#fQH2(qYEv55OB`v9a5<9oO-C_4X}_ zVJn=fn`VmR%?TH|;M0fZgBVh98$zC_J{{7l^%N2$;?sbx7LocEK-plR!QDn#1mke^ zxo_mqhffOjRx-@j>NgH1tMOz`CA!zI2k}D22kdpY!R=w&c`b9PK0PV5d7tT(+zi1Q zi>gAT3<-=FuS5k~9xzQ4a%{={(paYQudpr*8V1L4U!`X8q|nqNtp!E)SWZIvJKJ+ znY|n@OR0_uHPWa}^$|6F!#f|ctqZ)E?pXNKhitV~nXT-5JX_b+$<*$;UT;pG169?p zrbYFgt!CV^M((@#XzTVXd&f$h;|!qjE_$i?${aA`xq3p+s=(M~!&UNB?0Jexfld(z z(jq!?jXNST(AZjRebKvLZ9e`V9NvE?-O!)7uU!)8ax66XRui#_TtdP)Iugd zM4&kc^?2HmA7Hl2GHd*x!X)56Z#YkZCo{IrTkk{JzA?fjF@6LRKNpDa^S?A6O}~V@ zhi88jPg{W$Y`)8};zw90mjr4LbXf^RNHakf8xA5;AmB7)=r#tYVd@duwIH`C*TyVi z7Ev-3_p~GL%=(155l@yZSs3GHZ=efOoVGfGQ9I|1{E9hL<>3>h+W$`>ursdK@*7$R z%xYr2s0S?M$U?+3)HZdk00nP-o(jNCyR8G8O$3fuD-B%4vm1@`>e0uFQrj5gG#hs{1)Km%{7~=;7h3~q$uiN)M&#U-P;ofY)r`E); zSNmHxUax~n!t+-Y#9~KUqmy32GBh=|ZS(Ao=G78^Z|;5qb5hRANf<8O2ZMd%^Ex}j zaejz<{rk9z!e9N?4Kl^&YL-)Rkm!)(xrP(S#zFELv!}tliZ+KWCoWgl1zu{HU*kaX zp_^FKbOqC%(d0|_X6tKS)ia#c4Q1&Qy%22b8h05G(o1ZbiMk;)8ax6k!;p(cEUSlc zLUKrQ3cx9(d)kqA8hk?3h{s}QqqnFr3HWv;beZd9a&clBg%&PQJNUyLEN^*-0S0_~ zI8Lttzk@Gst?HSbvO#qof>K%$xTcxFaWVTd#ALvb?g}Z)00J9AENkIWCTK(uATPE~ zsEPsE`awp9#NlQ&*}bxPvQ7ISTM8ORrwh#0wDtQDKs;@=?=Oow)at_35vHxUFfMMT z0-|ZoX*0|Oo)MXah-W%0)XQLcDFX;BO#osG8K8Z{)X#1rXI55n#6^Y=qB=gJe*g7z zEZo2ff7JF*O%Cvp_XA}nH&|1r2iO8Ka3T(KFxWU`mG)1kFBy2_BEj)i{UAOWq18?N zh?PcHwJ4%b1z_oN23iZ$vQf#XT9Azk&;oTFsUZc3ddBaV2)23kGdD;9L&V1w?tI}+ z#Z{zF5$L=xD}S1$5sb9tXz*ITm?1UL;_plPYO>R1)>;g{%^`O*ui8dx_Z)hvJ)iCv zeqt*w=A@4OXtEY_6_8b+YybwZZG$D!qEcpzy`Bv?Obc@p>B$|V=7NNb&nUwS!7Ip| zBJf-Du{oxX%~IQtgF#7IE#`9*&P=+?bS2&>`E0I@Bs$XGK7aJm`? zA1EB;vW*H`uE4JYs>&Ggye|FyJ@ZWpEU1b^Rj|XbXc$mK+#< z%PY`TO9lA+VE9ZVOweYikwJ)i$*^RKMvi`1-K?R~xM%(5YKojunn^EC$X`ZX%@n#M zKB}e0f*semXlx3<52^NKP(Tb=SM}`09?z&!VfCDP0^6K^KY%R@@)s(`=fEkv!(D%u zqS4?Hj;PNWv8*1Z5h7a>)-c-`2|Y`iB=O6II^xk4!RkW3x@D*r^$m=!s+C{ZVk1tN z^|UeSD|oiA;+{dY@l5jyst^pvyatI|$Y?=v{&cD;B0>(cJMhqY|9WjLW-aIPEV2V10f;y~U|G9YMToe`XZ zl=3hJ2rI;676R>%Fq8@inpkJnFcW7XB?p=eo-X9e@8|LsRNrs?GH(C=zr_`GI4hsK z_HrTeyQRiE>DRRZTaQ^!+lD=(RpHJHHZ5l0(|#f87C>{C_BT<;0cIoGhnem7j4o%v zYrnc?=X;M2{d1|-A3pwlhp51dSaSFqxY2(bnG2tv4+)6X7L8ffD>6hqi%Oa()<@R$ z=p)z5nf1hvUs`ZOL3kVI2(>b!`-08h&$-LBY3wTZ8OX-{-Mq$)yXYxIz1_0cz__8w zE~75p=1pP1{^+c_gF2m5ot3C>b(pscsM{H7XHHi-dO@oVoWxy-E7fyWRN|efJ&)q7 zTDgDkgdgBkUM#=I*t0?4Tp~Ka*AW|80QN)? zU|-1y9WVSluB+ncj2h3d{!rA86zcHyHKhgJLJUMUJDA0Nh+!+c+;hw@WPwYAnizk< z(q1VDrD_qwbU@I=IwO|V!x)k&GzbFikTR4E2%1=D)-V%iAteK2O$JXF@`ry>Y5nqb z5dLF)$J5{)jZ&9titk69c^>iHeeylq_e@1Sqqo8x>qRpG-|z641u%MzfdZPlfWY1_ zq}a-j#a1X}$dZLXJ0vuv0)l6@mqpi?H&oFFGr#@nn$JG7`Gy*O=I8-cUU(cH{M;|x zQWyUerTs2Ueae4@Y9{N7p4C<|hp?0xfh2iAFp}~liqo7ijuqY}I6t8kx1V9INY z9sHW-uHy3MJy!A?M>ltb)jBCf?Gke$Ah;H8w<%f6i}>^|uF??AWpf(_oz}O(lgB54$n1R6(Ujo@z_)-iByZ`s7P;cA=>s9=&+`GruC*zOd*HSDa zc3z}3v7WZL^JGf`bZ9box@rmGks;MgkRuD{vBb_(MYjN&yMW*osXzRbO+()Tc1^Lg z*?yMnATfh-uLxEbkbK%o!aZ#GNk~!Z6Mv@E-}{$uF2#I6T|SGi_-K+=^^CGfn1LGg zEGhLWF&zOsZ8fYiw&_wmsc|Suqy7?(?-ty4dIsNedc}iYEdr7iTTyXVZ*}IX?#a={ zNDA9K=X>?pWHMQ1W-!3swprLlHw}_k7&FU3C=JhA%~$c*75Dz{Com`Dtm*AITlFW= z+b6gOY-X_jU*Kq;w!VZ#{S+uieEz!SYVGyRz=P$%A9|LT?~#a&v29kEm3Dq3>Fhuz zFdFxai-eCrGT#l)&XDKMuh?#?CO<&6oLFrWq(^ymil;xUC_}En?Td``U9h2DS}@!P z1Wl|n(o9<$j3IfbSsiGHaHE0#d={JaM>FBf8fF67Js>qH8Mva!;ORoX{C>obPg{Qr zYrpT_KnyNGp}tsL9Gw{#d%L#^zK0VrtBLir1)f=w@`>=`_)VU?6mby(XcgMO}%-I z3NIUV>6@yQKR7Gui`U9kJcAbKrt^a!EMr*6UOmV5SN% zVMQ+$c1oQ>Fx&S@_jCZf-n756^*dR~p3aSm3qeAZ!^~ZxIeLo2Thr1o_9o8O14A#v zG6eDbSw?bQ-WB?0#-z#6Eje1=Xhi8?_9M2=WVB&8;(#NryQ5C1*#O~?1%u1x;~Sdk zV(Kw1jPYH!1iLFSAYbH4A~$_4x*N$(<>2vo2jj~R&gC3a(yNM*qMJM#Y>F|42b#bw(_!hcTc|DAd#PNU4B#ZvyQg{ga!c>VA&rkEW0_YnTaS^^oQ% zkOU)j$s$h3!y8Aa0%$R_^SDo(H9NqwN;eJnf!ydgU|O8QblXK6;ub@gj5Y?aX|kq^ z6pd{qWGI~iXO>T04H@C7M$OpYv`Cu;TaRZXBtbxR?Zq^40+MlZ1RKB*qruW2ka?pG z&I?QT6#1RrN+&TrAi#SL=pM#_Xe`+|3Ft9f;5RL{2nSo#-BK;B^uXkDp6P*FH_c}9 z3dd~HAxO4ihcXU>l`6}DCVNXrnD@YVxs@|`Rx_oDNaz zV1&&l4dZGNRhsEOpyGnJ1#Hb#%+1iyx1{UjNQb!9FtVDMlUl8BwoV34(aJ|Hk%4Dj z173|qUDMTdUzaAM-K{}7?L5B|6P%rV0GGWQ>tzW=CjW)2;vBu31`+Y<79;y%4%$T*pgsC z)#Rvk8N&>~X&|BcR$3e5ht!LdrgW`M8D^rMk&pxfdzu`cF4hYEg#^zbB9cHaJXJ&h zG zVxY>^u`rzkBT>(c?WOciV_ODF`<=gKB=WqHWw{vPV6~d^_B29@p-h0Jf;1T8(GR>F zth2Q+h?@rLT?KTH(2X9vLyJpn@~DTD!oFVDy*&C&gmz_5E)6joXqOhIoo=CzA-QqO zBMv?rhW)%gQ{6i5Fp3>?I>^Dx3%bq}5io1hMi?m3Fb)?9<6X+&?Fez1mJ<0)i&i8S!UQ&TR4QBZGeCR0{Xzp-^dk5j*|w za8EpK){s5U!nH^_&}48$Fw6jGU1Pfn!Wlap0&|O)9gj6`b^yy2sLFvRgQtrKI3o2? zX(q^Fn8<;rik<^BcL9N|PDp{VzSue;awOpoKIFGSFi-S5emQ4WQ>imzm~p|jZIg&z zxTDT_MbO|h*qjDIdPvy}`2rzLEIbp}#2Xgd*GTP$so%4-<&=K@lb{T8=Qmh4J{0n- zkJxxF_XuJW1|?8p0?c}d?4WMD-Fh}ojWl|H7QFJ>;kGLhHnuLu@_Fr{$(&?kG@h;cCfGD? z`3>rMC2^9Eoy00|XKLS&@i{k^lZdA1kI76OI3zSHNI(eRp-r`Jj*sX z)-%h~0?!v#3)-a&YMW&8F8f;=e1Zn0nOi8HraX)RqWKOE(@D65^Jrl96DUI9kEWh( z*?d{Dlw`?((PZ#+Azzn7Hw1_2u&(OaWPoQX^sGKV2t4#0Dy*Nxroby?-4HYd0)k%) zu|kUai^HBARE+I5NQ?R%cXDPm`J$fLqLZ2euL!#4Y?VYREiH^6Qm@;DO)Ku1q&%u= z@waZH6W-`TiUB5;X3Unf3%DNS)N4~UJ=;kvcT#hh~39_Y%!Y#$t#Sq z=&DI|r8RrQ@xxTFXj34!zoIO<`pS|m!F#Rru_j%EYa8Xz5`&eR-s&9&E)1>}9rJfB z(>t-q#H_S&({^TC=>g|fld!ExV^z9yR<@vQoCaI_I>ojTr=d;o&N9<#Amh-dslb^P zjBwf3#9z-e{IPL5NPCd7z_ek?BLqfbEV4(rBzzaBU0w85C{^O1nJCoMVH7bVV49G| zU;FY{Lg({?X3R7CLg;#21*oF+?5EWa_ZX60B$;j%WI+&88Y4|@G#DpDwlrv(E&K|k z1YgX_HmE+9v`JDgdDT^w66mlbA;y)>P>+k0FcTEt5bLp646(h?+)U2P2M!AR-#jnStTvep-Ji3 z7%B%oyqRBO4C|bCvI_R4a~h}vZYb%UAG)Uyegv@YaK70A_v+2}LbSv=jX@M_$Y|_G z(;G0FG^-5w97`e0G=4CX1PpDO!k)vBXf)J>odDyRbuLGX4|T0l)htP`NUS{TT+;wg zhq|DTp;|3>mv{K{V@hFt9orSWdJNYbExp3SMB=etuJ{idD|S3RB`|tDKG@ zhlMvTxfXWFkTZ*0z>m$u3ERS&w&ESN^lQ&;@!s@i2X@cpUYi>xyr-w-1!lnLL5Xp0 z#;d^-0Zps&qnoXOWlF+P%0vY?BxzFWGsKWyqxQ&UC`H96mS(!v;1Q~UqA|h<2zeU} zh3;O%Zirzr8t^)^LT#_d^>No9paCT|%|yRvhU7Hd64E_g$g?DON%;JKR2zwxZDr4f ze33}#K-V+kT!0pFu-M$rQ&m@pP$(c6V(ZH93;)-j!sOPL0vUW1bVmP zPGDsaBJYZccPyhsZE=QUB3J09QzGf)k11Q~SVV9s7egPDPoA0gsA>}7A!C{Dw3R$- zON!+B%@b7lc-tBG6$#P!juwt&rrg(tsg02*@6>E@n3Z79x@` zuKPmEoG@)6u;vKKBgBm+hjR-_VZLP}R{8Nle&|<)y^@TY3~jS7?e;!D0A~>X zM%GZ#0GJyxwc|vcK}js;R+lvs5FvjwW`@`t7&5dy>lfJKd8*Iob7w^jdGB~k#tvLl zpDgaNmREz7-Z?=aS+fyX-I1=chc~CHtNM8IGn!$O%fY>Ua2)$2ptzFrtD+An!7xqV zB3yHnBOes}PKCq@oWHb)N!7TlSzR0?#0QeL(($w%ykj0j@7lx|w~L!9lQ$q>G5F&- z%>z7b-AdRhBgV5;4ZfyhFESu|C&mc9qji<6DRo>-;^+((Qmfuip7*KFb{Z!bW8CV~ z*1MJErptQuReNQ46zuS$70wH z?l`U?!^+SdFP6(PB31(jmSBL3=`uAVkM@-4Tyh#bf{@D@>6zNuX)F0sU_gA&qa26< z?6l4-rmZC%H`p42FyqXse$U931n3Ylc)F0Mb-}hE05kD1y=Ld0HadTUs$8iWEkyPL zbO?!_F2}u`DoX8jRn?6_Nc3z3!44;TR_H^&Y7dm}hdp(d>kG9eiwhW%pLuEbQpT)M zB<>k0DGY;8pZeravCVI%e;!>dzoK zGl=U1n3iyC0Be8UtXQ-;EsX;{0&6o-rkn>{7E3SlD&2tqDr zq-WZC>rwCw>2c7$Z>W&Wliy)VssHA3x$3l4)Xb_#?}TJm1XXth7Mg^jAvlcBnhuE7 z2A1(HsA_^~^=e`=Afz`ARGw9Fv;hLK;UH%gJXQ1@AlwB6L##8BsC6qz-V_Adl```` ze@%54O;a-8w0xG7HA{m+!-Ok>Ak7q+NZaeeMMRfa;j5T*k-NG9-)2}UgVp{{JJU@coLQ}6byrcCKQtlE$MnR1WL=6( z8GPv=w+{^Zo-w3Qw~Hlv6Fa5B))0i5#t2z`j1#($>CQ^uiD;*Cr@~81qm6NB2X8ACHc$?7=;@`f7uKL+MX?h+ManVg-|F3pNxA}#j$P8`kr0br(vrp zi*pM&!PXG=9l;Rmj5M__4kMJKwp^_$4W_JFuZ|nDFcOh9#Aab6g&|YRVJlehQ9VtDPBv6 z6~z~WTWP!dKL4h2;m;9tHN&$FL)ae8hAnJOo%ot1U$MSGJ6E@mj>36rchO^{i75lwT6O&JEdi9zIKHmtm%r4sNYyH^?OKmz`c8mX>0SV@+9<{wLd_wlPs1>j$XK^ zJ%?36a{!hE9-$=foG8r%F~US$w#GAKh;@+?W*m$C_L>W(Kj$V}5-{%)GB{l}5JVVk zfi)76a2GP3s=6@K>)*0D#C1@}Ls)3NkF%5zrKMJ%%L?{*z46)9vFcW1lq$B}v zNg6Uhmu@N!d0UbrtV?l5;$@4QZG?oXqn=T18qmHBIh+H+4BL{n#}#%5kl9~BE-qq# z=9UH&K3~*8MP^YVD=Gt{nPafc7r|V>%ZucuQ>^PWls7$JYG#QGnY!=Asl<+?pGJyK zljBqqM`y5IDe32rhbdF*P`5%;>UZMgC^Db{=bv~l?Y}H7aZCaxVW;PXHPu~O8tYXF zwU!ibhHa_a?aT(!iHc8<);DZc2~X7Qa5p6?e=3k>vtH!e(Pr&!LE91|Yb$v7b-}YO zd5w?aCu%5rN>?W9nZ2e=*zic}cl~`&--a{!c=VN)gBn|)rbjF#RmI*4Cfx%v8e-V& zU9_DBk04-J7$K{VaY9d$FAWo&HM`78&O5NC<2QAEKZIE?PRNHP2{Ep0h6-Gygc(m; zP%5%$?lMq4k@a-39_dKjT1H>!76gPso{hlYYO+VZuGxugR;2X9B-9ROp+MSfhJ^}J zU`X^FM&6dKJ#FnTo6=OUY#kd4Wx$Z$@r%_Ab6H)Rsn)#JZP>Y$SE@bF zniD9oLr%<|^ky@rQO7ev2D0C2(isK)S7mEqch|83{8hozWZ5 z56;Na7DFH6m~PSHTzy!&rAee34$(v68(zz|H5g{7ra2EaM%ozB4 zr=3UVI0>aA2r@%vJ!H#)kfA98%>islut<18XqQcC^0Yl`TozJ1Z9%EXVl;L+5HfhW zY6%_0!P>8>y$4Sf-2w=A0l^UKjD*cWNT$$~i5vvlAs`eA2!>c^R+x#ikdgyK22U6A zL0yCxp6IKgtq@owSbN8lmg4YVZc-HQwl43CX1VXUct1NJH8A@ ztmB7zq*=~z+trm63@|5o9v!o7;&NXc+9kYRy%$%u1a_!dPi6NR_|&5^6TIZ;vCMA< z0wJO?(nPUcts(!0F!_KO4!=Dh#8ZW40uE=@S#2yCi)pag(H#d(;egP(k`VrUxg8{` z^%X6%kj;SMd^*q$oTgwvXqip1P%a5y0=28lhLgJ)?NS9kt-`YDHmgAvK(ZI9uC^W) z$|fP^nG=ZHaMb8g>R10ff5F+Rq`ra}Dy(Z(2{Vn*73NYP)sHIsVS+%T!Sg3>B+8O9 zs?@EB!;mnPVnb^JXfaEe6$q!Cr>{xq@yyCRhrRIZ%&%=6VavmpYexKbvw8yCymp|` z;1M1GM`OgYdYDEiYxjww^3T%_sYZiGh#Lu5vQ` z)Th)6+TmgYSTjXzN%cc{F@BDPtk zELvJum(_4ks254#j}98LY3{nLix%B7+v*Md&flWC90cZa0-L7mv_j+F8BMcwsM5)2 zvL>L928-viqswCgY1y(;eUg2qn)B-ZK4 zNw_z(ulD$+t202v^$4m*M=yH7D~Y;Scm={nl`ZV&THeIIhrZxvYRziF7re6?>aVRt zz1!xrb&W4Fbx#<6%zASxiuLNV1YS`j!Pv_YpGEuG<#Q${B0U`JOA@W?oW_Cl?Clwe zG;`?Ir|Bk>*z4I$i6ui{fvj^frjKFt5I&YF(nnq&&uioqm^iT-ez+GhG}qtEzdOXz{pOi_%zOy z%^0HFtm}DJRS$Lc!Dt+sbG%KxIENe|d30r2cn)@_L6F8TUB_xc1Iv1`_ht+;PUw@& z*K{CrSU9LxkW##TSelaKg8dE!B#%0)FENtaE(kYJ~ z^TTvhtQWb)wRf-1Q%aE39BN-FL%&z+^J|t^db{&prCG0RV7{_sS1{l55WABI-}SDi z$U8r3r;W=;ShtAMQQg4S?wBaO7a1!=-9UO}Y67nuPZcEYdR2aEr3T#XA#W@!m5%M~ zXK}R>M-RbntOWf7Nz9FWkB&(taXLw@h>5Cy(*W@mS!6}IN{peh8aPsGYyR)XFGv8ssXSnP6JHo;C%=4zH0?m z(ESIl|2Li!u@+!h%R_I~_Y(3t2XLgcONux^_vv9-y9#4P8QY;bMsc{5+0|p4ZBB(a z2g2h%&I?D- zzlRd&f`FzF2zv;i7-lqg0qe@1WtZ2M=u?3?`mh>2rzX_4S=h_I3G-aSpia=%B=K$I z9OxXw3UrfII>fUj43AaT;u6{hv!Yk9pKTbX8GV9bar2EhV{{N1o^d2NCF|I-B!p=Co~g7K$D5SQ;ESwXf${P#;q}8$md}iAyQJn#;M8B)=ue0Lz@s7 zF@Y>udO|}pHH`5At-xW>4Cx@q8(!E^cjvEBWAn_;sQl&=*VMt^d94z14D&2374_x; zo>|5wxHRYLu&EcSB1aYk9G)s&i#QEr=qZvWDH?tfk|3b2YPR3Aq)8GPpTJ?@G&W0k z{5fTQ>+;_O<_%Xat3P`|?SAd&i;?;FVYA)ZnZR>3mH)WAy`U${?V>YX+gcxNYE>{>y>0v!H z`u4}cm70#2D~0FW=GiOALm=$gO(W_Nmmd6mhDHZ)y<}sa@2%UXs>>qRHVho&v~~H8 zrZ-lkl@+ZQTobpOj|9IE3K(WRuWq0=EvZWSYh@|(%f*ho25!A@9$!yAXOw#DRgBB8 zTa4M2sU`Q>a%!XdRkHj-GKVwGL=FrXG?_SVu$>xgghqo$pnHuGgG}LJoR9`331%`W zG&o96I}zAO@cap5dw&5tC~NF)e+x(0?+Y2rA9LU zw|vj|_^uX0q6tXUd24WhXJz0SR96sKbA;qEbPMd=(ucvGYHIcB#R-v+fie;eh&T;G z@%}J{F4K=JT$6~ykkZ(SkAG4f?qNx(IoeSloc`X9Q6Ifv)UEdxR}9Eo_N;`BAe2=E zX#75bN$fP(su|NtZ?s6#+iym4$zY5w`IC@nHGh3#)VuJe@xgoQOQ_n7t$7wLX0NwV z?kyu{E9sY(lxd|?N%rRkrcJ6ZfeSScT2a%y@a3~-;HXs&Eax-$O`>^=%@bc_^dbpS zW<1aMDnSNxhW;u!5drC?;fc~nj}^YQQdK=TwYo97UEGTeEWK(R0pcy_w5As2lqcQt z%i(Tr{BGHrv1^~MMWH;V!K4edrrk<;en3b&@rtIJ-qMRVXoBq?DpfQR7uu^Fdga$8 zm~|CwTwZTTV>htuEItI+Q9jGn0ZqPZM485feYdqNM)I0+PrdMr`s&fg@rpC2ttSl4 zs6prTP-iS7BgWChU?VgdJObm^7%}7nW-(YbLPLgRP4TvNnr}3KfHPg~k28CRBK<5w zZt;zwOZa&_sdX6~xv9~AZtoL|%<(p)d2+O`zWMgUYT8P2+w3Az&NFGT7_U@{20u-5 z4gy`3(N?`Uj+`b-Z|bS>9B8LO$kGtnwV*Uf9;OyDLoPBRYk{F|fZ2W)`jZ7Z%nMUP zPU-?^{^OHOlQ+&WNj*ZNoW1+q>MegZR+GISYq5rriWOgdmO6u&5t#zJkl1Un!Lj$I=YzodjPP-9D=|hKQHd{ET`F9?pFQvsk%4WDLl;I;5~{A+JIh0TZG! z7#Hx~43u}QbnF0s@xJ8wN)O5F)D-^<$FM$;T$$(r>U4709U z_mP%je}ZW%#@bR91*WZcrFfA{3owi1@DvngVH*+28jUcd2B(~lL&_O3nL+Wyl!Ufh z?KFjU@zi3Dqd~beHv6;{`MX0d6u_5+uCb6=hp1DBaXp~dF=>r-y-b@Yr0e~9W6wQ)36IEYVHPq!3CMO~jontv}dKjw^b1Xhx&G(7W593e>?3X@1fA}7rwnEQ~=dUPE zTh$j$wv9Rs{YSluXN@`zZNeNq(M${hO(xo9Z5V8XMuSISyKRgZ@_861bPf+bvY^S~ zX-D2^@Ci{P0m}i|ve4BfkT8_m`Pr*#_ek)x71P%FGdt?+H{W)s_Wzfi0|C{(gP@TJ z%q{}b@BENyd>BevBQ*8me6P@%eN#`3TM%#>gu)HMs|8JxhjBtAWMNga&WO;0=h@w? zP4Ls%*SPQ;mVG0mW^chA>yP61aCZNhRu5;flg_vs+R6l_#dVMK*=HfDUG^ z?1RFA#PuC@t;@QdJnaP#MFw2QhFGGNiQ}EF?cJa=n6+{*w6%}Qw7*0KlE!+7qQJBj zqYkTiU9dk|w}z771d!Fmul;$afqMKDO4fu?({v57wUNm0=?cjd%0kR6o2F2*V^)+Q zHYl4WmO}N(O_)!@??ZWsb>W)8B2E-w+4e+NpC1?*l&&rSt%99+$dW>)%Kpsd7j_*759zm5O>s?^Xe}gyRQuGG?zWQ&Qd=-Nz>M zHQU{m(H!Buwsi~Dxhi6MIEtsLB$yaAD-{!J;dWc;c=9lU7KhU=Vf`rWDpHFp@CAwW ze9L?0Y)6k1V1*PmF3m(1FjQ+Yu}RW*gN@K=@Ce>1#E@?U@#W1vy;Faq&eJ~3Y@cV= z9lF#H?0jAks0(1Y`^(b_-lh_qV0W)om=a~vpqK)Y=`%a*I)nyN@EnMtXN=D2fva`2 zQXZ|~F)Jkn*=L$DVKzy^l)L(JWQ1q0ybDokgu3VBXPW#&VSs00;o#YTI1Y7T1AJ_D zIar}uGb+QtWCSeP`5O51C8e$%;_Qma&br{5&T@L3XUj=#k$cFAXbVo~qh~UO9&z2$ zAKaR%*|kDlFQ3Q5*6a2ZlaceuK?_;$%xs-zxL7{HHVA}VY>}FY+NDN=M`+Hywob_E zVHzQ;iP{n%qxREXkWwQ{x=msfm3w=RdS5y8Z9O-hz5Yig%6x7# zPQR_E42`yn$%kNkE#jC|#``a4w8T@4P*WX;I>A!r9{c5Nl5RiVBA=esVDCyN{0zA^% z05D3&2@fhGch$YooYzh7D~t0lkJN$r52_~0*04IT_1=%r>7K`3BkPB3R;%D;?`8F* z8;16j;BClt;eM*s{ocavuOEnB-6_Jg6fs8=4#m8$q_JUPdRdAZe~=Y&9ou>^O8oZO zdYX?@diJ@TwfQJLs2M6)wvA^$OTD-rHH)+GBI2L2Che;RW-P@BU3OpZSXb07IG&t48)?< zLBTG(Cg`lZiE&5_dF;5R2i`q))7D_NHC?Iw`n~Qe>A(x;5c4-9^(Hk_FWgXCzEd5H z-g>cf*-)!k>Hyst@Y-j?Yi!EyS+=mTTY7vaM6*UT6Se+EgGXR|bMzX6uf2>$qknYE zsIUDW7f0rkk1kk+yq?SL;``2P@;c9Kf{0##qCl5p)=W56hM6H?$i#YT0)}*8LxA*) z5=!Zi?TF2}pZPx$Pk0;Au4}x~BXqp2EcbK-S{dqAdK8WYlho8Bo z^w-~}u1w$mSDLaZL%zVQCf193z(S53*sBdqE~hIev0XY_LjyMRa2>7&yP1S!)Jz!8 zWuVm-OraiBKW>hc8SSIjj-MVE=H;8H$oJVdUL#r={DE*_YbwpG)8vz;x|W*uW7!Hw zYg>*gt=eo$+7@|}H8B2=Qjf5a7|^{|+veYBnwCy62z~2`Tgz>!PMj^waU@5k-^!fB zE3SF9CJ&x^m!kMJZleVGrC^1I;4Z(HvU7~g!(JQ4AWg>EF*;V6!dM#zg9^3hO|g|S zRu}hxQimgT_&AOy19Adp7t{FljJrV3vTF7m2d|7n_}&oZV?-8tjik-iBMZ_carS~` zZVzWwiSD{jTm?0&%k~`)`Uw4a>A4s=!E=@MNW*12ko5Q$mZ)Ba5E53#NM2I6DyhS5 z4kp4DR;a&Lih2Q8OY%BI^@Y2Z&)EI&-M-%h)~X(Bn;JNd2(~=Hx{!-rXeR2u(`fJr ztTRI{>n2$}Od~{=B&=b!F%oztNs}NN{L$e#{bv!Vi(pIgv{F-i`6WT z+CP}Q-8_YFyMs0I@sj@H2c8*gC9xwz5K70dneePRO5ai7u_4hFQkVe*HiTHu-`fGE z5h5i8Fl!7MRxsNbfvk3Q%-_5emOKf2s2;Bf)CCak$}be6Uxtp`cnMPe2y@r3zeVY1 zKX69br?_pii*DnzrCFwAO9DJoPFGWdNr@96W>0eP$((>+DU;{NJYjzX8=tn8&!N%2 zJSxrTuZ|@pHjI{SaKqG`ELSzsWqi;X`j*J;eq&u&MBMiL$vzQRQL%VQS*tyK&fP3n zw&WDmwjEpBj9FVlM1ebFMMyQu^pn=0WVKe`*7hwd!U^$e+G>9w<+_L(U(sr=eg2hl zCMQ*{{@^dCdR02lk3M9crTWaVoF>xiB%YD_l-QB9S2Z16Co8u)h<5f6i-hf2aC9)? znDt#-FhzHvGOj2#lDjh>YDA=lc54HUZIh|h7K@YL?QP) zRIB04YHRbyY4|BDBZbAYZvlJGa*j@m-ST-}Fw^oRpsj%LLbrl^k4Vl-nB9`)9)~P? znyMpnP{^pf{H&S0t~6@)EQ;_a*j~D5Kdoj`de^7HvltkQw0nk&ok6e-0wEWhoMxh~ zkVXRudyc?HXPBXp-fMapY4T)1NKcy8o|I@QO)Vtoa)wT>8NI$z7`+oZrV=F`9y;fipk)+NAkQ)i!0O3#7Cv~_&=Q~zc$ z{ue)m&qzF4DEt;q!ZW2Q-DYu~ReEVK3;clq=UJmGE7i-uVr(9=Pg|YYjov?GlSl*C z>bHT{MGnr7->Brq6h8@xY3o=$jh}dZ+1!|n9v(gKShje4qixU|GoUx7X(>e~WcEhK zNwdh$urPw5Ej4GW==eA8;is0zrjTm2$AWV!skNsLTk)!^wA~H5v=hzy!$Z4&&y~Ta zKt#%GaL|tD7_tkDjAD+(W8br5F}ZmoxOcN@rKZq1l^uoy$In)1@P8fEIeUXTW4|kW zgXiHJJ4Za#6~dF1#zv5KbEr`5{U!2x5Fk7%GbX^C8pPgkPo`QUg2xUSn+2NM4OE#H z#MxkX?;a|=Foim2E9S}C;oKLNJTs6S)Piruqp?I#TM9f6TKFv|V`j~|Ht_&04O&O& z82qMKoA&#ogG-}JFHG<|RUe0*uc`82{vV$Enz~b}5^I_adhp7C({%=P{1<<+NrA1&?fX4>9Sy+N!JoE z1I;C#*Vj3axCSeV;jS(jk10{sJ zv$Imo9=)Q}PkeiMdGe_(d2K$#X_tQ)o&*h0ETyBPCE!2bQsxEw2c)I*|X!qG63YCWV!!9=kuBJ)>|D=5C5mHBLhLw9lM-WRav zuTrFAq0Z~78a{%L3hYnR(1ABO@h@jK&{R%6$3JiTdUx82(3Sc(;D%aAIyhGCshvVKw=O7b7mWwb{{I z;I6%E4;_v3d)a`n+-+Sp5Y%7;!J`)kB648J&}|HX4Ix*%7UXspvv7=*G?WuVhULvJ zjCiv2j9U`!f!Z#DeU%nCp%mY3ec|=Em-J_2IlTSh@<4s+7sg7ztC$_0`;AD@DKu|u z>-S89H4XQ)@0rzQTY0ucq!k}4)$~~Np8M?eF!iAi*r3xOKG|;v58^86;p;!5zQRuY z^mwG^TsHilVf*xFvNK{|aVKXw`N3IsuG0e?QnmqJy@k!#BggD(6Ji%A>os-YWh(R? z=%0ZolL>0q#&^LAx`ogOM_IB$w39o{N52;wlc#cZfFbWTlx_=%LKf53F%JAD|GA2Y zqD=PH4=N1KTZIz|*%nIF+!9zR%!U75i(8c+2TIEI7h`Bc3Tsrgv**2KI%+wFp; zJuC5{soh&Od;k3pbwfXe1?i73TbbZa(ly;o22g*qb|-45TV;cQ55jD`vVF#OuH6jU z`1?M+Y#>lz;|gu7OM2q&n0QPW`3RKYY3nUJZJobWl$_TS^@Tg?zqAT|(+CY1V9y9uw6$yG8x3tj z|HMHkLqGU)M1w%L9e&(ZG7CNam{#WPmrC=^iyF`7zH4ND_a78j&2{{W$`1UR{KFy_ zkbdWFIdlHCJ+pzZ;ecy!rxWa)D$)&i-Nq2u5OR6J21a}gg>GRp*m7VDIaV;6@Qm7$ zp#369eYOw^J@PgL@b3H6h(7=+AI2Suum6vx(C;YDs}KINn(W?)Mam0E?V0vVMHU+- z9#atL8WzZu%FWSi!yjpN9{Q<3OV$gltZwsYX4oK-AW15!AVUwxwjWb1lHE`NG zhs5Zt!PT+4BuEdqU;tMX+U+OGaC(rO%=Lio!7tD!Gv=hCJB4!-bu_|9wylq7%#VWM%HcAYkQDaAUPI2>>?tkrbCC;G-Mi6p{=-RjK6bi>JBb~Cby9| zbF6CVWch_E)vGm)&ty0 zRO-Xm@svjl&k(HW)j-zqtO^8;Gb%FF1Ix(aNmHU83mOd`f!-N%*%Fe~!#JVRdk#bX z5O#z(PdoBX0|}zZ(Q7393eS_|&q3fY1!&~)XVqx_k773SQM{HR*B`!Ee*U}XO8@l7Md3Ga%JKiElmXkcHfsbK zKOp^{Y3m*Z`T@VFq?9Jz)gaS@%48XjVRz*iY^-F$Fl0UOAl8UIj0*S)>3?zt1JScc z{L|udk4$zyb6LL25Z}OnifVWVC1MKEP!bLgQoBK>yLB(vpvnQN}qkq@INw$ zA~rm2Wu@YjaMSi=tU$bn+&YEIR}L)%5Uc8dX^RNifbMR{m%RZn;DoLYgZR2>nk@mI zwi=E4#ZX+`0G^+<-Q_Gky2sQ02>~0Fp>2j}kRP9~83o71nF492!6z^(wUOBG={g}E zld!tkglE~dZC(qfT19BSymi2$kF;jl3M1psDoyv#6!?T1j)rSrT-gNaMWkBV8kQnp z$;PAWS*44X0D+APo!bA~jWbHfSBJ(hoi0eaXp~RDt%!E88;YdOW>~187o@DJ%Zn4Z zODWWhzV@q!wngq!zM^$ zIe>*mgGV?b%^9(*9;Oi@TN31>_;6DE!WqMp$( z+rMFgZjwu|a95^*`uCUgMW7QqC|qz)=+ahQW6&;>mv&VC|T z+-e&HQgxJ?muL1~aMO@p1`zgA#4fEJXx754(85a8rsCw3M%(YX=qVyzjHX7d3Nk=+ z7E|8ahhxmIVBA5h>_TMSF-lbN9p-n@=8UcTo9KBOn07-T$8bP<*(3~U;+wQr>nimY zlnzjNBhM2KBcn>lehJUMn<1}*9{B=>#P{Kw-|BmC-89FX=K*}Xf19BDm9ss019U%Y zi^76==PTq5=>b6#>x`g%qZzj`h8YS86FCU9L%>idAZTKpS;I`6g_ImZ(C_^nzUz(g#l!-2!Ot0)k)p@e)8t3QFbxJoa@pL&lC-?KMu%tR{QZvoVXF0ec5f z$OPsC%>;S^t?&Le9DnS=k^1^$MycQVKBd0=8C(m$T$Z!LkE%i|K-8>|;zd1h-u5-J zr64S2iu(j;_Df4^*&R?ptLgVvBe`F6V{Pr}XN^*yLGtBm$WG6ch5T3L-uX|Q*(;`x zOukaLJCMHh!Soc-#jA%*^gKaZk)-o-$=?7KUW(w$2m10SaI0y237?U;bOv*iJ)jNh z+vN2(9PF_6%+_G;lf;~UvYk5+mpG}T$s1-z?13Fa!g(V+;3NGqDhyWgqlj~hSgu-a z=WcrVey!pDKJ)2E|DV0LkCEiO@BE&s z=^geiM`Dv&GD9CNJxh(~kxa*9Q&wo1(LK9bNh?{G%sILo&%RumvLG>rjBM;ftN_C0 z+0~L-GxT{W+X2s~b4Ice2}vbTa_lr`Z!ayjd%kmD?P%C{a0SC^j+>6FDng{Nj9VrN zgF7m5R5NW@;7XU!Fp7?KM~9livb0R=xYBIW`Q;g1*8QuvKjQxF(O~#l{BqgkAIO^1M$u?eeYadqF*Heur9l*H>f!mhP^jP-g<`cJ zmTxsh+zaiEtWR|e7m2G!hu+erG3|9~X4__?@f&K!x0IAksBT8Z1(I;u`sf?R`%m03 z{^j3Bj4%JxgQMNwVQ(7a-)!+SMU!sX3s0T)G^%2CdmOvg z_Q>dZ*vy#J&Dr=BWIB991dJp9q}_Jjd~DlnPQuTJ9`sx0`@;ys_rd(y;&(aP3g%&9 zfw$f8XIT;svlU91-mz+KX@@RJFy43N^xUpESiJL@d6iYXjcN=RS#sXK4O59Ne zKHj*+wzhMqNt4|=?E14&x#dafpx7o0%8q}fD#u`OcChXS-b`h^TxkF7VKVF7y4Ui_ zM$Lvfy5$~+Ez@1-v<}=xdddQ(%@K<}3p$RgVr&(rH-ip6c#+3(JLd7?FQj9e9gbna z8%!4wyNEFk9cOAbV1f@G z#d7%Mm+`QK8(0WyXWJ_ieaTGwWu#@Bn%IIXC(V zoVJd#y>qV&&F$}_0s8n)@Nv7nV`suti?&+gLXRogM-I^nM;|-bv9x6XP<0?-Tw;}< zp2gG3J1#Rqq_8Y4lLXVK#8KTdZNnY6aIPY>r%jk3NV}lwmfErjjw=v0dgo@A@BH*H znZY+dm0EO%{nE+1tc0ov8EoL zpRA(g0xgolX(2>CurJk3qs%n;;OZO0=M0pU4f$t!(ed@x_t0Y>fN*7xo*`(Bsrm5dyww)P%Y%nml zFZtc=k9`SU@%{PDVfI_@+3|buXRF?7UPwl7Ge~x8o8z5S?!q>FC)KEDT`+lut&VRa z-lg(5c8WfGw=w=k=3PgW)%&e0dPm|G)nRNV^P&BGL4Ey5(uUcvp6j55)7-pN9g^Ei z7>DAVOuW#tV=uqBJGkk}6kBH9?vmvfWFw5~&_2jIB&qnU%oBV@c z{s=bN=XT5`_F`t<2dGn?iVWW({k=()ol=~4LMQ!40tHT4LAI{D7ui$$DyY%)n*_%%{zur0%xOvN7 z>x+)NWKf^9paIezdggRuKQr~urVmU9W-b2+uC^NgeGWJHpL@laH{N0F&ifJfPtJVv z{B8U`y-}KG_C~{w!r7Y5nq|gfwVYubRlO&;asYdJO^1!+a!p}bS|*84X^6?;Wsf>7 zleE-qwyS8>B$kC}!of)hR@vyUnh%-L4}BO{TmJ)Rc-lH1|0=G#Jo@MbGyHjc`Tyct z%T28W9Tv9~Ga zGmp=*1%*>6VQH7lN8g39rNg|UIya7!lmn^iWBPWAgEne{MFCrZKZP2xQoBmYwJ#}CG7kK zeY#*C1zpb8jz`)$3B1}JFzC`^;+{ZZ7;rirV_^fwT)yBGN zzUFwM(|pm~`jzT}!<<5^X##f6#7=u;%<^JWf2lBWI3OObSso4J>wjto-r{3M3j3LY z=EYqU<5yWJnLT|<*-9T1@bT(Vt)({2hPa8LsfP z)~QfD(zRq_qs(ShHlmdW_tt z2_Y83)kj#ZB$zg)^#~ACuMLB6;6<}G@lZ6@tj!@&zVx@8iMR>%k))kYH0`N(c^lz! z(h+;QAuJbn>b1L)_nqc^7(@=*#BHfl{FSg(b=pX|I)TIO)?|I`iCkYmwUPraS@p#X z{9@*ZWql-R2QF2;|2}Dvim;qMPv*tmcx}1Z_qvyx_$8XSjL#Bjj@iW?bG~W16H5DH z^Q0fu#!ahuh#x&dp5wuDo79fK+YGC69^kR9(ZMy=9bR>XWoenDH<5HV=a94(ajGusig_X@hv{Aohg=8swmm!! ztnb|=bg7@*yUjbzEgSb}Jhy1q!|sqxW_RR^h2DiZH{Iy?yH^P2j1I1`?&w)lSeBMa z!lW0KII4TMpcWm@RfMQM7Mdz;he@BSLiJdP&CZS#^%4h@1dw)<@LV1S=n_k13DLYAgVm$*! zNTbcB9<8zRVXI-JE~&>VP;~j63SIKMoP{aag*}#a*jd)`p7$H4TIf;+N2Txa*odP_ zeG20GlWJqjqj_ekbNCa@Oz=e($BP&ri>*JjEaO9q)He`!-;%qGK>YiVRV(jS4RBZa zTYJY=ceQa}4<}3why8(DnWW>ezZc#m(>eyP`C1fO)+{BK24xc*S0LpH z%o-Fn?B!6BTdE?{#8wVvrN9{_f=ZHd!XH}{2aV;%Fxn)UH)40q2ICLa9Xx1Aizhb-LElG0>k zi>);2drunx!ksEjeb%F{+<+z19mrh?!gb6NmMx0*h^Z#t0t!c?ncYk9nc0Q7_&R{4 zJ~LzExxjH~xp3%d=Pp0glSEbSvpx8Cp`Nu0qs;RH$&6xLmbhBmQhyTIAzTcTag*`` zu$ZYpbO%#gc}LJs*j zJZ-8bFczfVl6pyd+9+BLre}gJzI94V&4SNkc;Dv|pSJNd7m~737*1I0H{*46>QP3B z6rOh$R7q$Wmy}M^7#$xgq%D)wYu1skP)!C66^5$bl6pyd+6qX!NwQ}9Ej3Fzwit|B z%8$7CWYX>)ajTXO?{c@ib5Bp-)9#SXR!!?U0d`8`U`l#*hU}$G*Q_;6_||c52jE(* zE5#0$q8$|X6cER^n!>WQOcL*+qMZuOI%S2lj)9wM#o_C?6FD9`&pyr3Yv!35W^lM_YQ!K|-G{raXE@I7RVXW6% zQZMOFYZM=_1`qI$hRUKS&xs2erLK+-!92im|?bN;m-jk(iTtP2B(pwWmYt)-jaGrYuW=KrO6eBo~fBT*qc++C|T}V9`#^p zI1_5-z@XH&eMX_CgTd3drf@7RlhjZ4Jk@mSCAH}A^7O1J981fzo-0izo>Y_K4DLFO zV!@?8!sbi-bw+MVJ6ALQ5Yy7O>|=-J=jfOcM}zsArJPQK-rk{&bfJ$Em2(OGsZ~!W znvVAS_P<<_cJ7GVq3RvCw_!)Jdr{Tnv^8trYyow z!7Vvdpgg!vMsu*>Na1I7aLwg1g5yeb)LkWMKeeMzr#NVrP1|0x&XiQ5>VEo3PPW|B z)>~39X-``L={8~FR++0=!N0Vw;V%`fpWD#Xs)aI7kD9`ZuiN_yGaWp8K;JE^+k1&*s995BNQ`$ZzV@B!{)XX>Y)bfo3!Ul-5t)(tJ6(!*qb&<`!u)IAIx#{ zInvVzYL>44eAv^np5967amF48%Y9v|K_c$0B~vHqpaF=S}UmHmkTZT zCE_=9u%NreE6gFiYePdvFAnx{H$y}5jMp0Ey_{rh0R(? zlvU!Ub_7y9 zlAkcpU=mi`oy=bms1$)D(*kbu|xgI`!v{qZ;t~in&lR!KQBJb0_ zlKhRq*o8kO=Lw2kdkZg%7rQ#2?soI4-_I3KKD>xtinrq8kQYAvB~>-s7Rs|a3yWRY z<4_zYcfbH>00O>HHbEo7@7k4{7I+f+YL#NTP-9IodJz7j^Z#CBZ zPChVCu4miV^5G?8-fG+#jQVYumu|tg?_00YT?JbzMdf|k7hW=Ffgs1Aa@Ca8Zj@(_ zb4w1JbcUXUX>PGKkJcOv`U_LUa_rrTad{GQSZPBS^lreuFZ%Pmxm5aa*NGksVl!SH zyJq%Ap`&r}girXq=}l%IFD&}M``9#(S8Hq5Y?gb5n(nk0gf8lYFr|y*Tl0t+ZcmJ} z+&FozGcaQwA7%MDbR`bb9o{St*3s9?$YKL-nG791zC(9}suM~(w3u*l+IkJ$JKzrX zD{JMiD01`r_vO!j>CIVo4!?~ES2?%M!#GxcUuHJ)NAt&LX-r4QY|)C#JThw)PU@Cg z4i%2Hq;MqC(9x2$Er(_qAyRl4pvluDH1&8Ll$1^^?37i~mPs5llvVazNy-IOc=CP5 zJn}woxS5U~Exv91EAaH=H(B#ZoXYI1nPE1Xygl>zAoDM8-!QLjt(mQvv@T9t0huvb zv}V~Lx(;0QAlu{ciWafjIo1xq3{V`6Ay>n>}lB{_$V@+Lem2fesk95!Y+^1Wfcz**QIWWe^!j_!2;df*i=^%!tj zs9Ef$H4;dlwoW-^X6**H4&0C6ZdsJ!TDtjU@onK*Ov9U@5Ag+Yn5M5yomTlscB@+@ z`aSMzd$f;J>y^DXdvlJbt$S;RPT4n%&EI(9yxDv6HFy6x^sM>EgRd3Q{&D#5nfc{n zeVKPxOzca!Vy58uvUJ9B|5@V%PFq>WWz&{za}2`GfIa2$a%_G=h8_ktd3I{CZQuOf zCmgDA_S=v8iNF4UnG~n3n4dTQ$Y4H({C7Wci(gn|=GxmDs?2D0>W-zeHS;V;iKju- zgG|fD&4vmVv`k7AYPt*Y^r|TwOUoqne(_Y(sh8BE!^_jNrf@7R(|WEnn@sCYgJu~T z=b#z>@aL?#l?|?a?e$GYGJn2f^2@*UxuN;7-y4o@Vcg`;{uka%{^^>@^WA~l`2wEb zFu`9(pz#xQXdPNDHFI?8mMuqp)XE}Zx7#Vu5_YGIGEe=^lk4W$i?n_zeBbNbedw_N zB{yfF*u9p&;PBU*px#?QGcXT3%+=Ut_LI>Wn&QKI^w!%q^3nqv)IGtaI0{# z@5B+;6)d~2;0?V99d1N?4x4tkV+}L5V~OP03HVe-&q=fp7d|j+v*;_va*uKe$HU>L z8D_9!j9rJuUBrShlr#c-U_a^MjDHOTG24*;3)<<~{~1(&f(sbwn_ou5&t>0t2ms3{yv%OqjkL?xbT zI`xuTba;7s))bDVWm?abW|Od)QQa;9uzPCFvbhybM1#dCfl6P@$n$H*8mm$y!VtM=Wytw&-)3_ckh$k8zy499O z=+`lpJ?1)I?i@Xdl`+1CWrq%N0cU{zhH_50U3B=OX-=9UZCc_hVge?&tjc6gbb zZy`c)z7{DsnRu%4Wq9$M_?eR6v{2f)gAl~E3|^~GbCUU*1z-BN3NOasOa5#^3-;L# z7a-V)npcr`!j<*1ZQI`Dj+I&WGzD1RSu~%v1Y%|@?+;+I@=5tBEb6T++}g@Jg1&ZU zwldS6g?%(Onj7L6mV@AU)3(kclwdv+;=Nt%JQ*KCxm*_8&A%tpD2m*>EH46l8>(t--_)9OCFuzN9+ zX$7hXk>bs;sFDQJsHAd&S+}f^wn|c~S$9fmad+UGG3}xWYg0}W7NaEtC@d8j6zH|g zXQ5=*?63J8zuVym*r#zVZ3Se7nz1%Dl+3oIxF!8obUT@}jzfNV87=Rc^gL^l8+0;8 z%78QL3COBxZK}?$$)L>(n8RG#=+qQwrLwk8-DT9;?4C?DfkkakK`-X1DLge*5{}-u zq;#TLr%a%&MW;s@!EuHC+@7Rn(uRhi3c=U)o=a5|rY`eIhGui%VG8w&#+to>!B2Bg z;aZjJ(Hc#fPF`4#1HlCDxfa#T73(ZNz2ez9edHs?@fYa4(l-189Wvv0jIozKhGh#) z#Wt+-)MqvE%etor>avmVNxy;LEiRMiK9JkVcx@FM+SY~p&HiY(ZR`YB0*rYRy7mzR&4BfxLdCq%{dDr$jte#&Brr!J;i`kI} zzb7a2dx(BDu{2}j*bs*orJ9B!MYOMTw2MkACu&k+X%I!ndKDp3G$*N<>@s4v;D=Q( z`1W(=#xK4ZN5y}4)7Ue{eBzU6$P@o)H2U^)*Zt&md`B|b`?t)qFdgmuzR9oiLQYw; zom$#D_0r^{tPB%+E~KQ^%F?0gm(rqCbbi*W1@kh3r)$}yxECx{WeXfvN+&KSW!bc4 z63+}#%|c~Td0F8<+sKXk~!I;;650UPFATxjdvN7Y&;|EmZZ(f|X?XzZj zAqRJp)~npue9t<}*38i?DYaNhkJDj5lD%Z_+e7ohH($f@9pSf+BYy?m%#GF?+$vFBt_wx0Q=KG3 zgp2t#D_b0S)yhv*f$!Z~oSp9AwDr=Lc+CWIe$DQUUK#rJ_nL>cx1T`4WX<7urPiFe z4YlVFIaU$3q%)7an~uNxgtIi_Bv4H{SY|scUa^A1xjM*qR7WM16E!KZG*}{Sl_c^l z>ygU33q$vZ&!MYu+IsF2#q2o#6q^1MZ*w?pHE!~{8J!>RuRWNrA=v1<7yb1K9%0&@ z)-!Ln2`$=Xuu(@@T37wjw~@iI>Zht&C@v#-npBF2q@^kzHwtX*nTnN_Il}i(F?cEjm|@{cjmp9 z(21Wxu|Jn@_+JC*+r6T;8=dD6PKZnBrEVuM^rg;m0S~C;2hTpRKi$~6Xk4*bqD%c% zZgH24wa{`CKU(S0@kd*MyFa=wrc>kIiH8laIhon}b zAGREE3wti_z~jU_N*4ImYXdzwzJ$GI_`${wdKkBmu5lu?=))_6qHW%yV6gf%bVNzW z9;;FpUoTQg6M;PzzKGM-@BhOLlWj2)hL5b7U-f@z{R>YGE)KTQvBSyQXPPOsXT18)Vk0SfuqgSae$ZQLQ-%nU}=O;>{dAA6jDWq6lmh%oVKozw!LQ4 zs<(fT51a7nb7tT-aMJP%>vj+8&wmn+XZpy0V@9t&YVZdc@MQ!}TTT8K4`UBIdHnh{ zp0@I^%HkE1ihz_g3s35)VA3Qw@v}{M6MQXJVeAk3rL<^^QkCyvsc|rr5j;)H`o>MN zR5kWG6`isN?XqdxYu1^PO5ENZkpJ1nS)8`|As!_gx(ZiY^U*c*-C0~)9lv-)|xr`bV}O~E9k_qk{$&1HhJ1Q2we(uZ@xFr&1B7d6hD&t zIsaZfsmFYVKg5AdzKB1~e7i?XnVcYjum}4%E5L)INASX=;qYPZ$H&j$)1f!7vq!H? zZvoA*XXCnV8EX7m(#4X3Ry;5qG(U32~|<~8@@ z)}7hI*N5XLoEdC?zu$0w6Arg?{4nwfW5yPiM<#q=P=lZlZKBFk%PzKD=p&X!8D4C) z!;4Zyh!o^jC2_QiN-8I6QetTkMaOy-AyPCashKcfQW)!vNAcwnPg@7?8+fdbKZP0g zxBtkDp7rPUzW&4b737s)S{r09KI(Qx-{l`U`hmg5;7=|X3){HL?KR^Wi=#bFJm0!y zP)Awx$V|VK*}5Z5wN*b=Ora`SkRfI@EEL9RmyQrjcq9!Gl22pgZR}msbbCQ|~19l1F-0TU^7X_impK?QVze2vsMP zHhjAuw$soddD+*3M+R!`r+b`&QWBroobDmK;iHDQcFsJB1dG z8&g6;C-TkB7=~qTwdewV6mo-iIUyviGsmaT_K07&*52WMNYZbV)RLuUi<-loQ!uhU z>r&2~rMbt#v**p=%RKervp`(7?#&NbbII)CE;c;#bTs&MX}rwmc8>wk)?aR!gl)l= ziM4>w(WFg9^`V9{19xUIfOj+qUnphH*-i7g5jNG)d7QRdYI;h;jx=1d?{N1WIyY0x z4zqh#mFiZs9Q!bT0HB(2uMR9q!|Lv|5B^Jf4KAUijmPp4&|Nij$(EQW0W^?piJR|xF(v9)!x$G@Z=Vr&RnF%X<#5F@L zYOUm586FR`uKK04fN@%?eyVB?pE81{f2EiuIkr^ImQ+quBxT98RT9q(QO#JFPT4ZX ze+O?7CwM)6JG1`m+s#EB``YK82AaI%P=!7o{T{*g;TF~~oK+k!bjB^s=q_%nk!%-b!`xzHEj8X3OV+WA{i1*)`|l}(F7!FA zz(PLvpmD9c>TTpKS{IwUVt>15AR!ETW!s{U;V{6n+%3u%ti4Tk&tt%k?i5YI9-&)CXu@rCp;Y)kDut|3w7nUhg0 z7y5{$5yu2u>F}ad5h4YriYketT~tyzQIir&gD5)Is|b;zImxWaE=;mpjF^!8?K7PC z%^=0$HTqpBp7y3VZT&bN!Il3%SDksv5A(?v9>cNm-bKl0za?p|1L=Vv6!If~QTTi0W&pib_f+Dw48n+A@h}hS{32DoOF*`NSM2xV*z< zd*=Q%XC8b18S{zv;Lh+JX8Gd{Yi|AoBB13&l_J+q7E{A&-JiF?>RY<_ZhYw4@4p&B;4>unhV zF6m^0#laqxn`9xcaO?78V;!|)mzisOD6lBGf`YY6SaApDg;W3cr00z=J@yZ{fMqiG z0sheb$yYQ?kV zgQotPL*mclwAIZQ;^(Vp&Hl^ivMj@&SVmW#$AQ&DlPB>=kW0qx3?Cak>Fk`>0;|L2 zd_4z)n>nLI#W=qDNif7{olZlAQxzdn5FE#qr;+1ZR8l!nlM+jVC_2`w2$7;W$*c*s zsGd)Ec+0vORZUM(@|F906&o8G;x6 z!!+#z8yD4#rmo%olxXrk2(m9`gC@p4<1G>V(T({OJK@7PikjeOu;|Jmf9<-OOlO6K zgXFhwE$nm8IXs?hk*CGYCNpj(m5dxZc9*Lb|L|2YH&gs>o$p5_$XJ)~Bd@6VPCNaG zDtBTljqq5T&~YO(I>#B>X6we{Z+>3q?D-)3HR|=nYagO_yLD~Q98V148KTRO`XKMb z`@lF1N(dW0ZGh)GBUmn!3dfaclJ#IZTe5K4x#)=P@#;=X6W)_D=j-5`WRD-h6~9G~ zI3d(|1RXOmA3KXXde_;N$b@$1EWwMnfWx3l%jZra8eSz3)+{oqcH%)nWvhgmQ9EBV z6uFji7PrXck%r2^7VT(IL=9n^TbkCA?4WpswCy!J2;a73GxJUSDON{)$&brtEPsfR z>+%%9IBhEczGP* zrDZZTd<>@hG@t%@sEQCNo`yk{B$!4el@kMX%4GSpRgzlGIx`04zlSStxSz0U0%K9m z;8*8QV;H;4pTW`a7E4Cx=-ZjgCL4IB>8H7;%>vR|+9+DHNs*=NJ=AOlZdtP~pDrCo z!U@R9q5~@6N}h%TELsD}@M;Fq zRx@*hex1Tew}ujB8`nGCqznW`!zp5iRnHD$52HKyF+7W#)bMvUF7UoIvxV}r7Q>HE z7PaihcG+oHQ8QwPEhEeNEtBg`_386{`W|~Sk|{ZK-N16&EN`%I7p4uUBCtZ0BHE(_)2O6!VxW|G8br~tUPXu$%}Ht| zVTZ@49kTuMmWesh7+>l8Z$5!DJh$#L-k9irY|U(LeiJ{k`pDn*D8b^cQ){_dBln@Zfxp2bl6g4t@ZO z*RsN)u%v9;B^~b-H{8~c6mHQrvcfh3=$FhpiqeZ={uyJ%(H8rroZB^ITpmk>wT0eR z=+%Yt9ENe9ZR0TV^pC+=EFrE* O*s52S*a*Jz!g*}ZER=iBc3pqUYh^0ri(jn(% z1Icm(tWEo2!@JC_GnOHG6on2u)e%lx@qF10bf=>crr+=b^w9OO*8*!UW$W7vw%Qb@ zq#UQ5#bnvh-g9P;+FG}oiRav#Z<$+#H_gKHb~q7ZCtJvBT{{i3$Ome5%P!(@ui3gs zN5x(-*|4V5R)57Bp0I1+@mmXA!vB#Pz+-% z6!m!Xw82<4mJ8F7Sw)Bx9IlR5OcG3^lFEtNlz19M(Xn1dh!o99B9klM!sRqZOi6Uw zib*#J?kpL76ThaqbQ6Em6Q`|1|L?9j{E3Fq$nAd~t$kZ@tKzFPj?$)U#$Pdp$HIq1 z?Qcj&LmHGl$YqM<8~dfQU_nY84a!iSE08Keq==g2X&04LPSm8t(IASB^(sQ7Xiicy zxy*4SkH}M*5Z5aD=ySPjE54KE>2l#mN$o}Kz>eeejdUM5^B`Q^@ zYpv|%b=XGkumi3d&vR2x$?)pBk8ChF95<-p_J^G_zi`H9uVxmfJ7)%GcOEm7>k}B{ z-^1ayykM{-on6x6cns|Er0JJs2WCEP>i2M-Vk^5@*xXhi7x?Bb@N{My3;4)!z+b{xvpHnUpT%VmSK=tE@A7;zQ+4b*WC^Za-6z0 z$#g6iB#?<8j1%oTFp^4q$%f zgC5`h=c7m7<^7j;fBeGGJae(`)?DIh#j8x8c`>#ytPalpY6<2GF#ULz;j@?qrsIPH z*y9x|xPdyBGD#RpQAy=QZKte|wn`FJ8TAN1ujtI`p#2V}SAK>jFc!bRzxE&FrZjvT zF)?q=2fViGzk}$od~W!T7ao zCB(BCf7crG+AezSxi_1^gW3v8zQGb?>NnVEf`>XL+NPn0%Gp)Vh%5}SK_Os!&~6E zIW%#H7HOeCN0OGaW48><{rIUy3~(JTML*-@bsbwJRz?O7i5+iH&cA-xLzo!@(|Ip-l=-e8P zhS7^xCYXcM^V#GONYcpMAx69=SY} zjm%Z-XnBadij=?nXphml|9EIARLc**_A2(!oJ`s=YxY?_Ib>e0*jg&Aatp$CS`1<7TZ3&;4>#veN8fk5@18wBp=uxiB3z zRfI^v+BsS=Nw_Exl~hhNNr|UH6dmhTghjcx&h{l*Y38*|Sv>Jnr%i zlKna+qq5Z%ADoXyj$=s_Cm>jpgj7Hx*Ydnp6*38nDHB0pjP|%va z+IkE3x|s9U@9h|L9Zp+cnEd_0wmCn(wK=~0*D!eA=^`MuB89Xg%bXGQ`I;4Knzac7 zB9^f^YyAn~s}sCC$B{cK4ALg;HMqAmJIUtd?OO!4&^-LzbM)_2a1kJMfn#Y> zR!G}kvvNqbX1B)YvlR~aWdlGcJ^!b}%szM_{C?T^$$jShjh`Lir04y`jbZI+E%NQm zQ1=$Co4eY|+ZemV;wH!0ng#w{4&6G(>KwnEP}=Fw7|Cx|1{>zN;=0@9+}hwguC3xX z>6zJ`{EL8^Bi`}t2xVHcBg}9Qhjx~ee3RI)F=CAK?+6Weyqr7Q;X(ZuFbSl;si$$f z_;!^vD-*zlx0V>3v|cs2nee+hYs{m#zr|x>#D;6RzMAa$)%+LswhMb4io*$|y^d=! zvvSbMJP^fU5qcNbRYs9ev(m6I2{c)!84Gy9HB^C1q8*$p25C8Y! z(CvR{?&PnQ)-eM7!r_?b%Df4y+$|&D@Vx2L#d0BnU23{M9hK~-3K*7WTYsRKt*^gE zzuA%lCu&mSXs~$NgCvnJ>yZ}QP+@rahh8xG|A}vb--8P{{ySUd#@A42Zv6c8T4#im zX*ree;Z-$%_Ox}bRj8SzX{X%6EeSQ0ogPwF1g3qzTNRWGHwV^SUC%eX$;Rf37kyDa zc{E&q%nSXxc2%Sa8iYGo^NBS&N58AkUvg ztlwY9L9k^|^zFdox2*$i;M0v9OGhWik>luUe`iH5S>n@i5BS%)fj(%ig+q%=V^%h7 zyIkPT7E-!!xv#h$hUufK9zpI&lbwZIRm_y0UFXqb4xiV;{ELOKwzrL?N-``(lWV2v zUhv&&X*&tv93dsH#o!0gv%D1ooi@TFnecuK-ywbm3x{#qYHqZo?N{t*#-YdddAxdw zrxoWG%LR@|NEIPcaHu+}nIxD-C6yDkDe*LjqGP>^5Gk6I)J#I97`0tmP7Zl(^?BUb zGRA%Vd?XY8%nZKUAO58^ZvS&Ow05PM_1ea3tt^{ixlqm&jw^k{(TGT@nq#?^!=o_n zg?dTlMB9`&8Z42vN)q{2ecYAS;m+X7u;c^>Pp5y4)7G8)ar^#vK4H$>!qrx@VQ~lW zZP=Bjj&_Y{`CW3w>_W{XWTkVLG}Wxj{?zEmwo6=>^6C?I_j5R8%JG+Y&0s%s_c^mW z2orIaTU}@CMJic=z|CcRZQ+C`ftd0UmZ2nUahI{k*)qmW ze&~$DY3m4onJS#N?(SW}b7!A>Jj*8coBZ}gGwvO1&X60nTX+~mK^)h@t{$JBD}BV% zC_1>tIxFJwiWQ-bEDeK;6r+;Li6$xWG*}{Sl_c`5>XAxY8J3*ra1pmaT)|G|r}3k? z{4Ly_{`R?7_}foUyQPiMA|Z%XSms#EE& z^;!;3tJ(URdP(I(+mtvOERnWK68W-bTa1{Js0oY(@qFWH>$~sE^Y907yur^B@kl0P zpUL{%Bpgw34KkXnwSxa<9=8Y%;ON1yJVjflogqjR?` zpVl#Od(Ar2-WoLY1w789U5r}&nd z1t(l|Fi+z(VgKUB*B*jn1R7q&%ky|)`do@CLZsM-fhm&&)2O6!qFJ{rpSDU;uUU6W zXm@ZwFpbJ4gjiTVkFS%U$jupSkJh}xl4sGalQon)gl-)jAg!m3qSas`ZV!1nYpz)j z*G3Zz$dizhN&D`ln>t$Bv!COwFu(V+UDnDj>$sZVs%g{yjlVUlO^`cbipR9-IkjG5 zqfTZNGBd^TEmR7ho-0YgIk=}0D{i^K`5VIF>A4UoqFqQZjY=vfI(EtmX{#jjHFKRA zshr?dt1uN8Q9M;(CLY`xc>MCw46P$ld^K$Gog&OUUL8PMP11)<6JT$w88e=4A(n;3 z9eB9Gz_TB3;NE_8A$peQuFi}POqN`7X}=yO=^*!{#ZujRKw{*%9D?xJak}ZbP)f}T zGFZX))Vr?Mty|bQt?@hI{zLOW@^MTEIDTemvd;}gv5iHQ0U9FH?a~mKRj22CRNQ|<=93qXri~TF_ zKaARjEtuaY9(17R%DjnjEG-EYGdoKtsE$xTjQya$=%pW8&#TfZy`91 z;Z}*;BXVzyzl6_l<|*3Lhy?#NRF51 z!g50Q<%N~zPTny@?@%;EYl>=5$Cbl+h!80(OUoo2(k3c#C^+)8Rg!wmm_8+z24xc* zS0Fe3(udR5ul^d^6i!<|`Xjj?oBPd~YY$|chyzme^Z}{KZ1d}ICOHa{WUz1^k*Nk=99l- z&hO%{eA-)o45YUPw=VtZg|_iK8_h5pnT6-CIj&5HfxW?%l+J2` z44j6ON7qdDy7Bj2T}PScmGK9L?=)*?b9b9JzN{cFLuX5tB#@lxupz~FhSq5hJb#Cd z^PHRhD`tWxAL1$f#l+iLsq*x;)2K`7x|F9|m+V$&__g4H*y$opPlN9Uy7QG=EF9yN ztr?GxHT=y_htC=DGs~&Pampa*uk^Aybw;*Vi}5>*%8nEE!CELDVygAlu@iq7)3DD* z<^%Y=K_$u##2zd*EiJL>hF`Kb#X9z~onz0~k&vC#M|?Ex+Q?<-9o9d=10A*veZGTl zoV>?!Z3Kj}Lww5*G1e&zHJNR*o35O}SNb>2JJ93ytjmh4t@x7v+-D3PEG48Cf;hg{6qcoBl3*H@II4S%yA{$_NunxSWM)CPu;XYk zZNkuT1%hj)PKG>5wNJtM zpW`)N#|rOD!_+((ym)qi^`jSi^eOJn41;10>8^aO?@<7vLw^~59~c) za+58pid&qzB-L%H_Tn4iuJF<=Ng%t4lbRg8!nOuEK7X{kVqIWd&&IF&imaPIgW^p!LKxNk}%vgzs!la=V==a8)dh#^q|mB|Ge`-$?Otm z@aXt^R@u6oLlYfbW8KlZrm!q6lfACMA{zWfL4%Ab4CQrr>Pg{}D99 zpTCIfuRoBFcc96yy{-5YWDYAKEg$poDp$CHS-irNpxFHIb#r6QdW>QhV%2Jfu*UPP z%oZ$IkQ6kfHW)0uYKo}y*Be`KZXjW@Y$hrhS^3^w8Y;&~vYWuH%Wek{qY^=fRt>Y86Qz;ga4l-5pbTjw68t3kM> zWrs%mJ9A$x9(=})XbCB&vci>9XqQ~+QcAZp0d$__LDH|72_F;jJm*9n4f8U3a$9T2 z>R47y4W4VAQkiyI-NVW?+lIMmBaA@!XbsaYq~z(j!i{Rog=J*P&3c(sr^tu*;U{GF z$OU7-(;Pj?&nsN6r0naepwEKsV{|+=Z3F*^v-eKhfa>|yjk3WwYKP}MTNlr5bSk_h z_%p`43|Cu^QG91EHkia5t$L3*8gc^hcyaJj9N%gR%hEDQFpWwa)rXsQ!1`FmEz^#_ ziVV#)3wE?^5s&W|EOlip#}x>Z>=50xw_#8ES?o^-?<)>~&qSupX?vK2EQvQueH3fz z;Q1MGm@3*oI0&Lp(_XQ3sVU;lZ*L@>J7tBmJD)<{#+r?0zwB#D?~RSeubo<*L&F~A zwN;#?hDVYX*I%Lav;fjQ%Z1sr`R2@-{+sXsol_1 zg?)nyUEgbm*dAUT0p&p`D7t3O&g;_1Yh5xIX~Q8+%0N+0%BLld8K(PZtd-v zR5PAsH@To*!(*Xwv~&(fOZdBE7g{EYnMjAd$j21VOEr2q0;7ZLdCS^5hb9}#5FRhI zDv0BIO<`GDCJCldiKBW39ao!Wg|uV*w79uuwmH{9se61_U0w?h`f$*(IWPaQIb&~s z&hA|MWjqP*vnW~j-dNnNR<+ilusLlX6FPT^L%&%XlyFf{k@Q%OVY479v!TjZmR>bQ z)cGfLwRPtoVoc1}Y!@{PzjI48*2UxZiK%%tekZtIh^wu55(q{*Tf0Y#@V7O%FrPM# zX6H@DWn3zf62Y}e^LxwSC;e09{5jvS=|nSMe6T*k!=5SZoywRiQ}LLHU51I6-QkIO zD#b$v$~Ao+D}kz~mdQHp#Dl&(7(6?;^twz)`7A)7{j_y;_B?If|UgxKZ=mK#4VZF(mkieT!H7(1G$81bc#0C665J6Kn0B)Jp0FoMU~x zxF~Vlr5;=@I9O_PQ2C({@IMIft*5Q!LHy#W+{1+rPg{Al74po%PPWjU;5#?n#ZFsU z+43mdb7gwi;kaB=SeBMaf@xIZsHRgdsYQpEqi0QFS<1BE{!cHN_S04q>}cB|mb$VD zjw_JgdY37j`2eQjUjpImDUFMeR@*yCS$ap&nk!GUlvo->v8EoLpHa4{qWdEX#cDw; z-)f4eNgep8B6B)1)g z%Sl{qovYMoTOGGFm|L&0)0w8l2E{Yo8a(QjwD88BH)=f7usy$7?QL9& zyM}KghM$Ga;O`AaVeSn`asAc7tRrpWtcT7iu{4N!aO&at$(hJzR<5%7-jB$w0GTj{J1LT z3GCQR&SL=JWR`u*zZEztfec_#uUT$jUYZ=#%%d&|=Ll7`Eyy8wXY3U#X6 zEN$DFQQIk#N1}8^tkUB#EbMcIPuqB!3rWG`LckBUxl;-NZI_l zNs*@GebR)o!#<_LKs^aLnY6nn(bRr9xmg{t_#=+r625QR>tdPes<+}m)-HEJF#rOJ+lv+aJxQe@-F=@ zl#T}Bx%^y^92WtLhx;Cm*eVSE?m{8Y4DlqqGa0A8D`lA(prl$kfd%dsE%UM#jnfU4 z^Ahcg%L%TG;9%`J#HsLj9qdr+C8ZP1l9EgtHojUC{|Z`J^Yu(;`IZn*eZ&dtqYsyD z!viesZA{3UCU+hhn&w-#@kMdjHpkLtAnhjfNr|Vye9eMWEn6r}y+xs>gTd3Orf@7R zleDmjC*y>tmAG&&qtEt z+8j!#Dow9(Wj5_5N$s+L!r>#DDK068z_HL+Mb=q3!!uSa1t_`-wUsOA|?o|a& zOpca3L?}l*cP=%BN8!N{JWb=0(urnCsYRzp8NqR-tdHkP*|v_;)?i25b{Tl;80&E^ zOn0H>Y3l^{U1U2{9{;e3rnmjzaEjiR+HCsq z;osbBksjST?Yn6JUj{;b-M|m9xuT;p%m&n0yd=j&;M_*or!SRlSX{HH`Ev6dlzTO5 z{q)7Y41bE9YNwj7%R5?-pn&a``yS#P=Qk)vh}pp^+EIfjYhA8v10k>vbVGS zj8k#=OOTDm$6mhZ)EN53*of&k8jmX`E`e5X${CWOWP|?ZKpKV zta7Mjt2Av3tj=sB%faC3P*XUTmPzXU;;E)nQdUTd)7DXQ%|bVHrS_=0qe0mOkL4j= z-+Uq~?quR=>-V4_{3P9RVb-)cZ66ax>Jr~nvo42wPxtyyaZOHJ}i4O)Ov1adBd2SA^sVIt-9>$V`|@AU6?i0WvTQH!Ip}%pwsecJ5F1Z zb#TS*ud?&S(q7mpV%d-J7QW#3##k&jeA`soQhRvfdhWaqFXJ5*>8e@Z@N+eq%&jL^ z-K>Sj%4Av)9(sxcC;V}_X-W8C$z3MVoOFD3Upj5JH$Sdj|5z>kQ$_d~0njKcaK-ZN z7^J0U%VxIAI7(`lH*;^=4^Ju$f7ray_$Ufn zYsO^UV_7b+fjn^UB{X!jWbAU-lo29@hrvM-n#Lui6U~ycMB2Uo^1iI$wDmV^QIBLw zG||(DoF2!E$4?v#uRY42w4!_+AaIj#ac$0{B$!$*lx=fdDM@**)Tld_MkXvsiKjsn ztMr(Lx>8w`iq6j{)O0XZ5iDIBn-uPnbyP)cd$C3=Vg<3PmxfHD*f%ves zpU=D%wqJb7bP>h%3EIyaYyB=G&~>)DTeQXAWlcJi>K<03Pj2rQ&Rb_$oLp6AYoX;f z0=`YrQH}||p<7*t$6c(tJDZi<2Z!CNo$HtSzV_fs*PN+VmnLAB_H1$VE#)o66FzB8 za@P@P+B)yLMl4rhyPVsd?iFU~d$etLI{PE6pL+BN;huEph9lpTu9EVvK)|lxE7wCN zu*k+$eu>n0szarltDKM5$MNbtw&oT=d5CStLF%Do&MYbwH^SQRW$=r!(6~&VqO+v?FLIm_(=_-8iEX3Lr%fUxhvFg(*i|6+iW1{?k z*Puu{Fl%G8Gcg;ZnS53B?BcX8MVhJt#cJYxCZ!D(fPEDY{X7WWUB(=Qo-fzRv@?Z? zY*I%AGIQ4CBNvrbD~EJ2>dSz$@tSv~w)_gCbUCiiO+4q|kt*41m|Oloi3o^*2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz}d|KD!?^R`t*0RX>_OX5~mor;1oM8zrtNJYpJ|1uyE2nXU@N<<{`}o}R?)lm8JQE;5fB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5crP-UQ36!(u>FAM=4EG zw@T@=|Y~g`(E_W^8Bq(|Jm>w?5AMO5NGh>DkT@&TTIvAwnra zUA$VxA%|jN7q6mLn#G|k%%R9S4Z>VLJ-xZ8S>=oupmSC!pR=}WsIjmSTE=cl`Jbch zM)}6VSl4_szCm|W`Z4D|d$N~~kDg6d;WCfVbog7xli|DB4CRGy#+$J)?SJuYt5$mQ z>b;aU<#SdEt>cr(XKZYuWYzLHRL)ozMkv=Bm%p%Zyotsck7KL*vDMF`p*y37B2>>< zv*MC#com%5W22$EiBi7wcx^*anvt z`s$VOo43+VzPx|fT;4G%b}nB=TZZ0_xe&Fw`@=xFs#fESjfLZ}lWXNrToQQ_<1Rv|63Km)=kD3uQ65XKf7UGC{Tp4y B)%*Ye diff --git a/Vision/DE10_LITE_D8M_VIP_16/Qsys.qsys b/Vision/DE10_LITE_D8M_VIP_16/Qsys.qsys index 009d746..d018843 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/Qsys.qsys +++ b/Vision/DE10_LITE_D8M_VIP_16/Qsys.qsys @@ -13,9 +13,14 @@ { datum _sortIndex { - value = "19"; + value = "18"; type = "int"; } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } } element Qsys { @@ -45,9 +50,14 @@ { datum _sortIndex { - value = "16"; + value = "17"; type = "int"; } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } } element TERASIC_AUTO_FOCUS_0.mm_ctrl { @@ -61,38 +71,58 @@ { datum _sortIndex { - value = "13"; + value = "14"; type = "int"; } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } } element alt_vip_itc_0 { datum _sortIndex { - value = "17"; + value = "19"; type = "int"; } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } } element alt_vip_vfb_0 { datum _sortIndex { - value = "14"; + value = "16"; type = "int"; } datum megawizard_uipreferences { - value = "{output_directory=F:\\Board_Proj\\D8M\\DE10_LITE_D8M_VIP, output_language=VERILOG}"; + value = "{output_directory=F:\\Ed\\Stuff\\EEE2Rover\\DE10_LITE_D8M_VIP_16, output_language=VERILOG}"; type = "String"; } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } } element altpll_0 { datum _sortIndex { - value = "18"; + value = "20"; type = "int"; } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } } element altpll_0.pll_slave { @@ -114,7 +144,7 @@ { datum _sortIndex { - value = "5"; + value = "6"; type = "int"; } } @@ -130,7 +160,7 @@ { datum _sortIndex { - value = "4"; + value = "5"; type = "int"; } } @@ -162,7 +192,7 @@ { datum _sortIndex { - value = "8"; + value = "9"; type = "int"; } } @@ -178,7 +208,7 @@ { datum _sortIndex { - value = "6"; + value = "7"; type = "int"; } } @@ -194,7 +224,7 @@ { datum _sortIndex { - value = "10"; + value = "11"; type = "int"; } } @@ -210,7 +240,7 @@ { datum _sortIndex { - value = "9"; + value = "10"; type = "int"; } } @@ -261,6 +291,11 @@ value = "15"; type = "int"; } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } } element sdram.s1 { @@ -274,7 +309,7 @@ { datum _sortIndex { - value = "7"; + value = "8"; type = "int"; } } @@ -290,7 +325,7 @@ { datum _sortIndex { - value = "11"; + value = "12"; type = "int"; } } @@ -306,7 +341,7 @@ { datum _sortIndex { - value = "12"; + value = "13"; type = "int"; } } @@ -318,6 +353,14 @@ type = "String"; } } + element uart_0 + { + datum _sortIndex + { + value = "4"; + type = "int"; + } + } } ]]> @@ -424,6 +467,11 @@ internal="TERASIC_CAMERA_0.conduit_end" type="conduit" dir="end" /> + - ]]> + ]]> @@ -806,14 +854,14 @@ - ]]> + ]]> - + @@ -945,7 +993,7 @@ - + @@ -1019,6 +1067,22 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + java.lang.Integer - 1622558600 + 1622729449 false true false @@ -118,7 +118,7 @@ the requested settings for a module instance. --> true true - + @@ -163,7 +163,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -211,7 +211,7 @@ parameters are a RESULT of the module parameters. --> + version="16.1"> @@ -363,7 +363,7 @@ parameters are a RESULT of the module parameters. --> + version="16.1"> @@ -512,7 +512,7 @@ parameters are a RESULT of the module parameters. --> valid - + @@ -883,7 +883,7 @@ parameters are a RESULT of the module parameters. --> address - + @@ -968,7 +968,7 @@ the requested settings for a module instance. --> true true - + @@ -1013,7 +1013,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1058,7 +1058,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1429,7 +1429,7 @@ parameters are a RESULT of the module parameters. --> address - + @@ -1578,7 +1578,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -1727,7 +1727,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -1824,7 +1824,7 @@ the requested settings for a module instance. --> true true - + @@ -1869,7 +1869,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1914,7 +1914,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1980,7 +1980,7 @@ parameters are a RESULT of the module parameters. --> + version="16.1"> @@ -2426,7 +2426,7 @@ the requested settings for a module instance. --> true true - + @@ -2471,7 +2471,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2516,7 +2516,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2665,7 +2665,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -2880,7 +2880,7 @@ the requested settings for a module instance. --> true true - + @@ -2925,7 +2925,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2970,7 +2970,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -3119,7 +3119,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -3268,7 +3268,7 @@ parameters are a RESULT of the module parameters. --> endofpacket - + @@ -3575,7 +3575,7 @@ parameters are a RESULT of the module parameters. --> 67108864 - + @@ -3877,7 +3877,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -5220,7 +5220,7 @@ the requested settings for a module instance. --> true true - + @@ -5281,7 +5281,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5326,7 +5326,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -5687,7 +5687,7 @@ parameters are a RESULT of the module parameters. --> writedata - + @@ -5756,7 +5756,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5825,7 +5825,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5930,7 +5930,7 @@ parameters are a RESULT of the module parameters. --> alt_vip_itc_0.is_clk_rst - + @@ -5999,7 +5999,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6068,7 +6068,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6113,7 +6113,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -6159,7 +6159,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -6212,7 +6212,7 @@ the requested settings for a module instance. --> true true - + @@ -6277,7 +6277,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6326,7 +6326,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -6448,6 +6448,12 @@ parameters are a RESULT of the module parameters. --> clk nios2_gen2.clk + + false + uart_0 + clk + uart_0.clk + false onchip_memory2_0 @@ -6473,7 +6479,7 @@ parameters are a RESULT of the module parameters. --> altpll_0.inclk_interface - + @@ -6558,7 +6564,7 @@ the requested settings for a module instance. --> true true - + @@ -6603,7 +6609,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6648,7 +6654,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -6699,7 +6705,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -7066,7 +7072,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -7167,7 +7173,7 @@ the requested settings for a module instance. --> true true - + @@ -7212,7 +7218,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -7257,7 +7263,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -7308,7 +7314,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -7675,7 +7681,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -7756,7 +7762,7 @@ parameters are a RESULT of the module parameters. --> @@ -7939,7 +7945,7 @@ the requested settings for a module instance. --> true true - + @@ -8000,7 +8006,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8045,7 +8051,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -8422,7 +8428,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -8500,7 +8506,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -8757,7 +8763,7 @@ the requested settings for a module instance. --> true true - + @@ -8818,7 +8824,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8863,7 +8869,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -9210,7 +9216,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -9256,7 +9262,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -9513,7 +9519,7 @@ the requested settings for a module instance. --> true true - + @@ -9574,7 +9580,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -9619,7 +9625,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -9984,7 +9990,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -10033,7 +10039,7 @@ parameters are a RESULT of the module parameters. --> @@ -10291,7 +10297,7 @@ the requested settings for a module instance. --> true true - + @@ -10352,7 +10358,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -10397,7 +10403,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -10762,7 +10768,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -10811,7 +10817,7 @@ parameters are a RESULT of the module parameters. --> @@ -11069,7 +11075,7 @@ the requested settings for a module instance. --> true true - + @@ -11130,7 +11136,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -11175,7 +11181,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -11540,7 +11546,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -11589,7 +11595,7 @@ parameters are a RESULT of the module parameters. --> @@ -11905,6 +11911,14 @@ the requested settings for a module instance. --> false true + + boolean + false + false + true + false + true + boolean false @@ -13197,7 +13211,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -13217,7 +13231,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -13346,7 +13360,7 @@ the requested settings for a module instance. --> long - 15 + 31 false true false @@ -13396,7 +13410,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -13457,7 +13471,7 @@ the requested settings for a module instance. --> true true - + @@ -13518,7 +13532,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -13569,7 +13583,7 @@ parameters are a RESULT of the module parameters. --> reset_req - + @@ -13951,7 +13965,7 @@ parameters are a RESULT of the module parameters. --> s1 onchip_memory2_0.s1 131072 - 100000 + 131072 false @@ -14009,8 +14023,16 @@ parameters are a RESULT of the module parameters. --> 270336 32 + + false + uart_0 + s1 + uart_0.s1 + 270368 + 32 + - + @@ -14316,10 +14338,10 @@ parameters are a RESULT of the module parameters. --> s1 onchip_memory2_0.s1 131072 - 100000 + 131072 - + @@ -14415,8 +14437,15 @@ parameters are a RESULT of the module parameters. --> timer.irq 3 + + false + uart_0 + irq + uart_0.irq + 4 + - + @@ -14477,7 +14506,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -14855,7 +14884,7 @@ parameters are a RESULT of the module parameters. --> + version="16.1"> @@ -14944,7 +14973,7 @@ parameters are a RESULT of the module parameters. --> @@ -15002,7 +15031,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SIZE_VALUE - 100000 + 131072 embeddedsw.CMacro.WRITABLE @@ -15092,6 +15121,14 @@ the requested settings for a module instance. --> true true + + boolean + false + true + true + false + true + boolean false @@ -15108,6 +15145,14 @@ the requested settings for a module instance. --> true true + + boolean + false + false + false + true + true + java.lang.String NONE @@ -15118,7 +15163,7 @@ the requested settings for a module instance. --> long - 100000 + 131072 false true true @@ -15156,6 +15201,14 @@ the requested settings for a module instance. --> true true + + boolean + false + true + true + false + true + int 1 @@ -15240,7 +15293,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -15311,7 +15364,7 @@ the requested settings for a module instance. --> true true - + @@ -15356,7 +15409,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -15394,7 +15447,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 100000 + 131072 true true false @@ -15482,7 +15535,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 100000 + 131072 false true true @@ -15733,7 +15786,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -15788,7 +15841,7 @@ parameters are a RESULT of the module parameters. --> @@ -16183,7 +16236,7 @@ the requested settings for a module instance. --> true true - + @@ -16244,7 +16297,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -16289,7 +16342,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -16678,7 +16731,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -16772,7 +16825,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -17029,7 +17082,7 @@ the requested settings for a module instance. --> true true - + @@ -17090,7 +17143,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -17135,7 +17188,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -17482,7 +17535,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -17531,7 +17584,7 @@ parameters are a RESULT of the module parameters. --> @@ -17541,7 +17594,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.TIMESTAMP - 1622558600 + 1622729449 embeddedsw.dts.compatible @@ -17561,7 +17614,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.timestamp - 1622558600 + 1622729449 embeddedsw.dts.vendor @@ -17577,7 +17630,7 @@ the requested settings for a module instance. --> int - 1622558600 + 1622729449 true false false @@ -17600,7 +17653,7 @@ the requested settings for a module instance. --> true true - + @@ -17645,7 +17698,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -17690,7 +17743,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -18034,7 +18087,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -18259,7 +18312,7 @@ the requested settings for a module instance. --> true true - + @@ -18320,7 +18373,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -18365,7 +18418,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -18734,7 +18787,7 @@ parameters are a RESULT of the module parameters. --> write_n - + @@ -18812,10 +18865,839 @@ parameters are a RESULT of the module parameters. --> + + + + embeddedsw.CMacro.BAUD + 115200 + + + embeddedsw.CMacro.DATA_BITS + 8 + + + embeddedsw.CMacro.FIXED_BAUD + 1 + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.PARITY + 'N' + + + embeddedsw.CMacro.SIM_CHAR_STREAM + "" + + + embeddedsw.CMacro.SIM_TRUE_BAUD + 0 + + + embeddedsw.CMacro.STOP_BITS + 1 + + + embeddedsw.CMacro.SYNC_REG_DEPTH + 2 + + + embeddedsw.CMacro.USE_CTS_RTS + 0 + + + embeddedsw.CMacro.USE_EOP_REGISTER + 0 + + + embeddedsw.dts.compatible + altr,uart-1.0 + + + embeddedsw.dts.group + serial + + + embeddedsw.dts.name + uart + + + embeddedsw.dts.params.clock-frequency + 50000000 + + + embeddedsw.dts.params.current-speed + 115200 + + + embeddedsw.dts.vendor + altr + + + int + 115200 + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + true + false + true + true + true + + + java.lang.String + NONE + false + true + true + true + + + java.lang.String + + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + true + true + + + int + 2 + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + double + 0.01 + true + true + true + true + + + java.lang.String + N + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 1 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + true + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 3 + address + + + begintransfer + Input + 1 + begintransfer + + + chipselect + Input + 1 + chipselect + + + read_n + Input + 1 + read_n + + + write_n + Input + 1 + write_n + + + writedata + Input + 16 + writedata + + + readdata + Output + 16 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + rxd + Input + 1 + export + + + txd + Output + 1 + export + + + + + + com.altera.entityinterfaces.IConnectionPoint + uart_0.s1 + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.Integer + + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + irq + Output + 1 + irq + + + @@ -18866,7 +19748,7 @@ parameters are a RESULT of the module parameters. --> @@ -18917,7 +19799,7 @@ parameters are a RESULT of the module parameters. --> @@ -18968,7 +19850,7 @@ parameters are a RESULT of the module parameters. --> @@ -19019,7 +19901,7 @@ parameters are a RESULT of the module parameters. --> @@ -19070,7 +19952,7 @@ parameters are a RESULT of the module parameters. --> @@ -19121,7 +20003,7 @@ parameters are a RESULT of the module parameters. --> @@ -19172,7 +20054,7 @@ parameters are a RESULT of the module parameters. --> @@ -19223,7 +20105,7 @@ parameters are a RESULT of the module parameters. --> @@ -19274,7 +20156,7 @@ parameters are a RESULT of the module parameters. --> @@ -19325,7 +20207,7 @@ parameters are a RESULT of the module parameters. --> @@ -19376,7 +20258,7 @@ parameters are a RESULT of the module parameters. --> @@ -19427,7 +20309,7 @@ parameters are a RESULT of the module parameters. --> @@ -19478,7 +20360,7 @@ parameters are a RESULT of the module parameters. --> @@ -19529,7 +20411,7 @@ parameters are a RESULT of the module parameters. --> @@ -19577,10 +20459,61 @@ parameters are a RESULT of the module parameters. --> EEE_IMGPROC_0 s1 + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00042020 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2 + data_master + uart_0 + s1 + @@ -19631,7 +20564,7 @@ parameters are a RESULT of the module parameters. --> @@ -19682,7 +20615,7 @@ parameters are a RESULT of the module parameters. --> @@ -19733,7 +20666,7 @@ parameters are a RESULT of the module parameters. --> @@ -19784,7 +20717,7 @@ parameters are a RESULT of the module parameters. --> @@ -19811,7 +20744,7 @@ parameters are a RESULT of the module parameters. --> @@ -19838,7 +20771,7 @@ parameters are a RESULT of the module parameters. --> @@ -19865,7 +20798,7 @@ parameters are a RESULT of the module parameters. --> @@ -19892,7 +20825,7 @@ parameters are a RESULT of the module parameters. --> @@ -19919,7 +20852,7 @@ parameters are a RESULT of the module parameters. --> @@ -19946,7 +20879,7 @@ parameters are a RESULT of the module parameters. --> @@ -19973,7 +20906,7 @@ parameters are a RESULT of the module parameters. --> @@ -20000,7 +20933,7 @@ parameters are a RESULT of the module parameters. --> @@ -20027,7 +20960,7 @@ parameters are a RESULT of the module parameters. --> @@ -20054,7 +20987,7 @@ parameters are a RESULT of the module parameters. --> @@ -20081,7 +21014,7 @@ parameters are a RESULT of the module parameters. --> @@ -20108,7 +21041,7 @@ parameters are a RESULT of the module parameters. --> @@ -20135,7 +21068,7 @@ parameters are a RESULT of the module parameters. --> @@ -20162,7 +21095,7 @@ parameters are a RESULT of the module parameters. --> @@ -20189,7 +21122,7 @@ parameters are a RESULT of the module parameters. --> @@ -20216,7 +21149,7 @@ parameters are a RESULT of the module parameters. --> @@ -20243,7 +21176,7 @@ parameters are a RESULT of the module parameters. --> @@ -20270,7 +21203,7 @@ parameters are a RESULT of the module parameters. --> @@ -20294,10 +21227,37 @@ parameters are a RESULT of the module parameters. --> nios2_gen2 clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_50 + clk + uart_0 + clk + @@ -20324,7 +21284,7 @@ parameters are a RESULT of the module parameters. --> @@ -20351,7 +21311,7 @@ parameters are a RESULT of the module parameters. --> @@ -20378,7 +21338,7 @@ parameters are a RESULT of the module parameters. --> @@ -20405,7 +21365,7 @@ parameters are a RESULT of the module parameters. --> @@ -20440,7 +21400,7 @@ parameters are a RESULT of the module parameters. --> @@ -20475,7 +21435,7 @@ parameters are a RESULT of the module parameters. --> @@ -20510,7 +21470,7 @@ parameters are a RESULT of the module parameters. --> @@ -20542,10 +21502,45 @@ parameters are a RESULT of the module parameters. --> timer irq + + + int + 4 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2 + irq + uart_0 + irq + @@ -20572,7 +21567,7 @@ parameters are a RESULT of the module parameters. --> @@ -20599,7 +21594,7 @@ parameters are a RESULT of the module parameters. --> @@ -20626,7 +21621,7 @@ parameters are a RESULT of the module parameters. --> @@ -20653,7 +21648,7 @@ parameters are a RESULT of the module parameters. --> @@ -20680,7 +21675,7 @@ parameters are a RESULT of the module parameters. --> @@ -20707,7 +21702,7 @@ parameters are a RESULT of the module parameters. --> @@ -20734,7 +21729,7 @@ parameters are a RESULT of the module parameters. --> @@ -20761,7 +21756,7 @@ parameters are a RESULT of the module parameters. --> @@ -20788,7 +21783,7 @@ parameters are a RESULT of the module parameters. --> @@ -20815,7 +21810,7 @@ parameters are a RESULT of the module parameters. --> @@ -20842,7 +21837,7 @@ parameters are a RESULT of the module parameters. --> @@ -20869,7 +21864,7 @@ parameters are a RESULT of the module parameters. --> @@ -20896,7 +21891,7 @@ parameters are a RESULT of the module parameters. --> @@ -20923,7 +21918,7 @@ parameters are a RESULT of the module parameters. --> @@ -20950,7 +21945,7 @@ parameters are a RESULT of the module parameters. --> @@ -20977,7 +21972,7 @@ parameters are a RESULT of the module parameters. --> @@ -21004,7 +21999,7 @@ parameters are a RESULT of the module parameters. --> @@ -21028,10 +22023,37 @@ parameters are a RESULT of the module parameters. --> EEE_IMGPROC_0 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_50 + clk_reset + uart_0 + reset + @@ -21058,7 +22080,7 @@ parameters are a RESULT of the module parameters. --> @@ -21085,7 +22107,7 @@ parameters are a RESULT of the module parameters. --> @@ -21112,7 +22134,7 @@ parameters are a RESULT of the module parameters. --> @@ -21139,7 +22161,7 @@ parameters are a RESULT of the module parameters. --> @@ -21166,7 +22188,7 @@ parameters are a RESULT of the module parameters. --> @@ -21193,7 +22215,7 @@ parameters are a RESULT of the module parameters. --> @@ -21220,7 +22242,7 @@ parameters are a RESULT of the module parameters. --> @@ -21247,7 +22269,7 @@ parameters are a RESULT of the module parameters. --> @@ -21274,7 +22296,7 @@ parameters are a RESULT of the module parameters. --> @@ -21301,7 +22323,7 @@ parameters are a RESULT of the module parameters. --> @@ -21328,7 +22350,7 @@ parameters are a RESULT of the module parameters. --> @@ -21355,7 +22377,7 @@ parameters are a RESULT of the module parameters. --> @@ -21382,7 +22404,7 @@ parameters are a RESULT of the module parameters. --> @@ -21409,7 +22431,7 @@ parameters are a RESULT of the module parameters. --> @@ -21436,7 +22458,7 @@ parameters are a RESULT of the module parameters. --> @@ -21463,7 +22485,7 @@ parameters are a RESULT of the module parameters. --> @@ -21490,7 +22512,7 @@ parameters are a RESULT of the module parameters. --> @@ -21514,10 +22536,37 @@ parameters are a RESULT of the module parameters. --> EEE_IMGPROC_0 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2 + debug_reset_request + uart_0 + reset + @@ -21550,20 +22599,20 @@ parameters are a RESULT of the module parameters. --> 1.0 - 19 + 20 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 16.0 + 16.1 - 19 + 20 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 16.0 + 16.1 4 @@ -21571,7 +22620,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Sink - 16.0 + 16.1 4 @@ -21579,23 +22628,23 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Source - 16.0 + 16.1 - 16 + 17 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave - 16.0 + 16.1 - 14 + 15 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit - 16.0 + 16.1 1 @@ -21635,7 +22684,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master - 16.0 + 16.1 1 @@ -21643,7 +22692,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Avalon ALTPLL - 16.0 + 16.1 5 @@ -21651,7 +22700,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 16.0 + 16.1 1 @@ -21659,7 +22708,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source - 16.0 + 16.1 1 @@ -21667,7 +22716,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 16.0 + 16.1 1 @@ -21675,7 +22724,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 16.0 + 16.1 1 @@ -21683,7 +22732,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 16.0 + 16.1 1 @@ -21691,7 +22740,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 16.0 + 16.1 2 @@ -21702,12 +22751,12 @@ parameters are a RESULT of the module parameters. --> 12.0 - 4 + 5 interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender - 16.0 + 16.1 1 @@ -21715,7 +22764,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART - 16.0 + 16.1 5 @@ -21723,7 +22772,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule PIO (Parallel I/O) - 16.0 + 16.1 1 @@ -21731,7 +22780,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor - 16.0 + 16.1 1 @@ -21739,7 +22788,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver - 16.0 + 16.1 1 @@ -21747,7 +22796,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 16.0 + 16.1 1 @@ -21755,7 +22804,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master - 16.0 + 16.1 1 @@ -21763,7 +22812,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) - 16.0 + 16.1 1 @@ -21771,7 +22820,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule SDRAM Controller - 16.0 + 16.1 1 @@ -21779,7 +22828,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule System ID Peripheral - 16.0 + 16.1 1 @@ -21787,15 +22836,23 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer - 16.0 + 16.1 - 19 + 1 + altera_avalon_uart + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + UART (RS-232 Serial Port) + 16.1 + + + 20 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection - 16.0 + 16.1 4 @@ -21803,32 +22860,32 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Streaming Connection - 16.0 + 16.1 - 19 + 20 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection - 16.0 + 16.1 - 4 + 5 interrupt com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection - 16.0 + 16.1 - 37 + 39 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection - 16.0 + 16.1 - 16.0 222 + 16.1 196 diff --git a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.bsf b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.bsf index a76fee8..52b4672 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.bsf +++ b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.bsf @@ -21,9 +21,9 @@ agreement for further details. */ (header "symbol" (version "1.1")) (symbol - (rect 0 0 576 1072) + (rect 0 0 576 1128) (text "Qsys" (rect 273 -1 295 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 1056 20 1068)(font "Arial" )) + (text "inst" (rect 8 1112 20 1124)(font "Arial" )) (port (pt 0 72) (input) @@ -108,6 +108,13 @@ agreement for further details. (text "terasic_camera_0_conduit_end_PIXCLK" (rect 4 1029 214 1040)(font "Arial" (font_size 8))) (line (pt 0 1040)(pt 240 1040)(line_width 1)) ) + (port + (pt 0 1080) + (input) + (text "uart_0_rx_tx_rxd" (rect 0 0 71 12)(font "Arial" (font_size 8))) + (text "uart_0_rx_tx_rxd" (rect 4 1069 100 1080)(font "Arial" (font_size 8))) + (line (pt 0 1080)(pt 240 1080)(line_width 1)) + ) (port (pt 0 88) (output) @@ -269,6 +276,13 @@ agreement for further details. (text "sdram_wire_we_n" (rect 4 829 94 840)(font "Arial" (font_size 8))) (line (pt 0 840)(pt 240 840)(line_width 1)) ) + (port + (pt 0 1096) + (output) + (text "uart_0_rx_tx_txd" (rect 0 0 70 12)(font "Arial" (font_size 8))) + (text "uart_0_rx_tx_txd" (rect 4 1085 100 1096)(font "Arial" (font_size 8))) + (line (pt 0 1096)(pt 240 1096)(line_width 1)) + ) (port (pt 0 400) (bidir) @@ -380,11 +394,14 @@ agreement for further details. (text "FVAL" (rect 245 1003 514 2016)(font "Arial" (color 0 0 0))) (text "LVAL" (rect 245 1019 514 2048)(font "Arial" (color 0 0 0))) (text "PIXCLK" (rect 245 1035 526 2080)(font "Arial" (color 0 0 0))) - (text " Qsys " (rect 550 1056 1136 2122)(font "Arial" )) + (text "uart_0_rx_tx" (rect 166 1051 404 2115)(font "Arial" (color 128 0 0)(font_size 9))) + (text "rxd" (rect 245 1075 508 2160)(font "Arial" (color 0 0 0))) + (text "txd" (rect 245 1091 508 2192)(font "Arial" (color 0 0 0))) + (text " Qsys " (rect 550 1112 1136 2234)(font "Arial" )) (line (pt 240 32)(pt 336 32)(line_width 1)) - (line (pt 336 32)(pt 336 1056)(line_width 1)) - (line (pt 240 1056)(pt 336 1056)(line_width 1)) - (line (pt 240 32)(pt 240 1056)(line_width 1)) + (line (pt 336 32)(pt 336 1112)(line_width 1)) + (line (pt 240 1112)(pt 336 1112)(line_width 1)) + (line (pt 240 32)(pt 240 1112)(line_width 1)) (line (pt 241 52)(pt 241 204)(line_width 1)) (line (pt 242 52)(pt 242 204)(line_width 1)) (line (pt 241 220)(pt 241 244)(line_width 1)) @@ -423,9 +440,11 @@ agreement for further details. (line (pt 242 900)(pt 242 956)(line_width 1)) (line (pt 241 972)(pt 241 1044)(line_width 1)) (line (pt 242 972)(pt 242 1044)(line_width 1)) + (line (pt 241 1060)(pt 241 1100)(line_width 1)) + (line (pt 242 1060)(pt 242 1100)(line_width 1)) (line (pt 0 0)(pt 576 0)(line_width 1)) - (line (pt 576 0)(pt 576 1072)(line_width 1)) - (line (pt 0 1072)(pt 576 1072)(line_width 1)) - (line (pt 0 0)(pt 0 1072)(line_width 1)) + (line (pt 576 0)(pt 576 1128)(line_width 1)) + (line (pt 0 1128)(pt 576 1128)(line_width 1)) + (line (pt 0 0)(pt 0 1128)(line_width 1)) ) ) diff --git a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.cmp b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.cmp index 6375a52..d95fc65 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.cmp +++ b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.cmp @@ -41,7 +41,9 @@ terasic_camera_0_conduit_end_D : in std_logic_vector(11 downto 0) := (others => 'X'); -- D terasic_camera_0_conduit_end_FVAL : in std_logic := 'X'; -- FVAL terasic_camera_0_conduit_end_LVAL : in std_logic := 'X'; -- LVAL - terasic_camera_0_conduit_end_PIXCLK : in std_logic := 'X' -- PIXCLK + terasic_camera_0_conduit_end_PIXCLK : in std_logic := 'X'; -- PIXCLK + uart_0_rx_tx_rxd : in std_logic := 'X'; -- rxd + uart_0_rx_tx_txd : out std_logic -- txd ); end component Qsys; diff --git a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.html b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.html index a8ca9c1..c09ce4a 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.html +++ b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
    2021.05.27.17:50:162021.06.03.15:09:34 Datasheet
    @@ -137,7 +137,10 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
    altera_avalon_sysid_qsys 16.1
       timer - altera_avalon_timer 16.1 + altera_avalon_timer 16.1 +
       + uart_0 + altera_avalon_uart 16.1

    @@ -432,6 +435,23 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord 0x00041000 + +   + uart_0 + + + + + + + + + s1  + + + 0x00042020 + +
    @@ -3115,7 +3135,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord clk_50 clk   - nios2_gen2 + nios2_gen2   clk @@ -3530,6 +3550,42 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord + + + + data_master   + + uart_0 + + + + + +   s1 + + + + + irq   + + + + +   irq + + + + + debug_reset_request   + + + + +   reset + + + + @@ -4282,7 +4338,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord instSlaveMapParam - <address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x386A0' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /></address-map> + <address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /></address-map> faSlaveMapParam @@ -4290,7 +4346,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x386A0' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='timer.s1' start='0x41000' end='0x41020' type='altera_avalon_timer.s1' /><slave name='TERASIC_AUTO_FOCUS_0.mm_ctrl' start='0x41020' end='0x41040' type='TERASIC_AUTO_FOCUS.mm_ctrl' /><slave name='i2c_opencores_camera.avalon_slave_0' start='0x41040' end='0x41060' type='i2c_opencores.avalon_slave_0' /><slave name='i2c_opencores_mipi.avalon_slave_0' start='0x41060' end='0x41080' type='i2c_opencores.avalon_slave_0' /><slave name='mipi_pwdn_n.s1' start='0x41080' end='0x41090' type='altera_avalon_pio.s1' /><slave name='mipi_reset_n.s1' start='0x41090' end='0x410A0' type='altera_avalon_pio.s1' /><slave name='key.s1' start='0x410A0' end='0x410B0' type='altera_avalon_pio.s1' /><slave name='sw.s1' start='0x410B0' end='0x410C0' type='altera_avalon_pio.s1' /><slave name='led.s1' start='0x410C0' end='0x410D0' type='altera_avalon_pio.s1' /><slave name='altpll_0.pll_slave' start='0x410D0' end='0x410E0' type='altpll.pll_slave' /><slave name='sysid_qsys.control_slave' start='0x410E0' end='0x410E8' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x410E8' end='0x410F0' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='EEE_IMGPROC_0.s1' start='0x42000' end='0x42020' type='EEE_IMGPROC.s1' /></address-map> + <address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='timer.s1' start='0x41000' end='0x41020' type='altera_avalon_timer.s1' /><slave name='TERASIC_AUTO_FOCUS_0.mm_ctrl' start='0x41020' end='0x41040' type='TERASIC_AUTO_FOCUS.mm_ctrl' /><slave name='i2c_opencores_camera.avalon_slave_0' start='0x41040' end='0x41060' type='i2c_opencores.avalon_slave_0' /><slave name='i2c_opencores_mipi.avalon_slave_0' start='0x41060' end='0x41080' type='i2c_opencores.avalon_slave_0' /><slave name='mipi_pwdn_n.s1' start='0x41080' end='0x41090' type='altera_avalon_pio.s1' /><slave name='mipi_reset_n.s1' start='0x41090' end='0x410A0' type='altera_avalon_pio.s1' /><slave name='key.s1' start='0x410A0' end='0x410B0' type='altera_avalon_pio.s1' /><slave name='sw.s1' start='0x410B0' end='0x410C0' type='altera_avalon_pio.s1' /><slave name='led.s1' start='0x410C0' end='0x410D0' type='altera_avalon_pio.s1' /><slave name='altpll_0.pll_slave' start='0x410D0' end='0x410E0' type='altpll.pll_slave' /><slave name='sysid_qsys.control_slave' start='0x410E0' end='0x410E8' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x410E8' end='0x410F0' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='EEE_IMGPROC_0.s1' start='0x42000' end='0x42020' type='EEE_IMGPROC.s1' /><slave name='uart_0.s1' start='0x42020' end='0x42040' type='altera_avalon_uart.s1' /></address-map> tightlyCoupledDataMaster0MapParam @@ -4342,7 +4398,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord internalIrqMaskSystemInfo - 15 + 31 customInstSlavesSystemInfo @@ -4632,7 +4688,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord memorySize - 100000 + 131072 readDuringWriteMode @@ -4793,7 +4849,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord SIZE_VALUE - 100000 + 131072 WRITABLE @@ -5358,7 +5414,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord timestamp - 1622134216 + 1622729373 deviceFamily @@ -5383,7 +5439,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord TIMESTAMP - 1622134216 + 1622729373 @@ -5584,10 +5640,196 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
    + +
    +
    +

    uart_0

    altera_avalon_uart v16.1 +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + nios2_gen2 + data_master  uart_0
      s1
    irq  
      irq
    debug_reset_request  
      reset
    + clk_50 + clk  
      clk
    clk_reset  
      reset
    +
    +
    +
    + + + + +
    +

    Parameters

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    baud115200
    dataBits8
    fixedBaudtrue
    parityNONE
    simCharStream
    simInteractiveInputEnablefalse
    simInteractiveOutputEnablefalse
    simTrueBaudfalse
    stopBits1
    syncRegDepth2
    useCtsRtsfalse
    useEopRegisterfalse
    useRelativePathForSimFilefalse
    clockRate50000000
    baudError0.01
    parityFisrtCharN
    deviceFamilyUNKNOWN
    generateLegacySimfalse
    +
       + + + + +
    +

    Software Assignments

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    BAUD115200
    DATA_BITS8
    FIXED_BAUD1
    FREQ50000000
    PARITY'N'
    SIM_CHAR_STREAM""
    SIM_TRUE_BAUD0
    STOP_BITS1
    SYNC_REG_DEPTH2
    USE_CTS_RTS0
    USE_EOP_REGISTER0
    +
    +
    - - + +
    generation took 0.01 secondsrendering took 0.08 secondsgeneration took 0.00 secondsrendering took 0.03 seconds
    diff --git a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.xml b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.xml index dc8c9a7..e975755 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys.xml @@ -1,7 +1,7 @@ + date="2021.06.03.15:10:09" + outputDirectory="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/"> + + + + + + - + @@ -309,1770 +315,1774 @@ + - + + + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_AUTO_FOCUS/TERASIC_AUTO_FOCUS_hw.tcl" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA_hw.tcl" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/CAMERA_RGB.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/CAMERA_Bayer.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/Bayer2RGB.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/Bayer_LineBuffer.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/rgb_fifo.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/add2.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/add4.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/alt_vip_itc_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid.sv" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_sync_compare.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_calculate_mode.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_control.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_mode_banks.sv" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_statemachine.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_fifo.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_generic_count.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_to_binary.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_sync.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_trigger_sync.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_sync_generation.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_frame_counter.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_sample_counter.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/alt_vipitc131_cvo.sdc" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/alt_vip_vfb.cpp" /> + - + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_constants.h" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_elementclass_info.h" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_vfb_hwfast.hpp" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cdfg_types.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cusp_synth.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_exception.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_lib_types.h" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_eb.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_mm.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_st.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo_paged.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_gpio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_immed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mac.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mem.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_multadd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_reg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_shift.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_tapped_delay.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_bus.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_eb_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_st_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_debug.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_exit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_overlay.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_pc.h" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cassert" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cctype" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/climits" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_confix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_cusp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stlcomp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstddef" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdio" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdlib" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/exception" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/math.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/memory" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/pthread.h" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdarg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stddef.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdexcept" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdlib.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_auto_ptr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_bvector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat_post.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_construct.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctraits_fns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_cwchar.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_epilog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_adaptors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_hash_fun.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_new.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_pair.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_prolog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_range_errors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_raw_storage_iter.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_relops_cont.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_set.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_site_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_uninitialized.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/c_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/type_traits.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl_user_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/typeinfo" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/istream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/ostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/vector" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_buffer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_communication_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_finder.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_queue.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_export.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_interface.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_port.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_prim_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_proxies.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_logic.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_proxy.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/fx.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_context.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fx_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxcast_switch.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxtype_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_ieee.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_mant.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_other_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_rep.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_utils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_bigint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_biguint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_length_param.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbexterns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbutils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_signed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_unsigned.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_concatref.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_value_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_attribute.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_cmnhdr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_constants.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_event.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_externs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_kernel_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_exps.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_friends.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_macros.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module_name.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_object.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_b.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_host.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_sensitive.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_simcontext.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_time.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_ver.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait_cthread.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_vcd_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_wif_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_iostream.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_list.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_mempool.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_pq.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report_handler.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_temporary.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_vector.h" /> + + - - + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/frame_buffer.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/forms_rt.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/jdom.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/launcher.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowbase.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowmanager.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/util/jptf.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/lib/com.altera.megawizard2.jar" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/alt_vip_vfb.cpp" /> + - + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_constants.h" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_elementclass_info.h" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_vfb_hwfast.hpp" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cdfg_types.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cusp_synth.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_exception.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_lib_types.h" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_eb.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_mm.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_st.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo_paged.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_gpio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_immed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mac.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mem.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_multadd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_reg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_shift.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_tapped_delay.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_bus.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_eb_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_st_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_debug.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_exit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_overlay.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_pc.h" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cassert" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cctype" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/climits" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_confix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_cusp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stlcomp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstddef" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdio" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdlib" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/exception" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/math.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/memory" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/pthread.h" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdarg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stddef.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdexcept" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdlib.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_auto_ptr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_bvector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat_post.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_construct.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctraits_fns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_cwchar.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_epilog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_adaptors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_hash_fun.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_new.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_pair.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_prolog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_range_errors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_raw_storage_iter.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_relops_cont.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_set.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_site_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_uninitialized.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/c_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/type_traits.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl_user_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/typeinfo" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/istream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/ostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/vector" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_buffer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_communication_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_finder.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_queue.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_export.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_interface.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_port.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_prim_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_proxies.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_logic.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_proxy.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/fx.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_context.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fx_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxcast_switch.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxtype_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_ieee.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_mant.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_other_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_rep.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_utils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_bigint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_biguint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_length_param.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbexterns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbutils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_signed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_unsigned.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_concatref.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_value_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_attribute.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_cmnhdr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_constants.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_event.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_externs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_kernel_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_exps.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_friends.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_macros.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module_name.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_object.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_b.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_host.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_sensitive.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_simcontext.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_time.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_ver.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait_cthread.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_vcd_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_wif_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_iostream.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_list.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_mempool.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_pq.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report_handler.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_temporary.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_vector.h" /> + + - - + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/frame_buffer.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/forms_rt.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/jdom.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/launcher.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowbase.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowmanager.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/util/jptf.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/lib/com.altera.megawizard2.jar" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_altpll/altera_avalon_altpll_hw.tcl" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/i2c_opencores/i2c_opencores_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller/altera_avalon_new_sdram_controller_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_timer/altera_avalon_timer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_uart/altera_avalon_uart_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_burst_adapter/altera_merlin_burst_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_width_adapter/altera_merlin_width_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> queue size: 0 starting:Qsys "Qsys" @@ -2081,10 +2091,10 @@ Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 20
    modules, 83 connections]]> + 21
    modules, 88 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform - 16

    nl$0N*41f4_RUZ5m^~bv;&1f$J5ZZJ(rbp*U2$!lz(SO3_b*Ft zD+bUJhnizRX3p;ikhSi$Qd(orWjOSsGF=nIH(7Tcg!_MP;f!n3i=_miy*LJNAw5tA zF~L0#`Zfq3A+Aqx(7Tq5;lf4+jNd;xYwCgqccs|JES3j=_SrXUcvsg}nmrRUXNO{-6oCiIUp`A7>is{qG)Q0Bwq*2oindR` zMGS=*AW6458MLCET3hrfjszCFB;fitzKdMD8hgE)3lJY)TX}n;F!}rn-RGL@SLoN< zp_m3VZkj_vnP$+52|J`=$QpZ2(PAHI>R13g>T8Au?SbhV#GcbJzeF4|FsM5|o4_F2 zO;*;xcUUech1{lV1_rLPN{8dN*sGb9yDjrbbD#J8o8f}moL7o;Kt=7R)u}sro8cfE zy*0B#Hw1Dl8NA+WaydFan?3H=acEAFba^DBuIk~yTO&1tjky+R@sAjFxh$ABjjohk zgQM_l#DpW(KC{V41+mRes^Mhm#qK?q;M6}nbU{aUl)#;A@NBmchOR0wq|+E$WobV0 zbxYA5)M||y_O2R87OnPgpO>M;hsJc5En4zg5fJ-Z`|`GDLzdamTN`@DS47RYHYu4$ zfI9HuTLC%0hpva?y(SPl7c?(_ZNWrs7UevNT`wyTL~XU?>^XINqTxE=A+uog{DiJ$ zIvv}Ah}ho0u!41|c7zZ+&J9&Jd%>ZF-;NS51~+c-KvCILB*SM^+lGlOK$rm*b%5Xc zfTY6wS&uYBGj3kLe--@;`7lAs4Mv6?nN68Z%Bn|OS<1wXnp`n`B9dNkj{fJy~g zd?EQC@lYvZMYjzVFEsZP6>BsO++YrsP!wsj-aPJKBMlYGY08YrBOW#0ZX-%mIrF^UvB^nh%O~cI5=&51ZV<0(^ zoylWSQbn6HF-FlCEG3-P;eV?HO`_a7%%GAcI)R#@QDeETE=pqwFy?#c%m6QRZ!Nki zAX67C(YF#-3R{w2%~KBzR0G+mZ9)3}SztfD<<*`LxcwVP-ic0}i+`a!a2_sockr_Y zbMi!f7UpM<;0o0?IsEq%1mDK4#1~}X>vU8%KTj9zVgoFe^Exyk>P%M)t1*GohZS+N z6%x;3!=jSH(jI>g5F_>|i-j(O3g`?OgHlt4x?#~hs?tS55 z>&&EiIeeNLrF9Qf1i9<9LGvgJ7aT+H1%%A?9t;-&7u&Dm3HW?mCbH|H^P z+N5RhcKj2u&#Z?rBoYLE-~5gkMbWL$+a60Ak&}dISzT~=G?fDXxsfT#^6IW6K8sQ& z5blZoP$}Tp!nQTGL@F2sGd?l)5k23P^af;8|C!} zzQQ7gfD!`*Ke4m#?>0WY{+zmj7t@V@$Z?0vZWcM=)8`s~f2 zQ(Fm~#Ll%pz}qm;-SB)L~WB1OGBS#2-s}`7Z=EbJRXvjHd$e|R-z2;}2AZ5xX zQrSxED9FKQFJJ_s56R?Yqn8y*&G0_D|C1yJRq?xgp8IKNsuf zZ#FFeqq;9A=4^S*Xr(aB#;%+j&!r5jo$BVpZK`C@_y?`{<}0dQ&EoEi__68;kbjT< zY=~pAv(u8F8pCK^5$qDopRWAd)h~lg|z;J|8uDF*o0vu6ud^1@l=A zS>0RE9W?~J-3U3JKnKce{b1n?4BRIm?B~v89GjlByF0#Na)@vYuG(YS zG{uY|oNeFbPDl#VsGi+5!vFIAxa~)~@!E*{$7>+Z8VLXO%Hx1LH#(`SjrtkTk7=n? z_EYNR0dO}usG|6u8ur}0!L7X${tRD0JcY7h+qIndL+nu7Of1z-%)n+?+pi5*r|m%8 zN+Q%QJcHfr)1c;X&+|^KCS}yJHCHcNf_J-JEw1R@S@h-TJ}02-3w2A;(cYTLGD`8? z%x8`&jp*(3e-CQ;PM=pf!I{kM?So#;*{*#3WolnxH31BT|E&8ubLPUQ_5*8YGNV1l z=HL0(&;DnVpG!5p{GtoQAFnpx6I_u`|0JTZrTQ#A%C=C&`cdv4Uvr@&y1Z4YK`t@Q zD?#@T=%-su!ek65s?VRPhGcYtGxSe!%}4&iinm^?l(7@ucq#Mv9G!TJ z^-1#Z9NzG`NjR#XUfHPvAyXWAVihL%LrPMW1L@tvJ)H?&R3-;NsZ(TO=s_rbZKN#F zqu^S<-SeF=J2X!*f}b*%^J~8T{r*cYq1@24^27}dN~PqYpu`ke%2S~yx5LZ+Ev*bk zA3C9qhuFB#iGuN*1I@^#$*-r)HsFG1QMf_Tf|Z=ctjIMgr>Cwzzz{z zk(&4CPuc9j+3&3J!eRvXISW{kx&|js9~|cA7ZQ5EJC_{Q0&kH9MNZS2ozxQN6Gspy za#NkT+24ZjS;eeRj*QJ8ojYN({A`gRC5iII2?^ znF}6q;E)Rr2*m!udn6W-SM_5ESikPxC1VdOz zSh8q`gk)iu6DlAKfP}3Gz3((=4nW5gfh;5z5eHZ@1lbUiQ^!GsgcMQ#2Y`SjqQ?9i zEE<|1a)K78AS7iSof?r+6B1U2kb)Gk>m>qQ3<2gvvegEmMSJsmBRh zm_#aVh_x19cHd2_`pDSBw4L0f+;zkGi~!CRE>cHObN6MceI)RfX`!*>S2b|`96 zeSzDrz%EKi@r%o$e!>VAD4`Kq(Ho-OyjYk#A%T?Bfd1VJtS|z_>sJ&g-T@J_{xi33 z5iM?BJ`}GiJ;wS-qR>cN>F4zRM<(CT#KDUs!$veNa|(or-aaBIWFlREK4f4`nC6S> zC2c4dmnlB4V+=Yh3oYMtC#f=jYPtumG8$!f>IC%kr3l3Mrb=djLoJ$8P>VB*MEy|I* zr?|gbA&?A+oem+@K}d^{kXbJ+iXR{;UdUQMC%6)1u?lCuJG)E<)NM z*)_4d=(Jv(eEALVLRb@SI|Y6*mO@hll)SD=lTqWuND#IN+8 z0DdmJadRavPhiXt{ZNyvqsVC^RDXj*UfG3|0gYvFp$zn>0aK z+_A&Zf`uaiOpLL$P~~KH0eLlYE@UtXw0muJY$@fPGl8>!P+b00j|*qG3)_U5ZC5$Az;Vmv+w114rFu zou4K-juinQMuvxSSk?TYp zA9wO{C^*@T5ea*IpVS9jZtLK;WOFOG7rR7k==ehuxgP>fEVd3Ocx)16u>r@^(fSA- zNRE8(V#C4<|9QiD2EXaR0vG@J01s9xsPjU5%bC+zMukml>25(;=&}A!s1b?XJWG7` zjZvF#FI{V+t9_qtL#+PjZ^Ns;VPPXF{-|K{r*yQ3a+W^GLn<35!%ZX`2k63=jg;=e zk&S}l%am;ctIQ|?Zd~LjzGR|WFZPXYM3;~NPo(VM-v>jMr@^pB$ z*|XpWmX|syK{yLzQG^TKSYFXpu|gAz-B~8AO|ifeN<3KRrCqS#!%DtmenZ=oG=j9C>T1XYrBSjL2$ITI7=kTl8!pOV^If&q@1Cr%=K zVc1w5UE~|6jNBHACXZNaV=ExsfJ3MtfJvy0Dyu{2AcT}GjiP%2Ob{R_mB)z9rEs-! z*duDmY3&hQY19v}IYp9xv*4qP5r!(8y{*BahYLZ07vq=%n)1as#Ts*KJ3ErEBWw_B__P!R@_37k1b zl2gs2%+S@;)N~srRN?5yO{uK+iro$*N7B;`H*Kk>;oR3HL16lxsDl3wVQ(1~SM!7q z0>Rx~2Pe1%clW^p!QBZi!GgQH2Df0rogl&8HMqNk;Or&uZ~uFCzwC!OxBKbpuBxY+ zKFpo&>eF^4|EBX!wdX{~jo>tuFr*1Y`o3_BVC?OF993KXtJ!AZi1*5}LA=hJS?7Ds zkT@?3)BF^K3RhF)s90{~mOl$g-ho7oLj7P>ZsN&^(cC62eu|Txr`L;<9uaIzN_#p8 zEJBi+vZ4>T8S*R|Wh0=vOTbA6l8h2eq7OKtQ!P>uThgn<{1*8c_U;2Z=~EgCG@Otw zM=nLoEV)ds3L2|x_kaZ}<6>SqB$BH-Y;OQbTsj}AD~22^4vfs!7BTm6j~1o*#x)hW(~rQ6_qL1VLN93d$V*YAN>GTt^c{6w zfQ$-0lOcJ;&|jBA|_-f*_1;$Soz%pU8>Pq|ga3 z9gr#TQKc|4HqePF;1Q)TdvIZbse>peEyeK3vuJ}h{lmquhy&=MMg8-+28m&vgGE^J zwJo8cB+@jpgK5N$)J`l$u%@Cf2JVKB#ljjbE7b{i?BR510 zg@@{o4@52aZz5by9($eNkhL@6Z4mUIvNDm9{uL-Y#G@S`& zWn&d`eB$t}}IjG4|GMBaTMMvoay2`$*@!*;Av!Eb|Q2G#(V<0NG~ByAqs|e*21>>>(N=o6zt&wU`8Nw%UB4Y0?voI zfXogwbm(=|kQ9^Jyx0Rq8kxPr6HW|{$p*FRjSRv=aoEYk5WVud=*H}bEa^QWvZ9mq zYN(PETt!{uG-W(L(nOF{V;+X4*qNX_NJw@M*FQreiJ^OQ>gURqPZ!)Hj%5lT(dl~4ue zg99kw38`VTa=>C=hX@d$0y4l2urO#5htS1lZ_Gs%3PvP=n?f*a#u;2`K9z zM1PrP6ojXFdqJZlS}D*P-H4DQ(!hz58?xia_?B@$CuFavUs1P7l+DV!eW3`)({@Ha zO|T-1XI_-T?w5q}-_v2o|I$c(Z^pfl4^_a*#K4F+k>AtvgOLH7b08l|jGd7IpQT zPBvo$PxQ z0{%r}3Sa75DGexC5Nt#-h=l{4#Sf-yNP&VO?Ig=7>2&Th?!49SXi)_MU z!oX*cfj7txF<=lANTzZ(1~HPsTJ{nJ=oy4lhI#22D7ZQJY=K4|7#a(8Rv0*}Q8@Q@ z4{L}_3EdReAai1THc)pS8zlreJ{Jg5%$f2FGCnV;Tcnnfohj}n3>s=I_JGY0I=oyQ z134TQN-BPk+<`b86;die1|6L-yqqhF2CO8DsGJWij!rFy%%hec1ffQa2@U-*-x?(X z3ws7(G^KQ<_W)DY3)>*y9*t7LG&|H-ffE8?#T+t#V~A5ak>>suex7P3O)2xhU!+|Y zH9#cGl$F?7)ozc9zo~3i)-7DMCGq4&EP=Lm5C4{GMkrso1~ozKn+*BnlPs*baxPWZ zsJV)|AvU69u;iwSx)u+nWN?5vSY1ShP!c9^2kgSi1Cq4DOOc%_3o2H1F|=fsgbSfn zgRsQsmRx$Z<&z9Y-d3}>aOb#eMl@rrK!495!rmJI5d>!96CvCW5DkiF;gjG*dIudi z#m2`<#ZoYbBs+OfGpT@rjx4o=??u+V_Or&2Dh3y6VDD?LVSNlLV)r?|k)cGaXRR7O z83#ka16yD>FF6Np8uGL#g%$-RGTj5)m@=y}G(-S~6dyUZGf)7_Eayl$%A!-@mlblM zb~BebOmZf|!gqftQ#t6vLdWP6DfnNO zP6DtUu~!9BiBz5gUppBYG-^@+|u@Fp#>xTk(;~e^1XvugHLk5Gf~! zL(j?}MvyPFQbCW(u#ZSY;3A<*QJyiPIOHV3j!{gcG#cVWK@^ZvRhB1CF8(FTYUM;M z5DyeCEF+ZqXDq*vLq`_ZAj{|P8d(x&V8hAh?TV%$XJDW!O^1S2%-(eb?L{3m4fdrINAzdz{(z|0E{-ReS9m8!Gsc-_I<62X zn>)&B$=R>)PKIKX(*e746hb<8#N{?&kv%Yivvw3hZhIIxSE3v_B*J{yW!p5AZbtU& zrRo29&(7~N21=(_10}SuBZH!tW(vfsau{2r^%Ck%7-qL=d-F z&W$6lQhX<6xRPWU^Z7gXda*u=dcR`FPFYswmn#bG4}$0+?rI=c4C;C2K(Fg(iitvu{Nb8KsiT!-|c{SdGDq0 z<9RW6pwm%?$EE5MMj+A0pg*YX+wabIe)5-+Wf60tjiV95>7Q<#Vv|Y0>ebwE;`Q&j5*YSZZaWP`hqU95F4Ukq139G9cN8YF z(u&8_Z{B$3Re;yNU$|p?ZDRR$f9Tf2h*|YPRclqV1{+ajpOO~yllDlx`<^*B+ z@zeLnq*mI2f!Km#_osUv&885QzjBPV{_CBGk9gfRf4Ft+;96e;(N=NQM{}Dtooi-d zAGSsQ`bk{a)A9w^2K%hFVmiN${X`S(9*dJEyLYQ8h*9Ui=}s@_I>}|6BOG9r&+Nh9 zRaZOV4KHN7)1}%BWEF6%C5S0i5`bLkK*ER!wa3S#qay6IT66j9MA4^NbsFlMe;?c5 zAz9_~8n7Vr60&K%iKQ!lr6{mB6TKSRwSpjwH5BKwJtQ_8Eg~h( zC#fm2st)=CG5_=}8tgQoVo}kdZImpl;lTjN#4rj6x*$uVl_YF7(-q_V5D6XQ9dhZP z5@-m}a?li3G2tN0F{^k9R0smalaI7Q`5u;X;S9IFF__vXL>2`|8(4fZIoL*~tTJFJ z*mopWwkvIx+q@D)kKGc|#9a1$;6zE{FgRrl`i;)CoAfXf$+pQ7wI5M83Kq6hfR3zwVl`elg3Um}jmv2uWQR-X} z#>evS(Hcz$LJNom9o+x|VIWnzcyM18lVC&~Ie9@C2^3qzAh)y>M%&&F9SG&?|HL9d zM_K=dOE@h9zp0a?VuJ@utVv=_&_|Xlft2vUec?rPTR}vUSr`AI#*`6* zfg1&3SYH5%|9^E#2jD)0_Ypjs5*W0jbfBf2H&vx?I?*Mwn(4Og0lc1WD+y4*UJ#}x zh3#lfAHlOLg;CP}AG#a{x+1>=%A|lqewezBDfSN=`B)GY{2-lis7Ms3cI?ezUfu|q zcYs-HfLX&4ASGUKA3aBeOk;@@hAgr*c6=YX1V#ySgohA-GeuZB6oiEcM2HAL5iRj- z;inEPO%7mA=`hlbfbt7K zIWh~NeDfEeyd6;98~G+y(-R3x3rP1yntEA##P{Wf;K?G3c}zKM{<=nBA*{dHiXzg_ zVv~*FfR%ML4=?1|PQbPhNZ5*!SIt@?r@tci0Lp-`Z2$khasa;eM}Wq8z!1 zd>1BHOw(_4`LdCq@fL7j%Rgo(>Kvi3O1E{% z&Tmt=*<>_7|1St0QMGExZ zNL%MOs+CY#rXlVwwz5d|v+8;xos~Qs$B|ipNxLZtOj>B1V$=bfG+F*bbWXGPuCovs{zn;I&GrScEj^ubwaE`{_PX{@pRgGj`(o!Dw>8^tJOIcZFiNUH z9s!uCxgXnZ@aLFkfF%yPZMg^;l0fpJTyHOfvH;$`VFVeNq=3XK7qt{d7GQAQvRSzx zS454!=166MH;v!NQ zfJDpQAYFhCKp9}!0brRXK$Y5mRYvu;o43!K!5>er2qZD;{~NKS)GgdvIU0oQ$_(bI zpcB*ojX9TvBYA+R!~QQsr9}gD)`x5npT3;rr~Ee^tp5vy7zokqv&|((?Y|>hzl4gzq5m+QatVc#0@tDeQ8i^@#6m4w*9qD zl%A6Bw@E&^kv=vw??IEhTu%0i1hT6DhtRP|ShXH6-K|xry2qJ4u^li9J*Uzjs1=4xh7(GJYjB?L=_W$@I5STDiSuUU+m>n-{{82Pu z9If*E<93I7l?&^CYaar->S~22$%bF6_WJlvI4YBAv|_x0g)~Cwz{*;pH&_~-M|aRv z!kZ-r53f6PTvC9?TjW))55w+9({bd%=&*;u-{-%+2OFPWXO0YzPohS~{wv`Zl-5hEz6RD83KE`Q;x!)t!ZrPB(zoe30XM%v$^~A;kP{zc7(udoAeZ(^<-ObS7!nt_^MxZ5No){D+zXMAcjYf>ge6Z z9gjg%8253LN?-cxWCqV;6T~5oA#!Tn*ifY5%5SEiBPQtdZE0qR@)?WfC%Dk{mgRdd zk2XD4vigT=N2brPz8kN6H;*4H&l(%paODTr&XLIB}=>@*R_!SuMM+ zS$eZ~tv$Qao|-@TW-x`xoPT=evF9p|6@X}V)gN+Y_F3Ir;JXJ8cuy9QIUl%o*~#lZ zuFOaM=}J_-h17wN_Z0~VxrI4vF>v&YV`-MWg1bxLZD~~ZQ!%*JqLBLOJr%3q)UpV13bJAXcL=&*noQ*4v=B8)&Sg-5t0FX0dU8dD%M{ z7uAJ(%c_Ds^ps*eW}ZQ6jnX{3UxL)WlTw6Ag`=+`RlN=TQBC#*;?+sJifN9F6aOW* z`w9-FQ5d*vQna^RcrXwAF?LE3L zn$FnQme_t9?AYJ;(KL_wiOd)iSO<+44pRm{Erj={v*V@}r{mqRDXw`}A9(NM{TLL( z*cbe>_L~vH^<^Yd%P_OeRb|aJ&LU#)7-9lf_S4LQXmk^*b-U}vUA%R5pn$lTK3tH^ z=ym1caqhSdoa=8shjx*M1<@P=^6GazlI{2NH?9r|I&l4kJGRdKd=8JBK(8l$uN_yB zW;yotj80S~&xt@apxfti4KI?Nbqz|OpPA)bzsZftK-kYD%^&K^J5aCAE#ns;Uj&(C zZ65e|Mk?Q_>$>#oz@2pzz73cuyW{%Rk6(5g7%-!KUo^1^b@h>FP9N_6gA-u+t7c3g zmk&wS^?WxkFyLPmh7MG!&-p3~kehbcvNl(Ii(T>d0@TR_A9CjRlG3&~*Z_xS5?8QNom-#e36ae^(=JX?D1toy{}ha+(i zTJBOAND1W)O0^jMh%1FKL=%!%W?$jp+>g5wjtw&GV*WzzC^(zvc=C-9>u<8Hr5s>F zJ&>pp+?y_-Tb{*^9Ej8(~D@L#TZhBxVE5EVtV5U{&?YgP{hY( zAUf%^{&H_0i_afqr-k5w;JmIf+9&F8`Zut9U}T|#xz@FrVf!A=3zB2b;W*3z3fb4-6c{fP6Rq|)kI)LrQ!+`l*tyYt+e9HCyKd&+BS|H?eCx{mFH2r`nmuFI(vTIBUqjLit}4koV;6#AtWwVLr_* z6ov28y7Kp>oskkxf&u zF6<=1&p$SeLy1KT=|X5f_=ogj1>QdUt=GR(~`Y1q7K*ZuN%$-JvZ|Kmvoy+Wv(1uGF&V!Llf$FFf z8+aj2%w!tM@uzGk?|t{v|8`B(iyyHZG==0j3k$})N)Mp4v4tqO78n!8+Tcdb<_=Zf z#Q2+A$8ovEq((}P5)@~7^gXP_%v1RG<&Q~c?jAW1(fnpH2X>DgPkH}MX8jAE`a&hN z6c%FSE?H^9Pr74GMx!Md1M#UsXbf7N?%@lUPL+A)IokLA!`TPUPs%!pvNGx+?@uQ} zDg5}dX=Wx09`B1gK8kqXdU6^lH#pN~<$r(FGP=S}_n?OjvE+b+^V=srEMZ!B5bT1s>txY=6usNEncQc1H;Izhe;lJnmlHeUj^vsDh za|ANWk`=!)EIW#B8h?hF#5zJyP|@xRx)b_MFoz~8rbSAZke8!|-;_w@U-I9X>6P~F zDesZ0W5`nHzK{L=2qH$ymuOIkYSC__d#^X2@rVHl7 z9dx>o-6RlwD{qLS{Iq(|%8FsT_E=(!?4w{?6++>3JW6os+2IstVN0pB8ro(t1Rpg? z^8K;p$6)`cY#bGf(r;gXnvvj29QqJt3SL4Wo+G843kU{%8okbmCw|@;xSQ}{m$_Tl z<)OX*u4hn&;U|Ho^d~adQvBLKxHRCxUG83%rQ`u~xhdU$OQT{AvDJKzT>Bj6f#$ac zCo0MXBOFHF$R2z@6XU<%Rat5N9rGDomVba*`gY0(n++w6e5t;m5+}sDuM)=&|2KD@ z{E#eZU5pR#}JRCN553Oa9_|J+@k0!rmJ-P2tc1uM zFZHVWuE^Dw&fk=&0{)UN)=S^UQg=YyETInb>d`Zx2Eq zGj)pkvaxZv1Y=23*3K8X7s!s>o#<_x`>m9nL^B-@O-S9HxE-=81u7}$5fsOb~@BOuMoQ8&68J=OkbQ7vqHr>KN_#Q-qD~{QvWg-aRAXF>|e` z65B@tM?vrRJXao*Cxcd5xAZq%ns`e0J~1rk6RTpat|C0`o4{g&wqiFeJ&9~vkQKW;(En~=(4jAe+{ znyLwUNzLF=?bETqOf^{~bW&GUaqh)qPgSJzrL)czC}zYE-V_LM=aXe7_)=As{I88> zlVL7?pOf140@#otp`0WCC861OI!#(tqRxB?JKgkeaETxGm{Xdxr-aA1Q#7tbT+EL$ z|5?kJeIIwkW-O2@DloiF@i3BjgXc(5_~z!?}StQ%-HIsQLh)EuMANU8eeHuP0O(2P;KPeLYolt{F$`QaFBsqc8rgJoxhx zf`KvHDEybTt3YGGpX9`k?xn76EEmPJbxcf2KG7fQIcbiowfQ73Uxzh<_z+}Pm%<3? z&ho`#uw8x9$suu9wCv2eL~siIZCEyyKW=Y#VeT48qLCJy%Jh<$bw{Z&&i#ITpMG<; zq%y>x0J$|=UNl?2r1IU3>Rn%%hH{xkQ=aCt3~ZR%F;&rgI7)+3jOkaN215D z;von}>+GUgzPh~mrFdlY5>1XG&6$u-W0VBsN|o11pZ2+D`GgZ7LC^D!s?|*VJ+8U~ z((Dq2#`LjAOP8exsYhVF^3>C;e4u*QU)DPv=1+T;@Vm0q!I>~G`z{m8XiLH~IwaV|o5DK6E56M(1~ktkn7%`e z#6t~}LyeJR4e?`*)I$x6Lyd`J4e4W7(9CgA(SodgHCBfHZ-aCcoX@|{jbcr6d7cSt zeQj!7Y<31ywR7*kYDYRpdM1hYS<(2h|0qtU_2SIiPowqW_N)j^r1j+SJSa(|_2KiZ z7yxPlo;CYw3^xMLHh$VQ3^*jj{W+vXaif=;w=0f&U&>d>n2LRW@+ag`yn9XztqK<) zYw~JOEFdPXkIlzT_q`gNl?7&g2z+dgyJz%3*;zTb^?fX$8+!CyBC&ZSA#x{aN)O{V z7#C$9N$JW*!{T-h_nIy=?C^VQVYPsFVFo0yCjUTd?pb0`&rp1kt7P%-dR=_7M|yIg zqO~T2nd;j;$3lIif7xIux(s)(bz6_}TgE8rYM#!J*nkP1-^n|}crR0anNMotM6qCr z&F0CudsP|Ef$dRGBduvaPUz9um(uZLLX)UAB&)jJ80C|iP)`-|;ro+d#^5KUfa~=D z5LKDMVI4iX!^(a^gqKI+Lb>imwy!ez4On6R_v9ZcVLl+@m&7V+CJHWRsbu}w?zRzN+8kEc!7*73 z0^t5y5&EH~-AGQFKbrU=DtE~<+m^Vo`y-d1WmPWoGIW(j`|iBJ&czTuwajX$tdgnp zFv)2)8Yyffsq`obK{lTmELA_Ji{02KBiIk_ndOf-d8EhPkLsOq4(%PYUtVdqDu^iB zSD~0%Nmc!Fr5NdD8h`$T0Xf{jq%OjcKsVo^!xokxs&kWA2+^CXT^lUWSg{y57{qkY zZMlMwR;R}#>|Kcuu`IUeTca~YoDyL}ZC#UJO|v#HMrOlCs|tC?-LJw_4Od{deLu}O>-o5&`8cHtitJC~)>`7$pTzl^ zg0V~9X^GZya|qgS%+&q&Fqu$rwzhKgQkEA}JuIlu_Ze)g$&bZB%T$`*I5I0st#EOt zS)Yqg!hIYXR%6Okw2)@$q#Z8aTAGSHU#Ru;cDvaUcC8y=cDv!LE5jpVOzg3hXW`bO zdAFRRZ(E}P)j-{GXPEwEqw{6y`a7<1tu1#6Nr&x&hL9Ft_B50#$bTC36Vp9CS(W`e zrEl3o&;!IPHj=0Q{ane6mYTF5W0-^MREQv4B5^Q8Zhs|IsK?~4`Tv+P`kFN?c5&*e zz$ZVAm}C)l1fi1NE}ipf=|r4ABRvc*%N%!-y(OHxCP6kV<-)o@lm-z{fSR$CmRRR! zUetHe<$U2cwYhBYieoGwuzsdF(spQlEx0oH`loe)47THgpH=A zkAiJDwHRnlmjen3B<-;d2h-!w5`oWg}o<%CFwjBJuk_#)XPgIe4; z5GRQILn@IV^oKZFVm(Bf8OoHPlK9Wkme29Cbl*e}F-Z6041>;fsDk%rY$$qWWTEh> zotP4bORv?siP;B{sWmc59@rST9>n z?FAMs7Yp-h%UYc%>9*|1@zGvY!XvsQMwYZ|YVWpnN<&mfrF6XLuNC6+K2SnKu8-VB zP3+c3S=Gg@Al~co=fhyR$@i?sVqJde_M!9Y`xfBXx6MtO3)>v-A=9ro{!x+nfDZDWS-F)fV$SKzyfF1yU13q64&f}>x| z(K?|(AL^2s%pYDK{e)j#sA$fgZ}#8LeLZoeR{`JoX@&hr#};P3#kh4z`DHRoed}v~ z)ON|bn>lMbQ1%<0=eS(CRc>3~5)S^GfAWI}zuhO? zOK9IxM($ne2Q$0WZijr<#P9Gk6Upxlh@ZH16kc9Hdn>&*Mf$`~Yjs2&c4dG<;bVG)>h9KCLvnwE{Qfh7P$`e3_6c@`C9kKkTT^-sbHdZqbPN>DgNx0QEe?OkH~z@B$LJcnSJ9{7&vn4!KJi;w`cilcO){78h$=VV z&+gCf2%P1U)x23=A!0GU^#gAcD@Etd%k}OL#q6M*aV{ylx^7Ak%a$ZEdfUt$Q9OUN zuy3)DYrQ_p00&zn?WqHzA>Lu{WU7Ujg`%#XK~z^&O62bIGTwHF(h~4)CB)Qr@LN@? zyUCREjHfR8X${%SU*Q58%n_ESdBcsrp}P$$yz3D>>z1uQ-l+wZAT?s1@*FYY&uIWx zQDiy0jW6+9>}P%7%`)n&R6oPdtUDs+_{~N;Sa>z+wx6DD@$&a_RO`dv9U(q4CfaE! zH!25qtNo?PpJ-M@Ly%d&eKVA3W`-Vg(rzz3Z+G=aZ7A*?8hts)D!Yn97;>5p#eWwd zGwY9=CG9z3+($~IyEo{z8;t+@e0^wGryJcV5He}AdC0wa)L^rB>caJn2~~I^b=^(Y z_-~{(kCF8CGZHSQQO5aE(x6}$E!Gd{h06O|ojnU3#=9DvXOFb~g-y=a2~Aj~+to*p zxz>~Vk!>*?y(_nd_AYtX0R`)cA7=8lIefN?1gp`w_i%Tome+qS{+!55^USl%B=^3{y0WH&50|0l>EOoft#xc)q(dL^E=?Yy-pA_Evv86}n$crtf zh=bHrpx+ z=;UCFA>-$|H*L%i|FxC5hWU~8j*Jk)Oe5CvK*#abjOEOl`h z2aJ6&V4n8wlM%7fKMDaw*{&UrW2w7!b@qa5tLqwmlnv>@SZLBl$YjehQFeZ06TL3c z)1Qc8vA22;jj#DYdpScvPu$rPl-%Zoo&$3?e;*I)c<1hLLjsil(ly#69vSH({7cGO ztNE6Lvg?k`lp>bf)y8r3E}^z|oZ3p;OF-5bSF7wZT8wU98hlmUy)nH)jMKNy&&8Jz zYJ8^>`JXW33$&ZXYf|;`j2#;g{Ai;MJBlairRwuO)~{A5RwFam+=foz>TEZ|m>d@n z$W|&%`zw$;VMj8!MfaPOzb06w1k_*fX?EgkF)Rru+Y-KZ0|_%hw5g7Wm`ChNdi_>& zZtBe2vu0VioiNS>CCuB{MqML3mGc~ZW?2dBO7c=}ElFyrPDiRrBgVnUs%)9lg zXzZy@8MS4rEUnE^YLBsusgA&4MN%zMGwe!Ssg9qbwT8^H-tyB%sR1>ZZQXvWp~|w( z_bvn_yRnm}=2>#~AuNhpWfD!(yWiwoydO-JgH_Fj?q^H?4p5f(W-zFpMFRhu)Q+ha ztR|Ow(+v6F@1#wwnG5Q^WD+k5byZX{5RbM3SFf5emmz%eAa>N2@#NpuHsfg#NW*N= zNrih?XLt1lI1+nnZqhPWL-Sytf*p5U4`b!EhYHD z;Db)6K6BEQEpmkSDo5=>`h4#S&A2c8A>CJ`>XlB~p*{2;iIu}oU%zDNejiZ4^wccl zpCG4lI%J+}IHRA@vt0(qd#CQZNPKohJ0CxgC&MNjKiIyl0j?Z`iw$x1d_F8afxvTT zW&z85L=AO7jx7zP1WP#|De?2{l^HiMpk0;fajCmayebw2*cUVf>4%1DteDriX4&1v z71TZpzBqd``XtwHloa%ZUN(We^4I^)KfByqUGQrM zM@u`&COaIWemb-BPczi!QUBp;!Bk$A<)uA#xN_53=WADH=iD3ImQhFmCEeED6Om1w z#abA0NPqW#_efaWVuu?h!@lBxtF?%!X!qyi|4d#oK4XTmqwZOr1z84CPG=)0D;yZZ zV?E-0;cL@T-WSVhV3Qa7q--ohkUM6ocH5>Pw)CYLEh1U9@UJq2ywuk`4^;d`uA9set833{lHb+)CCm~ z-0>BmVPi{s2t$P+PzRj3BK)5-)p>w3?XUm}IHNBvo0>FYQyM1h?unvtfy7*$H{H8` zMfm2-H6cVvoO0F?#MH%MEgAW;;}v?w6DPC-TmlD{shlwumdGc<^D+?w82_$fsC>)0 z1O`)XE9dvqw+vT|rlp}vDazlzIP$k;w)7#TdVmit$Efw2`yzupnJ;_ZN&wj1xJs?B zK0*aF!({4iNv7k)%eR+?NitvN@8JH|4X|-b60q?N7j_TFd$aK}AFvUV1+Z~hsXZ=B zG==3n`vk%L8A z>V2S8W3W@?Kb$Vs5x@)Ohu`pTZNTbt2LrSoZaUNB?xG>y)8l5$NNYL;pY-9U>gLUy z98NR1d=i{1BBjaBx$;HZrV~2VAp7)ZIBq#lt;SlG#7l>~1vpE_FwJ{w8Jd85AQ3}D zA8Yc+37mcq)be5}3@2ok?%lOanoeRMrf4Nb0hWQcdf|yH?|&`L#3-o+V4qCg7%70s zk*PN(r3(Ni|KR|WF;Z{Q25@0_Ucxsfr3(NiojBj3?aaBgEvd;Da#BH@8uLSA>&G<$ z&;FR0*{SUHvKlc{WJu^H*;L7(exP#{V{taWl-s}{j^}N&iK%;37V88FW!qcnBqn|- zrOjl=I(;W5{<~o!zZCN|$O(}AF-St0x(fOyF=CPh;D-rs_~rf?ehZ>+Hwop(FL$eK zPWORgFKkZU?RR|xgF4hXXD~07P|DBx-nC>ow>Wo|G#Xy%x}}Qzt9U5iwj6|8lQ)6a z?ih@#6kYr~)iS0VsP}6Wemw&2gXeE00JP9KL%siQmH;#dD4xZ20Z}Bm`q&3@A2e`^ z{MU^o))BygD8Av}+QeUgC<3iO6hoihq8R#gXwpwBbTUDA?GpMzAer-UVKC34oCzH_ zRcbv1f$+yl782nrtvqTNT86IM*AdiGIhoDcce-vOJp!pD8*e25G}Jk(eOGRl05k_E zGV|vGc9_Av%_b5RU^cx;yv-*5T)>Vu{9D_}*SFb3q5{~l1PR!|WCkaY#gRfGNS-%_ zwGT(dNiP{7(r6(UM-it|mOu}s^Gv`Cr4v*lgDI0GsQ53XaK4ZCddCQ!!#$J+JiBh^ z*AYCKwEZiXedQy1Z$% zVxF{+3#&R3&Sjs6&h&npv@1{wWQ{9u?QI%ydj+dvVZ;|{bku=ck zcdm}Pf`G3Kw4bp#7SWblXOB=jwop;m{Mt=O5~kbPPmmcW)Rv|CP0t@GQ9M0U6gyb& zc|?U`h9+p|7NSteUT8LW^Cg0hfV^X`OA+r@Jz}b2U*D9gMB{8QAN{*Kap>#zj!s2a z3o=rwQG89|s8RYzjROHL!nJNl@V^psZ-8CPNKI*qEOT!@B6kaNBs&Wz)d-9csT(3W z#NC90KSpOAk*sg0U@wu6Zd^OL>HAmu1Wr>7=cGNj^_+P0_+^li;Or_-$ zO^f(0!{QEV@<@9aASub{6go`ZG)ThGCcb-xzmJ+M-&**FKeh0yR|Ob2gbpW@3b~i+ zzT{5!RsNt6g~&{FQ-b? zYljW|9d0EHXE&{w+$}T50CVnN^e`e<4_yJ~{^0`s0G_~1|AzaWDOG>pzyn%~LMO8I zQ-Sz?(?3=MY11yc-Xb(=Hk5o9tJZjrOi^Kl6SxFEqPN+}guAke+_m}R6>)Tvm4uMa zw!9NwnHN!yK(M;miNNOyB4&ALdj{pFRq6VBq~gCtm?B9&${`#B;d-_0iJ7R!OuX3^ zzHyhCC?8qN`N41Ky)_!zL8zR%Tl3LBQ2<@jX`cqI@G&5Zj$8vKldfi=$XXUMeyg701+4ao z!f({*RjK9T+htde)Cx+k9lDRJR|uI0m?FpyQRLmW^;7EE%2c?aOA|_$D`u4>4MJh?GKg#s%FSPOoKarj!%wkkQ(Pi z>CTU^asedKmd)HqN#trPSF}VGg%BWY?%qxIO&De%bTfZxkCzHo>}vJtkSvz=`v(BM}CtPTtB}4kkzoN%m`R8b` z-EfyS9p-2sIM?_Njx%3?1TDZ#4e{!s2<$q#;z{Bxn$`9bOQkJS2S zJr^D0=_cU_w3t&!zXSJ>{7{|jJiS$wO_B-=Pt2}kSC$iN{)^BL3yofzQXb_sL}J-Y zw_@-_(aX3CjjfWU*4kqWFDv63^@}0T^K%=~>nvg-;6<+ibAsmQDrdoqHs6(7s^1Ut zBUszr+Mi%MFAUb(?HX2o3~PEdKSug##D#jSAGuXj3vNz6ya?Mr`JW1%-7a@wPhuEn z=>4sNZTu*;6NvZ|^&2r6i^1R4K;V`NI3vvXUnex0s(QPO4Rc$`(=>{1tpjGL)k$YafuC`NRo*vn7r z=jX?cOq)!lN}dBcH@_8vupcLD$m_R#^~5f}^&4!xLbKz>lNaaks0;sHyb)Ghpk+R# z90>(WR=wPV`ol9!)U{nK!H7OiOC{3i%&Xz2skLb2@HXzvB%_lk%9t76&7i;+(G?0t zU(v`>3j8PrECSeT(_%34CtcKm+;lvvgl-1=1hbm?UWAP9&e}$t22oB3Ds0&CT*8|{ z;wsTt1sWn`y>3IIn?c6b?#U6(PRKnbx1hi^jX@*gn@P^$?o~V&eTa>?2#BU0zOPV{ z?57aX$Aj&GnqRrLM9E^bO-Sqe9m?RCn(fZI1(Ie_l4(B+H;K1&j5m@3i8<>2R1!3m$fXHpZ*W=S zf8Z9mBC_9}5l2bdBZ{i{y3FV$!;>Rc0B%hTlkq?m3lChed~f8?7d+3vs+ebhsiG9f^+=pvmqV6O< zaX@@?-)4@x$=wxl7)JSd)^O6N&bxDNR zuegXG`~E#dt8x8W7iP>4gpZ+|^P&Yj8~?JI!y1QDG!nf0s`_ZeZv><@B~uYgU;N7P ze$Y`j+24GemiRl6+0+3Z$ZQ(r4`enKe#>l1()M3w(;FbOsn1(x(>4#@-{Mp_$m<9* z>zyO#zPX?2qdFDvGFNki{zyBu_M$#Mq#8{gyEnc#Ox}|Td*Fre3`7O_p}2kMF*gmT z{^1@wBhq}cIcE5shZ0T#j?~)M*Bd~3b}-wM2MJ3n4JDZhB$1Vk6@3$s1@A}xe#)M96n-V6ydZP}ZUHin#&IBlKLLd1TR>Whd*9Et~=98Xe_D183W8d*T+tLN= z=8!0cFi%ML6ivP&iFcg+4e`ZZ?!O+H4p96lg*CdT0Pl_-Uu%&vQS|87ALBTTv` zrC0>Hjna0a;-S(GElb;Jjm?S-HC^#1v*`-tw|n1{!lTB3WPJ9F(iGy^-1Xmr<(Vlo zQ@FJ@7*{=b6Ek`eMpoW#X?pT$fkskDjgnJQ z)u>;(w5k8#lV|U+X8pQ_7Wkp##q2$@a>{pY;1+h&`8q#EgNU)e?|;YwY3sQ3)|v2* zfecgjXA4_s1J&abemwZGz>SwI6PeZHK)$^RMvU9o;VTGjoV!kJLpe|I0u>h62HOAb?)Kq)V^o;008%TYmuiH9XqV%~L^y`oy@ zG?(G%1jmG$MjlH7o^uuFLgDqF=k>W_o4y4V(HrC-SiQYh>h}2I={fK1>IPCr=1nww z*!S@5%6Vq<@zh-R!A-&T^weB=Cj4}DH3~ea<=X}Le?R*+VL$VGF7d4Uu>N1{T?t$a zUmV|(BuWv|IZ_lQM~;-5Qlii$D*hyqa^*~fNmAqpA-Rg&L?O3wM@l4j$(=idB>B&; z+SRn%&cxQLeLtVwo%epn_r33LX5Rbl5%Q~cK}T$MtUl(ot(9_K{FJYIvO}7$?>OSN z?a0jWadG-@viCk!*S)qruk*Zn(uRk&6#uyXz;El=D~~^h2EKAX)p)#S@z0B?d8sQ> zTmJg_`O&=lLz*`2=R0bDxc0C%y5l=7n#q0~I zw@0~X27G+^@%{XEA6Mia$T)b{`pM+WsTb7Azmo3K=*YH5AMTvHbS3|D?mRV(Gd+7Y zU826-;AqaoNwM3UEsOkqM7(MealqtVl+OBtT{Z?E{6_vSX?>Ed)&*Qr&QXn8_L6{K zZkqXjZQe9~IO84FA6JzbuzW<*3 zF$ZI;ag%}43wPwjSdTNYCl5Mpw;tElN&4(|pY7Jed%1WMF$cF>_fz{%n%JJVeNmi7 zvmJ87rAP01FWG&JH_>+Lj@QfGR_?HNZabcIN#9ZU9e*)U?4ZJv!7?eM2Bq#&cs=+R z5#_UUwuQ8xx3jDN*IO7dV2hH^?xNjdxK%KGL_1zu!I1FyFv;BtwCcE2TJTiPI%X07gb;L%CW$jEu`lPnjQFQFuT*7R@ zN&^SYRxQ0>$l-P?hveN^U=XwD`)U7X*1K#1#|~NI)KklQ)f2gE8jS%6D{K z=kfLF&ckQ>e>h`n*vQ9IHZ}E~?`^fz$LGG?xY{rwtJ|EsJ4+PicwA5}UZNVe{$P6R z>93@&WW}zJ$~2$;O75ew^$l71#M=jzhqg+Zr$5mo@oKlpr`3H%wjYe&xc2z;>fi^5 zyW4JC<~B1$>AmFXtmQ}iieA6fJueX+&*(3`6nbVd3j&H-_tL17paudj~hKvC9&7UXTJM(?%b{V=2d_1 z%d=f=KRDZtU$6RR_s;uqo7E=!?rZn*z^NDWF2CM%`jgTF)xEybcjI2P^PLo$dFI0D zv1dl^n)~at{@CXm(l(4ppY!3{qv>;w?a};kJd^wkZ(+NEAEn${?hPAEJb&;kGA=uz z`&<7o?Rh6vH6NMZnYeL5W?{zy@(Z^9cg-d)UihVFv5Ho2@(=l6|8eAz_{3hB<5u|& zS!w%H)78LHzv#_lqoP2q#fRpfn%+@E`|?{~qc zV&^ep-(&j+Bu^Q%yK~(8%?`Pp8XR%WZj_W5AM@$!=)j`6PknwoIPPs(^q-288u8%$ z?Wz7l#3r}Y691a585$8{YpT~{f zqM?|O{iN5ZJ9hbJAEbYoURZDVhn-?4HXVzS-1>9I8|$U#HXQq;b*xpF^V*MO*II>p z2d7PRJhEua!R@Y3o3@V`ILfQp?j3gx+Vmgo)5YF>V03(!RqyN+hMfC;cuq?V>xQc0 z7uvNe{n@sA|B0sg%~Izt{C2bSH`||1MZJ7}X1C47UEb~cx=U@#-JALDu;eJi1G5_L z+VbJ-$GFS0FHIIpzW#mUoSTQ{Jy`qm)T1XpTfPrd%$_#Ck@@_uAC`{l{cAgxtmqbO z7E&)^VE;HgL9eY^zr$LWB&JFX7#@a4U65S$BBB7hv9SgD7yrYioSUcD)IBITVd1P% zACk2@pFh*N^Q7d%?Jml;YV1%Hb~fpf+=CY#m+zXX5~tpGx~~1xOHI4^=?2Uy$c(>n zAS)l%?BfZ!r+TW2>$d9{OxR2y|J;h!k!O*kGxjO4auoJn^S~1o7{*A zG#<0Y`P{F&3#2n=XME4RyySWhl|^#>CC(^diS&$a|6jQ zGd&spMZ7^+&T6w#Od>IIuf>G_4#x(&e=E%X(8Rs_ zwY}=I?}g0uH)_~6Lmy3`x^ z*drr%&xyIWu|2!nq@~zw>@fC3K5J9=2VPbqSG_pwl4#`DHmzvlwAXKat*n|47wclX zV&iuYecNvzo2boGABNozGV5O;IV$~i;-a*?V`Cz8-u`O8d28v9<;M0)zBsh8|2W;4 z85U1-likwiy&SpdMpA{}$nBC%fA!HU%YW8q){`NAPDA_(+(UyxIyMX(_^w}%i>gVQ z_jha=ziUUbr)&J~4X>OcUtN27ub#xH<>asRp7}{eZoB#N-mgd5M@)_`YxDiX_YTu{ z|2#Of+qR)y{N9fJZ+o)!5Z|@WRzCCC85`?-*y6#%elg|_ACJX;N>o?#Z>DM1G#o$i z^8AHW$$fpd{kS6&CH71|`TOLxi#?-fhF(6DGiI!D*J~r4-Xv(s>xWNKuqcp7IIY*UaS^rx{)B1Q1G|L~F-QTgre-p_4RHIMH&G&Um#)iH)w%d5>#hGnA?K%v_!fX$^ zZNg>GcG=$CShLX(XOA$2gA$uDHk+8WQtnXV%+g6`QUlWvTt?zksBHaY-`T7ss_QHtZfc_WK6Q0_Tpidpp?g z@n6?wioKqn{(n06{g2*ko_p54N3Z7TQv=?lE^%niDpJdw+Ooyk)~S9s+&jKna7b@` zzV)3qo!6fnVe9GaVDR;2?`YH2QImh%X>+E2@Br@^@o@%C0`W1Cv*Jhh`ZavL?9~=g z2M^v03i5g{o#FmzP^gpn>U)hJHL{LU9qrl8%{Rp|YuWx;j_EJIPw3*CqT{&ZgO942 zYKE`wiI1;Niq{J>o?8F1daGww8=I1xD$n(>>(HYhxt+7m+m(F|UP})Q_BgxJO0vIL zy%ob>7X{Dt(TUD!zVYI?Pg#jq1|GT<5xQdB+15iPZ|rFkD<0EG_Sn>cd!NnfF!cPG zMZ^3p8ZA%g5;8<(!biuBy>44a51+Z~qQ<(2a~%${*4iA%k#=rzx{Hn5@%%jv>@O*d z2(auVyQKcmv!Arrx=23V>f)oS8@huCIyiu|m7Lg!mG%@HuC(rYZDH6j=eX|VRqqi%h=@n|MG7R(=_y6Tm0+x&76kd=0<<92;-_X7Bk)!TlyIx++O-;#X)qdNFNH-_*oV_od?l);tdx zU@CLU=vW84ONHAk+7zCjI%&Z9sGi}s6wTXwYx`o~_={Oqg{Ft3!uIw^KUvV)oUm?o zc=MzA7mvOA_|ZMrSZ-n=Vi_N4zXFPq@cd7oZi<_k;?+QKoq?q_-(`oL48y0>$ z^IWgA49w_SBoT7v$mN9h^Ve;+%m3MA*$K&=H`+zMZa#6>zTQJ89pAWn$fN|K`K9~u z?-d>xyDGo)ENb_wOJeM#PWwA;n3{LOZ+g=U{ZF3wxL@M&B%jcA=2_a=2Y=0RNvkJ5 zA-hlGysKd?w{*YT=#^M>*5Iu!^R_&~^{um~`5CYtY@4D{@4&;$iYln%8{l#&O{`3SmwX z@;7n)E&gJc$E0Ij78*D<91)Q}r{U}GDnDK*J56z4bwDMt_3P9A_lrVOG5P1Fi@dk* z>v{6Xh(W{Z2hN_lTz2P({LpJx0`2C#?LFXE^LuXhbL>4r_bp8{S*-Z|@%s%^HDqpQ zxEYVVV{M|Cbt`L7rxx;At2V5@(`T*4$@~49ym6ePkk)<3$Z=iG9NM`O<0cJh@TJ!= zS@W5y;p1b5g|6-TX~X?U>%P8cc0@E96w@%@WODM36-}kZmHIcza2hr$Xl&fZuIH!x z7b%~CcX=qS*|OmQ^H+V(d1+lWD^S2M1vcv(v*^jKwNk-rlKY+;+0CWitd{3jMyQ2I z24CH{_-44`CePq@onzKN?x%gT?K$_YVp;gR?A>wFa=%(#&>J!9iH=)XM<&%=*VEhNvk^FK9rf8xUSto_18r@i=PY+ z_VSpr-bp-KX}Q|Ihn~|^Wuvy=TQ1-KrIBY`uMJbKuQ@m2#Kaw+T9N-*xYMQfnvc&8 zBQ&Rfd-3&HhC*h-mpP+XESUK6S3k$tlkE!=lvl`&#nKcipjORio~ymNU#@z2XCH&SOu4?8p17anqj`_gUfpu3NCj`enu4a_65YHg2l3@rUb~>6@B-UA+pqg#I8AJK7%&ZzV)KW`_h%$@!7{g;Awo_+@Q{p(+SJ-f^CqEjmWwYas&_*1Zj zcI=YI9GjrO%ZMMm5q(-;!8BzvYZ|G*;h&?=K#^=K~zm4ksF!IG9$7L}E zYKJ^8pTNf2Pcgr8BPFdz*4^T#TB$4ZBG0ABcARZy_{emGZ1(gYu`$0szB#bzOW^H> z+ZQ%ycH@ZA{VfeLZYOMduygtS=o?W_TYk-6KjgAs`Uq^E%G_xWcdz{xay>fh-O^?j zPNPE~W$bTxcA9GDO}l0(S6;vH&g%Rjub!@VVzIT$a^J0A+Z8^r^F6uKX5Y*}>$Ue6 z?>-%IZ<))_2(L@KXR5qQiE(c5a?%mM^snt5@>J$Flkafe%0*ji{kZUSao=X?B@#}&2 zI}`W7rm#hQ`$7pZ`@$JED;2bUyy$C{a8mW@a{bql(X#6LJ9%pM8 z{6M~Sj~8cbzHOgA@$*5mJsC5n%N##>;n%N5enG7ZpL-m39k_P9-*d@p6Qy1>owk=a z?q9IuSZc^wk9E%Sg9nLu%r`%8<92$Lva$WmNQLeZP7QrGCnRPj44XLKbmmH3-@>0O zhvfLq_>nQ_h`PpU%Zc54Nxiw4+hVfkj&}p!yjtaUv0>zf4VPL@3pROoc+F_n*FSZX zPWRP*>2|$&hqk>t$Idz0RXyX|g-%K-v$}^aws^Z^PTRgl-*y)r>#O{Jd9rE1rjet& z{z}pAyS(}5;)rddzB#KE46wiAnPRM_@j89|r+h87&d0azlijnjarU^7g7lxe9@)w# zWRGvr=kT5L-wr%V^fU7RwMehcb1P~2$&Irf_q#g$=_WU$pCLn?ugqzDW9a;ACLLpk zG#&Qpz5U$y#f`guvHdjJW^AX;=Y2H7$e-+3Cnv8v(EnlT(d3V@-Nt`8oY>7*rRR@9 zV_iaSADxsx>|s{t9|r0^W0q?T?mK5<+PJNo797#eeUr9&%Yg@3UbSC;89c3*P%uPN|!$y_Oj~Zn$BlM3>w9?2I%YyjrwqMX-^Pg;coLf#TgCR{R(*6R>bf;;mcn zl!l(ztvT?pA^tP%<;%!}R*EHGb8?>SgwXP16%zs5D7c?`PPn`zd!v#Wy*siw-{B znJRl{Lcb232Wh9Ti+t>_*>##;=VYsiN823gtYl_o^JCsE*F%TRz7E)^uh6LFcDqiK z78;}moA0{2%Ct|rq8ptw_jq(TykgU!!nHa#XLs`$?DaigafYj9!R*F4#z8&8-J9>j zLeuvpk36X0U_GPp`*R8%x&;4fF?43IS3@_c53lqy*9KU`wL9W$yUp&1lvC(Hn@iE&@_Sk+_c$E9$8wkJ!abJ#$B<7YNB8<@57z76M|zj* zgrydCN3?yhz&#h+UKxDc+x~UDiMH#6aayeu^sa8yGrO@hCQIBhbl@7rG$!1p#Bf57hKg*L5jb-dzwQZs(s z)`8n?>~b{Zlg$GfZJF?3#omN9iW5?sAJ0_NUzFgn=1#N4x&a9mJG!<|Yp5sYHU9Yx z?dgdY;}?1>-+tA-ui-=M@e&$}cQh8ey3TkuSFWtV}y2-B5UYn=C+&5ZY$EuIC%l*S&J5F!hB=p10c0q=rAM$@* zJL3Cm(D%1%zP`Qq_VMI3>+a7ri664aMt65hXQi($$vvHRJ{0RbMp65uT<=+qKjk)! z^9(n+9(=|6Yve3R{iC+a`>O8RxGcWClDTHe7rBoESGMakW{XyMrql8IIv3xxm%g*D z;Nb+d{J{P1?E0Kj(HXGn%C*gJ>-`A1(?09l^bNOHOFH#??^)P&zjefqrSo%x&P1;W z>$)ztS;)>D$KfwJ78`2j?)M)5K2N2=_dKh0d1l=@W}i~ce{}kM@Y>ZY21i9G-e@ns z!m_B{&qj&Q(jGWJT621K&v7k|JKxn)YQ1=E(;s@SMhg-Rcct}NDB-1iXZ3LJua|C4 z?sjt9%n1tbeMcBt9qk&rZbr_N6)lhDobRSQ@qyXBeSz8W{a5zintx$$z5Wup-%|&; zEKk%Os^4d2$4HCgtqrF>n=`~9P3lssxCqrHqgVDkTkPE>aii{JzsaBa^ZIa| zt*g7nhXj1zvMl!eLr;(SBOHDlE!GcAl$qD6cw#}y-o(?Z>|-nL1GYtk9J-Mx`P25! z7AuXQ(V8E;{Gxs?H2#=2SZbuapr#&%akKl;?B~Q?C$loNhWHL!yGAxG%lmWGJEs`8jZ+m=7ue`3 zUDGx27#k2}b#KqSh2~o&H5LwDEbX1IrkD6RC+zl%BTBLzhD>bgl;XZ{@%oQZD((xe zf4I73NL$rxts|S}w?1%C_T$E-L-t;os(0WaD?&zWz|q@BRoqVKheq0HT(BnEdYkp} zK2)eSuF!L|cDC(xmT}fSr3soILl<9oVDGIHyiRl0twUR)2k%eZGN7OC)=6`>><(@r z(>x6KP>6XRJX?Lo3C9ly3KnOt-fgu!I_Oo=)WR{d{kooTSiAbs z__%n}wTo*sGbepY4Ra_`e|h<*-s_Mv8{REEvsJ@N;`zYEl3`8FtR-~hV=Tg&UU|7I ztZDB<;p5Wxg^vq76F>N4fBEfm(hiT4@{*6S3~OQLD&e)#f4Q{RjjlGKi{f`${+fAf z&hwCG$!S48DcABZ&reitc{tnPW%C%ruork_Julf9!%v3oV+^nBruu2kiF}$n$GDMW z`-`nVY}P&-C&zBy*LM7vUWsR1UVeyMJ9XNpCu5gI_||v%*;&2A>XhrNLppt* z<9t-mG-zs#&hzG#2?4PRW0UR+GJ!G`|n*M4%ko^8g(jkYaxd_OkW)TNc)fnvLkLsQ!NrlxvtwfJvruMb;p|99-$ zqjf9Cq-(e=(p&Z1Db&L+OT%T}_?5boT{Tl4w7Bvq)-wLoVE!v= zVBGxl9XY1s9P<;;c;z0PKi%-e2&;=aI#Lr0CY*LC4(oAg@Cn`18S$eZhkf z)~?OH8xGIe{c_hDy2$#jR*`S8Y8&FzOs5)trkRl^1$t@>O$`(3`- za!HfbVoUZdU9c@cOzyf&$Usx22={ourRp*h)b=mC?TUxT=AK-;;H!;$@9pgqH(+Y= z6M~-1G_Wk*viF$(d{3#|71{F|j?s$0+W2MfJ__Nzq<6?R%6+4%$k|Uk)AquU_W$)Ro%@YS~TPLwGxi z4c_p2OH`rDAQ$$N>!|w*h0%pB@cJ{lu+^R|<8xJa&71c=CGL~%B3w^h_kOqeH)2O+ zUbjo}ZCh`ls|o8ucQK7ypSsD*MXx;&_bM*e)x=LLNZKT1uEXUso_1$@DExP}$Asba zw}jVsw>#U;ufdwVIjiGONVhN046on5Koz|ew;uIGcLO$^5b zls$O`c{%2iJ@A@OzV6D|8|@pl;KOnKb5{>3iZ}N^IPOl7<;g`(d1t?G2g>868-z4` zxz0Sb>n-DYSc05f^o!$*38`+^mknI;xX5AGyhYmDE1Z|@nKx*B*3C1a6E`{R8$SP? z^PW*3246mW)BC_^TaU~U!D?C?Hy(ID+hBxk;(+kI7l)qMaqF68=izIMu0MA_bIj$) z{wI-fKD!6J*tTM*jGj)=n8f_N)+zDo1Fme;DIiY)&21B{`))AN+NNx9H1Oi7A=fS^ z<*4R4c%RyLc*n~Cr=K>nTaEU$?6EN6y5VeF^P_&#Bksx^dNt5;a_d8{0#+yMkKOJv z<9q#}agA>rTYn>Bbo;C(rk=g~X@+((>-+$eA)@`G&gRV|Ly6njLduo1W({|n(Ez?YXl#+e1m&MJ; z)Av8oxcNqX_Tw!@R)OKUN}d)ibrK$*QJr5{Uw-VHrpqE^){a;9mYKUG@$^N%_D|=$ zH4V5E^F4ibyvqI^qsL4?G(vkzi>Di!CpbO(eA;;YWyc0%dg~m?Oqw$*vcY`sDATyY z%^CX>Jj~Xe4|X@%@TSjz&wFy5l7pL^RE>X-deC$7%C;wFwbsaM(Pcx#JB`*&0~{p| zC*>=TD;%+9YUGcx$z$GL(C)c(W8=j^5eCi9)Y}j{_GzmNXLHZycHJfw+$3y_O6w7F zsdg6YO+(w??R|Idm1})t&dxFEp_ZCHa`TK6(=whATl%XFXAQ?Be{SBCH#?w5sMdv+ zTUQ&M*dO)QI&OmeyfAAef0lpLGfjIp%jR#@9Sda6e>$3-sQP7up+@)6CKKwl54d7= zC16vJ^fa%dpIi;qmQ0N}KDp(QCX@RlOff&7?>*rtJDl2;v zZ?N05%bA6a5{oq3u0Gq&*2U_R(NOc%{%8D0tTyjpdV16;DHmA}!e@7De}%q&9xR>$$m4xd^RvxtJvq4nhS zhp7ieZxlN{yx$hFLFbNM>Fg>e_2J6)&bFF2*WUEp|B(D|3>tqqZtT(V>m{}NcN^R_ zP3+0~5&vbS)ZOd#_Z04tfAa2D3-R11J~QQf_BQsGsW-r6>QyyN0oM*2q#{+HG%T=7 zlGxj;!!+;44bHZ1AYFJf?%F1!2hB`cv?=N{CdYRC%DEa=&l;8+&SIj#zqpq*-dL;-V+4q?FrM8Z47Wn_r*Wl{DEr z*()8OUDS5JOrnC+YVuz2X2&l@~C zEIqyG?BuW%yyLWaQ&^E>-n5ZFKA`Cmi^;w+@-3upeO%pFVNRHF{w1}+i@f_9wYvQH zu1@e{mUF$5e?uk4ODblP|NY#5&+T?-E?w0ur*q$oH)0a3Lsp&VeP-dEaPqqtED0DP zb~jKp`r8O8$zd^_8Xw73m&a{(TOPQ;3acNs#$1Kva<8zTM6yG&{4DZqd*XM_<$DksVm0Sfg|(pzrup>X}s|D zvGMz5op;=E`@ZXK_PVyx;d5WV9eZh(;_geDhzW;0jBYpK` z-0nDJs6I6~xmMEi!4ZSp`@=MiTvlD0Klu7U@g>ngUMmcqX@rGt9H~MKzgMW;p=GPQ z*nuYxoBTc0KA z#R|Kvjk9okG)CxOTW5SGVT7FGqK<9NO&d-5nyzaR-m_=7o-;;ojGX*6Ci$H~Nb|U~ zA6K)2+FzYA^5VCs1sMx6x?lAg*)S{3Id#)LaT%}>iFx@}JT zFLaK_VTlpqBQ)|@FYa#|V;mWs=+nPxTKK~(sUy7x_6^N3&M|gLd%9kB==||Gs{FyXO4pCxfo6 zGj(@t>bMa7|bANOJ{nt1C?&#hncF1=0g zS;m_7v}2(5F|&GEQJb?)1Wg>_afBc@np;2Ly5&Eko|W;cuUWg5_ZPZp-Iseh$ZTe4 zPCJ?W)gIUHbQ_sE=Z12|vDZ_#F16{l-alkZtA5kEn{5nmT;RB5AO~^s@sk1eMQB5mqX;JgXZmbIOZ;BWL&T1uImN$S1eu>Jze%!ZrMid z#DY(UT6ZwD885wYTR_^%x1U^_#_me>Sr)Xg@qF`{OPptP)p^lhE?WBJlR@Xb+rE6Z z*k?|Tq0E@$ zGGBrspVoh*7b~ZeWE7LX{ACNBFpW2h6~sp61uZHxPhBbgQD&w1scpNnKR(p3ESUPt zRikgbSl+Tlg?&?3Zo511n4DRXO-%kO&sJvIzh*278`mgDRp!fb#|v_I2B)c7m>WGD zAF8&vds1hm*NW|=lpm*ldpSd<+(Mtb$zyn)L_?D%{l`nI)K}3;wSUt6{F{zWY0HU^ zQUx}SKP~rs4EgDNUHih$Mw`>^Yt3XeK zTU}qvDxZnQ3s~*E_N+EOnrHR_kJXw=o~EV-x7595l};U1=yQtoE9|D}=3%G$sYNL6 zyA;yP*yvL4&uy@9-w-?Wlnb#x!{x^>#xc;I|=$KEkZUEU5@ zR&Vpw{!S?yn$Gsiw9RZZuuIJCl%|KALr09*xu?EZewu;8gE)hQ*_Tc~R8o?kWYl5B zgR~_+bGrYx-fH}|ZvBRJOf>(}ZNN7}+fhbeg7aM?SN_+2Q>)Di4`vPt9}~SqDP~xU zCG#F^d#|nC(|YgD&d==+J2=dU->hr3_my+2tbHx7?z~tqR%_sJ&$zZ-PQC0}?Tp*L@;A=TdVFNj zV9QglWxYd;=VZ?GIkd{Web@YJ!#CSX9LkTi^161>=}NBm+_^KfoEs)Smx+8f=wJ=mQ;FZtY7n++A3m?uH_@>yjt3p==rOgdb-u_5jlUx?2H7Iw7+O|z=QgUC#_tXq{ zvg360cpc5oTYtH(F!7z#H|5I}hZDQ{%AU|!)-iTxXxhjFPBvNZ4*%zQf0&1%gWlMt z9UFvM=uErd;Mwcl@L_FdXLq+eDcj_j^ZmXV^9{sDtTox&?&i&DEAGEC3EI^Ep320_ z7qp5JMyod58-Km`(uS9{JA5@XIe)E>RP?rBOY*+m=;@u#Pf|8)`~GIC!JCL7p2s6L zsT}#wveo@hfs*^aLpvsH8h7yd9?gUg)=K-Yjosw6@IZ#E^{n}XuF{QtnO}0=abKsIyxm^daOlGRTh;eO%m|5d95rB{+cOWPhVe<_nXMnM zyWpC3@~xM{?px+BEv`p5^44?E2|SLwY?zcZV99`?{kAW68@sjpnp0`J--TPN&(n>K zX=Gy*vG%i8zK3T|i^pvXd(X}rm-}eN{pq3WPiJ&{{ z&y#o5^&ch9=~|d>V_f{C&t&(z_lsxl)NA-IwB@fRv%lXPCC@tCK$%r4CcW>n#LABU z>ID=3BX{}oZc;2hae{@hq}VO~uVfl5 zSn@bajwQhoD}5myNWL*~DV8|Agp{`6r6g?G3n0KD7DAe_O05a#ET88}v2lO}Jh{>r z5}nK>#4CYibz&*Hu_P;X7fHqM^w3XVN=keIfsyHugXFNYQWilBmy?r_5Hn-HlqH{# z!zAEA=B4z-oFLB%DKYW|BVXu2Su7mR8CGk&1h(V_ydWL~ViEz_AO`9L19&ygf~dyW zC^QWN3>Q|KC`c`e4B(te|H)Z|alFX`qI{#IDb1=!?L(~^Vt_|78SR39505B4y4c@8!KN z&hDR>6JGP9z-k_{&LdXGs$50V+^T4g%n<{K0mJ}e05R~dFd(FN7lZ`+udrUlaX?i7 zjN#zNd8-H-`~(bPmqdV3S!IgDF_!{^UN%UHDWwMowSZwEq=*Xv^M|}(aKNbYgOh-r zCmg)WvVy@emx7x7%Cip6e1$nI-!Mp72?O;j>96K)A;DJjtWbEw0Ac_!P(=oaD%uOk zoF^>W9SewE6sC?cfC*<_LV^{}Wd9nKt019`2-Of*okI5E;K`-HpqC9ot)!|}Ez`j^ z=2TLEDB?oE{Hs>Bf(JWKI5Z%Yq+syGrQnbaAd;159h_-}D>~_cffq#q9Y_!Zhyla^ zVgNCK7(fgl1`q>?0TE&T#?_ zKVc5bFN%ohxG1Kd_XIKS1cDdk+@PeK1HvoUO#g~JV_5nb)O`yTa*8=H%?9M7Cmhg9 z<5I&UNTV$I@G0|PN1!MO_&+W7BUiM^&@wY%H310K%z%x21_5O?pv@cN&=<|Ic6lhP6h%zxfD3` zvO%h|Y!$}<3C zgaj*unFChX|#Du@BH|?Ps7|GSU6I>gg;;U)7!e+@mV6 z=#2|rl?pxgTy+Y#@GNNv&~QNh;?q`$!wi;ry#z7qrm!nY0;b2Pe#FWQ;gyq0@{oWw zCxJY3%$*mG6FBn~jMQ>PC;JHU!B#|-j0ZZ9RFwgRsyYb9UP!Ql89a)D7(fgl1_Z`{ zkg5mfu$aK6iUR#h3@|?f{-se1cPxZ(;DrP$oXP$*DzB1(e^4krnbnWnMJjg>yAI-y zNotwH(uNWNgQ}OUKnkyuf#9jYp_dJUK5YdykRS#S18fH1c8vrvfEf697=Yy+31R>- zP|F!WpSJRe!1Tj$O7~oZ@&`*+C{mA{#(9CInk?UKl{wcJuJRc){^4$+o!q_bEUBQ6fsFEykjko2US z8@%^MH~^H(Y&j>;@D;|ee4~hn(ubTU0slD|{I!i7@;P8MOX9Ny#?XYKemtWr^kP;W&XUonT-<3riP86!bjzAhZ+bc`{HE$VnF^3m8PE z^st?1A;EwdETd4!2r+;dKn#c;1C1$DMnwpP4%Fb!0Ks34w6&B0*ajj&4AeOWc$N&b zv&6uHQm2q@-X#sYfFZyIhbKx8#|boaf`I`oEL{{)(4(?d6taxwh!{W&AO;Wvhylbv zZDIhv2!{kQfEf5Q2L7OICF@2Ez-a479IPlsDt8a_vXwC`po+Rwy=;vlDdTxEP!h;V z7bW=^&`s%KJAs0)M3Gu%uzbTHC1L`7eROk304q87eWl4B?Ij^FtDK1DP)^>Ny9E+2ynsSiPFPy0u7yD zU_c8?7ey5GRWDnC6`m&p?{t;Vf*S_T<$E|e1_iA!gQX3F40tf$!=tYTx`YH<12g+K zAcV9Z5fbdb!8sZQVgNCK7^poA$f5gn)ssb3-9-={Re+VM!W$QY7}`G=gJ;P=J5CHN zD0K?i=2V~*1*Pi2!H?3zaRLpUU|>LrPPRD_*a|Qbb*XyUN|2QCJQ;YWi%m8TOk?mw z>0vv8g0B#ymKiMHFi2Sm19p}4S97j;p7+;w89LQHViUA3?K#&1Bd~{0Ac_!fEYjw z)JX==r>(pz720HDU_q%<$Tp_}r6?#>4-S5m9*z@e=mY};N_4W#iNIEXk*G_JmaQxd z=w{Q8LxY`TIHna;w$f6B3=ji|0mJ}eK*Si36tVfD=?ahm^l7UA$wZ<4AqIGs47B6J zz=BezkZrE|5eF-F0Yg9ohbKx8#|boaf`I`oEL{{)(4(?d6taxwh!{W&AO;WvHOc_j z28I@0hqU1Abl4jhvX1~yE(H#~WgwE=|DP@aYH*?z=CHJ(>bwCCGo)mecFIXDcqEYY z;@(rUQ;tJS0HN+;s|yx@L|l@9dRg+}Lp}&bf517Z%ut2&$RQuB2Jloa0!7i2)Nnvb zOesCp0b4Q}^i)*_;7kyL2nkjQGyQiUh4k|nA;JDTyjM07?iH~zOHMy|tHz)Kmk;ON z4Dy)oZmD!V#z`~kQqFM#4PRjl%Qp&A)?NnCMO=GxhenPV5G@99m~PeTN78`+FV3P^ zwnOD!q$z9f-v0mJ}efQx}nTs^1*F@P8lWd?9elxL6TUUwP5VQTEkfPe-QA;5@Ir;u$_ zwgM~vhF~xZRJQ&b$v~q(3?K#&1Bd~{z`w}=_HPcW?vAQ)jZ6)Ff?gvuBaj+r00+dX zm91pCN`O}lm#xfUVQ?ZY7|g#)Wh+6R1UPtAJQ=t?_9VcHl6>wSjuY7O6Xvk|q97e& z05O0VsO=2k!kK`OV1+YTgo+pt3&frRPkisRG<_^`Q#G;;8(uq_g8#DLnk;GP@Vm~e1~1S_1$B2>fx4Fd#?p1GRyH%J>X_d%A`#el#T zP0g|q;?*@$6j$I3z)qB(Xyc7b&ktNm3^u(25;|A`!PJc~I838r$v`_b0xT$X3fbnA ztqN{tER5`sYS;^-mLJqgO2Dw3@sQA>E*KC17Z+Lm$>GqT6*3z*7*)&)SN%vxD6qsi zWCI8Rev}@L6KMDfV_3dXL{Q1>O;^114$Fie304RbGe$O5fMpa98S!QSF4oEfFH@RV zW`O$tQ3h~E<0`qbYv$XAjK+hEDq{evOu_D#V@a~_(+k;sfB%a8eFzlc?--CGO7lwm zT^Qso2nHA?0rsvHh1#cFvPmZQ%bj4;D4THnPV1GmIf~5#(&wVVOC zYD+|%MU>om*=_V%n;DQ|Ei4_rIE&Grhp)|Z70s~|Fl`*3kkoz##9$A`5rT7s4r(z2 zxJB9EvY`CsF3$OaICd{BEhwJvDr1P6nP=O8BnTR|5E>Hm^}oU-fqFT)`B ze~ba*9~%!E&Yv@Yv83RB2yZ5$tM$)m{MS5TSuK+aUlLYi_oLU^%m7}S2am=M3}C-$ zFoxYPizOY^r1apo1|8K513192D+2-=OoRXz@krEd9j8;CxvWYRu%hj=%{!yu+xPr`IMEd9UvOkpD>`n*(ssA^-uT{ zfDdPJW3O4XF=I#z&Q6E3P?Ae#Sp>0?wHg#S#45LNE3&W(J-?SqQ1F#XV1Gv-z#U+b zlqbEEAbL)Q@n#YSPspqtOI)0Qh61@c#^8XR*-Cax;6yKUu$(iEK2+VeAU|SZ^&}rj zK)o!Z`I4W{fFZfvWfAaMjY_E(CxQ=oEprq(pk#xTm{NK;PGH7g=wZvo!#@ntR>FXg z?sGzdt%L;8=*G%V6K6L#J7RW+pkFaT2dpdcaF|HhUWY|MgI362zY$;rmk$O9aQ`NS zv$#n~mFN)V;8m&64})*n8b;I?BghzpL=Hi&ucWX+P}vG>)PN9xA=vs+ zDkPcx)URJPVY5^DrP4wt}7ffTTkcb1?*l=h(KTFd~Bl?b-de;!S=mbdky2Q-k<<|eIAtqFolqxg6SZm;0|jMUrl@{+tr~Y78Z5h_ zEJ;A=JGnHogLfEsm92K*2a5FK`ep<)#^#8XuBmL0lJ87lpknvn(D*A@P6QYifwtTv z3uPo!t!#zOEw8L#5Dyd~$zm*=d=+nWOPpcZN|5F>LJQ0JOr9nXQvVqPa*_>893?^& zS)BL$X+kl&U}<6?HBSaGp1oD=#LM1cD)B4LZ&gDedqFb5@QQ)^EFU4rFC4-$$6{e* z*~%ptjXReEyW>y&*#7ACZy8X;OCm_JNNm zTM`Ul=?8`^DrJE4=6z)r1m_Jy2|2;NCrk@l*>FU%AFd}(Wx#N8eL6LqkBYWF!K@At~hnZju;~0c0~0URlAw6BODPO3PN(q8=5# zBrH*qb<%_&AJiN}2d(gh<(!Vc zD(Po;V`Z0WNt^NQmF->WD^aNiDQbiPoL#oESd9gj)*2Z)0znKQ1`q=^$N=_7Sd8jN z##?H}Ltq5UI9gpdPg{ub#JBY?ez!0JnU=6WI z!QqLbfDREC0_IO4o0bsB53iE}15YjmH47B_s|yy!fuyPoRQxX>tLp!U_CkXF!_n4$ zH@E_k)HMe1x;7!voDU(KX$uKfIFm)FhygAJ{^)&pj4KS;A^0-2A_`B~ibkjn43Np- zDoALVfwH7xoq~~lIQigGurTOlgHS6eD3oweGum_Uf(2(Bo*)9Jl7bFV7Lo`8=3lk? z5j@y=!l6-?6%3BK6dbYvM3O>(b-}_oL|J&f9Y_A>CP+k-0k|fS)FKA{XcHy0`4K`x zM!<*x!~kLdF@PB0#Q?0MTm=a)L#{rqI)${r!HP?PK`$GGT1i!{Y=t;n(aAoXO934s zE(FZKy6Q*nEO7N;fX{ee%|1PF@Pb&RVDLmyKnD`UK;2}3@x@X%r(aiRh0DS|Vv(^Do3M@nVDIL%yj3P}?VRBn10?9#CS7FIXBo8 z6A+IB!YkLMc@ZEieW0-SMpqQF9j2n!=NMVKEnb;JN-05MSA3~(w+ z_FBgXkmnl!>?0WJpMeMBUP0mQ%`Fo52T_yf}ZeHSP$kkmy6 zD3t+}g^Yw*{m5OUa`&LJl@Z}pHDXtk1UN#D840hGfdEe~1rEJz5LC7T8%PiXhylca zAQ`{~84Shxdj?Q_^Y5k>jL=5Xx5Ou(UG}0RwhL zNx)ngm95%kh8TF1dozK6HrH8#LoXYI`*a{cEnrv(i3Bl#7(fivRR$Q{G6g?*A;AiM zA}Atapyn8;>0-BRWZ^hZo#0)wwoAR)n4X^j7dF+mQ4s~}-CG$E9&EEXZb z43^Oh>bMcRq9kCRjCUoxP6kc{3_`K%SsWT{5Jj%M5TF(?EQCZ-RR-Yq$3hSx!3trf z!hwWKPY5C;SRu?5K_Uha1Bd~{K<#CK_@m_ttBwidv_Y!{mKL=(8URzgDi!*o)NE-f&VC>8mhE@k)eV5+BL)xyhyldFKg|FtM*r#bpur&q z5Chf808UmXTm=auK(6W(yx6r44h?omLqLNA@)u<*#9;;tmZB^`G8g@f2;t<3ol4S+ z08cIj4!vv;?z|A71}8?s6`k}Vz>}hY4kU;H!~kLdF@P8l2?hvQiIE@%5Cez-!~ll@ zN@W0LAtPZ{KXMnT+&$$ABzk0Ku=H{2z6HCYBw(tLV@AU3WFWwkOMyc#8w8cDz(#co zR^0$7zAzYoTOty~z`w!(<2}H?GT<5?2LXtb6_IWTIQ)o-z0<+L8kSB{FnHopl-nhd zWh;A?CBRmKMf`Sx4t`PvSbkwdLsyRUvb@mF`(XXwx!`O~NiWK|!BJ51h(mBn561~K ze1$PA-zXxY^daX-z<&+~e{Ca&e9%j$GQ$R>X;1Qz1Q#eNoCp+!EUDpul$cU_I8G%Y z_&qU(X9Ny&D_)slsS6=jL#?%_CrEuCOsKnqJ3MHKWrw?4EJ=Xo+v639sx zB?}ltru49#Xd%IX87!kv$Otij7(fh&9s`XLvW(`47!V)>Xq6Wr znZgeR>nsS`lb7-!h-Ym<1VJ(WH3rz1N{x*Rz6gf|F@PBOGY0;kY$fYP48UmXM;xpu zMJjg>^RksOETD?IRK0ACA}Ql}GEfr8Nf#yg7|>1WVLO3>uSAhrX0Uw2ASGe|F@PAT z7s~&zUpNwu)_0X;GM4WS#ZO^xqJ^N z$Dp7UX0WtjkO2<{e0cQLK$nnUYhY$I0Ra~Te-Y9rXhMSJ&qb}#!W|L`Vt^k5IQ_NH z&z-7+r5lOx8R*2a6aFAXa0KwTm8vWRbB|&%mcTwXuL3Od0oF-NU<&S%@cO&xga(~p zU_hx;$Tp_}S`Y$rJ8D+H-!2Fo`LQdYtM^YxM_$zUa6s@lyQwyKel zeU%x2ixEj3V;~8ZTO_rDfhxS)Q(CtEcKCf%VWvcdHjk!M$(D?V0(I3i19mJ)hLGrH z&5)>SGh?0sra2{tiqQ^N9No}qIpP@bEEzajUI#eYiGhMrr;u&#vX!OAxNI$n2vI8& zoK#?-q=f||krtAns+X<67|)Y|U4ar{0XgopoqVUDd=}g=P?hf~DO(kwmrgKoF*#Pfj#AaF{n$_>aWl3K+8T*7=Mgs&DU1;{|9^-3uQ^Su>|)s!}`1S)U@ zn7Y3RC58#|wu$}4NRTUH05QOyfsM2`R*t_L{SBMuj?W1t@&{!3!LwwbB?bcvN}WQs zxyn|zG_sdc^_YPl+0&34mdSe!7`W5If{`c-PENjB%hplkU#+BM0Ry_p9-JE%?C6C1 zWFS2#=M9sSgAN7hX&C5Fqc5k%%N?V`I>P`)ceSFt|0}ts-vw1=q;z?&N-Sji7YvBu z6`c8epu=FBE7-H7!q8BYIRkhFQ$8CS+V?G_#86r*&?%&570T9wY7fN;w3Q1khJlh67K}t)IC){y&xjD7Cj&bI0U;n= zomPnx)GT12qU43+1if^^43;iRUDp%UJ;DA2R^1RNJ{JQxSC8;I+QMavq&6_Xl_}cY zZf%%V?HD6xafAI2p919fY;?#&%1)=OLIdCd51Jg>SA*tbt zPWBPtK~X@5XbTS0FGn#0Hj-NKW|Dh9!?ic6WVZyMkQe^K7?yt+k zeu2fQngIQmFrun?FcMXJv!R+tBQoKu z{k+C2P)Yv1fj^dNAM78GOw=5?%GSRmfYG-iiN6G?6Ye<7qGHLwe`aL4N`M8UvK51i z3jh|u$?f_ z@Dt{+{GuS802t7$_~~lJwg50t2*dzlpi&0#N;MS~0^Pgf=zprK$ghyv5<~@Hf#$hF zY}EWa2CDMrE$ogM2|;UB6=q@}7vp=qpw# zv@;^Wf>NiDZ8%8?^1)GXf7&WZsidk27KR||f&l>(vY9Y~gHgq-uq#lGf-GBq=a}Zx zRwk&t&p|F&Mxl^V1qPCs*PfbUg4-ii0k*<`QIiP+7!xD5O%nUyuV1mhjv%rD;I=A2 z5!|IJz(JuT(T(1xn}1{h3{LN@K3$^778RQ_a0BHLW)$|rx@%96;Dz%Wu<0z$w6 zhyJR<;%4Ap9v0eMu+WL590R}@t>9uwv^Hf1nqp!sDJh}~|FcHrk*fe(c@U)G!vMiY zS5ZaoUggc3=rG#vAtAXqGE7XDj- z{XQ%^;_l%xsj_8d5l}x31C*O8dke$M2QTSlaJ5n*@`{A|s+j>Pj41$Fwk{B7kW)tS zr2g!)VMej*oDmK)Vqb3rc^pMP_zU@28vz#VIt9M@t4Oxlg)c_|WvhgunYiGiY)QdHwz*)zNYn)b0w`qDF+z}O!NI8f$pCGxbd`MCI=F{~ z90qDgO8^{D^1^Wf4L>1BJRA&YVfjTty2=@lVljN5SF<&4AJwQNkEPMz{6QiN2ISyk z;wM#aUZ z3?sEAAOsvx^1^Wf4UK4DP=FE4PMW1_r&4Wj6^UDU|jnnarRE6asbEzBatYH z<^0D}AE=Ty1Fw050Twb|MI2}0W2p#-A10`$%@0_BONlXHaU@_E&gR(sqCjz^GA?}e! z5#z~q6Rb*-3#M>Cz{y8R55);O`3V6^cJxYN|7Y**V^Vsp0~ZT!)5PqmKsWH zy54X^J+5fwajD2Ff>6$k2J}c+D^K>yVk883qBa6$P{JTl00)ucGsEF($jJ6kmH{e% z*pG^$teCF_>_3DcKw2bYFae`5fdVLq{}=~?AP9!c1WBv_iInrJd+YYCuDVs#x9{!l z_xhfh?yfrDbxwWWzI|Uz!@kfo8tMc)=TA%#q?S8_moEGP95)LLIVUfbPx>ntF~}|+`~+*kYNRTBh<{+)@NZ~GTW$Np9r;}F9H+~ zfLvY(MUo)p5j3#4JHiZIjBYKjdaes00yYZSW}{m6g!qiK^Hq7xk>BTY8qLoYjQ53^ z<)?}2r9!IGP!VphQcpp&MhvTisf555g?zJ7H3P9B0+e-UQYl<5uNcN_!AfS=vb3kd z7}v|7ml3AE*jt$@2bpq_#dq;8w|1*T%|?Jwa8^W1Y5csZWj7??Y>K2_Zlh}Z85~=y z>kU#K$v+nZp)m_G4f2S82A8uwOTE-ET@b*vw?M&`cla&IOx+O^I&3FsJdTu0Rs(Dz z0vI@PxGq0Mk8>C*r!{408CW(Ef%$vHihO;Wlh;l7vqv_(wJxjevP`-wsniLQ4&e`E zH9S?Lu{=GZSWqXA}p&tHeUuI!#05<~Kt*%vjG8xnDNttzMGO4vHYj}$qKF>`Ah|Z0xgQGbS zxLGh^UM_%bVffs=2xR}Zb*660wFq8ZX`-$hGtA>%M>JbsSErlX*%k-JUL$~G>y>d= zY3BN;kYvtjY;I6;E&xkd2%&+7iclO|-?g|7?4G2CV=E%o3ox|yb$)!;$CP?y zeyCuicpQb3^}fuaNdt5dfyJ(nz)WdziKo^4OMQ9>TLZL?fG%z$jLVnbciU}t$sAsRf6>Mi>ZVl9EE(dQ8oKPWHhsWel!Rm8v*lcJuCKmHw}q8LH*>%NWH>T+- zS%L#oM-gZqol6GFW9z*Ix^X8wOFyhV+w8*JP+BPhCObJ{J}~>L(Iovu>1s+}$&rD% zvj|YROUY4q%Q9(OX#Gj8B?rNr2xN~de){K&Tuk2j2p)^L9!!Uaajg(QOr=N^K?aA; zEO1Vz=Hyb-V9&Gs`+qi7TJ$~mP5IpZnuac-I3*LnD>eH}xJgEGQf*2rrx*tM#v<^NU98ht&EAM@%=y94+7UQ4 z=i8{78Akm8eMNxn2<+BCN-Gz8>28f)NNu`RtF|;-irLIBd0VyW0tw-yfkh9AB6+FQ zhyjlYE*PD2iquJ7MuS7pz*-~jNy;&sTzrw!JhQO4=fG&cb8IDGVt{PeJP{bn&Y)5D z2u_auyi`IEjzYfKsG5P;5P?;m$kd>s4SGvv+qgQ&R1r9-N-GpFf(Pg*0+d__J2Et= z*<1v;T1+=*Q!I(u0fq<+5m+q(npWd);$BhB8JlxhlePixL}2+q!VWc5xhu%>{J8kj z^@1ZXmxN->rq-3<;34bymW4*`| zfg3Ru(0H>6BvhPW!^nY$(cptn5^f5Ir(JLwk`4igeTLS@gY|DDAuZ8fRo6Hz>GZJisnSfH&n- zYIWF@ALr4I`JH0aan@0COJY(fw^Y1;VweFjp<+W!@-hdK&!dn63>-)^7|YELq3jVf zu-1rsl5)(Zw?jm}cF|2R;Ftws&wrFE|_avAek6mCYT1#$HZ zypW-FU|BT+C#wpy;XiF7WMz`w%`y(3p$H@=;c|x*l3fFrT+)+%tzrB^ix!W^O2$_` z=^+XSX|p|8y|5~4Cj*U>3gcIc37BL)Nf?>Z&}EIdCn@*X3ceJ0$0HFS2ss2G_8b`P zuZMq<^T*{f&yP;9&1m#68upR(9J5vTAV@)%fX18cH-yT*LtwR{oS3Y>&CSs|@N^V` zw#(U3NA?Fb8;iiS)vfafYx~#A?Alf@tOAXe)t4reqkw%dfx}rSrS5EjFi|sbwT1f> z7E2$XI*NTZE`FhpR8 zK**;2cH!}ISF}YlWO*W!3%#@o2xw4vciz`2n4J( z;%?2H9D^+ho_3L7NI3)`1~3uec5y&f0mEjdh{O4Q$AzN-h6t1q7`nrS>Kv2|Nxs>nsy$$^CrUeyB2}h_iFeE(!0c(x; z-GM=dP;!~iHw%>m3=tS2P(&bWR1d*AR}t7!PABU0T!m@JoW-tY3$P`#TWINynyg(? z(4Dz>oK#i(Mz1r27g$j(LHVsr#y?-xDx6|A@5g+rD7}!pEUoemBv~!({Mec`1&{O0 zX0_mgGuaa*qn+8Cp-^Y2G-#;8MBrs%=3yAT&=&vl09*ofvp1kifbmu*pgzFL5y0<{ zm*I37(S1E+wtFiVRwJicmb@I4QXuqlq%Alx+~UxM*|>#)+I0k|YlVZpRU@ER6)^l} ze6|xU?4`$ZE58PzG6L;p!>p}tEXF!U9B7LO@S?aVB?pC8wBX)cNe33>%~?NhmYkD; zOq930&CGI(j+dV^VaH+cNW&1XjDXd}SfS!^w_t97V-bXJ1Ue>+Mzdhpcd!9s1Onkt zf|loqR(Y~n5h;eGB^+A<0c(x;d0~(<%`UW8hhh<~G-#<90zL;=H3I$o8AwjK(T~}b z)qy@lV2HpFfnAFL7RqJN%ZQchb_3KX7%)o#T%-IzSUm!?y2v20QxV{f{%)&wT9w=k zcV*VGdSNT}KvF+4#2bs*(w-lyKV1)z;E{$wsf^T*tz!+66-u6#>t+`RrkVzVx?xsU z3V}cz>cN(30;XKclg*0A2s%I@V674J4XJ}+kdq@7OpirN)jgtS!M)F*+cy5Bt>Aic zTQa+z(>o%qQ+^bKCdCz{2W()*h{7L`Y<3iWI7NO5*&t}mX|$0&Ipw#NLKB! zwK};#0NM5k3`%9hIZ0`dtdQXWA_kszFk20T%8+M7VR52lRLt~>Fr}c%lZ~keFoZk; z0gGUpovxP`2DxLiP&vR-1d<1hCH{`8TQb{`_q(s=@$S1gc(|hx@WOvq+wJlBgmbLu|i-=f_FTEgw;T(!0Z5O=7m2iC{>q!^M80f>DD9cuiO5VC*Vmdy6wu+3XodpV;Hea5&SptlI* z{xM_RVo%+9kvkE0XYoR7VzjQlG!YOnAgq*jO(k#wA)xVABTT9_l|h*(ezuNrAG&`4 zmKbE4jVaj|T6k+3Z9QN15@`7AeWPJ-L}0Z0Cq|_bLL~~>W}{m6gm_=t$@t@7c-qEO zd8OgEO2%h|6;DZ;V}J@&8XUs&LaB@xRtHlF85Oa&Y_l;X1FbOvuQyV9C2YxTuWi~T z<-z@PxxDu1=a$U&*rJ|Pn?O~ITe;UMXVi$vxvY+PmwRAPoJMFcm20sgR0OK^(;+yAR05xasf0jDfd+%4 zUFwwvO90cpgSYiD+o)7jf}J6pE*oruPK~z`Ga*#05R#LGJhMqP`^bPeZv?XX&qh2M zj0_PNBH%^fY8^u>&kM;f1M2FB^7)8B;5Q`4=fm?2d)e}8MAq)6^QH_-ac)Rk=LdV) z%>!@VIc0K=#d-W*IN1WF1t7?NgFTK0kN9b2;|X0J0K zJK=OWSZ}GvrS<#+;Q>020FpDn5P=~A+ll~Y+e~;ilP}H2dq3`F z8O>VK(y?5hWABr(eh94w*lg=_soK6-(mG*>zRbi=4laA zLDmzvAUIjj!sh113V{%XGoj|0jj9=lLj?9L0vI)00F3MbdWyi7aynDGrv~kVTE<$q z1)we198A_CfSqUez$umem`zzdkMvfNlK9L2jl(u;Z;_FeiCyI0qep6b1UC20dvOO#2Sru{A3o!Ol=mU_*{Iv^#mRLLHjTQZ+Cw zMd1EY-BgjCej#YKUz2;K9pifouqCrwXlWlNpXL4sUcm3I7!CL_pw^AR*}4h_`7R?s zT`F5a*D#y1Q6Sm?`HF(OsE=JHIYaE>(?oxGGnryxXug&H>tahM&T zO$1KVaYF1V64y1HbggjEw`v4vRe^#3JVc;7s%ww!^Ua*Q<*Bi>0mM| zIp>>9RRde#*s3$4VtBCDRp%5bn`7%YpHu4Jy)jx4&`YZ02T8>}$U28kP-CD$44HKZ zVA^-6@=}JMvI0`&smh9oK|||fE9Y#88qGR{tQK5gCJwZ1LhGGx+8$d2t_-Ml5ulKm z4k1^-t=B77Ff_2P5CP^Dm;O;HGImfP zoXM*q5SU9N2g1NgFwVXSLTr#AG{TZuZFXhC&5(dujY7^64Ze#FgyuP!O}F>m;YilD zE#9}BgNhF@iOUaMp0F{Q9 z)Yle-SA|j;F{}=z5;7`cZ%+@BW=nr!S_WEE1WvXy&uz(UQxq;7o?)RF*Gu6zeew#a+s+5D0N7%ubff&MLDNA+Bbi zp4$ivgLo-*yj0&*bvx_zrdoI2?VKLyR!vABZ(6C{SD`y-_=?9?IS4^YGI33#P!2S+ zNPp;C7E5fk))Ba1#K)8?JA;@621m6P*UdTvRQ4P++K&KLI~*VqFd;B3cLr#fzgMvT zx8%y-h`|WU%gxIwW)(p^WY!^oX@C|HK+Y=Qs)WKj<@GllOlK9{nWjNeFaoiCrkNTs zq6Ro;5#X4=NI90j5FCe)YvA-6vU?_)e3A;QYw?gcQ*@klO)9S`e=)`N#cbBTx2lI> z#m_uc>Z8Nby5+7#u)lm{NcnWi;0T@{fBT(kJo)#O(%^8;O(xYI20e?xn*$7{pjn52 z%ASKp`|H8Z8o>mq<<4M^tzVEYY#oA=1%{l1vB%cipd>&O7#!_Vw;Cz}mZ zF8xDk(Tju*&|3s_R5ONtFF?I$9hBM#MB-a~1#20DpE`)mKxQouO5r}Xy$0P`>*t+m z>O#?;PuAFMtPbs@jGe2iTdO2iFsmz}p|C;({LxUw{PdtIuAnfJHS4ZO(Umz{XIedv zYy_v5j|}mKOw@$IQ9GxYx;cSJZ&gIxj4xyI(^16eAfe^_n2{1?Ca7iyaTA(accEmX*M2PX>%i28l|A}oaGfZ>kv@cbI@pi?bw<1Geqg5i-oxaaEGSTZY6?s%+*IW|od z0bPgWWsDeB2V;f65eJwBhofEUm4=D{u002h_9HJCHri|(!}x(>fFyN-fEHX{P~Q5|9a z8{ncufXzHAi|a*c(9jN5Y=-!JE2NjrEZ2Fe#jJp%UFudtMF7)Y10vJ{rs^|O3Fhf@x`l9qNQbH# zEbKWNlUxk6=?rrf`m)MN1w&;}M`ZS*VN`{?f9Rf(xlG;c`2*q$mw( zbpqCLg|QURAIY+M)7RYNa5Xys-{OEd*Abv|?ftf$&m3-(L#2M)OvkRn{EQ6cHh}$X z0w_Tr$VCKXIWwU`D(&g-*KVbvBM)RT-?qN_Gqt~SV>Qg>;iOn4HMAhZ&hf*?ShIm1 z0u6N_VpJXNQm-^r1aR#$NIEsz?}R^N*vNfMKQvWe`REIan2Ht%nkNa@dkIy+h7p#R zo0lr}PZDkgIw?h*WEmJ5BY;ky0b>~@ zAy%Cs8(?9K>H&I-0HriDW<5SsM`ku%=H_L@P~VLRq$XifRIYC;53n1%(Za!tPz0uC ziv>z(5)MgZ;ATl(m?Q>h83E3CtlUUFeO5+lhu^j;nE?jqE&?W+7%0{0kJHNU&A%x( zF}?ZW4!>;;uG7n&7}qfKYf#F?qH??FA|t8%s(&z@a$hPno) zmsG)q5tf%pphjU;v&D#Zw2PL?aZ7;ey#@qg3C@=44b@L!s=n1g9MId1Ksk*$PJF?s zHC707pKc^?h!ASPhD@I~Gl3zz)C3w$SZS?(NqugK(!NTq_PJ?a+k14rC6||`vt)BH z_XhVV2=a-%7zdw;d}yiESI`?4GqWq=q&xvv8z4x8A!^p~CoI2L*pal>$!xmyA1}_U z%aJ5VjwPKAK?7@zH0+kp9Uv5kxY=4yi>uiW>NC>JSLHQF=u`LTn~?Cg6~ECql^il2 z?khit1e6*+rS8kmt}4P)kgO5I>R?tdD|>JFC)z`1YXo5!VC4u<^6!+btTIPnOJ;Li zyC6KIihIRs6Q{OKYqj&S!qLwW`DE>*1`Ot1LKy7g#;7DgE-*3H%UkNP(%^<30m<@+ zu~1K>qJaUyUAR3Ax&{|qQi?%g7TU>bH~p&AP%|6TGSHeMFg1S-@>QhI10oN#afIHu z#a%auftv<413bvrZWhin8#Fi|yNUq+1<}OZkc)59%678u)^9Mkvavl7@YcGlHh<;6 zQtDXFFbwW;DkhW>`PrY076c8fHDXvD41t`PE-JK(07J?%YY8CkIWXFf0BIBu{w%nB zG*UP2D@EWgv!YRcAUCM}-uKrC&VI(vixCpD4B;f$?m^(Jn{^0DH3LIa1g_{abt6EW z%jJou*}Ws6U_fm>0C%*9k(`N*b z8uy}YhPCNezIUo_{QcX-v80?x34$2w)#3?)mX|p*UaS2}QZ69F^!%;@Px-=Jj&B7mQuv-~TPyQV{-YgKEi+-q@e-TGpWbt7v%tQk42 zm6wWosoqrO?Cn*Ph6|6URxQ*EDQt)0&|MaE+|WQ>KLS_zJ7fm_)T)DZO~dIYlzY&Jc$;x9~k+C>vVokIX(&wjKKL5n`jl9kP4I~<7PA|zkw!(TG@D0LpXMlvpn?nF%fHo0$`*N!p5z!xD zh`}#+C8EhEb)Y@BoDBZ_4Q9AwIJ7zt>5!kXF1=tl4q9FiB(hZxCf@;*oq8zz`(3_ zY!w0ir}8wAtN&^(rh?S+@_UJsg^8D!N(jP9@H&Hdt|8?Rl4=HqKm_pn<8Mkl<@(_! z0cHp2EdpF<))*ig1m2*ni)ZSIJaaS+IJUC<>k!i0+ZS!;T?iHx&HYhvP;M zemVLtcE#*!Vol_kj*NMJqJen<**{D5D@mOGLCY4FfeL_lvR3}>_*yXVvD@_~et&6voM1tZ!$ zxzr9F4naeoHR7J6Ry($0de1Wpi~9@`e@6RPJ3o#^M)Ks|3oKf+ys88eDqa>2Jd6e( zvYrGH9ogq?#6AOtODo8Fid`$s5h0zI{)mQsCQ+jCJZ;?@Vwy2?$AH!B zFh(C}@d#i(i^DulUX^;y2qeu;il6YTs(s+!?FfvMiQjGicilU39b~sU)Xr-J$}MQ= zeri$7Y^AF-AfzdP98i7)kPysl_46bDvd`yZkON3FNH`t|5Z*k32G$yJPg0KAoX#=w zw2N+n0ZTYTWq?IqG=hQfn*u5^uz4adA*KQvZyv!-z70Qv*F7ymG6kM?5zr8F2tW+5 z6oGQ=En$1Fc4#(d;P>91T{ED!^U&nUq3&NGM*`^t2`0J5mNz;H=960#R;}qvOSCjpA|wm3YL+; z)o3=VVh@O+<*W==wDlCFwj&NJXS=usq(XaM9m;h;cJnCSyvYk>5ffbk`nWYho>-8A z49`+3_J*#}()yZ}9Ia18fFR{8lu{ST;x8dPgo$FM!9G%_QWU@>p;4%rWz?fkn#JGV zseQ{JWjsrhAZiIH?>TrOPRHYP=>e8@Rjegx5n_hE$_Q9pj1@9ip*BOd*=7~{LwQM> z`V`H@(>7j3AqhWQFwT*Y=jC<3l&~5cg8UUwr79y}bum_`)Dii}HXG3~keVa#Vl$~v z%9hOb*`%G<&D-&COJ=vz*j%ev@|0cN2o-EPl5os{rBkE`l6Kp|s8nmJHR53wTP*NL zVae>&=#rJ1AXS>hed&7-h{cY8-F9{34tJww(6WgLn8{@mh6Wo$1a>k4{_27 z1!oM{+i!vU3~!D<$s=ECM~BZ+2klb{4Ahq^Q+&#qC=-Z!d}sSu1zfFgb`05!kH=cn6ZC zdn6o~Uh%m&xk%X_IvfHEp*r;(uW@XJ);zPYxbI+3l#D<~j?>HSQNgG*By1|B$f$e0 zgn+e1{Jb#85K4lWojTg_w>1P9Zw_IYs}T|xFd%jn(p?Uo>s@t!n|@`DoE{wHVT^Sc z)#U*|Y2A}{f95`|IL;C4Z`u*vP7ekPmHCsjUY>$_ z^JzB~=SQmMzb?)hHB1*pv&oGq60^rK`arW0U@15!gWN@F3`UaI;^ZP_bP|uif~<^q z$5yI@z?KA0J0v`_Ni}=GfceU~Mv&3wqbg7MBNsQy&cKlLdI-c`Z&ZQufY|&bdj0-N#rVP@|AI1h%ww*^=2+qciXi5f~ycM4;yg zjMa%}L3^0a5us~aAzbH%_eLRkE%h-TOAiR$gU)?b$-OijTiGaZlsXXI2skH$tYhm; zP7~fa1xoD+lu+@`O&VC|BxNuV!hNAh(=*OJEsM6j29nl$;ZH^c36Rckrom}bDMd!z z>m>xNHDbP)bubJvgp%-Bw0PP@iXrI`fY@iyEgL`bw@fWH4Pu*$Kyo&`X|DCG<&O5H zF+E3NtSCw!h|vfn;~SN3O-xV3bhowgK`5PO30J8U0ftbOz+jI3yi`I)6EwuY(=N;m0fzv@0J{-^E$zQ| zqwyQ?BDu!(KM5iG$8E`M{|(!`h0qlM-p-DRvMw@h zK13JBBIdI#z?RHzp`{x!$*{80(nMG(?V3su2SPyO%_G!yNj$1nSq5+2Z0h$nO3aRZ z?V?3Pm17o&{f1i5%K*KQ3J6~gN3x!zhP@F%x7|N6DwPl_QOGtM)v_nV`_fLv9|yxj zKAy@e4R4o>&j{AD~dLyM*!j{bT z+NNDnj(OXIa(VUe-66JQwz~#wN|OmxwYb?$N7DLSR>v-{GHIi&L$gU$14AeR6hiJ4 zuK&4Xr<$8~W}aplIEDxe5!glqu)H!1S4%7^DbQfBYcZiBP-$=o&LNe+=U^%!P*R}5 z;Aoe6rNI)wwC~_;eS-VVgwy3@K_jFN#tH=%&gJmyz@vYJ$7*2kx1E0=J3#jlKynhG6ZC;RL|}-(5P|a* zftKf+^VP_~+q?*5tb$F7a3fq>Si@{vlY`t4ft`v#@T3y=P|hbB9L_mK>eUIqDREnW%M6pRGNcn5|Z8@jVi3 z)&04j0Hvn(p3L^snC)tn!$t7Okn-wGgTpzeNZmU>61eOEhNV0wd2=ueAy87F!H_hY z(y|Y9@7S8LF*yr&hI#@Q>2k855mEqG3|oI6P}s+>M*PmePO_i%4lqL=tLz3b2)fwFktLd$0I3M z8XSUi{2*Zq9$OiND9p3XM%C;IvC4}Lf3n>y9Kp_DHGrWZ#~P$y)>Z1}WR}sIXBIXF zSTzE&b=d}p*`7{T+i1;TbBMr(BA^{R!x(bHab7FaoXK$IOBzXmq4(r=m>wgQx~`n- z;=s3h1d<~psIr|@P}Ksd7sZCsm7%9JL?(dtjtoZuCI>}wy3vBU2(H3Sq+RzFvq7FBquLc2!tqTk;^k1RkH^~ZrR2$ zAu}Pk5kd~Q9f*K#N}2WTu`P7_iD4T9nO0Js#l-iBmNn=4@n9dd4yNcw8NQ5$v}VOw zLRpQGjIdgrE3jIT4n8$@0Ryy*K>8VHp{#OCYlB$75jdLLKXD(*TEDi9_G=rku|Fn| zL`HMpc)wCwK97!z9P_aTNC&4od39Erof!P595ugBW11K62(p8jo zND+sSyMW7?MRvi@-SpvI+i`1_%9`GeU;AXW?XMssf9^4vHCb0L?^BH1x3zf2=#qTu zMlm%_Zk3lbW>u3*tIkq0Ff~LVH5oRDt&7GccYv-U;2#-uhHArO&nZ+2V2bn!&hf*r zIvD31R4Qux@U;u8qXEaY0cz*^GT1ZDnPM?fGs0KJRLafis?Nq5GER4>gmTRKeK2Ge zHU@Abpxx?FyC4yeONc4xW2QU6xF4Xm2yj|+AG@1Abf#N!aXG5R4TY>B^Q_!aRIRCY zp%h_8kURom{Vt6hEH2TH6>fB^gjm=T@T|~1?Sf;-IRqf~7$g>i<`+TN5}*^M?j{5B zZebJ*{la6N%7 znO)E6?MqXx3&ona#NEf{c*#Vlx+SwAS9S)+@T6Q3r)omwiU@2JWCqq?z^JX3&d@fB zz*Q{VGC!k2cyln-3JppWE=@hNWegyl<&&%=hyOE`rg>SBzB`GVl|C1frMMp^-H+P7v<_#Wz9L)d#m;$vU5$Xui3H!4j|@qFAA-XV&+DFa4?k+G^T*TkT#oAvj=pIHr9ikwPzwoEq8`+x|}R98IT=C0DI8^b~XZ2Rs`pqBSX^LiJCB&oO6oQs}rb0zyKwA86)HRNvdv^!4U`1 z%HVLcOWijMuFV7d+6_1>zlEy@n^LZ^bOOV)+!>q%`oV?~hH@t_R!D;qgM70wEqg*c z_S%Vj3~;_9u+A3de7C%F?{()I+be7{G)ehGyuAn6l9bIkFjf)Zsx%bNdjxc?0r}T# zW@hhM6WvTPWR^E$`aD~CCuMq%4RH6sDV1V2zw4!xU35C3fk@Qbzc?z6A3&sl!Qh-z zq>go(<>eCW0mc|ZLI{);5E#%0EA3MD%z|lvRU^w29kaUFxLYT7=uMs5anSN zEdR%j_AIkH=-G{|b>djs=`_JkLzr_MLZoeu%Z^acGo#nEIrZl0vH}_f$Hh}%Vj$Td z(Cb;5OWm`Pt0+oikKdxDi?CM)mq^)zl=tonXM~);+6MvhBs7$?{PX;k@EG=y(|Gux=?y z*=7@r&HzpRvG0s{IKkOmrNOfTP4J_BGSEOoL7tz$U~o3qQg1$j5!lU~ftVufB(J{A znm@)IGy<2khH2{u%2Ak&#*V}0i%3XV4TMU(ZEmC*JyRO0tX`C(fN*=dtb|6vsv=ZU zz@m%5gtk`7&wY^X4^yxfht)U5`W0CglUW2AXce-@rKAy~m>MiGn}g>tsZ zFx$+MUX#U&(*)=Oz`8^5G0kQP8tPGZTP1pLfg=fy1J*N0X}mcuf;9yM2FER_do~zc zP8NJjB?R6S5ExpRg^|}hS$K8|Yyz%3O(?^|5ON4UrfQqxvLn>Y0{uzwbTvyL$6J6G z(l$@iECWYV1kkni2FkG)Ywwd7arbBqEuxgC+#r~eOVIn#nlP$3#jrp0iCIP4v^V*6 zNkl1O9qAk>r7_816|B=XtD}R@rnz>OT3dAo#seyv{0Xpb61AglHHLs2DBGC~6*aii zGkTS*)ejIoyS1)*f&#RyI%6>~31HBj&9&4k4Gsalgydz6xF;#cY|a$R(Bo*AdWP9% zmLG)11ROa{fG&V@CN!PH!!(;EXz-e^fwfvtPD0Q#NNK!TRfNg`-r_JN&>gp=?%7~) zIa%;Al~9IRMNn9py=Qbuz0%&PLR^Cz+*r$k? zw>}tMwI$?+s$p2v2w0(HSGgt39qv2BsvY72TH z_ndp6Jxte#Sx6zK&D{oqd(P~h^!KV(3?^qWkvby4@^T5z3aZLQrASd}c4UMg7=^1L z?IIy&<*zXc;O20RLTN;*0#4f;m(7X@mKe-Mfzt$=XV1tgW(g+O_AGEIMip0zVl8T< zVGDL4fRLL6*fb{C2689@=()QIw3}|73$K#*V51egoBExLvA;s(xah44B191M2pU*x zB(~^+FAn5u7XgA$+-!se!YR;TuuULT1pGzX!w~p3>{+>DFih7RVwBV1G!mW*XuNp@ z*kMqN;>Jc21XJKYu~sBBq~c~9S+e#_ft{dO^jhL$8qF>O(##?z(%=MFr}_7UBP$Z< zGQ1}NIseTZGSyWM=Upl4LQlu%maVr<7eVQ`SvirW9Hu6oas_W9`}i9^YITfWU1X40 zB?7bxb9cO3=>2OszjE(i%i18k(Fk}agDmU*?p`T^q({)eS|jF=<6sEn><;E>mjgQ4fEYkaR8J zne{Iz+ZRJdBG)&K9y`Dg0WSjVVJr|;v68M}$oaeb ztn=dpA=j|b#_ZKBv+SIk+_u4WhWW}5RH7h`YA`qsOTE%y36Zw>W~~rGwHHhcY!XC= z29u+!Xx>sIg@eEqg{C{nHKZIHp1v47tThrj+Ho?kqNk-< zf)Ado1~vyYm~0aW6#+C_qhJYh&=B$nnUTy|Pkdcs(Q8ShZO*XqwFR9=tCHaBN{Tcx;ODkmfPT!DU9zz~ z_ZunOCUoo>Z>K$5GP|7>JtxE~dG7?$YwvsXBc+No9kw7YgiuN;P37QM_}-_{Tf(n#63x&e-OwJ!WkxRd7o{ z#)4$FcN7bs8K-ZJne$hkn#xDtRH>?zl|iyQDCK>^>vAe)gTOIpY$*qq!ykr z3?_kvBj{OJ@+^#AV7K!`Cbb>2Cq?WQt>2lFcs4*&1k4=LL>%zjj{voD0dwRkfX9~b zDgxW*&2yL4+1g#aT#Z+0vN+en7ChPH9&E1C>Im-+de$PX(!h37k;t68ffsGddPKdR zcw6Z$nZ1&=D{b4Tb>yO*+}nRAE!~v>L#4C1mO9DHCum@;k;rKSY*Cnqy_RMPial8k zYzjyiG?;7?2o(XWLmCB3@G%5(*sK+bus}ElBt#7cM;8zhD8{GbA`nr)6C)i}@LWLS z%_BI;KWJ_wgrhLlYUF7b2@NTa;FxV@fov4CBDeo)VMi;xmPFcyRgmQ63u$13&V!Hy zzm>4ldeO~#ie1vF)fl7+X#b{x5IPswt?pJ$hutA`!x(Uk*q(MXANicO!o+|Uy zw(b>oppjP4F-xUYVUg<+uH`A4sDGHp2(HW%7@KJ^rk2!n8*@a=rM_r^n6;kH`|>eM zFQ>Wm`D|IbVObm<58$B9eHk^u#;=P^&cVE{g|K|mt`5tshfP{Nhl3SE%-dZ5axzXF z*r4Q{ZVA0SzIK z;Fxt-?touhHXVskNr9uw`st-B(zbg4^}ANs`IJ+J?s%pRip{(Kh5@xYAg<>Tke$7N zc4=KZG#T;DJP57r%0*O={xgp^ducW%s?Ecb&70hk#pGzE49CJ_?=(YllPp|pEjlr| zYi(O<6fM4U@fujm;323}|1ULEN7FZ!Dh=OG#aOIoTVE1H=XQdJ_G=kFv zU9x#CX`jHLXN}&}2|>@o-?oBv3$P`#TWD#&CUr4NmyKvz#$4n&U%19K4G&BS3Fzb2 zh-VWv`yn?4p&x4-)T&|2ncY*yp9lki$yr{cK9!Z)C)5`1(}ty7gjnR`P@0`svm-YA zGqaQs%8oxZv&FqVVHU_m0o|>pOWT!;AyxYWz^C?40%vV9G^CxVd(ML+3Lg+^&9kDF zrG$qkV4`q3@*@d>xR)9%7#xg(XVzdrX@oV*HhV^m<}Bge0f&X=x5URZoAsJ+{BSaB z?KghT8$2@tFUk8;l<>5TS5Zj9&lZexc>TP*?w1l)gF|5ag1<5XRu^N1N*$4p?`m(> z8u2}g*egg+#{q|h~T02 zva|YNzan7X#`4i@wqyydh|my)OZSup1Nu}IC$Z%%k3NPVx8$-4XbZDII0~}7X)w5+ zMMcgZakod%#^R|rW@(2F?a33Fb~ppYgtN;I?vQW(3X$VnwZ_<00Yc6cZwU-aWu$ra z16u-$3jqW!s~zPGv&}3}kOBrn)dc7g@LS?zn$0@eykN4iknv_19bHz)2B_k&gy^TE zs$A464H}}sCM~ucHM3+w2AKq~d0dnRmuS~8E1_i6J%0$;nvo_Ju*HECfx*!wbuSk_ zh9TFZXDaL&tnLDo{eMMQ5U&9`jliyibXWZ7G`M{zBTP0a_)H^%ZloB(Mc#MrcH6M< zr=Z=eDO#HaPg6Ggp&w+Z&AKfnL(j%oaz^IHoJkp)lsmH&U)S{JuGqDuPV`BX+IqaD z0C&>ti>{>DZs1*`sYr2dy2fB6c~#qfl&uCr1rvdPkn=HC2r?-+fY}ppS?wrW4TOp% zFe+Z#2v~;&hy>hw2nI)&)T@?MKbxyC4yzX__Oyjrz?Oh}3V z9IH$krwg&6)EohC|J}@5Qb<1i-Mt_&_B{d%vfAX&f=4^b8WALVJnc}PHXC7qf+$FQ z7z|FFr0%sO+&153Uz^t|!y-1~(Bzqs!bqvRIL7HZ3P-|k8%Z{%BEgWdgm5Gyjf7wn zj)dxI7aT*%BM`95ZBrUp>oTE|0u~(vCP$aly1wvmu`DakNm$i{dVnXcwGl@&Vn-KsTMk zYU}!wx>mS*`bP6Ue9|eU+gW_e-nN6CuGytlkxIrtm7jL>Et#!mlXhPBDAnNEM6npf zilyaMZTnG9g1@^L5p)uDZI?Y+PTMOu z45Je#!DFmAgh<;+c-;e%qb=kaH6#t17QiSvx(Yi1YYU-B$x#Kj1Q>4~fq;dNh{G(@ zfIoU&JE!-`767S8vRp}R`nF!94NOL(t>yyM6Fl+5%sUu-7_r$X8JNXB~_IvV|;_qvo zoLyDNO1Gp=ST$@`OKnccyOr)Od9I)fX6t9Ad~Vn*}Zo(l46K7W-Mk1cA1~AC?!4T+=9E z_O3h=7HwG!6&#HqUoJ3+j>A&78Wsc}vurjX)XV~-DPS-xd4}pDFN}(yu2nrBh!AK& zI;tQc2$qk6@OvY5_JO~wW;edUbo;GKYW%J5;^X6A*AEw2Uk<-gsh`4VNt$O?EH2E# zesh>SSpt6&#L?SeavYX=y_cAD`51;=*sP;1%mU#khzv zza+Q))8|>Kx2}y|d2%SUn+SP(2%2rLE>n`$b2`&X8@A1R#$Qxd)D)=%j8CsiPaY|L zl$;0(fgO8y90FbNyJU$4cqDlF*5Ccs=_LabUw~#bQ^1u1aeaXf5eo79Pv? zwg$RZMv?F~x`B749zHBS70V~rXX+B4|A8fB8A7;ap7rWl>Gc%!Cf&1N%jy{oA*H@w z2CSOBtVqgA$=Q`uY| zmf0nxWwp7-P*|XbZI-PuZKW9X4B^ypOBTSqwGa1_LM6#djpb#tWi=SWkIKcvx4)p( zqksI;RK5Owt3g`!Tv(oEE*&02pLBDQTKYeZBmTxKcb6^9WOOjehPlZc?v z4AF|dxf zU|RBQq%^QCV07XM!Rb0&`O<%&aP#N*;OVu|2cL{)il^pU#Da-z(T;Xo-?7ii&mHqC z><)*~rW-bp3N(605@c+cTY80gJiD%DJeOl@QYyxPhst&ioYJUM4CjTO9y>WrJuYX~ z56ZFiP$?ce!}%71!Fa2Hs!~H?rjslUlFjI1lh8~D#c@2&+L}t9qzsEqL)auc?Z>f| zmC|K)r8YXQ_EwvAxY3^5*&5mQf)LKC*Yx+P=hR)nnr~Z8pTC|d>8UCZ_ndyyz|=LG zbk`cUqOaW5#%$-Tt(yM4sQ6R497S@`@WC(1Zs~0~DnuZ|a74N-iBp1lJAS3yiqT1k z7(iNHW;jU`^lQniQDAppYN)<j2CFw7hDK zEL}tcQs6Wa!4?EV$P&&z^ChKkoM=U#6ARbg#p?KXh3w_!tP+A`3aob92f@#H^9UqJ z?Y9lQHrRJ^`jYV9B1k#9q<%$SB(I9#w~av#dR7TVSRkAN0>hGLsCEYMz7EqryfnTm zf6r*7zI1J*e&tYTFD~=c`THpAq*BI}>OBEFme*?TM0QIjMNb;jb@__!OwIBATq9r{ zM?ti8505c(?ghkRZvwz(*f+;w4$^n4$roiBB$i_19hvlgTv0?;M`(uBjbAA%7nRQ4G>l%HjV`l%+xjc=g@Vk)lHnhS4bP6u zcH_LGWz!oy$9UU{xBoW#^prLvh`@wN)(#^~SC*iET)u5R{@=9XuYPno`MLM2(J8JG zftBUuZ~IkxvTMFKxiVY%6E;FHZCy4jSAj_YgYLvh>V8XnOg$j3Sx1|VnacvBDPS-- zx~zoLNN{9trh*`SSz_nczXP09mq>11mPrD?WPZuW1k`A z5eQhXZ5mi7*tSc8&;UdrIJ%_n<-*4>-UmOWD%*kyRKj7ZgWkb%eJFV1Cw zRj*7QsV9dlHwj}y+z2b1ZPc_9Aw5SZUPSlyi~Ikw8pX%C>S=s}UxWti1aG(c7a7+i>{MnQJ1 z_=p0bha*AG@*;IDuZntWA~obPe+A}L=Egh`Z5B(JYY z!wJ@U)Gm@=C*RjS9wRg!NT<%@HhtN&^UzRQYz5V0o;J5wIB6=cUk>*~*`= z5yxe)B!Qn(%F`uMeoK6e6Ohh5!<}Lw&yr1QV0gU&-!pnUW+}RT@43lw_4Uyi=gg!A z6kpc7eK6xoA6&2fKW4B^Yelc zGwfn^N4eBs38Gvv@DrQZQGN5lj#==8Efr7}AS-X^rtyp%xB{ zRIPO-!N)Xt=11MetkMf*36Kk943=6ejRbP9v)q8moNC_id6t1E7t^@1J`?kqPWnjAZBg5!Uu*{7YjK2~;ndst) zWlH7TiO}r6ZYH&*v>JC|Kh|qo+Xm#yF&2^pq?g~>CuLJ4nkvrOXhLd~{E{YNcpK!b zMSmNPHQKC#-pJ9KFqWUR9w~h$LD6&3f?H50xTSQrAU(t~dihQ@Nf$?hHa<~Q$V_av ze}ryMNRF=brmV4dC)d~|of3;>1@8>Km}@i&8NeD$=*JlEBrkx~ph5Us ze{H0{Hk0$s%O932692Oz{=IjM$0Xd6>UEB-)MVE6Cv3!#1xprqxJk@k-GN6Owm_Ko)(Q(t^;T5 z2#00oVf26vM?edD@($_KN1$V%$gedBBWpmYRYE1 z?8D-q(}_Tj=bKY8G#bmhj8u}cmKR++koN(0GXfZmabOJ6Um;1P@UlFm-)ziI1-S;K z&90%mQB*flmI=7YZwG+FK42YO9k>)R=N*`05GV;1$w@wz_^Mm!cMhyon-92Oiws!kvevn9{qSe2K`MYJOdXF?5T zMH(qTvyor23d5rx`p)s}je9SQ)lbV42Htv2;_zR-bD}lk;l(y-QWUB)c{Q005Q0Wn zbU49gutfovJ3U>3(~xoqKBk^^$E+W9hB~K%0uhBK&%#2`y%uP+=Em6-{ZQSNcE9?A zkIv|TZj64f*r3r`$_L@CMnJ~ZM2@T@$*Wmz;mnkg@Bwx+0F){WD{r33Lk1o{a)q2)YBpqtdt(*Z7_^E~{|*)#P|uFU@tT<<6@v!nH43Tt zf=A;`=GbaGL{hFafbcUvJv#Z?_2({My7S^~b@HpM_#eNfreHH;9Yz?HN=T!Y01bNG zEb5g8hkyyiH{!8CK?)|GE|DNe)d}Qb`uEInz?dsCvdBxt0e2H{76_P#)EjyBL*FS+ z^?Y4^r17ioneu19!t}LI$bAwTn!VWObR-C-&8{3tqc-*CIV=JiLUjUp7$+c`^9+u) zfcsf8XJ3-X3H(iI_vd7Z{f2xeH-4q;PFdnUQ=VC0ZcPk+JP+aBzaYJ@TraVJ=+@kf1p^5L5m;UcPa%5;WAZvuvq%pvMWkTCo#HHSTAV)5GWd&)k}EO+x&+3$ zN9x2>b&0HhoMN4p+vk!Xr|)9+Npg0=a9U}(QI#4B$yu{Ot^v&fJRz%U#4_(L?%UUj zXGa0%wNU6(gq@x{TD73(V^u!n0S|7Q;fd=eZ^C|C$S&O0#SYBHdNmGpAdhQp1g)*1 zrXZuU*0{?e`zXao>VvVmrT$S72B`|XT>i}}Q;EKDlnSEZIJhOZre2ov;(2QmBl-Kt z+ueJnLGo9-)%=*$Wsr{Wps)FNjSSb~Z6^8G>h1#3RO)z0G@0@6X}eJlbbf|X#6Y8v zg1HP84JL#Nx=CJodWR<8r_@jXnEbs*OL*<6j3|jTzN{;ZfE5^BVM?hQgIn3vo{HTj{bdiTjxz3_RNKYsh_RQ=pvas6Nm{T~)=A1!>sa(p^+Jk8>G6}> zYJN}VR`ehZtboz*&z@EMGw-c+&IfWwG1~U^7yh>V-NGA><&;%Ep|6PK-%;bA6eUT( zgVLNPz?^`_n?vw1wrvdF!mJb=p=K7yL;RqBa*n~`YGM9~ z5x$p;L4sTpJu%%y-M)MaI^S8NNWKnVmdCz*RhJ`;oz7wfpGS-%ByCa=eJ3d(c59aNb|tqIHz=+i?ZOIMQ( zAFqAXI+%1)o5r5TT2?%vv;1pLV;zVF!#ghqIcDjx^pELPQSeE#Y(h~1tTYr%lrA+h zz8Ji2j;%bB{2F__usR~qq{bRstSE4l+9xq}Y!%NI?diu6<_9pr3M=b>TG}|nCdGYL zgb8AB?2dIQ75N}hIFgMv$#QpPxgWq7WC@LxIfILYVJ09s`heuXO{wW+B@TzJWHDLh zmY=$n+z0ce(gs4!B$C~dJSp?)Ke}3bWbGef`&mF$u!yD4V6GjWE2Px_D}RLh{()xo z3pXWsb$FjtZix}O(Q!s6dMhcUU9%!F-*t=h6Ldy=g2p1sI&V&CRj`O)Gta2ouD!T)<+Ho+0lg# zDMf%p%i*7XyW;Pa&Ch55OwFleHX6Pp$V(rb@MmSs{j!!#)4_*k>g|92!iYaG8O`7P zQ#I0Hx1Q0N9CPn{$kc&v259#j792suA^4cGZ8fu=P$LUNcN8XaaCIDjE(6j^o0p2i z(i%VYSe;aPvTkW%f92AlI-aX9KTy(W5Y9e4cF%mLU|Yl%73&zvQP9GAus04SsN(2V%A0Ys=8NrOfu=r>9Hkf6e{g>Q)+~T z6!3zKS{z%?SSp|Au^DS1l)!LP=wuO%pTaqJh~cO?EZtOeLJh0wJi$^U%jNncRKZ+u zPA<<$YKIns-Fe17jfE}QkE~8Tl1IbK)hw2O#V=-cLE;~l1WEErzt957nM}Ke4(wyM zRjjDwJrrUTCSP?98Wd&jl2|&}tP)M^5j1p5*ltN2<%YMBsRJjYp|&e7FtvBCl{1PO zJWrIq$|ME(?$w!x?~i41eL*N#g7qU=2o4mi6P8Ph^`by;@?EcO z?2WZGI0^#6U?!F@J#hFiUYxG(QUhvShNYU}AJ2i6ovu1Ael&opu_ELVD|OQhR4rGd zWI#^Ml}2GGA7%{R0^XMVD>}5*=FicnnRc-#THE$ig@>|wu^d|`zb_M|K#{UPEJlju zUVH}T4|9=m!4WJ?M-TY$?T@K%j1|eei`{Gq*X8H5Pv0qL*88{l+Oa%NhFJXgV`0!| z>eT!W$g-Nx9n-G7)fJke3W&D-!`NS|HA~MHKNd#wS z>OYiYt2+BXo})`bkOPI>22(q>&R7sdmXUXueFRKp7L_Q-ruI->nwm!|415?%Vr zu?Q63w*Kl16ZQZ7D{|rC^#|(C6S^GY4jp7s8LviUZ{oRNsn| z^&Dzmxuo>*S8KiG*FP*bC^${h&|Jr8>lg@0c;V0FiNudTB;UaO*E81YGOQMk%U5#z z;4jHVuJ6_AR7Rru^bMtNoL-T($)@;_aKx4#{uXn5*iYN{ahf+h&z^!-hGosM|b8HryhJFsY_dC(?M({{3+kJ z%6PnTQ`&O&PL@^l!GcfbSk%t0*AZXg$7jh~?b-@WH z!0akU5Seo9EzJ>=Zcp<)UecwP>WQkKvtK%%ualtFcslIwCpns4vPeB`wzv9toagrqDN~tNk!4@>&9sl?#x4g7vPQjZr(X zCMir{tAIAlcg)G+vlt)+qEPX;_^|Idmo$KGz*p!K8DMDYRW&kMx&n%%ZfrPM-g!Eg zUq(wW*T7;&ekNGMd=mwA*SwoIEu8AmyZW?XuqBwxf=Jua^5n5_(y(`C^K`6+C<19^ z7zKHgwQMxIZh{DmiRrPDd&crW5|j&Wg9~qF@|8KWWK+Idko>a@X)5?M)EcaMfMNmW zGGoy{EGqn|!|46MN<H7!rcuY_63vxdF2-bEX zy@o+-k}4I}lJCFi@jslXxt=NQ5q1Tk-OjtBTZkq@Mj}x(@({HIXwYF7>pICxf6#o} zs?Ppx5w8euN(mXLjDXd}RAwO*2m1J}caGHA{~{u?+ZmH^v%H|;lrE3;q0IRA?y{oq zcz&d=++cO`C#ZRhzXeX1Ym&lLRu$CvFVZhZDu>X4&6>w7?T{ z@0^!zOYi!VSB~~_M_kb(^a{k3Atx!hhtDYByd;b=76jlfA}ODY`Z7Tgu%(d$Gma{Z z779aLgbY&Z%>c8%F8m}*s9f_mzpsIHmX~OtLd65ApgANj=tX73H*9bA<%qW7*?^)cF2x z8_Al#!aS3fvHZNs1oKSEZPobkiqjCcX_yA9K%w<<5VLUf4SAh`6JZi?G-zOo_{sNf zD2(S|VZ~P|(C4fYVQhd?`Oa2I1hS12%3hLuOp|9z+8FB4e2s$DJ4Yo7rwyJ#Xbv?v zZ{C*|+pB(3Zic6F-4~26$?GZD@LUQJ$DiV6)Ird261rcNS7pSoRv20GRxvA`2KsT| zex+TMo8T4xXf;QC;Lod)3^6;FwvE-Pyp~;_8qb6?0pGRM1jGqY7-?*q6H+HqRrBL4 zg_YR*&o+1#lZntykga7vkM0+OX8Sb>?JxFkqNRn7JtH$fmV7BLBx_)8)(I8NTaBR2 z{MJYg5-_cs0Sc;2^Z+vNMj4yp7^7F_j>#NVS$FlZd@?E}Kt&czs`f0{c~RWK62Yf3 zM8!{!bRrKBkgrjc%z^^QU%5??nGq}HnY;vDB$iMy7xSzUlK}h(*q9wLc(K>ff=Gkh z6G1Y|%FP>?y^p2BCaV*1LQ75Emf!!(B!N;yE{nDqXTYcBRaK}k4W8d zh9EbVSJlVrm@$I@RxPi(*(IT77RW_GzC?B%C_Re`WfPCkHUT#O>B(XoNHB}hWa#U^ zT@t^i$LbDAm}aQdAP&IUYRi-;$QPb;)Yo&KCUx&Vst30<&2r?C8fV8f(6L!h4UfRbQNVtqI_eTDW z$fU7qpf4n0(M^-A=bPam=>EY+ zTO-UF_7#jk17n$w?d}b0|E(sx>_NCNCATZ zq0%Un!F=))NIR@S zLpeb#;sjRP5<&$5^f9(=3$vb3GYd2(A?O*TG~VjgPJl=OfuY_RfcWoziLcR{m(5KH zCfJXDM~}xW*g$1&m+1VU6-}eEUb)TnEBC9j?|4ihl;>kx%|lkt!{l$;VYjcOg)E1gdye-e2f=#hhyqCST%|swq6=#cS{?49(y?=O{pY`oJ*-6ST}uN(Jrq1S%{z8#Eh?<&4T#BwXIB@S z9rq={Jke4hNiD&NF=`8_uE{S@>y})9*44HJc@Efojqg2@-hWAve90;Ba+%`~ zIK1o5{uo`~qi)W5G#fvv_oCtpg})+Xxdd>TCt6Bio)j6Dt=w2@3z(P-#M5W>0u?Vk zj+2GDZrhd&%$Dk*s@tZDLsz9*p&qRodQl{$7ZoWL4#0%L6BMw#)Nd*#d@i8Frk^de zqBO@Fd^mYPGDu59EC{Bt9H_l030D@BS0}T0H_SvKdu$UDBCdifB6fMEKB8tvAGAl> zrD#WEsup7Y@~I{;i|&`C3lCI~)Hwxqa>bQDcUI(q&d3cy4<2-e3F7 ztsJXndL?k$IH8P2BM&4mL+~+GNNuE=Su|K~LRG1E4bPxOuG!n5q~Ph>pPs7seM~8NHlAX2T|>acR?8#4 zUXd_9d5kae<({fvIvc5fLqDsgKl;+G={x?N>6pN~a9O_7y83i{4J}WCmlcs92>pRp9IGNnClw!L>0$YSSsPl=l+&07GXeC!(eVJ3X|&Cw`BM|o)7^yemq&| z`_irAyLUmvLxeoG229LfRc3PQcBfaAs1L4F#c#fceuzl@a%=J8*N zaK)I})ei1K;?t!VteMo{+r0z%rmoyNO{PbfKZ?F&G@A~6lr{BF^LIq;IEZ zlhOMm$TI60&}vLY&A?L zNaO54VtL!(B!Yr41IX0Po2$FHok9q;XfJpJrQ4!iG-?eR$_ro=pWsbwFg_K@rT#wZ%0?I*lS8>lLDz$YeNsnIniVr6 zk`~>C+C{45*%0`C{D!QU0^q&LD2aXS)AVgrmVwgagO_C*>%p)za<5O|1{L9mH*q&8 z5i}W+d{u&PTjkhFrDEAbU22vxa)oF*xK@oETc6Ca3x0N@-mpk~9?5I|eWTIjpS)wH zv{Z1*{#ZPj9W!z-x481REINUjAIQOK*N&~?XIDT_g!Uq~EUz?7N=ErK5u758q`vXX zKcV>He=lVaj^(BP+(GdZ343hCkF1KJWH3lN%${XghS{%ArjLH=9jx@^_ILzc0-}K* z-hAm<_4D$ZxYLPT8h-KBL-jZ2L?rgc2Xep9?9pSM&t(&BT~_$J3y**LGlhoOI|&iv z5T8|?P_`{0R1mR*#b zpx${?^Z{L<-IYb}S<&%9Glr}Kr&MgRR>S<5Cbml|RpmK46j**PYso@OSy>49d%pXjC;YKA{95XfVUAiAf4292cxk0ijzDln2qvd#+NbV;y_nAcH^bPkYzmkdI?oAC@H@!uINZzsSn! zs;)m-10$G0yza`3c<)8Ti}xZT<6lOqbKpWVzjAmE)>sI1QqOZuL?c4#HH+;<+C75w2e}<*Yac zeS%)Enz+%jy`aw7i=|GpxO3Ncg*TUEozQe+p;C|uh}pNcE`TODN8lw{ZC!zEP@5fx zuH_F*GOFgl0Y|MXEgHx~i?Uu`Y~9m0qe;798s#Adv-O?bE{q|Apm}CtVy>0R&*A4f z0`8t(JTW{I*QWmN_fmIKGo>Zl&4fe4?K*V5L`k|s?HpLh9>AhaGr?k^>|lYjqftc1 z7gB9YwD1uTV%jpq=5QGt$;<6^4i&7nx^S(5X!_)RvpQqv8`%y`=qg#G#2=8{eiJv{ zE|wi|oFld7VXO5pY`wUNxxLD?5HmbT%E!B{d2AB)hJvAGDPEAp(2hyo-eCi|>LOHA zt7Xe}npL>=-UW!rs@n{97$dM9wi(sgZ91b50c;n^EK9fGnrNHGQF<&dy&+WcQdNdO z78@P)u-dBC$KXy0B@A0Rp&o1n5;nn)tiW_g7RW46^-%k~a(k!3=gzzorrlOB0X71AE4q4hSF zOsUAwK{De4x@(Jw4&C#jz9pS@O2yLIKrY7Y&n4I-+#oF6kBD33RfcQ9Gz23h3#Q0$ zJ8i)h_#u5OUAq`owyw`z|gEpV$H0R^RA3yNz6bKilbH^z+?xB1g0T!1VmL}Rt zXJsX#hu`#|9pWTgmk-?%SKgtUd5#rP_KmY1l}{KN5`C1Ku1_VxMLm*?PEPV&hW*(2 zX+>4M%%-l)=5W}ag>k4RNm#_>z}D|vZwmkM_8bvJc@)JwN7yAM)_HOPFk=eJuKe4Lvt+M zxeh5xUu{sE#L1j2OmglNX?A>ZgB9f7ztXQsvEVcpgjeM4rMufc!zpV$wZERLNbSnX zQF^!Jr8XyLkG-W2C4g155_}wIlbG%u+7qmHAivvH@>nD7i*&;`|$0-u&9&mP#n!{x&9B@ouXG z_>Dh^uP{Eosqt>BGrWY=wPHT~k(%sfnvWhU#howtm#L4@C}ZjgzEt8n*M@>k!k&3E zy4d4f+;f7Ll@2C*=H*+Yw(+z@37^J3a3HWSfMM&sJ7niQXp=`P5ElsXHeovI|DMWz z7rlf9dX|36P^q5i_V8;Vv|}17rDO)b17RV1CbS5Ulwj)u8D3jNtPs-+kU0yw{dS~D zVfZ|Tsz@OG1dz8>!#o&9qkk<{_VW`n$dWaTj*0A~qwqm%DrI~lA^&g`{!#47HN<8D zVy&#lyIV(Y6#13!TTwjGsjrr-?SIM0QwvBcxL(CDPA2=*Plm^oC9_<94Az2&x5HLSLR z|GC_xH$q~!E$-1b9K9!ZiLq--a>&DWKHoKm%unh zr-lX&Uu~o+>ENXSF^-W|4r#Dy?4FQ^`{O-vOk6Y=x;QK>hENrdr*bABm*u4ywqPee zC!tYXc-SHy1_`x9xfGWnShGePp1!!obRL?}S{Giaj6906HyBn0JfaM11(by!^ccWl ziJ>_41}>RJce}J5@2n$EgJDG69MCZJI?M4Tb=(__OJrEjMgj1=h=b-tkb1BYCJL*E z72MI8s>=Y+ECj-rmRT{ z)XtkjAB%e|=B;FG7gY(Pel&#ZUJ0TAVTu;d? zMMSP$!Bu)P0a{To>Cgt)iQL~Ch-{2e#J2}z@iE}$VLS7e!}sbRuN8aumMSNATp~t3 zvu30`@DY`n@d-Qyv041zz2u8>|86D>V4QmvK9<3ETT4$?L#n_dbrVF(AThVB^hZ#gaAE6WvU`h0u;!mJGZG zzXM?*drv^@Zr`LOSF>b->>DCmL?ogYC^BQgU9+5@NaV`% zlNmB4|NKA2y!D0!D2KusvZZ>0Q|WIeXmur~)#?XoW5$*V%>uaOT=k@lUk0#{B7FJe za^8L(8GbS!pgFbWw~3iMXA}dSb>WbHIW!oyswbP8QyE3(YnyzpdNpE*b5PGQcnnwj z`HD3<+xi?rEX*sut&t0ZA9y2!h@}DXd1cN?!<^oN=v(=Om-5I9ImMi#8I|Y4nv}oT z;I>{o)bPBH9Eow-GBnQ;&q(x4(^TxwN3*ElS;L>ART zJ{1AVSY}W>5XR2qk_iTHPSsG|7RYS#GKU13L6u26h-6QiKQ~FlFI-KpV9%a7L{uoG zC`yMW=mCh8YNJ;W8U(`zXSiS=dLb|p&T&vQcQ7l>gl5;nF#v8s&T*&F%}I>+Vf;WR z%p_iY!rNE~q)9`U@^rZ?*7^L23aPmzjmCu5T?PZ^)owHc2b;WE`~c`dODUCM-Z~sF z?5#uW3}8fuDjbMxsRDZB1@;5LatU})A`XRIgpY$4Q%8##5=Lf{-WYA5+~9BVi%uN>r&+yHc$!`0Fecp z+jt#-_LGN3F`+7rsPc}+n5vwOd4WBtkKo!o#*dS5HK0PiiNxz;tjnQfE%9bwjnWbo zesv&T!L6vnH?Lz21Xx}mM;mZ5%big{DSnn9vvit78&mRTTXIhG4yWwG3xg)WYOBa+ zbK+kCz12Qzu{eeufy=NqnDgHcFM3NG%ypPFe1V3Yw0y-Q3u-1lPwdy%W6@OCVnSl& zGw(+zZ7(FlR`tI(TybVy{GTy@cqN8JFC2}@tUuf$au@q&gT~5fc>c3F=?#fsor*~B zaIcKohdR0@fIL|eDKjge;@8%;5!VW+o3O*HwIiT5glLW&0@7xUciCEJDBXywmCcH;jMAU zL%yznEl=-f-p?vu?;ziZ$jAn*5RXc zKvb)%yzu!Us=E(pvkJl}!?h6FF>Oje5EjOt2`vIJIT7QoFYiKKN#$%=z|G2@BQEyD zJhr$BnLX!1IcsN_lkYqF@?idtl_h+;0lTu&K#{(2#0 z$heQ<)0|~?&@MwLB+v{NiN+3Fn?Q}EEhkyR_)`p9$6-_ZV1mH8@Nl@I#rx|XY-SEk zgTtv6!v@}=vRY)QICy}tRSa8=1tK81hMxm(FJ>b&uoD4lig$-+i1h+XrYwM=OodFC z{lKs_*TQcSUy-MUV3s4q{zJ=JoIHH#GDTcG2&gD*iCN)#0}>55T~RCZ9QTD+4`@}? zqptWRpP)*v5qRwo>LqK6Q#9_@4L+_(o=K4s9Ve3p9;}}M7Slbb(!p6s1GY&kT64fc zFpni7+D;7Yj#-F#*4Rp7S&Os#5mtc#u&xFH_%c8<=nb;VTf=wAIU=g87npl=*odIwhnzv|3wq2)(Ns*t z1~tSsLF3ke6y>QxK^hJjz||sujrC8N;`lYx2ViFmTMa@L<*!cC z@!Hxz{r5n<4KRG$>675&qfvepY1Qz>gb;H=+TiKxFtXFrnRq?ka9u(SFNkNFd0{q@ zCo!JIYHRH8g$b8dfsJq{BvSOX?IcXgu;nRWFHV{b$MHJ5Smp;s+cio`kGs&(0~9oU z2#czfy;YHWKCkwknQ-h+0{I+OjoCMh6T(kI482teDEjc-BXg;6qD+oP9IoxdPAJ*$AFt~6^?9JZF9H=-B% zIBB4QhTv(W$z&h98|*bd82kuILqn4v`n{%(9AoMRZB_xJlDUP@j!7kJ6o&(0Vf>lU zB0$4%9g8aUkO9<;g!|8SWjF}zf;$Aiyc+pDCzMn79u>}1zpSBbI|52xjY-4=6zk<4 zE^E;hp0(n~uqIYpF@K0Nei~eL<_@>9x!YFB#)Tj>ZlkYvJK||T6uH&bw@BHWKo%kn zsjnYT$V)>81sK`B{MQ=%_=0eukE}NFA0AHRvQxIOp|4+v+{gv{8Vp0Mmx{V|P`EuG z7DwzR%u9vDWzZ7bjWlQ%6s}H!EC*?JF=W7DYgBLgnuwtq^p;3mz<8H%=_6#cGF(@m9Mf~vbFY#xgxu%37nKFuH zP>dZHUQC+CaOQ^nlh+Mw$s+iYkiWw6RqKr4vB$^04dYd?ttBROrzjL=^ z+E@-x1Px&+I#mljLp2#N3H6Xs$w$qydBZ4&%b?EG3b!Nh?&1T3XOr1jV(^5k2ggcE zk)A$a7m_vP%Ry4M`pyGCr=08ZG+yIEA|8aXY2F}a4G1U9ZrtqzE*l%`gYa|#OSLd0 zvLF^eAp&4{X(EgfgRPOkwy?HpYDJ)Btt%-`nJEkJy})wit1Y>Dh&DXM=na=Qgz=S} z6b4`!74zK4$hDU3Gp1$JL@-7bF*#iLB&9uV0Xm?3*)Yq+G$ZJ1xskDqTFXH0(nyy3 z&qk4GjikIuRSXf&1a{GzO2S@iAqP0JLGuZt2iAtU;BK)13GEn5Y(p}7+5%Z(JF}3| z6ZUkG%mu>gBvz!+QKZ~!94h0Y_!=r1dE%DRL=+amEpAT4>u|3VYKczLM0(_lfqMdD zOcbCkrKur!lC1JLZzD^LYZZ2kGH;sX4RiZ2s^&DPvlPjc0S}{_N0u}TE;;yl7{?NR z4MIC+g=FAu3)F*!arcDM3IS5ddIsuFw4M5_raS+^qOkNFENHHGz2n^0oBSG5?ZHmF z5ur(Ac5l6)TF~F5e(OAz*aoCcG2t9zxn``v)78NV2*Y;4cPno7KHEz6P%!Ht z6#*+-6F^(^I}?2NHThos3O=?Phb~Z`mf|IiTiq-~^aSWk69xmtIS56mIi&}a{kR%B zlU-zXIT5VX9EG;K1dMV*c`NuT2Jo%<0|`@ji;> zbcdBh93P$v@UlZz%Uxs%VuTH_^6weRgcux+y3M#)ED0WdErfPVT7n^&qzYLPs4EMj z?+r1?Edr#H^$ymZ%G?1Wc1&1%AhnZ%8xUofc>v)3M&3L#!P_z@S%*|HQx*ELs`8v4pP@j$Qfw7p(CE3uzV&Oybi_DyAk~sQF4vg!edPp%@L&W4I3dQRZXy^{m zcW$v)bQon&Y0(^dxUO~dG|(hc!`o36UYmiMGj|uaD?^}N(n=p1 zok+~(zC%^?B#vrL931grb}_+t^G%dDoK6GI^m7m3B_}MWsh(2>wl=mMYTGj=wVThK zH*ziZ3@PS*W#3ej(rV|zm_InlFD$(S1>(E+Nc8OLXjG2p$TJ)KO2LNm8-bu_Z)%D9V+e0$#&fFzd;js+$@F)*U#7w{ zq1`G7(q@ZxjA6`m$)G7fTOdPhM-~z?p`&CSL)nhl4oJ({IaN@$o+$HVIL+VhKbM#f zuaRm^_8&c2(9QGBy1QA#JRrN#^EFKI&WfQ5Z}7JC-VAV~j&eKW}o1oss!(24NH)ZACs&FKgs@1L_(u=<~vPr{bO7 zV1|`Nlh>EZz(TpX3R)iwKr|We0O_WLO-W+sMjq>3MB7?ai!w>Mx}1I9D(D1K;0pke&OP-t~o^`O0= zT7e@(XGn2(5L1ypR?Tr6^uGm@NEnJAx-PI2K4APr-&KG zI$A%3M1yyoGplR)cAqgo%8Xc0FL=ivEwp$p7~6AoJg zyvR*=9Spa5PSfbDXcg4f?Fdb`JNBLTUNDHR5SrEn*-@~v+tfoc1f#I{Rei-32^d`X zz^8Bk11C!z!FOfI!qp$RpxLY@o8?vF&{vpFP;EruOk=o)Lsv4xr&vsZuPGG+)zZ5D3^}Kqxk9*@dEP>m}-AYCu4UAu~E^N-@vok9%mJUtCJF5oAimEIuUaX z=WBqCi5NexF3Q3Qpb*pqqtP7-(X7a+YfvgSwH636b%XJ4ghLabWQif+*}Rl@t)F$a9j##+;hAA{M?)hV zwoWbOB)o8BGI{7BmR{gve2ZbLA3SmADqr3;?xM5^QT0#2cx5k6FFmSIus=Xsece;T zs^iD`JS;@)6qXThL4hmH<-B+lU;5m-aZ(H@{Qx8dwCD+bR(6A5gV2t#BvVuDHzvxt_6Okh-FTg0=tUvkUw7$=5uHr!_%Q?RoAw}Z%jE~oNt%rcbr zvxf{|B6j3B(UBKXB=%wdE+2p!9>b~Xh)oyBlLdDpq1$nW_bi63=A!zrm4RH=u0aC( zQ28-zjW+*@czO*cnwV_mww$MbG&qh2^YB!K{JC?u+TJ)ekErg@ZWZvhnX4UR7-fsn z+X8iGVc1OQC|PEx-VFLARR)7@Jp*QOPQkF%i{){2aKEM4`|w4KZ0~h-Dj`RszYYiH z27>S9&FZjN=CNDK%-)qSWLzJELClC8>APX8o7-v%@z2)NXW+kmG&H&i!tER2k$Vts z6ApLVj^{4kL02MV|3&Wh#-&9AG=zvr42CD!#5OTNREs2_iWr!R`_m!|1ga&l1m014 zZFqwx>Q-%lP@t02ddbog$QX)MtP3)sF)pgQxOu7I+>ba7ZYAd6#kY=(BquS$gyGN< z%Qt0k5zw6pE<`5R>w+Ow7BgerWie4O2+j}O%~3@xbrS6%2ce2zP**5bJ2uR>hA}_k zwziR@H-Irv2IR2SilSIqhHxcB;Rrn)_ldcL7bIO5H0Ea_j58;&99{SOx^j{&7un%* z(UpQajjTj=^D8P20vOPJ^)cxK&m^?4fB^;$r^J{PR)FG_gak}-yG|@v%+>H=@!Ysm zMH5PotAaL$FS5p*%wW@ML~6LfNG*Ww64LZgc`99l_>~MU2Mf%> zis+!nWBZEHG`Pacpj-?8#Jv(Ks}dAh1$k%=JsPs1!gAd@1@wvCvu=)R1wML|?@1cO zl5%vvI~JIW)#vI?Z1_r*Ga@ReKbiE=)$zfa77pbI6z&8()?>z&0e%L(K{gH7YwKXX zUJ2-P;-9+pKD4uMfqG!r?E|J(Lwh*)Qe?bsMAH1RaSV(O=Eg9S^>G&= z!g+@6vg%K;Kuk~!TfJR8vY4< zV3na$CyjXlFHB|vT604tWMNrPa6Jr3u!MC8vq|+8A@=n3KYl}ZWq3UGE4i>yCVULBN5BjDkCwc1K z#Y`pOOL*#pxTVr1utZ~8M04sOPHsJ~frnwMhheK0Zd;48wb^I32q-e*7Wus0M_^s& zTFJ{b^SIz8fPHIaNViW)u=^5tN2$UFxL^(68xCrqf&=j_j&#>i88c5Pd!?Q`ba_zh zD8`fCoQLxU08N@4gYm(qimjZqg;zIu*x%eC%Kh9p22B<=M8spl#e!x~%vMxckM@X7 z@PXrWzIj@)*^+gZgfaV?mZ5CU!;)j!Oa}5>#Sdx@l^P_igdZRXDrl?{vYH#qmm+huCP$V%ipT^%9)&-{XRC1p{j~Lj5qfy>!Q4#=b_%7>ex|56T5gg zhW+BJWfpq9(F-Hx={>(1p#EimGns;k!&duCD*81`Z@oUkg$d*Q-lME8t@lonyDpM& zc1>`J#efIhw}KbH6Hj}VBtm?SlPB@?x@s?C3(d*bf_Wt#lNngSK|8Djx9teJNVIK9 z?!-p?3NU00q9qu`J680uKSGu+nV-FJ!jv&=wMRZ;@l}Qku%Z8~h07~5UJ#^-gayTC zFKSS3e$38?p9st~&#fLdSVZkw^qP`ZynKU=zGsVvPeMliuJe5Z>NGhhcu7}_R!$b> zM>nqf7S2`t`kF8dw}f82ZLN3@tPkYOo5w}Tzjb^PQS}LqG0lRl2cp0&1eb*tj0KC6 zj3rO$X$xeDrSR=6q-4P)8CoS%7sg#%Qgi6u7dO4IxOpoSwvh7&Z#l;uC~*I|NZ^=4 zFv~+qw_=m9_wM(qj{IwVDU6A@UEb-il@(OeVXO8|(NTS!I&GKSj-43SlvjI|3(HO+ zhBTM8YXti=Zo^KV%0qi=6H%v#KaobKtpBpnF4k<;u zH)o5&jpScR*ps+)l~|8UrAwTOMMi{KN zR$oHH@z^LPIIgMUnV$mZq@D%$b)&8oR=mJbCsEP8;5wN8(1hy_u2_mXL4{SM!oF;7 z7gt5Bkt${$pi^vU5=&ih>VWGwI!R;-Zq3KSr}&xVK7c)^*!0jF6yzbSm{yQH))828 zT=&Pq;lSV;BUuYeX&EvsdYEf+Bm{AHhzI*RFo_G}pxzMHgZAcnEvQb{{U*GK$(wAb zD<`0y%oHBZR*9Nsqrhf|MoN}h(C2MZOa*mZh@nLkW(QYj&V(aNy^h~tY@^^rx|Rqg zr}#OjA!W!D&CoZEVXKFP>JT&fX>8OeCCV@;9~3xgOP%8oyDZCoa0JW#%$w7UHw#Vi z)2J2;m_xEUut!3}3f0cw!hP1ppuw9xNesJWBNo?WLV)VDRC5Yc|7`MtYl`Z0H;Uf& zGK|!nmX^h7|L|gm1!gB5z7hYpFg;kC%jgY&eZ@7$E?fiM!Lir@_c}&hV6iWg`NQ4) zWWv=`j4Iedk7ECc!LCARxh7;#p?y86!m$F{F)J9Hw;LDDsGLK>n3T2EtNG}b<3~JX zl1XxSH59cNjul{5_+Jm+PI*f7(JOauJqAlQa+}nSh~Hwy%vYlALxpRPIp=qRqd9Dq z7bXz%ThRfYz)12sZ``xL3DpiuS>!E#3(+P2y};5gj+#GCi)Vvov%E$eT_mfclg0~*p>(h* z&nW8+XbLaAb`{(w~&$?n9VpBT3C%Lw*~-&%!_w-qOYt8dKt`N!8r zvVAu4&n0X^W^#L(>4Eb901V2+Z_%#Ua7)x@S$cEUK z*gCV2Eei}8TH;C)ObTy{h?Z=o6`wkNc`mid_5E z`{bIrb1=*NBMlVP(clc6ZgCA<8-Le3t{zrhXNq!Uf`X%6U?AIU(T*`-YgKw%pzbUT z+Y=Pjj*|5Z)t$($Mg>ztGKal|3)Lt>H(0Cw1O6w*%LC8JPQUbD2Ig5d{F%U7Oc1I( z;mM$GH_LIRMMqOqib(zK_k8l1sg$6p!~Q1vTe}~@)BKEDO=@{m!&S1|`!%oRIP{Z> z&+|xqNR$TZ`(Eyw^VMejsYqtUN@bR#mjzd7jQ>EIuPKoBz~j=bVMz?fthms@=!U8H*l+OQLQ5}uyNJ15ud6A zNwUl0c}XaQR4f8n3qMy{1H{dOR0jhI>ZzpzT~JDn8acz)8N1w;W9q{2 znxPL&vOcn^wC068lM!E9uv0lX5i>TmBKA^$2)dm_FQ#Fp{YRlK#yo}Vf6~T&1rr9g zO{8UObypLTg~fPrP!}`_sR4Ef2eZK0GN}ns$5DL?p<5Fcts|4rKWo>zwz_BAK)3oN z8sG=Pb3%y-Zz9W&*Qr{U&1_?X(|zX_M5>e%wczqv(U91k^k zF_4|-p?7vFhOPJi%akWyKYdcdr$y}Puy^4)w-U#&b}raF<(pc|r$-rOJ6NP)h;9)W zex%+?XvbKvP{bCITLblAVc=e1K{RAoDH)Sf5?Vwgq9^z&@{faoclmc`q8a^!L$XkCw2i{`tFwXVzInaiGagAY7V* zX$FVF5T)#Tk5`m`Ji!Yt{}}crFJU(1R(#T9WL_SNr?D(J^Rdvc-639a8;D2Q0=>sl6B#sDiWS zX3)*Gz>@|gTi&SX(1*wF20?*At*XD4=)*_QfLE4QndYSjXi^p0I1qT8NQfhb4opjw zYoii7H!hg91dpcPG->C@qa($4xx3ZjF0{i64IT7`z~`%k&_|7Y<(MNw&v+_!+Gz00iW|@W9`eG;0`u zIW|XJzGxpc(PS!QIlfodb&w@X@>xYa09amhqC{tCXgj=su9&}NH}fnYRO;V5cRCDM z9+Hr_FGINOJYbg!-=#z_bjR%iBLCgR9WDt~#fA!s8?>&r0@E*;ei;vUK4;YdTov0# zomt;xLRl<9wLs=4lTjiXY8cSgGdl=}t4G!O&e`w7tSk?0fMgTE?wSDdSTTuTaZ}IW zigY;-Qg7^WKM?4nIv+@MuN7}-V45uO_g7)RzsqYr-Y_v@*JBHcnTjxs9mTM9R31TP z-F7mzz0AQ_{~_-c%x_{5_86nS{$khII~uJ;kS-h#1J7Z!8@5(XvPBGAQJ!#Yg;|T8 zYUs2HhONnmLt5g4$1UWnM0WDKBH4*>2;x{0nPJuxi=RM23tf~)ast*``S$uLx%^o( zIlrSI!O)MfK<+Q>jZX*+S6jOlOI(n)rQ5(W2wIwdouMmV6U&XE{8RU ztC*SFz*jJ~>Pg{e>IT1t0^2c``3b7*rx`IciB#v~t=eu%l(;|f6#;w;y=HX^yBMvQF!?}0q}xm8gRY=j=}eAAqa z?H2DYCczw>L|59Ffo{l^a*8vjuaTYq=6c(rTb z&22XB8E=`$ee37K=r%aXmjx{a$<(>q%=PjO*p{n)gmPkZlB^%|b<45&#bzI@@hdEXsFIl)m6R$GL-4j&=0J-0QFXU z!Cujk=9kYR|Foq?Z7(`8;i*O~g=y15%B3jl}UBsrWoW|A03h9bj zcis;kz&bLnSnlI?h=p1tSS?IqceOT6XbLP1DAA>XX&|~dBIKkmsPx74nla5%NxeX6 zDU|XfphSF_!VKi(cVOqm6D1nI#nXZE5!gNKNyRI2lQ7{3)Y2Mej$MhV`e1H>L~&Wg zNn1?R9DyTv+@g;@TmtVyY_uU(AClP!OMH`6=_O=kHsShNx!N;S^7l^TWy5@+mGw9r zeXt_e=;|3iFd`PpBES^s{A_-?foyVM#`lkqd@sdOzX4c5cc!K%WFVkth0v(k|P zmH*{Ep6q$bzjWcwqvmz!E5Y40--~zqL4;vzdH=1La=IRi=rd(&j{oc%-3!@xsbBW;lq_R91LWTYV!ztMU{d+Y)fnU9u3`48U_8(*C-lxKcZW!Fnn zu~rAe@VJjfmaDB&C@qH-Hoo|8JVNN~3Wk&xJ;Be^4So$mJI0dSEb;-dFmM(aGOU!0 zIOU~9#HKP$T1U|8ZGQL%H-(s($OZX#VSNrzdD64qaRuKNe-xUT-@(Dpg|lk%*Rb)Y z?_4Lr=tji>ddCMtJ71D-R2iT-S?){8f}k}A^}>MF*6_Ydj}NA~Nx1UW-@~HOy1?vz zAO!2!3mYY_FuHCRu=-7*=3+`Di~tPSLTJYr#@nLwwm{uk z7&a4H1TZN(bNO;M=8;}X({t-}R96wk!C-WV~s32s4 z?aZKp0|j(WK@AX#nG|Iby!S!DLHxqOQ4IGTxnczD*a7_N*ks<*#lx>{5@eK zs7(SS$<;%2!}o}=;<;&g%RFMj4s#0y$9+ttk&#da4PQ<}f0ssp{>0#yV1`N^#8Hxa z0+L;69mk(Ev1s|1<)PBSJ8H3anKd}IhGhT>RE8wV;>hgx2G^Xg5Evm)oRJ8ip}=-b zTe1hj!nk`v+(j}M=vZ8r=)!oU{`x0}7z~B_3tMI> zIyB)ROO`ZhoK1?EUshP7OhcWUIOQe4&(J{{+fN6U@1!%#fdZpj2}*<$Af<$kt%O7= zQpi=BN`saKZyy#hNUb!*X*Ps1 z6IoC%2bOh4)(uH8!C}t91-?K_F_LXFa8%$nJQr#K9+}6Frp12>Xqt5jWldAW8+WIH zcMnX5_u|bYdsmQ`rvd|HMNYL}pFSRK`U|ij4$Z^2RRakY&%pk_Ul^E{_kjl=37gOF zKY78$kzShAouUrpzV9^jRXx;pvj&CdR}u7)Il->V65Fb6HBgAoyR>Ll{uaLVlBSQo1f)p|9=0ic)4l6onfFqUgHJf+Mu-e#tpt) z_?BV4Y<^MouV#$g{t3+RMB^C9em`$wdqJ}JVi@Rvl1*E6*3P8<7N=8+_uO+w zhWJ)wV4|Herxr!+p&|||-JAD=uOOGR*BcZx0K+a;gDIVKFCY-r8qZo$MDAJUk*-s#eY&+ zjTY_qQ76ti2c_i7Dba5XhJNwuI${6Z_v~dS%$3|{m=|uE!oe`P_{`*##Je^JvK)3xzd1z; z7C&jR3jA%hXvZkSwJ5zUP!ARc&H_t@m6CM~gmv#ez@8qd|9&vyn5#YU-D+5TA1c~Q z%^DSZ8sbO^N@e1x!t8qx%knv^PYStn3 z?OpRV#cO;MH+&ZFP;=YziOkehb~zCx;@|@9cjy9bp9K~lFY-|}y$zT;^pGEZ5oZil za1k$_o9$59E=I8`jR!;?jL3{zEsLyvnM&;#tRRDTjwWqlSvg8&4h&wR#%;`EO3~Ed zk~|DfY8{N5^-O&|@1`g9bw=dy!2xt_*eb?N4QXJEOc#rIeM3SW_APW>fm$VpwNNhS zfY*iM?Es)lk@HbhBCHP9qnwjP><1US7X#U-k~G*TPmqC6KL_gBhC9V|z4!BYK;SOI zb&dAbC78_Q!kULHpz2ub}*TxRPtVST>_Nxw)3H z0x0lG(3ObS&flqknSR7Ud%FwTq@oQhhqu&RD_GcE53IW}EVMpsUltQA;DrpuxaaEM zAHoDXjxAkI0s))7%Y+Ut?SOL?KZ-DpLb2hxs`7K8Lyi^cyU+Zhqoocpho@`<3~kfF zWDSPYK@krlfViDXT|YS(vmbjjl6$9TM6UN1gPCAo6dDP?71jLX(ashT$KrbyP@Myj zYgd_2A2!yz0Or>R0?(6mKp!-yVAbgMx!oRSlePq-0GeJ%GFW3P5S8HYPS5AoLqTN%d zDD!iFbb^Fq?+7IRE>3!xewTRV#|L~}%zOK;{hAdsJ{5Y4kBNN!iK9dJjbp=v>d9uN z=@=kiViz_usif6#S{Ak&<30&_C%U`8_W&v_J`m_1bp{UU*^4IzhpokUMOb~|A{S}a z#clkM7CqHdR|ahmC{HUU&6@=UB`pzI>1hP&&O){f2$c*iait;JQcDTF?soKiUv@pU z&JU*^^uvLV=7W4Nf19kDjP5!`?EP;ZmEpg9yU6aRb^5VKa8T1_H+r(Th&QknZt}dX zj2X$Y)1q_qP_RBAIX2voGt~y1i!nOf!EenAzRuxG6*chKkK%09$d4|(_f2@veqXdY zgQH1y;gHfatQM~mYnPhaWX9SBEb%RRg1^0lwPBRuT9keuEDW3lmJBN;%L>$;ZtY-n zyKM6+@?1`e(L1dPu#Wv?-um&N9vlDqc*VOjhn@VPsMIc$Ber2Ws!7WWR{b8^)#6 z7PZ3^q!N^{4GvL<=t!w3NYW@0K0L_gEH3>eZpeR_gKB>n7{l_K<=)UioJgQ@I_fO; zEmg5*5=|#D6sWl20@VtK4{gqueGFR>av3;M>Kj<!ayMA}7L-!Q{0`Iy7x5fMm?+sbouGD0O7qlbmEQk*t02yc#U#l_YY#?~ld5 zS1#!14lS}de1)(OK|r)WER6#D@#r7uRLctDfRjVlMjxgC-GJsSV=LLmC%RpWjE zi-%`D;{^j4wubn&Mr;wpg)J@NQ#|x!0`#L~YS9z?OkMF?CbVNxm0I$pA}c*Dv9;yO zv5>7NAPyx%OI&G)m8oH(Ed#JJEx~!1J$et8Zl;b!NAyx_X9BI(PWM*bezMdi-n>n2 z|Hf_DNPKBHZLb;e%HO_H^|DW+*xZdVE57MD+MdabL|p^hnuB^tz$OSI^S^){${4nq zEo-pP<>TVb9^*@S3(gnb{C(tp!85XJGoJ2D#VH$d*q7d6>F)^&{aA9e=n4KdTX6og z18a-Y4}^t@_JXdGbq>T_XF)~Q!nUVQOZeQ81|K+5i^=vOWNZ|}Mw$C{*%vM0bf0Kc z?kpH6WG0Vs#zNIMwtz|68dY@gfVzs&5c}J`OTwL8SRIRMRUmScrWgp@fI5XHynuuI1Zgc$q(%yTO_YK=F8+PIw+R((jd;}2*rf^>4O=Q9f@Vsl~}|Q zxdLoI#c4&Dx_5pjrjKacas{2V@WSL3K8S{dAZ4iJW34IDk$_1ZZ`|t|fPxe%!_5!# z7`BRMg1m<}TVXGZZLDD6QZuk648{G&n6bqhS74uK1W|Ydg114oQ3J-?0ccMc&yqwp zmWU#mgpHXrrb|RYb-i%7>kxCbb@>336y4!&JKzot)K5V&D9A8#_-rKVDq!3Sn^)Kp z;9I^m9L>%{u{Cs7h9}Sh13&F6EI<}2dKsKaTT#ozOc%<_CX*P{D8^c4gotJm6CM@A zqu{`IAw(7T1R}AT$eLoh-(6AZPEIMBIu6%6n$bWu1gA=A0$IQYQGj(yvgm@QCUeT9 z(G4c}#e>zbmv>Nxrf9!J=?vIEa5l0BOd zJ3ax`;Y+N_XX1BPP{j^TtcyM!xcJ*dvS8{BrVF`ZZRmUN%auKg?Ti+?TV+Cm;JQ%e zY7b0XZ|7%a5X08XIc#kzP4m0X4%XN%aWzkr)5O8|F*c}2${)piypF41l{%0#E>)92Aq1%s$`R}TWy^>F0!*zBKF4^Xsuos z)E1w{6MYDtmfXMi8(?8X?m|abP~RdE3hWA!;!bEQVQ#+ElGMW5l5ATbC4XlYQnJ93 zp(VNQA*ixmfER%)`!+3z+a$avhi=gESi1>=;9Wy9!eOgig$7Sgn6WtRCDPg@D*I2G zl(-TdT3Q#mbtJwx)Dz;2CwkAoKUE?m>l0X*vH`QNGz=~l%wdG%)9iXNV;)dGz9o-X zT#e+w)=q%tR82LKb6heH z)_Rrm7>ZuPWOD28s$i>IxDg<*!1+izH4_HTEM%M1!eHLj`V|!bh$dnaY6+R6ZDE&H7{o^LTRpcT!I;2srFxc8AW;lcE5GQ{qn9Y>XD4tt?i7pb997e|%HD7a`z>9Z(5G8sWVwgBF znv2o*^~!j~w0yKvIh2!!CLa)QBX&6D<;|EhD0|8`$9>7?XJL%qV8oBS|IahgXd+_W zBx)JVZd)CUE}mj_aSBt6gX3D9ykNmr|C?ZuwTcOeE#UbdO%|TFW@k}O_6+d70j7`2 z*vqI#_2zr^snBL8NYK=#A(@#jZuhz{lcNqbEQVb5vAj6#PMVNW}|3tt#(^6KjI^i#mW+j{ATrG`ge%Te+6&J>{^Fv^>LXDEux0qdje`q zblb-x^R(yBQc7$+L!*rOUI?xmKM2pB)#31?kxKNJp3x^@hHswjsd*tMa`ymQ`%iJ= zAAqo0TFQya5q&fg3t|@k3wnLzfRsQ%k zl#*@Z55zXM#YTiEGjO*;aL2Uln z)6o$B_TaeGE}5IQ?+pxDw+)oWbrU9juZP~FFpT5lJnQcZla7q}1qfh|HdfIPhu2}T zTfTdewlXp3jmmcr*AFH` z0~Y?0`Ky?&6f9oskf$dkUraGw?$)#J^OB{_oMt+dz-B3|AuJCf>jw%&F~L?($?J|( zF?4xSfNi1??41~3(Vf92VS}`{%&`Gm)O|1qfo0`II@T?&)>I4@enor4r!0~~ic*jX;2BaB$2udEI{YA1nww7$#AIyh?{#k^>Gk3|q-ocu zYVIMW<||e%<@kJ{V6MyCzJHp{)6Z}KC%v;~vIn7%7NADR6hTxH~}|1=Yt z|9TO6WOpoxP9KNwsMHqZ9;SIUYW~0{`k0!nZe`QkQoR9 zQ&&0~3T(%amCCpHxgvESEDX~VC>a?V0@NjQfl#oQMVx=gJ--~G>&4<&!`t7w5EydY zw?@`&{XkHJlP{Ux7Mv{;U;N>KSGoto)^JKp{MZ)jtv?gWeaNZmBRxuV)7p!4&g^dFQaFC;f#Ch0mK!)}A7E z$Boz|teziVdlc3UH`nd*w*x96j&O?m8nz-0J64F~%NM(saT84<6YWogw+$YlrFJ;4WopoUJB<^37aMXu_5Eu+cQHd(E zK4-v$0apUHNy9NBCf3rPMpSV_A>(Ra9Tc*trKf>H#~5I(yY+n;?VIz^V$AK$ z%Y3#-{yB1iHyb?tCS&DuV6XW~vzjeY*?uyzz^K^I+0o$PLTzGk*eTP>3`U9Gg6hV_ zH~B^VMO3*PeBD?&BMkLZtELes3;c7*;Z0v2G;^BxVVJ87)Z)JjV);p=-U9(TPgR2w zR%gT$T;tUH14?$(5Wk7A)FIqEE^ECgzu9?(d`T#74&~vLsFW&mG;4FAlzPlFRv$#=w zd&kL1<5#Ldx2N9Z`8F=h$;4%Tc=_!*t>q(p@1b8%_h>{UJ;6?*A>N>D`N21k@MiWIJkF63?hiU7jkx_{LdjihihB}THVcvkGEmI z0*6^2i(QgvXy0JuEe$!@+7nudvD*|ur9!;ng@9SA*|4IK_epfBU`V8ioL++3d|f(| z|F?LHl`q>SfNc?aF6#QypqHnjGr;rNSt{j{^^6SWLy_#Xf-GbLT06Pd)12p{CyVay zw8aA!-6&(Qd@~86K`c`SjV_B)`VNM|9%k|^kSwrL!?6T6cu9xK#_x&7jG#2G~jLAr1uN4a+Vr`>4<&p1&TRvjZ9gK+_*#70p4#HCBnc z>-FkI#O@>6FO!94EjnJHQAm8qgi~h4L(#(Ym3ZN)O_AcUS}znZDI}As3~7D&ox!_- zqE=(IwPd?7{FLx|aN@O$Cd8<~Iv0K2!6YOT>>Cl$JJ5J1WkLNJ_+eW7Ct92cVCG53 zjyVhcx`ZagS6qWL!B@m&kY&Bvj-O$Wo)A#~CPqOb+kRK$Qe#|)FI+WkprlNg^FK6UWg$1d zPLGjM|D_;?QB1rB`g^}x*?lDSt)pHo3XX_xO)MabN1%U#x3P*?!V&px7<*eDDSc=` z1V@+7^`W>uU@!CBOg`EhP&0UVo16*v_`#0I60edg+c3~yL@`=|X!3S{z`paudd_wN z@yw_vix`cjb)2EPLHHt;-x*7P;0jECtUo<27H7lgA-5L)5BGg!dV4}J_N)xpFRqfu zA3YY2$K4AIZB9HzD&a>Yh`+OCRtBNGJ^z5Jbm#HL)fp3ev5qKp{u#W#_Evvq?Tb~p zU=>srexm7hjvfi;Ii#6+4zJYGK=pD^+z8Wbwlk*k@Fs~S$_sM&e(bFp9+jzGU$r>V zXA4rpg6!<41SJXJ|GAaY^N`H;(+x&|_+Q_W$Y&V5ZeOOZ^fwfkV%%)0A&IOsvc<0< zveMHC)SZQFS)gQSi7O3}D#&r{{Y1i*61&}X^gPVX4`CVZ-ya`&TR$0Cv}Ox`i}4N5 zM#4*`+yYpWhd+!g{g<5I$P&)_IVp-CSnKK6)~k2f?S+b#R#|!QC8&hb^#<#B_B`V) zHWY>ci~r|oGIYNYDZTW2BA13Z^4nV9mQkZ~mnNU2QoBy(($&@yH97VXH8V?K>8EPN zl)pt!h@s6~?HC1HtJ2#7^<$hT zT9xuK(_YLq%^Sh}tuWYXuQ0m=<5GCVG%kXD#8O@tSzA-_cY1PZ&G!-}@?^pjX=9pj z20s|^WH%nAei_f}B9V{dw}4KWOwk9J@)p=qFN5yXfF}v(Y2!@L!M@ls<9Rh0v{NF+ zpXr2Nfty>6*4E-Y?GRPWS`^77+kyJ%H)5}gL4et?j6M|_$lN@GD=*hdMZx3R&wGg= z>_uREbH9pHgoyELg26dN0Kc-r%$Nb^k#TaEdct(OjdOH3$lffFa4l2@Qxph6ZCY#u!RM#)1&1!C;TEll06I zhp^scj7fr>*qqjAupn&G5S(w;VY6*K&Qpk!9rm$#{`~ys4jwyWFJE?&H7{&FvMW1| z)c>kmy%Bu}s@<6jvGHm`HMe6A zAo6rNDWyp35>SU!*_kA(219MpD-jf8?axgFqrfn@gL}}AR*BOEP>2xGtx98b0GmUO zoU)If>cBJxKWfJ&3ITW=7QUJztr8O#Y3wJ|2+jem=ODb~;MSJpB@-h7rGd#MGuj*v z(Ap6k7Z)1fBn;*Hz&kBXZ-A#1+WeM<{jHv zM^rQoeAQ_fD3Sq62a{v*sDpvSQ~U-u%^NvZK!^YNb5`KUUOYHw1HvYYMPC4!wC2sM z^hwjeXh+rUl1EqYDFcQQE5u`INuecSnSdH09p4(JlI#P0SYR?qAV5p zU0Sv(>SG>f2J1wxV0%FXK~o_j)(8MdJh)bfG@PzWxxk3nX%; z8NrP$%7!%nA~i!8hS2YYOI6XUMp#IMr_z`Nio-ia*UW)2p2Tn@EM0lvP5>r5>j-2m zu?>xsyVBAWAk)+CLsar`c+xcBt#mXabw(jtS3pyvL};Vs=+h2J*Gw;2r4k%ys!y*8 z#LR+_^~7Q~ft&} zgQ--U)JF`>LYgAi!#)p{J4Lfq$XmC5j!{Hc zp?d8+hUhcU_%IltBx(ON@kpFcWdyzrup)LG4maUe(Q4og8J}@WO%WO<=f(5vy!|8 zKF^pI9^=py&PC>NOv5WC%Rx7E?H2fohp}$@6fuiGx2M`uPIp$GJwbxET;pnk&xdyB z(^vZ1ckc_bwQ}DX56L6Hf$7q9*a>@jJ{C{u4 zQpOg9-SHt?$S+VyY;i(H{@;zV{0S2h9aaRl9h$1#eUG^F-Zy8b1x)5H#S+-R1T#;f zQIM~XLAlSN1E6B5A~za@(Kl|=-s<6P$UvK%NCA(p#La-!K9r@!v&@zb*8FCq&L~99 zg;lf~F?=TJ0EU(hO*H(wzIEsG{q_CTb&(zXMrg)8u0~(1+4AtSX(>4vmV#*PpQ{q@ zA2i#@&dsF2Avk;-Yz!0DXtq`CyGe!1dr9#u&6UE-EIX|DR#zU z6c?LN76uAV4h1)i)KP_NJZXrPYmqUF5gcVn;9T_sS)#p1o^dL<<^d~Uuh0vp%lLMeS@hibQ9O<2l65Q${^N zCBiY=AfQz0cq*LO;TF(|g7r$A)l|NwB3SwLYQRctFvv-L31d}@W2KniSXYq=oKJzk zH}xQ3uo!co;K391hHoww=ovzl@kroEYg$xFxJy%g01V4dGd(mE4o5HQDuGr$^g=10 z%FBVVVCvd9iQARF6(`{dGNQn;I0(%7jbn^`5FDz4c?|w=x=IP=wX{9Nx)O+U(9Ns> z&8a`3D8V^?ttVe9)JYr`8($v7l?W z*of=I+H`lcgeZk8hTd!9LIlStU|xwW)BMC??>wGzkjcf^N(tcb5--TrjH^(Ph2D7A zjz1*aBDQ6K4|YgP)$h#XgP!)RpJs z=78(QydXRT-Qx)~`rGaf`mjB09shpulw2ElvB#M-x+y0LjoQAsNb?U~a9 z%QU(y!q>-4Y+ppc$(YSvJtI0hGJt(t%!2lONLRxQZE`{s$7n1j&4ATD6sg670-myUbhJh4h{Aw;SVgN5r70j_X#6)gy+YKk zP>9MqKkiho`h6KZ_5D^a{qALtAEYiD8T8qvOVyuk=ZI-<*6zZcNWAU3(?+ z`5HvOS>{F0v*jz_io9T6${b0sScLh3Id-sEKA_i4;*7-wr&VXB`aBYSJ3_)4=Qrhv zGdu?61#l3qQ+WW>-h=okvn~LvPmavQT2D@c!{R|2L@%di2!lw_uLKja2sva_(tx$i zS$VL3`3w{V`6C7i((7{5>;j9fOGYs=8AoA{&k?;Ek)SC5!+;LD#eLui@QT6`VFdAs z;uJ+N#kL-|t0^SR+g?&Zqe`Wxfbv+YsBSCD+zPyy4a|gN^Ts8KTW}2wxWOgRH|rVu zb6Q4eid1NnCz#%brs>lad|tX@9OJ-aT6`)kgUZh73~>}lp*;h2s9+@Qr>@wh3BrPt zx1;`)_eo6Y*StWRGFgDv7@lf+Qc+V8Q!55fE?6#=U<_1g$ukr)Z>3P-2>G6hOKDgu z={lPFArl$6p?l(|q-YYU;&V=dFdvnRy0A|It+53Pvb`0RzevKHn-O2Ib7Wsx$J-AUpHAPd2fB^Zliptb%=zNWg&m`ucSA&i4Jq0U! zL;AFJf&izAQ<%;g)6`}BchRGhVCN+Z11iLBE3TA@Oa(|_mIgJFIh`eWBBhXPYAyy4 zJo8=sKD%1^hu-%oC9P;+vt`8_?S7 zN$5ro+ByTnGDl1n`zU@O^O{XEF~b|zzi%aYrkCwZHiS!PR~5CJ1RJpQIY_j&8>Q^u zRcGZpYRw2fDyaV_pda8-8Y>R!oiep7mYKoa=9_2O*x?Swp1@&W{znYhyeQMmEZ)A? zf4)d(7clX4_QB0{HJ^hfe{q+sJbi9FA>szFkgfKbp}paIH;&c%cZ}JkM+^NyaEk9o zaZ|%dpD$3uy%DSQdfcxskigMsC?4#}b=S{BEg<%?Goc~}eeDxh^1K_e4&tGoP zD)gbl-Y5g-eJ{Xgd1xqp2ETG&`i_`{IU77D9I*%1r;T{DL#8S_Hlj7zY%>yFUX%|8 zhTJe-mJ{p_4~i38=q$j&((Xf%TKcj;vCVRLdPN~>S0LlI1dv?=TDfWb7ap+@w)VRY z@A6?2>bWOGgW>DzS}OZ`5D#32tC7AF=!eHnuS@ulZ2#($7=Vpol0ha@aS&S@y-n=r zKMkL2`gQh!f|Pe$gq6eHVwuRUQ0EU&0b}7=Ojeo#g9ow}4c{k2+-(hh=Q4@5f8vY@ zdnL}#_TL%^cUvVuX^rnwuNQQ|B0C=5g^hS%Km6N2LNMz;6@)59i;`N<=ir6re+)(uE9lL2Ri{+Z1O3V%!j@mA!GwX zia)v#Yi=8inG05FVho8;Lm-v~Zk=1m6KBxyDht-!$77hN5OvB8GY+^a5G$HR%Y{T% zq-en+s0tVUXJ-xor8}S)81oEq-DM7U|vO)RPlFN#6jd&QhUBkDdL4aN{ z4o1=B*Nxr5dDTaF9dFQclET49`mzyKTcpk?M9qa&w2(a?iKe5C7(01LI5(?Ua@qO`EBBq<7e)LL#|zVGs}!$@qS9Ym zsXp;G^t!(u&23pQ(IB$KBld28`HWDul+dYdc{q+Iamb#(u;{Q6YRekTG{nWEbO4pw zA7jv6f<~Is0P6KMd@b;B0qp_O^VYu?t%uyh5c23WSpaE*%loNCVl^ACK2xRmXA2Dt2>T zbv-6vwDu!BFskP?VqnZQg-G}%ok0@r8w0I?6 z&AshgywGelLm*OTFa?Wyv|73e9D)F#!$!fe&^r`2OCPP12h@t6`A`ga>DK_9t;8i@ zPV{0RFfq9>k&AJBA*fwW4<+rLxjBVI1Y$vE;{z4M!j8IE3OquHdBXQtAuzVFmO|ap zJbwK=2w`PgrqE0EF{u7tP(e36D0O39>=4u)22jm_0Tz~sc!LM`YXfzr6ip6Fb!rM8 z1cygaCk-aW)3674(rAKp|G?3Sbg1{Iqa!#U;v3-%2e*rL#6D&LE}v2lC~3wUlIl)! z)Ws)az)x*b%*b&Zr%O1-4570WNs>C0IErdgg6O6!dN*4kQA-2ojUZ;8AVwh1N&q)X z;K+lYWBSVoGssXru^ zGAl#e-$OmwiRq6%94-uwY!X!4?w~3qv!~zkHakEnS`fi-$xMV#?Xpu#{8sf2EIkl* zs$=^f7*RA1m&@$(mjKSOL;$e0gWB93Sd!YHvb>qMDy$9hr8)9xcfdf;Hpr3-!7v5L zU&Ydr2P#2a-wUz+n<&)&_ezlLTSqFkc(IFj)Q4pF3mXO&PW~R|7LT4Um2Yg0;;jdQ z5lt?@fQQ7dKW%h2{->n!z^B$p^2}=`-l=9}qC+Yf!Gjhf8Gqc&%loitI#~&gOPg9d zW2ePp;uCL2>mU7k>Kk5C3gc4ZWN!Vbp}Y3Zs8*5nT-(CvJTZc}dR=fuIuP*WI~fNZ z2G$5{%IVs_wZwHa+5%Slu*g_Eo-Om|=xB@7hJw|cCQ6<-mQQ>%Q$|`D!)6afZkk(V z7*Ej=)}F$9Cq~$NU+aFMa;R@N{KtaLI4QccMeo~=P7OTV#W$IS>U(g`^Oj#7(#q8j zWjz02L%DeOJMgK;QbDG#xk2KO{AU-AF?HPlwU4rmv4&wuU2sV$nhk7mV;lGW7bSTP z8{=lC601G1&g{PK)nhVQ5#xq782RGq*dkm)l7X%v_F%_g;ifxQL1^m&6fbd_TKVps zUVN5u+5+g~+I%3=<>J6tL}`!IK$&Mq8;U1I-%hY9Wu(;+FsbVfWn(^U1j7xoWC z3%q3e-!Z+`+Tmzh{ZbUi+xu{jFD`H}H&&zKXE%%w;u2{{ky-Xj#u)o7{We5!Sd!tL zCr#Aqm{I2Le3re0Kp?o+i>EAKsd@q9V~k321wzZe5v{Wn|tCW>?M%k6qWUUT?`hSHaPsIHs=q|u_|TVl2Y#$n1*3_2*N`jHi6lVZS+(M z8P66WKESwdQm0`XrY>*7T+-srcs!ULLgH4cIB`~Eu%azo#JfAVX!X;;w1wxAZ1UM+?BSPpvPXY2C|5driuY z8zO02f(%+%-8AN~G6gkLD;0s+ugEO)eDEtG=b9Ng{ z9?*;jUJL~<5*C6o9*+*`@|#k2;`5l=4qLHA4*jCNN9ttYdZjs|EExr;l5K4|jI~f8 zoDp_^v4syC);kohF+2$#hrK+!)X413q6O^PO~45cYTnzr8HyQO`W;FWYa((@d%F0s z>^xdq3&mbZcu}m0>_TnzcXT9mn%%&$>GG{wTp?bg?s8QJ0~tITTQ+qkNNlkQ5IV{k zLPRV+*fVvZgi~AS1JF?j=h4*O#jH_Tqqr!*c~S|g8~G75VBwI&?txtl98e-5#FG%c zNSD)0k!d`j=s@mB!Y`U3;xeS9;cl>B4mv(;cB0an5DN!XkOx6DyMEhg5-tsB*jodSRQna|`OYZ28M;13xYuHMSq=27UpIZDGQ}--fDcTj!Iq6#*-(SF* z;-uXg?T-RXT=)H!9MTJYEEw9bba`8ZwSU{n0(3lpRo5vQ&Q~I3FhmquTJpf*cgL0n z&3N_mx5|$Gw;P*$sSq)zpEw_*%{r>-FAQ4N%USm@yn{EOrha|e|4#XwgDlVZ!yB!X z6I8CJ*99_j8EV~gMlA?*1&3z0RIiRn0Yff7JFR|*5k{~xXm3xdGc~ipMhh+soGapV z+E6I9hehfO%-C~mldIG6{VO6l_+wBMW1`;k)g2H!YWucza0y-+1?HONQSqe2+I)y; z8N}kuhRWfQ9#M$e6+qprqQw}BXB9UFzKx7ay|t zg-2kPEDQ@tqQszFTx? zqoL#Lr?>iF{rENJJs$>eNH~?#6p-C_(h-wo#8!1xR{8X*z-Gaq44PD6?+#RHYXUqw zWG@-Z_N>~X=XN$p;9~oS@lM&-Cu6!E33-=TF4XF9(A16RN^r}1 z;YX$vA<}=Nalyj_?m+xND1G&-#E)^X)|fA08!#Ury2Ow40tdSM$M(6Iin-)VMM zrou~Ur6=W<4~j0hjx!_Z?b1f5gklrQHV!$>=ClaKUg-V=7$&!Y6AyYNUNg|5Ggo8( zm40Cz4bwi_y2G4&83>BuGkBJoxO4}iihjT?TES8xf}q9pvkW-qh{{~4(iY%B`HGb4 zAD~aKzye?H75Sy&#d!;UI8gT0-kI=r_U=%riltLh- zsKsP19!r-EoUcQBIUv1I!T^!oEWI$pwEY;z8ik`PxO5YZs~`Xu*Zmvol8|*#Y`FCl z5IsnR0-!f%ap(n_(0DSvK_pFrCJ3gIZICJ)*6x5gSPMALpHgtyTeJ5uec2wUrFmV6 zII8V&6$pHCz)HBw$TSOj6#|@?EkH(aOyHj~#}j@X??5nZg#dY}1pc8>2Vc=sVA&5w zb<{kENZ~xgeZ^&XCnyaMEKvE(3$F+ObxB~q5D#X|e&yRu!BGS`IE!Exn+Z`X)}sjS zuTW=hm5G2!iAUQOoPg2^V&?NWgqw3^<`WHBq-|99ELhyi0J#l_I@<>|k@%Z2p268M z7vRS=(IQffEaYW*XSy1nP8>PdPzZYHx&b*=*|FcBGPKbp4qwbs3peOWN=8dNK5_^tF(nZ?4i= ztyNltnLo>B4Hd9EgvQ>6+mo9+E$^4>|`h(TU!H@k|ym0c)ewnEU za?9_$13%>VodJ_jgs3}WK6ayZqe}*C@gdH{rLo>#pAwU5pd05%UR$qn`N-ii*}_$B z=?p<6ov_mwP8U@6Z?H8#pM4g?vLF_F-?#hZz+3yQgug1*-nLP~Dk>ePtzW$egXV{D zp-E4W9TJWe*gE*ETD%<|3Gg%pxY~#0EgmM*muN>vTcpk?=wfm}*Pulq$LQ|ZQ#ro1$zR#KzM z4(zEme(zD%V zGJ*YZgKG+mDY}hN!Va)ia(k7`2Z!-?<**Vgj=MACGN!F?UyR_fk3MYWnlw?}c*o4d zBxs2&ZRop6e)$ZNkn#lzCKD><-$o&A&bniZBq4@FUq87IcrT*!|KcF-_c zW%zkv^W7C5AtSu0%UYpF_886l=yT?Y;158GQ^4D0YAmCQdBfniYr&p{$T)HDq-4cxc<%~V@?!D ziUe16!{`pF2CzwHS#6O{M_J3ev0p2=01@|S#n&dwB$%!e;^1dUVRLc8;&xnE4!{+F zFAHQa2}-#DM5Hu>n;VuNv3I^&sR0MY$rP3XMo?oDd}!gt6jt$`L53eAMxl^cFL^YS zl&_JS3o=_q5vW$VJ6F{H5sTK_qQlGo91*$zUp`q110`|n$xlZGvoqw%gRS^Zuwsxp zPP-IOVqq|~I2wb?RLw246Y?`kEK5W(&Y)K3IjJn9v+fK`hWu#h1lbl;!$%YO4ysHS5^9(fq|b|D}aCWz73KVeV+6ZgU< zR!Ti_tIC;wjdP*qCq>TtZhw$`Wt|Ls{*-X~H7^F{BL-FYTWFtm@Z4h+xtxWLd61Q@ zizuF47%rxAsuJ^NH~@|Y%c4&M-p;{j^J$7yScF(-9G+fLYQ)Wh)&zKVjR>o_F_}5w zr1ow663ruTisXcIa4hYxQN*aMztma$mQAeK4*QdlB^k5js?6^m5O?-3VB3?DJagg7 zSpHx`98NmOcd1~59VgmoYZ5ARo&&g!`dQrg{LD`o$1+&|Y=JM~HNm4x8YlN}++Mot zGR4FOP{l77J$dJ_?4QCR;S;GB)MNd0e;myGTa;g2XT7kMxH%A9xJfub^doL}(I-5* zrP-#g)jq3)ek3TQp9^gXAUlHd<_g1$YK7klC&r?abG}8x{1L~?uysj{L+fh%0UT@I zGlg9p37p)4=20=ElGCw3b6Dy)fj!``!Gn_cl|I2CVF}A0mLc6yl|*vE2B02?PjM`S z7NBu~v7!U0zdx{2UN2J%?`Qu?h^<-rkKPtag!2^gK8&Bg7)?tZ%Z3;}P5ZE(j6Ei$ z>4kX6G_J1gDeh4t=~2cEmTIy(E~Xy&Feq*gvZ0=24394-qqvM|91{sFT`79p*dYL$ zs^GyLn;Wo^qt|1-7-MGkf;QsN27vLYFZP)>yk7^yN-}{U=YwrpS-c%_6;D`$i|HXO z;Bpq+h6)b{ms%KgJPnviXzO7dkrvss<;^0mnN(l0GlXOD@-jUNLZ*b=9!0z?%6uUE zVMT6=&eNH~pty*J_F~FA;#La|6ounh_l558=^^7m;~2B3q5TGYFvqr7-&Sxoq)#3= zP~SHyg)GNzf)N}V1|-5yTTQY|!XvSoIqnV0RH2S!z%rMjq*{Oo^1-&ERNy$)8f>NC zw7*0qfmokiCBdGgdp7cwA%=n}X9F~q8=M9*^{Rv2k*b$5Yc(dQqWsF4yQ47{64mdj z`Hw2$*A32=^%A*Ju`a2!316bT#C|fh~#~CF01EYuHJ-BD6sU(!a8QWAKDU_@N;$QcEG{lR7yw7?c34h4u{VzWGFi(JqwQ2MNu zNi|GG4p_E4aY_pjVGXD#k(<2n`_&OYAD_trXz31}tF? z1#h;fZi(TjHH_^kgg6z>0E=7(>%g{zS!!Ys%)Ajr@d!{51~>usJ5c&)?+%F1v^8lR zP6=?L&WjzF16KR&M6eer4-YKiIT942cZXkGkpQxLDL`qph=|TiE7}%72Ll`}(4iME z?MDSJW$WX*qoQzBk=O>T0K3BdLvr${=gR@DHLPjB8=RE2VijPGJ_Cn$i;}$WN_U33 z^2O3YuVdk{h68)9>v3QrWIP)SM~ttWPsI7I_wK6p&sJ?a8N1% zgv$ggbIZQS_LLCh6Nrf17%qi~3~+cX9#GAyY1$3Z%QHb2#W|<1xQ>1qSS$)R?493* zEPagL?C!k1g)J+W!{bwg(Gs%=2W*z<;&7@A1jkhL(DDn92Y?nwdOiyr%zJP&nUrS0 zN}qIGX@TO?z!K=_Xp2O_S^BQ(u;|$pO1cIu(3#)WHCop|gWC$lT!}9bkxd>NR4|Dg zN=R7B^6!6Q*LR44brddPL@E+p9J2-hjM5C9&nm55!6GzWLL!=7h#>rg6U*p3Vm>9Cczs@+Jn1?rVm99H8tP;A4}%X>{^ zU%}Rh%}nP2MT9xb`q>oEVd4+L$^^w&;DJE6{RqbYntbwVl&Xwdf z48Q0twDka_ld(<9s)$qgVawGofH>G$y+9R-V7lh;M1F9E5l%C6R5+k7eI1F}K_^Vu zD-0b{qN|g!hSzE&d5tT^d+j-KJn)aGr}YzfD_v-w3x-U2-WtJe{qiM)n)_-9?>!{> zx|*kA_1tl+Lt*3`Y#4XRX;%6Maqq+YS!ummgHx-QOC6u4t~}U;S_)7-51)jS6N{o! zE`+SDB5%Vq@_Fvka0>mq@R;0!i$Eq|`7=CqO5_fM2uA=Z@)wRE#>0ASCrPr)}WZm?9_Jy5hbn})bQkKm~fSe%**TWT*nI{}M; z!(*9+8up_IWYP!_pSM*$EPjedz(*`CM}R`~Txd%`v$TVcWz<$~jvhHMkvx8qm=oV_ zli_b6<;gl_guz?n!Y@3%9>1u4y0}eWACN;2+$AH|{#P7{Sez1U%3%z-xX#GlOQ*#C zLqTeV6Ji%#2^r&v;G`vq?r_e`9{dPL5rZOZ6Un@v1cGKFa_b|gmyaP|wHM|B{p7|_ z&VvqaHdTD%1LAuo#N2!d#!EZSMBJ;5DjB7>_}=&U|Mpa?_fARQhu96_MFXPmeOPU?)1>2b*m5RIt&v|aT45slD+So zXu$YiYY=g`UsTS)X1nN{;vHhIl7d3pXozcL)Orro!^g4E9I~6f3{~ZcfA7=kNOsX2 zlnNT!`e#AoDNhTH1Cs=+6HW~vkjC+;}j5Y88~sl6f_syDvSof zRRPD1hnH(>YAj254%89OnW`Jd@ucjOC%n+eISA4I0u;F&n#4NsdgVM3FlA}hM`cX+ zvj+7gL13Yhi%ccLi9{LWxH%Ukj)KlFl1oC08sh2>VZ<@6mOv5-2n<$r z0VpL7FDF-D2zf7H)8RxqG1)RR##z`4cmPZp0ZS+;D+hI~NX-6VGG;EC%r*|XfXne7FrNPbxC*H`EL72U3C^ioEIXp8KYdCR< zA*oJ%r9~|IbcF`B1`^ksUJ2--l(@Chp|W*ijR4yNJsJ7RnUT~l!8MpeyC=_?t;{g< z!E@Nm&~@RB^-CeTAN-`8RUfeDxt(<ty6x?~5_B z9O4E$aF;M#8YvhvjVf9~`<%=VMIn{$r>)y3Q6!OO2ag=Uje(kA=|{LJbmE(6P6kwy^J_#n3D)-Niybe>KhpUwe2!#^-Qysf20koa+mc1E0}J z`*jn@ADk@IJF={ODLR&*P+ZkgtM!6Zb8p_DO&8;U?_!l!mo(bN`ayngpSJ^jmq7HIlpvH zTWhp7;trP3wx>0~$F_7KK$MmSYMV#}#lbfVM}k6Xxv+{IV`O8Aw#b~F^b9K-T>nDSchsj;@r@D{CVYsx}u8#(SgYGsI^QXr#=Y)KW+#-YW z&vJA6snuaCyANOFw)CLaX?d8J)JbST2Y1O}r5WHp6KUTf1bf3qxKKCcSxOl_ZuQPS zR7mtHda~5He(3#m0>kg`V2G#L#!BH=WXV$j?Vkw;NQ>=-t4Vt@G$ zL%RVBy~D#YDj34L?7%on#JB}rs4W5C^hn%H5#HEru|i8(Snv>sMBp%(#k%x`rT!!6@ml=N$-x*{PcAu?6r8JdD%hC}Wi5-PeFczd=`!D5v{HG3b~ zi|q1cbYwJ-7W*gGj#%2Y!k-%}6j4`z9-zkvrCprh3M9^IEao%n^P8mCCDHGOL2S28 z;}YEMS43SG~YqP-S^5awf(kVnf#N13O~nLf|K2%V-G~S=NG--&!HBsGrKV$5t^! zqF4E?^oXpx5n^p1D$DL7RE%mgyav#Z!*_i$C&s5veBO}Ej1mhI z@w$Sp4viG_RzpU0b->HyqaEn_QBGS}9G;c_0!f?iYDaxiejZbr*O+MFzrGRKxqx3p zMnQSLhWlC+%kc56c`H<_uXgtahl)1XIy#?}Y9w?k`ZH&2bUP09+tN&B?#pxN_pY_C zVqhMWDrZ6!xG0eyqEXK?Td8Uf=HO3&1 zBLRoS%*Y)qkVgz(IN}(uc!U+Zt+iX$Ws>TalJi`j{tkXcWBzqEwuk!Ugerf;0ArYk zRQ2kU#s#~rQaN~15Ntm`82!Zewc?_2F>|=Nf8P@ugK+kjQ9b`xaK_Y|ZbPKM4Mz5x z6H&$T01B&@)`jvXxL?#Bo|2%zAF;=gU_1|e5>;>h*F(o?>-=?CbsBUc$%*5#V|ph# zjTa22tpZ2bxfh1o$ToCu6)9W(&7h<)vj=il!H%dHR38c*L*UHZgq37%i zYR`Yp$j(2SisC;%4ZlTC%6mUGtrtC6i0vZ-GCFx3u4k#G-@WCr9p3rO8RFhlHgdf} zV9)<-#KdAK6ivNYF#QjmT0eB@x6nqwQ;Uz_Cnul#DV(v|ity{iRxUyFO}!j?5!w|jo(-^GkKrMHm#;8)iD#6bsVPB!&b=IN`M0c&Ufs&v0e#;=GcBEvj`=>9m=fN-64`w z5sOC+EySV@rFIxa$08OaH;xG!d1aFp?^xc6IO8Js+H2ByW2ToK zve%(^#A+2bTqWUZWf+iW3ZUk}xy)iMERmoKCsPOI1T0p3rZFM%%3;XAMrbREX##9RxYNCo!9vD&gF&zuq(***do!^I1$=0~9qO7WC*~Ac~-bSrly+ zG(d&MT$&hKy8@Hl3YrmV_50!2SEg*uRM<6r*Y;d^OjHpR&J>Wz5eTdT7knz*58Zho z9E3qiaz?JzVR3!)&^4#63S2vGF>M_km0)TCTV_Q>>KSG(l41>-QVzaDM62NmVBs`c z8=6BU1Id=yEXDZ{L<5r}n~l~l9a359vttP-6Hu13at=mIG3K+$;Pp`$*RPRN^c_RU7l>V( zo5OW05HSOpA|_n%@OAh}goXE4#C@w!xc)6y;3s<*G5j$-w!#X|#l4@iVv)+^jMK;Q z@+V964I5GWE=C)2coV+0h41K;$m1jH`^sm=_({QM@kQj#Xc<^R?IKP^zW02Ip1d-e zAc0(^8D*WZvQLX+`s>XAXeRA zbnm5Z%s%~E3HEQmZtD%=wm&=&olD+%;4g~7wAI#L9Ff{T`Bqd158L3{FBRhQ`Ui25 z@pmLpkcsxb9k$Eu-j_&*UT(#`+@gAj?3=nWZLExr-afZ zbxd0$#m;#6J~~9ppMkkJgJfJ0Ik`0(Q7^sO`Pww%N~oZyPG;tAtRbF-2qyx6$@uzL zhP69zu+R#j#V-pV7jSCYVyu-Gx7w5Crz==2BNe0{SGSB>mOKDPysYNw&nZBJ+v<>> z^`RE)@*ru(A><({7JF*3T#Zt2HGb5{-YPc0a>TA$ZYyCKS4;(;ZQgGs33o%W$s|1C z?XXKz38idNy5RQU%Sq_KmR$8}dP1Rmr&-J^M~uM!$@k-_L;UEvVSkD5Om;_2h1psQ zlC?a08NQ=SmMJ{S)$>`Fc#d@~s?*7lTIb-g9>S}Sf>?+LJ2}zK@DvP`ojiuQ1~=Hs z6FjGAY-I6UId#Y{7kr6uK%+!ZjqYO%HBy6n7Ht4%7V2v0%j5yqBbjMIUAPf+AR>l6mlG_dsZ`>NY4HED44fD&++*HZE5 z#tKli%-|0W*s+{o>=z{(qn7qHsS&pWU}U8Tik%P73Q zQ5QYK_%fy?eVp7O_YBnM-m;gBtppd zvK9)-ISC36cH%r7PG71TVyKj+EcMDWZB_(9NJrw4Xq(KAq^m24>CnWC?8E5ohXHmi zrd~HeA`XE?Iw%0elMiS=6<8sps}r!q@7X98??ayo@g2oUR>EJxcEb-&UpWH%4l@*A1UNTQt;^tL7)dVhgNR?XDj2tgo0!Yon^eIPpf9e z2H^)Iu_S>2S@^O+;rY!}De<#d?_$WH#W20LDfB2k73vaV5pf!idFXVB@B55V*h#_h zHzks7h3qHR$(E=NkF<#t*;`Iok0>P46&fjX#A>k1xUa#jLbPrG5|00P2gBch1Z!tS z3NcT9 zojKo_4UbyeQU;4>JIq2qwGQn>TwgAngLMbzkQcvx=}xhZl zRV)i>D>#{tjr_F%?Q9|6heD@D!l@K<>2xmuI8M^oHy*7Ny4u^uCHHT_aN;+YMOMDH z-Zk<|3Cz_DQr46Ddrm|pRV?r|jWb830p>WG@S;2s?K2CjSUeeb{|QAA?%2x;`Uxk> zbYd`d9o{)$Q!?mZUF+J=fFLSoU+k{`?_K*JeC2SgaqgymVJ7*kprNFL^yAQ z!NL1n7SQlJW^Z@QC>=Q=TM&z*M01RZS-aMtM`#I>YZPhd)-g0V6B}^Qpc{CAPQoQJ z@82Kxiy7Y9#ZXYi3>Ea|$)Oe8C_ab`E6eg`AXYO`wR}-Pye7;ba*MO+-^BuSH_q@P zM(xNT_a0Zn%0#WkI5HnSGW1;RH51(u%QmYYJ!`Qu=)xLWWG4Yp)|q?Mm(+WJFQ09$ zPRs&_ZSU4mDOML6A-Srxz(iNJt%P?W?skVOM6R}FTELRP)75o7eInp(>C$r@wAui z4gIr5ell1FNW^j4Mv!2)*un$XoA#PIIR zzU`6987l5H^yE*@rg2lm)c#7CS<2RC<><{6A(yezkqbjuKq3-Fa`Tf_Q~LZlQEjwh0{L%ILN z2^U#Jmp^7WmVqIkSOEGp1uC(rK&zB}zx%$M6H&m-H$9P*3@>`Jeoa6cWyI^90$voV;%IcBVFtFuTJPiNmTZVLGw)6&3SuBj$ z$+*EAzTEudTr2f@73_q{X~5C3WxRX|sE_E4K!;@j(^l8;+BRsMD-Q2yxL?_~uE~yN zsU3W7bve)Np$(U9Sn$s5bD8v-AqsI(tSN>SQCLRe4JEM%&H#n1I|La^V1Wic)x*6b zb~#Gq%<^1r+4~sSIH>Fj*sSgve#C+EP(%ujuai@ICOLmy4}>b}z5TEzgxx~sm?F%1 z{}958FA)tFjJO$Gf!I`tkF=&S;TRTp{j_Cqt+CN zlV1Cg5-TPu7JizJ*Vvi+vnpvy9YUI5fM}bpd`qg#0Q_J>3l6?(LI>|PagU9s9vd=i zP>E!La*eql3*cHzeE=b>nVW-?waog zsVggKHF1_&i*ShmLK^XxrMn;LTD2bJ+v(P&$2B>v_QZJ_76y}mA#Ppu1;b&@Gq@O@ zR(>c@?Zh=LiJd|__}fN{34;nAv0iJzG4|$C+VgmYSHBsbQIF)KQ08Wa-(+q@%;q$N`5uvE7}+ zLB6E6V_gUp7e}9U(~mz^2%_y&*Qyg)d4f^=mCk3cjT+F>eR2 zh5GT9;#=@jl8WtkI*{g69(;F$nXy>vuZhI|(G_vL?e9G)WrTO%g_8Xw$|RY1AJVjV z+C|FDHL8tg+Zp8nMs8A}qZ>34nSit$*lzeo5H=s|IoXpe|1L88HE1~gxu7qZb19!i zUX%m~-55I3FtSER7xb}TQRe3O#&xer;E$nIf7A>+zMTeZwDUe z_06Xgnn-I}P~fT0Mq`ui0HSup+feI3Ann2%QK`J90$t|I#JC{HTzHXS56}|Bnn^@p zK}o5rKkwcHjUzrkq6o^$mua}0*vG)+%BdHUT}YdG=bwV{bvGg5SkN9k^Owkhvs-GM3@sX&1n5Ug^}l!C>}rL0}*(=c0e zomRL;NT;`tQQ6Ai#g;Y!XQ3r5R8ktPR6Ef*rv71Ljb#`-c+tQJq&Wo zdIHvJQBPlwn6u4d(JTa>;97=yPlI_j%Zzf?Ho`(g2;>?Pu-u8l4EPA-yXg&SsuJ=`tkS#w`X{oMl|Jru0Amr+>*{_qSM)9B*z z|JG4?*gj;-eRt=p6;0|e?g>@BTr>8bE((uI0rOdb%+XtC(^hQ1WmxGeO^aTRHfE+J zhx2Vn9UM@n$Ty+Bop(9u8xF&i7(6*`P4{;?L_K-zwqrf^Y3g8=xjlM|y1{^#Y-9 zgRP^ZJBkt|4_H7Qks7pU2dJgl#*L^s5IG`{)O(&l!*ucKKF;FvDsKO}p`z#U;yqF$ z*32)8STL$>#u!F%R9nK=O6m5xiB9+^Hf(2SMBPY$#ix82NZ6l$_w+tF^z?|+ub#k( zk!*Fy+V;RPJr;A)Pz4Z|j?IRn#P9>nVg zU^^bl;j`pyy$2BvyA=6g4V6dKJ5m!?2frkM*#@o-CzX5zpr%x}qC1K%7%W8d?(MN{ z#NKvo88aQE>E!^H$D@EquVfKf3GrHDuu#PlX1;>zYvqZPVJ>!XnCola?FiGXqd>a7$azM!<3KX2KlP0V()4Ik%Kh zZ!EFO*Mx(OnFdT}QI!sA)zW4V>X~3K2Qtw>&h~*+j&gT&Q0lThBLTlouvaF)&&ElJ z9yY=Nw4NR9o&yC01Ue`MkQ+z^k7b9HE$NXulbm3RD)rw^}Xnhn%twhDZoXG=>9wN)ri8Yqsa-C$+PnrdLAHFofaIKg;GPo5_!VLP2uGY}C?24Z}A>vLv z%H(G9^~AZ}%fBpu@ht~M41^4jFK5L{03WP4Idup@<-6YE-5s>xWn@9UPz5SRV17XE zURZ zMkMc`M-LS=Ma#?^P!f($c==O?VFdkJkhbqxvoAybV<7D(Ls3<8hpiB!T%oHipnC49zNfxk%7g2iP=?7UJ5wuc8ViU?k zN|)pSbTnx?IvT-B2lH)dX|Q#4bVngZS4a?=$^w=KEm#%LQ2_@i9vqWdk`w2y1mVC1 z7@lH~ngurMje0k~NWz%7#=BpP5~qTPqvU=}9PC^pmPcOOIC&KKzze{QY&l7S`fP;r zMcgpLV7Ta0M1QZxOalxfaJa+#9~qP&y?Ok!Bw|G}meG2xA18p~AU6PxBj`bny`>hp zvcN=%bf-4HRE9iL`czpa$+Ck?IdB;D*Sh1J%lsrnMi_Mdm1BUY1KBXnu)|cKDp-`n zN|i)&7Rf+5JcPS|y%OS?VmdBf8PL^T(yR_dV_TYtR?Mb9z=3$l1M zUQtvZhXS7*Ux35mBXd7Y75PG1X#@AJA}ZEq3}7$Zh*M>#a3;ZZ&ZPpT4I|OYVHgRo z1l<6A&hLJ~4@438-pEm~P-Cc!F&P$@-znmXo$n2Nax#IPw zp)Mj&O&BNyK4SlTXoPy0iT*~$B|NVbm!7H~jQ&G^ET`RJr`?`H7`)|lWt@B{+%z}3 z@5NzJqV7Fg9A1gsv(Wb#erIE+n*GUrZ}WN<>k5GkP0plwTf!WGNv^$62=oa!SfeeT zp1`tTo?Mjp?u#I&C7iwk9JmeBy6q^N-y2M?uV1FQN~B&OjMQY?8HKzoaeDzvq&8Yv zEakh68?kbsm6W|}GZ%DZ?_s0zrC$uf(RblK!>4xnA&r)@+d_Sj$JxXo*}5q5k=r2K z4WE)5OJzht8=A|J2-V#W%Ns{$T{Il#z(o+O&4}P^1Ds^x*{Ccdcj9;fguy_ofWg3EC3<0P& zX?x{Bk1Z>VZri}J2lLC_cu|}fFD*+OA%ayx7z++({^G#lhHfdKQ;dh_i~m1&?*nJq zQQdc*d*AJzJ3TGw&Zs4|Wg%6!TF?>#p8ntr=3jNI5sfUz4@u`!OE zfT(V@pivaNk%cpO?f4-unU9R^!RyUxy&RYkl+W5p+p#f=S!Y79S0)1X z`>Xrz>-W0*{TXQ_Jk0-86z13~C@zpoIZiW63rji=G?LQmmzal;*_A7ePbrxfa*QtaK4y%0ecK@&B` zGNJS~Rp4vzn0$N9mP8S7L zG&+<4(E^68G{$gg@#0-4(5Y-|3N5Qw>57D-EvO_euWZh8%W?*$upMoYEAjK?Z8~Hf zMCt$bU&DS$h-I)}z;2vWs*)qZda26#f^ZA`qyfqcsI1$`19>e-fUsNiYua;I0h*e7 zOC%P}5V)DJxAVwMsx-P7tJvto2}+L6^AWf#l4$zyp$4e&*Wu$KVeEz**-}F zULI16dd2`{O}PpDlFvVXotrg%EkD2JEkE~h0@52xUXBoE3zB;Td&WwW6GMEmAv$5 zoXB)u8ROqLz;YwJ?SgWI;y{c8$K4by3&U2s{VMeIwd-P}PtrYb|C{HdlFu(^OFJDz z0+ridm3B21mFox{heTu}fX4&SC$1AC=q^1tIiT>mkA#ZVXHV6Fdsyp?X4^L>o5sgJ zH$S+-VEu_p7>s}|K5r9&`}E3R*#?K1=GozOpS70`SnCtNS=iNYI5%zm-c)j{I1Y!R z_NiJvm*>ay%|LT@HT!3&wxLl7c{%5A-v6ke-IofQL*p+K3Pb>c- zZ2U!j+HOJfCvF-dtP0ALHz$kckJu*z(*wZqgVI-Vou?kPSO5rod?jv?BdkR+=9$Za zN`SMyqGn|Ul;~r+o({C_u;u?27Dt)g@Xwn{#I$jKdg7x$HQ|%j(lvM*K<4;NL$_QL z(`OXea7MLB!fQMhjnl89;A8<85L}4&QmJShhf~Lj(w?Gx4mS9xpfDpKOO_5=mS6!p z&&p|9nvPOK=X_wM3`=m_ijKQ;gLIkTVyPcJhA%+F_+0OnkToE)MVH~-kmvs|P^r#n z+|2Lf%Bx~dpgnM%qEB5%??QM@Mx?ybDQRK3XQ8FzHEodAeYY|Omsv$+@lEH z=B&Ngq>WG32#CX0VR^Vx09NAx52QXOhH$4heP}FB7rORA58o+r+`wbblaYURy3C$t z`fw)rs|y?=rxbChgJ_Skq~dl99r8;&xn~MAOb^X`1-ZV-<6g(=2A} zA^)}_{65l^{^8*IR0b`5llg|y zp=Jap8c$uU*wB)%X5a4V`rv1QD**|4{5Q$UrnN65rHpeQCd&W~a1 z#ux7k*7^+F13>=7%gg6`IPZC-kS@R#ANY>=l<>w8EXA1qv7GkTI-wRvb8kLSrRUyf4{H>_2IpF2vN*=H%pM4Hk3zx zGq5ayVu)*&AnV>rb>&) z8PBaRaHLAdciH)IMs^Xm_mrTBC1f?A_0+2pQOYR9+vnAJVSiA8Zv~POkl3sA#X;2T z`zR-U0>2f$SyE`&N(&pIi5P@Lm@MyYd6uky zIx3Z&l${}Iu<>11j(1ck%1j8)j~88C^axQp(D|f%p2cqG(5g$EKggF6oVWYcbaDm( zp`-09*_dKaMDjDnmtf(T!ZR{vN{hY8wRO$zwn_}HvhX@(oVYSAG#2K{#-h_7_98tU zNX>_eel2my-4;wXe8$0#<|q~H4^N`VeKg&Qos%Px`f{stv>`LGxTz4$xlTz@XeCfF zDGlcM@??spY!$2~A(rN_%T<2EfnhKw6x2L5a!&cVwL{)nhYKf4zuPQJ5MQgTWF5Nl zcEWpxB)*FaUDp6dW=uxrH%lUnvz04wbZp15HP)4Qu4fv;D27?I?0u;G7^9iJ(K@A7 z1~k}l8){{lG;4N2W~jd?y1AhvuOQqmii zH7Aag*m15wL=@xHQP_o5YuY`pD+OFbzyd?#_JgDZ=u?XjPYp_y4&f07gfZlj+6h&P zJikH3ub_UA@v5SSgG*+vaLL&e5`q!6|SnLPeMEdxh=;C)1 z`=yCIyXY(La7~7-_WiY2>ajQmyq?GEeP2kNJ~)|7&&3$+#@TCp>>{(R53oq~#EWcN zYInxy^15=hm4`eYCAr?RwQv>3gqI{^NQ5LfncPQszvP6T77rY-ZTuTAapwL5qp81t z7G(>pITZa1>>*jxJL;o=%IT8D)V}ogtiCii z**(wqWMiptwFmQJ@lQDAk{nH_02`ZgY?jLAOJ!HX*5ib?H5Vq5@hl0#twZ^0@QhtI zc(yk`y9SDRF-GBAf63zDf4QVw(v6+&o!mm=M3?aEYN`?&6w;^lJtU$S1#EC7zoP2$ zlIO_*vqy?3P7!JwdS8Jrr!;s9xr-MflG#~hNY6KG^8?N)C-b~rji+%|m}_&d-7*&@ zzVuVoD`;Q_!D61~>3sNu#w~?=f2))N?NqX;v@i)z=glc{l#6$P;ZO(e=-e45+hmxR z4olh&e(>!WLEBUG_I0%RBN{*bgGfAa|Hlfy_D^jo`Hr#8W3ZGb6QY!zK?u8f(W9_W znt3Bcnv_WbKE#_YS#*VXMWtd*JI+j(PWMj;p)x3p_aT_#O%Rn1MkDsu^r{y^1%!U`i*CuslMvuT1Ikmaad9IykpeP2KUsDN1n_4^mdlL{lm!7`fl5))&0Iy^!qwQn zEJRa*vkjc}DU;cB^qj@OO-eF=)0%HH+fxFO#*>)nkm9;|T#u-smjMau>YvDA0L@Uo z`v-PY7W242%_wX=>88i?tV@04yk4CTh>m2}`0?QFt9*^@*1O$h_8XCMwl%eWzJE@` zQdVQ~?4xcrG~pl4M)x;thDr~lQhG@fI{#&)2kSlbn?>-Fq|jGjF3bib>MAMSv5I4E0F8VGb%Ih=m%&`~8326B3s zq$o9HH*c?|g?;RnQOuxLZ}4>&WkvlPwS(c8FQ)x@MJzHtU7y)6)9t_dW7;c5Ih}pg zVtVXVcz&@Q_3TXZS7Pl?+qJ{3BZrFz2PU!#K6=qJ+I(ajXyZHS}7UHL5!@-%{{*-wt2X-X1p>PPfu9uf$RYI~D z@a84z1xQHy#m1&XN(~CBBK4)LpJW0uC)#+CV*s?lJB7sraQE_*-mbLbq&<%v*__ep zMK%o7#(v=jE57nZOL>(=*8xXC5mLHrP+O3i0YUTERqkFkh&=!m70v*mJ(D2777;`f#cQ6yw1;D`Ng48e|Hzta07 z4JA<*dng11>?8nE*FzpVG5C|af^DRYxt^dwPF`TN6Bn*dHvhG?c$YLRLJ0rF-kWVx zRekU)zGnZgefFBbX~Gr`vFU%m6-lMZUDvKn6kqxtuO?tPV8&@(r(L$Sh(c-Iaklvw z<*wX*^uVgMFQF}TS43195S^vI%^uKU%&2V|&oGDd?4u&uFB!qEhLbOOjJ&!? zae2+IE9mrke2e@ZP9vJWH^Y#dOZPrkcgXw#_w7shxXV43W;_*^}P@G6U!E=0t0X* zK2*Vj?mTa*pzi!;?*jvy&3#4jjNYY<{b8dQT~8cd-_=8J*e-WS0v#f(v}r!5e#BXS z5X<})*hL$egw)P`%Mlu45)d`2C=q<;Ufx~W6X=-0tL&w1Xu_;1Y3 z5-h6{>}0tT94xpP#5!=ipZ=v{z9;5!@^}9p?6U%qouEkkA#Wp#)iEjBoDC3WeD-pJ zqK)b-W*PIEc~uLK(v61+&0{-FPsCSq_D;T7>ikLrI>V zz^QKQv70}}E*qC^uby|HaKHHComZB2({}wUjsfJ!ILB@6o6pNR&Rey_dAhtAFGLo_ zgUMRpLvNO~aw-!N&f`NGs^M4F`@*JNKqKeVxbCbkokt zK7ZpEW6Qm|M33uXM$1P|u<5Z)1BnpCVlq|Uyf~+@qt2=7F@ShWhAN?ag;@UErgTv@er4zary1 zt4XNOP36l984B}+e)<*WXYb?_RXXqw2S>X1mI`qy4&fWm@%GN2!qU4KaqO0QpVCi*WO%X& z9gs3_7nO6QC4*pVg?(MSM#h44@rY}9v(^oeAE9le8})96gv?HS&M$esw>#qq31&Z* z`26Hy+`XXuHQkH2YI{?0FlwonU>`SSa_j&}zSzyMSmdSIMboog0K@iwq?8%;RN$r2 zF63Km&WW&jkCVNfDflLfgvTcRnPT@;`M6#NfliPRRoACCY?nL4>6y68Lou{|)DQ^@ zU2>RdzF;0xvJc75=_H2vkVNB!W*0aWdqeX=RNGx)yS-3$NE{ZVV(e^D<1CRio+0>y zj;k<6X(f1W`bFAI4uR(I7_D|pj!zGn z>n-DMu@>f5k+=3*c5jUU8GA?{p&P5%e8Y(=T^ARNF4&*3uNwt!J7d_o0to@TAXI){ z6|LJQb+716R2ABPFU@74gLIxQQOJy26c^DdH?KPDqqp}GBtT`a^!Rum>k?alp_GyH zP>QE1u(HykKJeVz$+`xRK+(a%O048;8e&Gx^bQV&jK6)!cr5`+39v&-F-`L!#s8{qscgU<>_ zG8Lg-c6RqGxArSL6Il$sDvm1RrwR;P?@eFxRJrVl-`s=QjflOQ-AMV5Q>rRhRl4P+ z$%9raRx~v~0%!_vy5LASx=npOadB+hJW<-Lhr8wTZ0`8iy>0$dHs!L9=JO~2^Fi7G zt+u`gF;b}Gw-Uv^hof+o_(0A*-{}@c*9xMgFQL8vBg@v0os-zjKN?Hbr<3{3ldq*G zzMam56(sN;T!%X z3TL(T%X7S@`X)=?xMWRl9Q>?zTkrejsUrN|t2K}@HOn!RO=jAHo&7S(BCG}X;d7GN zadKh5cy!TT;^_dKqt+Z3I+Qb=#!z+9fY6)eo!vy=J3 zBhM*^LSu>DTPm>W3aMX`*pL1c%I#tZ-6{1xrJn{#T;VMt%c>! z9CZ03JkOQF(ZC}-PObbQ+B)`qG8GFyIH&d|DcaB3q%9xFBcG+WCAe|}9tQ@}oo>K~ z=4|Si5^`q2pDA_;53J2VF^vYTvb{sKFR_CyilnS+VUE>|>~5m3T&2$OdGK=PSBgp2 zPUw>D;>#=q3uVFEq24)!T|~RQ7iHK;iR-D}bA}|o{hAQs*LFqeT1*yVh&p@_@_r8B zBI-$ANQ=Pf18Zf|5dCN7@`;gbl-n_YfrzE+%JOiSR}925G5-5w|U6-RxC$pk?PEc?qs z_k-(`F#O(saiqHem2b%~9M8kn{*CbMqQK?H*FN?-Uv$4b6ZSL&ynTNB2o;tm@ z5qSU7CfklIq>>jh$8{+5_L}!4%wwK+=WSoo(?a$n{IudhykV`LD1H$QecF%IFX}+U z{7Pt0Xr-$jwRDOXS1XM0$3vSkceu&|h>q$~FC7#9h6*$MUL;fO1?JQh4_)qWX8Ix>k&tgU?Hv3kT+gm z%u%RnA07xL^{k6pO}=@=CgQ?PiQawHD|w&HGHafd`L$Qrg2J1- ziB8`iS6k2gu=Vs4vJ=CVR;K#?@YQr7rr1*ydlEY&`?}^1)fcUP!iskLKw6twi0XOd zkpo%&@EhI65h~AS`_*A0^k46h$!}g zw+D{0Degp?ZTyFyc6M(|>8|^wWu6763j5V>rCGS{)vixSeExayntbVIUi<-_w3Bp# zvuo)c2&)m#2#8IP61>9;cQ+?mZ9O3y>0*v-ix$SJ5Ui`0xwe3jiYR3*+@r@;G zf0)mqNJy-}6tlJD`8aWZb(^R8ZRfbo14`&2@K2f5SoC()jgK%OPH$#LnS@Uq4)uv& zH&$DH>S?2+kUg4o2~D)@z|WrD9b(4GC$eF%Czp{pYPu6G+;$g={m*ZwVqdp#Egc%-K^;*co z&(V9LV|uAxhzYMulH}$@x$6g?eCY=C+rG~1M<|`%wDc49_?+*Cg(L{a1Fs~A zR6{QL3V|_&7;1NE*lD%ZvvZX|k}wEjJWQb{+v|g9PXnLLEuIGTxTJIA67HglE6!b9 zK<8-ch~KUHF2#<+dkP}iN?I#F^zX0 zj&XpUXjX4$d2r6bQ>H~RD{jhk2hD6i)_%ZjNK8{tVnsH9dOr>WaB%Pgf}RrEJ*C*C zz7u%Jmc3DFNAcS#3A*Kwge=yBl7l03nIF@5Y>mQ^c?wkoN$yMtN-$JnIc1U_NwD<~ zDqtvV(FD-I>$#W{y(wNXtvRi-moK#y#EKoa3}%jBr0L`h(Zq;Fd2WX!auC@dP}S~Q zuPn68tAr>3Au(@ddO8>-MU1;*Q#=wI_zg(eZjz1|MHm2O%68?lL)*Bz*E}pVXCJWkSD4!bI?zK}<@?Y%cP0W ziBi0P9U)!;^Su~?9luEWq)Rr4!%!HIvKZ$guG?}cxuY#al>bnKdX}MG>9?F@ViXhI zQoNU|vkE4ylsa)%90MQdAH{u&j?AmW#UnCb12+O*D6u|>dzPX&DOQOtIT!&5Qb3=O zZLl$A$85U%=IVvSI7O;rIutq-w$q&iZ;P^%%dQYiw(Qq;F~u&V z9}e4W$*xqnEz(N=yQ6h2Yj;4u|Gen+x}wD$yA=ZPy7UF?wsaAkK9pilKi=*bO3nDt zNZk0u&nV^7k+MQL&?TAzV|@7|s6bB_oF5~GiX)2cp$$FmQO-gPRBz(Ts-vi@a9=rk z@4H&HsDsH~f^Vx^JHE<{o>nsIqE^$#E%3CeBKyh_@1f6EdbA{F=F}=nza7}6qzb+J zF0pE}9rvKev^p};&1G=w5OprPQZyrKc{HizN}RkypcYC(B$qbap)J|rQ4g*#UkL!y zM>v2fsQ#hQz1AHc-x@Dh?tqSQ4% zgK&O0gUp^XE4Pb7D2x*ddrNv3!Hzd{oZ&=b6O`bJ{B2ZB(XGa?Ib8{fa5A;K=H==b zLW$)n0&f%a!7I{k&;SSBCRT2jEnK!^2tGC!@25M(#MX|~ehw{cVNKGgt(wIcxVx)A z+E_l##YpnA54@eYVI!>HW?mh;Q4s7y=?7=h4{X{=&TAl!SB#S>dAsF0_9)csV_TZ? zITbAb!wVQ*ZPMm#CqY!P47^;~%%#DdxPyA!SkEztkuYsH^Eu|c5LJ)1V|E3$ z_?MK_<20AWtpmBtFLBW2HN|o<8!SAuV-*7<><)0d5z*g%1)?t-C;9tEf0~fWo-+%4 z0Ku3{L;$MJeI!oU!6XVD1wjOTxvXMT(l+O*rtz~ERmiaWCytuAg__lW>DO&S zCpD0~cJT#P|%a!L9M#4GCFNSe3UR&vetxxli&KIQ%A_6hBHE zBkVZfMbyU&e>W#zeV-bE(1YnC?NfR;(ikKXItsi9`grY*k#n|slk-Ez0Y7!(js6X7 zZ?`1BvCh}pZcy4i>on%!(C}zCo7I!_^Y&qDWo>Ji{H0+9IZD`G;r>1Twf3O;K4ZYC zi;(b2loNM9Z7mZ8vD5I^THl|iN$R-+E?)aCZkf2>@6GNB#L|qxnSnq2fZ4XT4m?}o z{>5=qcD2==+8~OvK&P=OA#Qdg;hHk{K|t>2%w7vHf+s~CQMtVB7;5_M=$3DJYut^IX7B0 z`NkTD_Ap0pTep_tj>;CCYA#K9=4ve-xp5;+uaL@(tO`cUswj2GE{6eITL~^F5r)y7 zZW!ts1#SRAU;aXXDi*;2>K$~eJkqz!@=50Dl?JAK#BWB|bcMl;$LFaXEYR5+Rd{y( z?}FKZSrs>+D!1O3l!)GYp#)(iYL0^6?u4(Hcj5rJ;*CjQjc#8^h$ZmU@4D{d6!;AX zA4_dGjT+%)KZ53I2u8Pi0V9h#>V&l3xZ?e{_NCktxA2Y3Yc=F#aebo*H#U5{iu{QSKD6o2%a0{?U^kx`2-~(`*0}V|yTkVPd(iqhj#Xj~0~hb3 zGrqa&&g$wlyI@09#l+3nR*$)e{vVe?CcEexgUAs^d0PRhc5 z+{%c$I)32=XIuWW?@Pu>pL46kQkdDJTZa#%>Yv&+Uq?+RZe&T-Gi-gNmit4DZsGu^HDSK>!4Dj;e z9!OhP@M7~V2Ui;>=ocq@!uLVsi;m(5;qW)FGrk}=FOr1A;*)SicF*#=)76Pimm0DX zq9dj%#0}~)uPK}1O)nw63hF6>pUM5Fzk`R#vlLbs`E8XwiP0&nLlXE%EhD1a)fr{@ znX!m6SH}F1!+4V>6FT^>Th#=Vv>44jS{EL`%b0a8<=9Zi@S+7|38oOrc-JSF!;0~i z{0p%R%YfZiUm`M%rlJ{j5WDI2@$cqZ*~6;p|L(wj;y)cIKL*os9b8KrV?j`BD;?9r zMMI&~@yevIfI=A@GL}T!ZI4H*01@zXA*bAzrQl+^iyP_)`NuQAArcb0V-N6V;1kNk z4|~ zRh&&0Hi5t|<3w02n`drPj|c^HK;;Q_zFZ+j-?f_Nw;To)l5$ujjBDDx;&hOoiR->F zy&loCcJ3;U@t)@UGTH|jcIRy$nr959wzCWEB|JrIfCY5PdeYyr7UKy973E`_eOMVf zRrBO0$`¥+NGbYN1u?wPF?fP}W|K5h~=sd+3Bf9X1(4T~28lq6>>BK}Dx%lAbJe zq7Xx-S14GZ1Z#`>I3PnA1#GLHry0s`+n>>Z+hKl-%JTSKG=j`abBrZSdTy1u~KWLEeE%Wt)h4Lsv)>lJjk9(VWyf#1MDC@n@x`AcH?_P=lH;0#Fa&+JKlI@QP71~vf-h0@- zhHl!kb+whfw)tV3y$F&{$PUAS!NyU}Ni;PZD(AD*23=G+ngXOo$I;>aS3 zPApt|ac=j1#gb#ji*+)kK;5N#Wx1Q4$XjbKXL8&SglCEi>=$m z@tPup=P#z2R$JST&n#^cQVx7|&?POcoh=W*TuNL8U1?jHbCVzA%TZOx zp!*^L?_c!JonlHbp2|~FhQ=@0+$UdZyy|+8X@OO0^LrS>ZvHfHSRN%yZOD2v>5IRi z)E9Z{;(CtN83_{0qH6lq|A~)3tns#7{x`Auum91jt@!vWy}4H%Xcx(M_6zB1GR(Xf zLz0vUW-zYuB6&+{h z!m#!FWV}`CGb8Xcxuo=ciu=b@a4SEN1h<&bU+IV;qK{4?Xl)i_z&)MWI~H`>I~JoL z@AWzj_rUIzib-TJ>>{JnsME}q^#fOy7V;sr!DZhrQw(=rv4OFrmE<8|ofJONLaLsUvkZ3x>FSS}^yM8Z-|5_{qkS-Vf154h(tj^_ zb3vleRtDM__&TTPvz9*gZ*3fF}E-@t|@0k&aFkuU& zW2~zmMFjylccaM8d^m!~01R1+mgB)H#ag5Jo#wgXl_{x`n%i8~J@s?kHdX_H1knCk z76>*Fy}+!Wn#=_cQoWFIVT*2^8q`?FgT9;6lxl0XH8ZQ}kNtq%0jm+ep2oGpPCsuy z($mGwljWj2cskwKPl0~_oc1wv<*SQ<`zT1-EtQnI%s#P8X?){bQ4aszcslhi;I--L zx0_S1xBLpZ7p@PSd=zQC%RLw{3tmo&*VQDb_rpJjInlW1bfR#^iNz4H3h4tueGhQ3s+suD1G&@vAEN2yhC|0v8tQ z>zX5)Pg92{&wXb1JDWLi3D4=5D||nQV=D#tH3{Ds!_-AhYauO5scA3NI>`MbP*Nz=si zV(LfR&ToC=`>10dcTIPS1y$L8;OC;)DU@*=GmB#lTP0$aes_Croz(#toecA_j@C9U z%Rgi7?NFQW41!<2;MJns@{Gxq~PvYklXA}exL{-XBrYx1SD{bqxh17oSl{}@!fv^2=9_CM> znx0|n@hvt#Xp^v$?Xv4zZ@jeqmk+H@s1%CF`H{)YOMrwclfS)weLAypr%)qdF=THy zYvpsU`1A|C<&PE8@z&gp>}7f`pGNw(OcLKVO#qy{xFN(5!CShF`sc`^4?0*E#97{gY#Kgtfo+)K4(U ze+Q0s&5JFtXs?}PJ6asT9e9n++aj+BXXj3QbKa8d+C{UM@$3Vn=N>4|@vmJxr^BNM zzsHH#1sjlAvWnXBeBl9eV{M}g*kgv8*11j zyi7nuRu`v*n+Ug->jHA`41pG89AiVHhthT2ZWT*PQ}29F;NM1PAkm&*nldklHtjKf z0?fjDp3MYk8e&dGpJ$V{SzL<&3;@bj<`k0V0D@k$B*@>ZUrT6Io9LFqF$$j&+U6JG zDz0mZE0^t{*qw+--Juc&{9e=`%rdot^4}foDa|HE2Hx$2#)20v1s7}eWT)4l zgm^ZfVt+mc;2Z)jbDm%?UWLtOE$#wd4p?a*(dee(W$*Xt)O&Gwst`_(!N_7&ZFvF8 zk@*(C<8Dn)NrE#wC;wpDShD;I%U2$~Br|(tA+g3U1T>?Ahf9F21nJa8XAFaUD7dm( ztF`t0v~MQGDR0kx_O4h=O*>Tydl`<#-LQQTzwChyf7S`@b6K`HR{FFP;Iy$hDrzL$Pnhy5A31@oi$bW*lInG)j^q;eXgM2+crefp5EVTinT zZdM$5T=9cxt?b@AgWbMevz7Jtc`N?Z?DKCsE3@mH9U;>k5j4tzRbA8bjyf4UB2XO_BWZ!8l%9L}ibP;`-+Yb3X+0+Py`bVPCfvm@oHtWEvKXf0Xuu(uly=cU%zHRM*dzzFSe|y?qWf{N8iu{emCPvA> z_k`}rn>k^tBzt+_8qG4u(^oFCZZB+8mtl~G#4Egy*RTk~!)D6hkiLF7wXas5^31`! zbwl=sa800W4|@e2wA@6XL05%Mnai!FKEgOa9y)~W7`u$q)UzWvJVlGk ztVpQFXAF8ooiUyx7`4^%X0}n(u~ro+IcM@AxPSs&##B6h7ZR5fy5io>xD*62o)UO9 z{eq41R$gaq4#sijZmtC?2u;7L12rc0*$Bs|CqztfwXL^LkY09178-|*1)*sxA`BHZ znchIGGCMt&&^A>8XY3C1GYPV~p@1el1Zwb{)VEbq2fX&Za;N4zdZRXkI0fM96n3(s zl9Imf>iT^9dU%w854+1DnGPb)?^#B$$F&34oS|}CrVJcI%oq|M2an1*N$O%JA>s#I zoQyH}1YWJp4viQU{MyW@v?k(1mA5jnCI3v0ahxr7(lgFYx)=*&O;J;FM1A+DoK5p8 zgfhshHg~4NMfzkRO50tm_#K6l2F+CNZ3I=pgN4BGBaeCrRl1tscKkAyUFatfs1#ICW2ZTnT`TNfqfpG$RW z|1)cak2sq+MR@b9&g`Qi3<4%oC;W50)+yKqSK~?3ffgcecIN;1B#-JEb#@|*R9zMK zjNGG<58{N*%_&ft6{QeEL5bIA9sPEVm#SXL=RVmBEcj2qnfv@*=5C}g?fpD#j(wP( z@XMx|J@^z&SawL0;uyDR`@}9D+xWPUL!q!`r}TMIsnjYZCjX43)YsaPw=5khcQFwV zf`~Ra>PL%*`SZ^v$^XeEO0qqC5yRHUd9ofmYjkE&%S15tpJsRRBJPccSXF>C@UBOl z@j(%K7;cMWjFwWX2g?E>{dwDy3*tQ7I@0A!TECqe0{RIx1dZ3^>PL zU`LegcV93cK5=cHx*x)B*M1&Nw%OZc2xrU}4+PbR7Yh$Jm?^yP0cV;n}`r2rU_2qvu^+GpNDnk8@Yyxo@ zgPr?0Q_MtBQ7zuie9T+(^zZ{kl5DM$uP0rA%D&^L#7Ev34W@FMCf!IFv4rwg%` zoD)|*eBQ?V*$bRv4AZ_A=2}zUhikz7$+_$j&i!4HdpHo{z3;ME`A(~!p-l8cF|qdA zz%Dia`3dO-xEM=1#hsyMN<)0g?yvc`HO`4)SP@C)^Gn4B-MDTVI$`_VieQhR@aANQ z4ROW9Zf4)sXO0vub_&CKG|jz<+lS#9Lv?c7&oA_QPuu5tTv5WkY#3%>ZWV@|5#Bwj zoGQz*G8vXLdJ; zq2N+~lrBLQvsUJ$OAm)~Bp14jwL-3&({dp-mH*F$~$k(>gCU@y5QX z3YE5lD^TaRnK)KRXoyS3Ajo>U9&@xtuG|?B-=_~{a!SId{Tgik>5!rP zb@M@ci#L^=7Dq;?>oT{fxgK=4idF}mL=_YR8n63spdGB>`dGk~&#SYdciNq?kRI9< zp5ayv6PvAw%fto+!z#&{KWtRA1Ml9)ppzBBk{s$taP$20t0AD!ej3^7vlRSRIx1yK z{T6NAKf6jw72^k}Enh{SbJ55j#s6r{E~D_>I6p6%bCNgOa)<#19V)Z07unn$6JR3K z7%=p*Xsq0(8kpDrQ6x-0XX!k={ikV`rZ7zU$m=6jH&n*1z)>l4epiHEFQsBm2yvmn z;NWFkkTc3^_4UTaBbdD&Ek#YtZA8)mA(H z-zEaQ+yD3i^PjkuLwqX{YNA%dj&3br>*B+HRVXGv2dBz|a-F)pQu4F9{yI>r4 z_DGGB1UMf!`>MtXd`?b<;;$=iXkC#t)~}gs(o@dU@DEwY`RoyAK{9?dY3sHZoZT=m zHT~4#o_>GSRbw8}j)2c6AKe)PodUtIbus+#Nok7X?F~G5E^)4 zf6RA82h~YISUxgAfS{McO3%hs@7MzNHePbLWyU>Kq3s zklip#q5d97kLEMPN+uoE5T`Baruq;^VUO}{c1X-a65>C{BQ!yb0Fq0m*TsJ#&UVN_ zBywgry3p#no^=kFj!F^*&=d_F6y>tf<{tP>TlxN|OP#}OX`9Q8`iN*Un%0Ii5%|S^ z844>^!`-+h%dSZOi0gM8Qu}gj7Z%_;WDiVDwwuhliuq#0#HX1 z;M@bwjDJDU<$QI~wQ;Rp=2Eyb0DU5#I=@ct2QzYIkcO?Hk{u(3Y<9;c!WtRPSjF;9 z2uply2t*pYy(0xdxEowmnB~NJ4EFX>1gv8$Oh!awD`N$O>ZhYAQ~Ot&8qb>l9Ij;&d*0Ia){Y7H; zuNTeGo_-*l|DY8JX~%XjAjl9q1Exo8r7t1(hu~(tH?KgYv8wEs(_Oo=T_oEl?{djk zU#S@`RIDLQ_KM8hFT*&l5q^sv)pkw8R%Y$LxNdF#s`!?c_zi_EN-h0U#^_dTo4E22Zmb*RB8i8FT*!>(;C9UTXU{Uux;M-ju{r7_uU{I8Iba;mG@=V`Xe3#w{S>-CQbZ92d3g0@F>YEthP3# zr^E1C<1WcJzPAX?x3c~2Cc515qdUTSeov|Zog&I&x?F@91zrSwB{(>Sn2&^We4IbD zpPr(2eyb$^qoq7+vlBwAt@(*db8G#*zz40U9}iuUIcOF;B~g<1UwyxKpzj`q^zm6C zU*4gUe>@jvM3t2_2| zKzNc&{r>S<<)$NQi2gr z-$lfFFaBo;!c*fDqf4hwd=d&l_hI1ojxd$&P{#Qn2A%uT&DC`SEE9=z0Y!?l?4Ls* zu@2;L@QSKm9X?*PHK%Kt@Hx0D6<+@}Z*+L=aNGDQ#4Ikbno>e0)iZi-3NalH{BT%+ zehZQ|)}TpJo-t(vjc^<(*GwCE!^K^BBY6OrGG$OyDeGo`3=&7Q;jlpPUU6ldLHHpY zX@XnE(`LeXCVn$b0z}YD5w1qwCLE7ZP%&W2Ghty#5>g!e56hZD2SQ-xP=WeFN?Cxq zmilW+i+VCdvBu~*#ZLInLL9d$kR$_2>0$_-l;H{dhQqB&aDdljIY{p`y5x=SK2gbA zfko$d9{bWkkiQQ0$DcdEkif{}^&~uMYqiypW4G&SbM3pe#%ln5aE9E9CFJ6^n zp$SmXkxoW~l^D9nEdD$Nv0dxW;1b0;rRad4EPL8%OGO`dI$}+bs3=+`Ns=G6)VCR2 zr)LLMxDmhsMa+MtBsg1(O@QZix_T$f6m{as$8l|0(=TwqJOObu75N5}SW1~PE^y+XXFfWnB5#LTWqt&xI%>5q z&m0V}5eWmFj{#+);FO_gE+BCdEJu|$FHg$vbVw9uD3G!sfNu(>qmfY10aE?#> zKDwF^S1P@1b79uabH%u+)OO-lxKZ~sd$>b#H+RRckg=LwzRIPE0ygL-pHUF^Cj((X zq;dIux?6xSB4Zx)zC}C}G9Ai0Q*C6QmDG#Yu+uFa<3;FRDD1cQBKKf|wAli!%H8JI zfuxhU%Bx?T&ETKbg!FAg3Dq!GUZ8-<;!9vxogy@Q$;neN$u0o%6_nm|f zN!s>d%Ni4_nYI}+%UZ^HA+M%yvyIdpr10MB_)6{}7S**i#7!m>D=(PCrCPKLa$CCF zxn0QpytJ+dW04%SJD}%ny=WuvMLLHJ5NoGA5)Y*1oZp*ddw~Bl?4r$P zwXrf9qipe2?o-THeThzjM9QqzQ)~GHlqVIxwok5N#BbY0c?*&*eK~%MQB^pGfjdQJ zK6;dj_=Ne}p?o_7i0mI_ws7j)!hHx{k9{M!8@Fk5&;O61F&n(Kx0s3bma>8ZeZSa7 z_t`v5C@=D_%t^1oBQ|b9Q(8CPXZBoHX_DlH%f&^1W2pye>CYqNacIkhoYdTN&any6 zul|?JR#|)UlUHrlMLH7J8Po7epbrln$g~?yIcWOl=lM%-bVV_N0%?WFTN$z|Z^BBf zOu_S0VrBxHi0{OGA+->InAG!F4*s8 z>7-_hZE?~Pm-1+(kqZAgOH~Sc;j+D`L73tok4WfS%dzl6q~mnb_Pgkj|J-Y=S)Pqr zMZcJWKZU39cg=%K1;ZQ7J3DvOr8W@ zzEPfsEtHAl44t{k{1{inHB%;%=Cwi-)6~zm>Mb=(0ZK=hh=bOfMu}87pYti07xl{& zUl77khV%sALf(olhYOQ%ILa2q-%_A4ie+>^Q|J1)ildBIz}1wovhchuPlll3$0j-LCajXQgZv(h7WNwwo_pv~?h!FTukBa+f-{#WjwXCIz5&?2t&9rQ^ zOI&#cJw9ygA*G40AioONTY8Jh%K)6YOh`l*^BMr1CWw{NC^5WPHqDVQg|IQWqP)TtG!&U+hB(sma zj!y0mWL~F*PJHcxT>{6`XTo%orM~qYJW-AL7Eg$S=KD_Y(mst(oRsDYwS*kehx=W)^ z3d)GFKopF9l= z;&J*YPy7qb$pTV)pIBP&h*aQEaMw&^#gEgmmO$Sj)pr+~wq)7={i0Ma-uW01g*;9d zxp^S5j~^v(|Ib`(JvD3%@vW=hDU|2Y;BF#M8quxIO{zH;xZ9WRD(t1arG1<^=AU6t|1%3QjtwyQat#2N9^Ex>*gcVbTByfQEny15jkR5=j*cqVKMnkH_|D!27-VJrMF@WGgxZl%Hy6PSsGBT zU4>{O>9WyhuGAcZcVD_&s&@zM@`o(!wn`;pQ~S{9nwPBxt}b}9?f_RvH4}vv90V?2 z$GBWsHrG5`0PkC70Y{+$PXk8>`ugY<@>z1%)X`|Dd6g2)P`N%Oo!YAMn!4(oPAI5U zt-3AS5jL0rYuy^wmY1U60oUudZuSSjqV$1L->s&Di zs+Vu#w3_ooS&(xike7LJiDtT?duboS6<&U_<+mJ;aeAAI@XSMd9FbL(R_QtZSIbDr zrTT74T55LMm&kCJ?&Lg_>R2q%Jy-R=Mgtu9H%i006DA#AK>@})RHgQ#r}si#ZqP}O zaRULZtK(ocXtHSpxR}BCxG5>&Rf6&!Vypilqk}_t>6r!hG>H50RodKhq%02pcGxChL|Ve8Z;bmwH40C#t*`C~iZH>&szxPK&DqpfLaDWd~L zzCM+h|A4oN-eGGM{LI|*!D6D#DOd`2a*PBtc-hO6f8{PL`8+`p2 z4krn#t?AL<%dGw8Bblu~XTvTG0gX?puV_A&tHf>?$P9<$H@a*Q>rU|Y_+2y=%8-x$ zW^cUA^NJVU?LYoOr`@CyQX0<;gRPDBt8HcFC1!7ComZ#5q`V=dM5O-emqtoa4+aw2 zOleM(U{-FIV&5rY*y;~$9%9&PvlAhF7=z0_6nyEZL(09IHzVm)lFO7uZo^3wIuz(* zbX6HdDOFa`QP7SwA%;SW(D)8o_F_vjx2YrD1qp*geKjSlX|?r}zvXS=T`a?X@PpPm zc#I;TQaKk1i7zQj@}P&nnwFD$D72{bKXT#%3+pp%F+)wRB+st@VYB=G^3t&R#H-B{ zkj4O#p!08SdF#-T;(?cC_Ry~+w`tg#^Fp7~n|@p0I7j6*0BzEJkNbe6V%!a{gcTFV~cMdLWPmIv!TmwF9rU`qA|_?KHgI9_b8Q8*RQ&ncjhEeMz4a9t|ONN;gv%6Pw&m#9?dO z8cEY`#ZsFg&=9-PeExP&1ghBvD%b=;e*TTL>=&<+;Sc$@UDNeG)g zTl$J?nt)}eFHsa8fw*Hr9IiSMukXYldd}BFQFts|3a|%4;_}tA%zH0n>f)_Sf)7(0 z2}v80nB2&lR@f;{NZV;LW0{50N`#XNo{EI~5odhx5^D@w`}ibGR&KIm$|og9(Uj2EZ8BspuFH|QbW-KW z&nKiTo3%Bqv5$mxiTiDSGDtzo$6K~UWF7Amhrbh|8plpz+-LmaMoLr(0T^mo=ae6G z8Mqbaa{+{kV;+yP<;|Fh^{!us@_Bw^0v&7EdI{pv3lbsi290z&sq2J$GZ)F5W{Vvh zw?*d0-iax+M;0p#t|WU`5h*lw7~qO?8*nFIQRY>4tUrH!)Ti&EuQn9?(9qKk42rRR zn0Z*A722CNyOqY*w#a>!`}61$QeD$GkymdAH|z^&{jr42X=$>hsQq~-&`}tjc214~ z5Wr{KcQZ=5HIJVR6rBg>u1H^HuYF!>trxC3doQIP;o@T1PA%JnfAJIti#nUWIiXJf zB(3KiOBB&W;)=lMKSlwmP3Zm2#SO>D|CL?o>Li(5{K286Wx7!()1Sz;tabi6-#5CZ zKWn2{4-Jnx8A>rgSXtF{4T>&{b8?IW8Xru2dYWP46YTIlRlA%>ev%2atG`aBS#4cE z{;j}6ZORswFAjF}D=vg&Cg1R4t`t{dW$5{WuuhlZu(5#HaQBpNC`&#P(?k0WYt;XA zf({Mc-CN&xcs&L%*qV)_u!opOU<2uqV-p{+T!)m#lR(;F54_l72}M4`F2DMn_8M(C zKK{7_Ez6F^F2d@UYjo2t$+I`SddoiiK+UGxmWIjRyzQt|V!BBlk0gj}B<$f;%GpQS zNLC`4W#*{;(~}HcUcvYgWeYxV-a$M5`&h~GB(KdlHVIS4E;-v)rf)Co_D7g7aH88U zle{plpb^m6wr1Gmq1bDKlN>m6;a6!9{BxNRgo6{8D1=SIuX*H9k==BWxB6FYJrEGP z%~ZKyANd~oKcnGix(RMR#3LC^IwC34MeVHAzT-7)CBG_Vmj4UvK;AEJ79^xUWgxt; zd&O-s@!Xr3q0IX|74LPIQQzsP38gKP`Y=_$-0Htf9~Bdyt5!8l@F z$G$uTIEFE~It-FNHd|6na9>_vQGCzS=wfqgG{koE3yJq*rFzRG9JgZTcXjsrC^)dA zNll)0X@EgJV*76Q0u3`p6T1Q*ARj9xInMHr)1t4IB4Oo<(r zwpKXYp5H|%&#mJ+vgQeLpdyrH9Z%M|55DCpm5_1CqT#6-FJTMeo>yc@iqa!viy$&j z98$ zLX!i$52b#|m*W7>0hS@xd-Z$(0cGc*wEMlb;ansgWS>(JoCiiM&h&^Y3r4DzdJ zBdjNCEJESE#UV|P7A8IK3-O7S4&~6>j6xkhpGE$-1U{CjO_XKnc;L3@%aCW|b+ien zo?IJ&!%HTh362{z#|57@#xY;`obF8jsO-7&?N=cfm*wAF@af% zN6C&4JUeyCE)OxBw05Dt6w@w#3`ZN9Ai6pqcmQOI8ctD~Iz`6Pbz#5V5X_T^V<*l6^w@N+mEUb7gtU!pRx8v2Vaz?g|95?wwj4x zRLYV)jy~{xzWWMZ9@Qfh22k!^@f&%~hXS zt2M^u^KrB08hsbNUVXpc-c@y~&Z&Rh(=+nE?o+k*x4->;-~RTW+W%^o6nvGQoTl0l znUuBeEc`rsl z$N>rwA&J4y2Zpo8O7K$ewNyYu`GvVeGrD_Ps|0Yy7OrG>4%FGAm8Pa0`5hP`T&@?G%2`FIxOEabrkE=V5<+ z^G#>Q0%Q=nUB)vF>$3J*yh;zqhhtHRs_(x0$2eyaoq7|+#GEmmo@@g!27s5+CUDfG& z2R#*h>I^l}KMVB#6DF1%&|%c)C)HH{XAOtZ$MFaT{0BipOvlb4laaDG9Hx&^@y~iC z)~xoi?YcD$K7IEQ&8kGR=ClB98&yk)B52@9_xNh`Sqx^2*1$>cUvPm{O&ueqz@pp$ zu{SH|igi%#A4ZMTOIYM(lr$*QP zr1-XYRz=wnUYi|7D~Ti}xDr$kZ;v5qeCWsq!!d-=xJ_f~|IRUlItT^fAOxf+>&Y&# zih}@|RMvS*!_&Ke5HEl%p06f(sw4*#0e-d(>f(;Mp8c9xI}zZp=#CO&!(^3m!)f*xS$ckyiiWOh0+*GO$!jk|q61T!~13DQv>s_{d#JZjclQ=xNGMY=t zV&qB&P{!-K4BMc&vw%^D$)Tb_706+SoP6(4`TLV$>%(K1CW1Jzt*zZ$W9VTyabWFE z=dP9egt550*TXTMa0kMVP&Q_Ri`4o$NJr}^HH6001iB!O`THwQtp7E5?)eScmk_IC z*Td5IA_0;a1+gDEeErq?@ku-2si*S&MQ`y3e#?c5a=m*cgyQ>d*co-u4 zHF*kt%J9MhkzpWK-|_gOx`ZKhU;?AA?0bIy(!G&f_=|yhrtgNWkH0)p`n$8f`n|n6 z41YQF%@)3=a_h_rhMH)TBb<4vcz&9v10@0<{pA$32CqJ!b zqUX)A&HdLafBxUAeH^x~{_-oZwt&@EgMSPviv>w(Agmq?sReAgC@8EM11acS6?k$B z4z;%ioZ3HEk+v2YSWZ%_6$2ARGUHkVi?EbMZJZXM7AB1u{}9u8?>zkHm~m0+-8xiX z=wh_^G6=xCtrTvDkZFvPyZ)+LZ|n*8J?X8!7Tc4Z&dKh~w4sl1(qbH&ZC!^nOXAYtqrk^i z6R`KVMk0+$z+FW=-VhM#87$er@kYEtiuNzxSGX1@SqGq*vv}#Sz zU&eL91!@tOoaaVD(EXX?*sPksAr&b27{-&Z@> z4y>XBdSTN)j12HyUrCcRgS0$Y1g&l+yPmqMSu7gaEzjH{QwAet)W;(@h!Vg{xOE=} zkQd-_bWb4O0=5E2j|4q+B2?pYLv4v^BbHK9z$Xw_;g+ed;@YF@I{(?msVdNI6Ou#d zYGO%c7)B*5WtM=}*7#-B5bjFoecF4R_LR%fFCF;# zK=u4N+eq1>EM#Wz<4m5Ny%2aJikhUaYpxY@z2Gc=T(!-4S)2KU!Bj3CjP?Yeo0AutrA? zJa6f{YyM`u$3*KdM;&?+kN#JDAKL!^QAxVmT470{4oy%3Wk6wj7!Yvwe%H45g zW{jFxAihWnL{=OsGq8q)9BYB%*oQNuVv%wgY8}Okq5KBWlGbSq6YYZ(l?Pu##|PdH zs&zz05<{%;^jy}BaucGU6B7-%X8iDIN6H1|ua9!I6=w-(B^T&}>VLqZJ%R;uO@b1| zBcinFTl4a*J9xEkpoQFt$LcheT9F1(=?O9;)H_Ecrr9}z zEGDyCL*;rf3$&&rVmn+qxpCe`iF&rjh0`I)X&(Y+>sh(bxD62t<8vrG3Z+g^8wnnB z6}uA_jS`ttYEFoSnJ0T7VoD5xO}2i+&>^6r^)O-&Aw9}1zPbznmqQ?#82ok>*w?HD zT^l+G6gqV@l8ymI&Sg7ED$Xh#g9J52BpKM@bqZ)!WX5bL1GDiOYn!sLB5vN>he;1X z({l;F=FBjIUofUUgV)Y=%-qNM6iZhEE1p&gTa0k61m?&#VR{9LLP4@?awxc+AOHvc zPB#q9*_e#RLgI86mtQ?*9O@3T5}Qml!uqhLXe2uXh2~DO98h|SF{vsByykU^&QX^* zI|hq_G%C8-W6Cpd&swr>gfX**GNSlylg10^L5vUZN`y?H(Gm%AJRWAkS} zIps5p>BFE(_yM$8%sv2TfJ(p>g48#9XLub*neiYJD>rz(OU*Lj8yE%zrjE-_!pa2i zgpZ38HYL=O1!?X28tfX6vBKW1{ymcS`58eYxgbb+cjhZgC3=ji8{TqnfT%5C=FDJ9 z_C`j%bKjj&-_{SUuEjI_w%MpBQxc6Gf(OIaz+9}3s1sUYlW;9x7Q*epRV+y2e+4C} z$Cw*eO(EC-MLQ8<;IJK-41* zyO<9(_pz1_K$+RSeiZ()@;Ph`zWkF5p&hn%_5@kltjbyaO*Lzjn-U5s*Z;)Q-+4b; zh{xdEhl?!0VTeyj*XT)2O0o9YV~9;jgr0g5Qq;l-uatTukwVfYh(!4UB6;xIsK-Nf z36H%cFlT>bL&U?2l+XW%=p9`4EF{Tj(v2jt`=pT%Fr*&(KYq@qXY~5lapLp(yR_;* zzN%O#Fl;rO-(4_&`7j1Lc-L0xFJblf3m?Y223&3Z2Vh51uR&$?Sg9B3LYWHo0}Z{2 zd5&I%W#wB`Xz-v4F&=kY;oxyfjSi6#Put)cw6 zTE+qL(*fSK?V6v%V6w9xK~!#IHNX>M6wD~929so_qhMCWcdp5~(;Xbq&ks_vecEM^ z9rE*C=^Kt6umm)Nd#(%@jXtK2ki&M^N=9w+%E}6K3$ZtYv`?lXPDVwaH~B<|wuK4- zQz%mgG_r{5gRvG!3)un0Ff@e^)2AsytGkM@aD7bmR_xe}&E9UpwlzzHSJ)9Hy$#sd ze8Chun3U<+D6-^XdruNOa*wpc*Klhm)L<5A@?!N~Zr`+xVGnoy)R>+T)FA_XxDpo{ zjq&rnm?#PIlUK~>A=q|iBoX>4A68SUGoK>L?^0pya%y6?tyz&3O9d>VglN<*d#Ae+ zE=LFmnSs9I9Fr0F7?Ztd@Y8vIhCzuCJnrBDCIH4ztS3OgYexE##20|y@&%#lAg0+pI;rW-cAi5kjffgFshr{xkBcWDTi}3xDKutjk z0_H&j39y*#3m$Z@-q^bog|^GTA*g8pxJ;N_*BL!v;t6#dYAzrGLVEl zUdJ7I7rF{Zl9={JTM5O-p<0rUPKbidix?^|Ok*>g>j-Cr+?`m)#$vCm;sbUe12n3t zPkk03%HU2lTyD@ql{Fm&J&34;9_A(yXh=X_n~Vw=*Pfj~H1sf_$g_pm79?a_3B#Jv z7%9Zm@+|y_l(7_Dci(a|D>x5=FwgYZq{6;Rh$C>;!Q(6Y-@E zq$H#e8z33aI3=wX+k&KCD~3X|5mPqDs-&<9sSG`30bN=v57xQ~A`LTnf?aW+V{f6(Rgi18|cgfz0?hXdPi zHO}h-gaJ!dEZUAJMtFPPBrXGOh_x3wI>M{Ad{b5MIC`;wt#+ASR8;4buhi1GtQuDy zNsPfI9Y<)mE!vtJZ6E@CaLt4m61WVoIm1p61zSABY5+wCgn{yeBb<5UpIB=5=ra&3 zfftE=reYW@?x6sh;w%&5D#~N8tp#)vLOx)`bqqA4q0>elRNe;UcxI_}!JzT*OT-qE zpK>T80j?f82u@6Cr-rOHz)}1NOi-6b5LY^3!{CkRBe8*eFA@eR<}hk3Y709r;?1WC zq7I{g_=qtfqakIO=?P#pL)iD7cFAB5x{rV!@hEVPpS9-TL152G!(bU*e2bE!^OvZHvlh|$(o1#hw2Rx zOA-EGL|~{3^<>=={5*|I1IXHcx!BF&_P&Iz3LoT=hv4vnCx7z(J$`@#UORXF`M${i zm-o5tghs*O))*+@_8GIp2*9jU>?50dHHRThU}8BJGwSbFqA}Zl^e-;5<3@6L_M7La zndy%irN8cl_x;oNg%Z9)^CS?rFr21Mu_4hElj=ctBe2LBQZWge$V-5{^s?x{1`tNZ zaGnWKDNjQWNvp-SAR&`RC}>7;DQG$h3Rw)XH4ty09zgS>(Rc7H^B!jkf`z}D(qBC4 zd%@R!#h4!x3~vA7;-SupfG1|-kuP{2W@4W?_OJq?8IGOtK{MuK?D?9lAiD318MvdFUOfcU&52WkhT$HH_HS?p)jm`3Y%!qB0RUE)Z?fK zz4<-<<(Ky{5kqu=bj0Wdk_OEQ?7*)nhi}&BTO3FGR*x!PR_=0*= zeSJ?5uKKG=eS6kYjb8Ev3!%>kksc))#du%EDcs$cb(DO|^l;cBvy7`Wkvejp>fpRC zB_GG@0b9WLFV*1duUU%SyU3C(C_HkE_dfIx?JTBIzBld6u^lzGgQmIKp9p&-yHkzlmCV6zos2{?bs-rM9u8yd^3tnj;lQ+73| zs~Iq9Fd!0&nj8A}1`?p&tZ}R!JUJ~x=>X*@h?x+H+B`}Oa?0;;%PPb!Vav%TxCwqA zLA~`gs%#$t{-%sDVp8|eNk zH7>sd$}ME%)(@dQ1e}0uyc2gouEX1=k9s&8?;tSmRBN8*!*{n~BjAZ&n&+V)Gaq0n z^LlXawwxCH(o&r#HP&OVu!7Afv8d)tB;&!jV%E%^z)sTNW@i{tNU!cNUzX6|jp&Pa?AlM2KI)-fc4SSYaIj2*9C>>0_lj+Ey-~5m0?6^W|%KR4@lZQ3P*S` zb`kiQaFoI}U6&d4EgM$?y^5N;Y>i`E1iP32sUyGSVMXl3 z19-df@XO4CyjFWb_xeo~!5Q=Za1~u|nB}D#PkPrP#vw19IIENFYb`Qi) zihc0FefDS!qk2lt$Ns~U2bO{itVuK9NjQ3rQ-OH}JB`+tJtl!M(MM8BCtAd48U^#D zs2{fMsg~L;`fY3vajOu<;uo)?NNbF909qTg6;={L{2n@PkI$%1KhaMibT>g`UBdCZ zg0htaR&;{fO-Z}K%TwC2Y0lPF7*QPcaXclFp4mkY#`AD~8O(}A;+18Wty4wKoCMS> z5)t~mTu)C6t7wo#kaLBQ`n~uPB_oM@aanclz)N##3`CgNkmGF4P?vihctGUP0b7(jgmP?ysTwos4C?B`ITl*xH(oHpMLQUh4^G+*3Rhv|XQ=a^o=IE*a; z#*fxVj0Nj+F;kSgPqmq2BI#79aos>c@TP^b1hj<;G*~Le+X={Mqz$8q zG(79%5xP9BWY!gDdQTHbg&f#p0C*Oi>o3q>Ko1BCt_9ss{LB1w#VhSYcH&#>n|}A) zP(6L#3MTm{B@BC+#S75~Ck(R(t@QEBq!?c1)90vJ_4;+=Z9z*pxMb;$V56a&=jlE^ z_kg{@unJ~$M@nZ4_8((Yl+u5ImQ;Lgno5`7Sc!FV9dR69SEkzT`Sy@-)SK; zl;YzTJqknNuJNk}>_(H>iD-s(r1NPn=&bGyG~JJR{`&bZQ~KvIw$b07-B?}Sz}{Vi z82qdd`$#3mW{4Gwb@%nfR|YXjY60TpZ(kPq>)!$Q6K5MW`%0_q<{!q&9_8}o>z1G5 zYOA-3kI^5BSB=@i2_$?qm+Ep(D<&(oOT@pGtOCEq>s|tS&pFWG( zx4^Kqt5TkZ;Yr$xVz)y=CbeJ?S}<<@F{2qZuaHF$Sp|aL(6cX$sVQhKoxc|>mfXNc zsKJ>k>gFvx&-OyV?MIfBda0n)&s=)&uu(x_Tj6V5`9;# z9q-xI)&+`QeiT#xzxg|AdGoP(o5$+$yWOx=t@1=4ur_2rVr(L7VF963c%D?W(}5d> z&d)xMV=-pXRL#Y}UJmvaJ(4y<;t{c$*u2$8Nk~x((2NV$N|P$(MRyq(U4|XP8TD<4 zS@8ZJ^(AzStX4Qv<$yg?MTZ@Oa8G^11q{VbygEhW`HYuQQ(x`iRQP97vb8vQ|nf zm^J}Uv`5$xBatwGKVAVwan)fLL4z0PBwzpxw>$|s|H<`*lLT{;@#~`NxX80!SaAP}$3v0` zE-cju$S?;Ro`LDo{hb|r)6;|=KG_%}^@0KWVoEr4F9?BWL!tCH0rNgYJ_Q?;iB#>M zN0bu&WyecJ{m!wfVVy}kHQJs#mSt?{-N`*TtlETg1uCiq#MO57JbOz;7eC;bKjHhn?17X&(8i8uamWBB`4QOL>(5}=nn}xP($=X5 zghs4eY`fCy{A<;f_yKgc{b(%Sr_6xx^tg1Pa%3_M%A0c9(Ar2lI~&sGNp!aCn~6*S z2A#uiz$o@6rNQC&*Kn5a&-^4#rG&5N?ZdSE5xxUE5EA&zyF5ny-SY;cQ5*&{i;xDu zN*p>?XWg@=^k?=xqyEhqR&4Zl;1KWe!be_jFrGa_1*;c(?vT=Bc-CUrdJFSa$Q&x$ zIJOK?k5-T>Y?sP98+OR1J~%n2uJ|j}`@v{TO?){FW-L$kn+%yICantC2sy?=g#U3VxJB;>IX#-tuCU;%xF#9B;3=z4pP+&Qo0TZvmetr z0RM4Trv|WMF>Jlk{A%E-pOGWsr_V@J)elafkwHVe=^fOH+g{WbSw35_Ntp3k0ydi4 zv}NR*){D;gq^CRY3dHYW#n<=tX9TCq0nOS&*X^pSiJ)y*nB+_x8fCkJESJG7W(C`yR(GwV)N}o!RQ}vjB$Q+%Z`MR2l>tuyVMC8FFm_j=Y8tQ(J{l ztwe}GGw)pi#Wj9tFG9O%iy{2&2AC!$?j`#ssx-Xy6DNsau<~UyFT!;?6m~o>v`jWf zhvD!dK2nQHB zujc0sCKhOFDHo|>gLwvF2o=pM*HdogTj;I z-2Lz#JgC21#FhFqu=4gjK@g9rSWUU}5DJucV_CtRfj)hFL|oxqX#NOofkWyUPEm?4 zzw2_e!y4XD+W3BjisL;>6HyEM*T$s(M%xfamwH|>zxunj?j3r}*N;3DJj9bEMUw^f zWH~qZQHWBP?$wG1i<<5w7V|*#WsGj0yhu%I2G;>THz`}!;q{1XFatoI;0~T0DlYJk zu2Gp|sE!nykhq5};$l_p6ByB7qL>hi(J=Ei3~1uXVec8J4E)xtlO6{!y+| z+;I@wx)wn?Gv@kPqhixYh8%`9)0)_ngzj3PW(DKA)EFB{^O~|+g4?)IqALK;nV33J ztl3hN+^$x%%LaT{&`{J`N*#HphtE)gHdu$ny2KxHo z?id~hp)&PU2<0>j)`$$xS@rtAKSIACS9v}4A*>`#ZNV@dZMq{zvW`UB6@=BSEvLp@ z1tXR$ZA%J_jN|d)wV9>Er_lP$Fx!*PFB(DZnc%$ff+OQKckuev)u=F|MBA_E>%S9iJG)a;y< z@;7!=i(xAWM314@P{VN7f?C*YAS*&It{!@9HVyV`;*J?VtY5@<<%Mw;vT|$Rf~#l4 zHqFA}AEi<7!e!3_V{To%5*b|%CrHqn@MpfV9}Hd>Df#NMT0m^p z@_Gmb;p3R9`?s2eCaE`Bg>(9BUD~R`o)}ve|3}ED0feRJpHQ4O&sH;X;k+exqLP?5 zVdBZ4oay*0k*u-gb^JuP2Hv&7S-PsI1R|@#kSPGF$?O#T8ri8yPgeUluosl3|A%|S zgR?JZo3Up$1z?_pq`n44E`#9*hhCFqgP?2AcK z3t%QPp`L!vH;kGEI!TQ$H?!w2PWhW($;}M>TMTb?#_RQvR}Uh!a||kl!|rcCvj%U=TY+b`He=v zJJ$h*t$v4;@Aye9+qfvg8;zykrhNJAyppGhSw{a+Uq5a#lNw?(vGmwTbfi`{^o)=Y zSqmEo9pU9l`Ea}^$h`>`LD0XCR3i8iWPr4|Xde_Jm4E!or)l022)e6=8R#uOgt-`{ zt&#eCR<{rw8kxEY-LdO2W7!6D4beYi{ohS_)(|nsXe97*OVm{uzKuZ4q4b9qjh&G3 zuzPMwT_2bcvV{@MNh73`LsIOLu*^~yloAgiQ)*$>CfyF4MQN$xJ$z2!bT@QVWz3 zwZ(BPR|~$KFQ_H4YU8cNdZHv0Z&l!(DeJ-cjWqEhFR&0dj(cV~p{u;1g$_hTXxF^7 z(R9n>l`$9~Y;u@)D|XV+(rULc*t+X4#YK89unD-u^^q7FX>h6WJn z<2MR6TSw8=7=EImCHTqSPLmHS$~+M>uQP z9bZ^f7cuWb^%?Xok*K@Pl_k>B>_yO9_eA)%HCpS}coep&f>+l*vQlmm>I*Qc`ey1c z+@;`a@|+km5BP8DyFyq?j0}~3R=t_6l5%GbKY&L90yu0{eJpaU!8XxOS6lOXgd2HZ zsk=m>jLy(S0#+HpPZ?h70L7O3JJfADQa|;kGu7tHU&+WgH>VE{(^D!xY_&DQAT+RA zAl3dg|4uE&kGu>8``T3O4b2CHqlRngPl#GS7%B~4+9ITiBg2yh7(z0!#k6H4-#p(_ zN4|*h2uSO}fY+U{%3*AyL=x~}I|o+CZA!(@z|Uc;x&>vF&DHV)sNA>@Oqz*pp;X`e*Yef0dT6$ok~B`nN#Xj4+bVN zwxIS7jEx8H9u)*v1Xz*00aK@Vwz0YzGlq$$CYcD2gC(_$)mGizR4bB1upH=4lV$_* zVheffI%(fV_!V~=OUk&lFg+LEb@%}+IeBMBn&JS$3x)LF=Bxf^?*hiIwqnJCGa^CW zq%AcoDFzf$2UI|lAQhK*i7Em+ehpy2WG)z&D7bGG-QVeWjE8O*^OH*(!RnkEKTaX# zuiC6sU7 z8+dD}!UM`FxeDF?4>84CmLJ|hx@Qo)bC=n9)Uue8wlZab1Du94icnv5A1w}(yW|3c zWp}T*Y8C*7F-kUQ#EPCXH$y5xI3-N=-k{=EM-P{AO62%%h?&&AGD3%A-n;SmCW?Tq zgf+wqHcSFjO|xquvTK|YMw)HVJUpH-a}IERMX3k8p0RxB z>1+XBD35_+54If`Pp4)ak}Y81E2M1*-;VE&sTx|2A--iJ{UQldXTsw+*_-+2Ex1rj z#LO=xR=T7Zq3HAB9mk$fjcG^La|1tcuHwR74W5d_ZbGLmS&K-+?AV9{c%vpwV9D)6 zW?e=(&@qC2^!U$yP!E)R;OGCDZd3C(Sr!wqNO}J~ydC zInUP^wsy+nsS|cTF%o{{Y~{;OvseK1`wfl~X#~MWWDxzS(yHGkM`{>$_85kG>oqik zARikGHZDPD?YffMjbZCJhpj<;#Moi0ir+AZx?`8@I3^<+UT7m04#BS#NFT?SJ)$G^ z#VvdQXZ43+XdK3R0y%|Fevl4ZM?3lIn|qbHrsqw@tM@LcXU=giKFDL|wyCQytN;FB zZ}56Q>_coCB~u&)&FWzTSY8Z%#k6H4AAE_g4w+!kXCrA999YED{IRjXe+GM$(@Mm4 z!V38W#U>zqZ_iz5_{?1RF%g@j%GFjSo9E&CCBG8Q^gq3*)K6orh>ESu&KWzJgu4#3`3n@9 zvkrOOsn2~ThScDotpjGV2j&T}shO}DI59uS?DC;42A%7C_1r&ts!!S`nC~go|Ktrg zIP)x%v@c2+$qIQJ0pvMEACI96L1?)fRf zc>*Bpdi%&$-Xd!J1RTe&oY%OO!7by{-Q$0O5HohG>ij21;%nT=p#cK*MFSFFXO6bNeWJ|hGwoTWBcEN zuO@B+PP|PWObhZ9o)PFJ7)lK>J#2KZlh_GGV4r7NSwjL1$%ect)Mro|z#JdnZPaaO z)d95LP*AJ=mBn?y2P73u#DM11yO2Z5Pz*J0rO)Fa)c6U0c2YvwXBK@1NDn{o3gFem zdH6wyXSf5|R*!K6FM!HWBuxvk8qRu{2X}tqK-g_LfT%j5Cl@L%^iLS$0z7y|(gu>y zYG%L)^$#o?!0Jd|T$ZyQiUVj~7=Xgz*cgG2q20bX3_Y1<0X!N%7YTPmPbCQhYQd1g zDuBBoX)a0uzh7$xHvm>GreY`$K0-y4*;&KU0;ii0paef_KTSs}#FARfICNM*0OusE zI)-$$a0rA3YYQkt!UWJv0K*IY&VIPIv7hi^j9ApH7G#`B#CBpZW{EHf^{^0M&4}{) z40WU$|Q@d9u=EKN)1v&QmYjMsTuDDUPSB= z5_|42_D-W2*l$J)sfN5}jk5ek3aj}#il$rD66{OU z)0)w|m{$g9I?8}m+*Ybwi&REef^*d*X`3|aEvktwp=*-@D2S0Z_cXTyO?9#RDqzO3 z)ffUz|Imqt7DxQ|0t|&(6X7n-*hJL;ryj6Qj5e%KDw;bn_9|Jpva9n!h8}AR-{*&R zIv_)F2xwc?qu9pHlh^>JDU08pJfLFy!83>G!{r3UCe_F=IG46uQDW7vs(g%hd1MlztN&@3e(MJ=S78B(RGtco#IKH)mt zjN~ka9Gzk&Y3f!AdflS2>(pOL3j>a)~3_UYslLXKxF{6ZUN$HECx%U zQzc#OET+JZRCVn1oZ*{am8s9-G&-b_qJki)V8fwFnH)yv{i0AH|B9Fp4{cUB2b&S^ z9dw4P)8$#3B!WMcuRxXpDn0#hx z75?ym7cD-U(#2tQ^-gJckA72pk;_mtIP!(1dD#I-yf;KEoYSk8$)QV>>f>Zg^$u)L z^M_*TNvLrn;tOAMLBAsCuk2K>S}nE}NF`Zs4P!wsu?~8w!Kghr?j-s$GxtKYPxk#J zZOqG;|IO_er~{i=ViUxUnYzo!Iu)X3UWZ3%e19O`Ys2VFvq)+3k%@iL%@ExPJ%7I+ zMuMZppT5{mDzM%dMq_Mx1V2TwnvPVgp(jrwUwRw5OJBI3l+B>=MM-(XwOXzGyl8_x zT#S+lc|V>*56%O#JPWZbESKYeqP$7BquGFOvtDJXOkxS|sft`j%MO)F2+D4ucf;h8 z`uwkWp84-D6Z6bVg;aNZc3jDIXeEzfQqTZVu%s0d@r0J>8?pHdIo?c>t?xFmwlE|mqIJv?LQ{e(M+F*5 zva-?0jzCNdCYIP-;316PE+|Jv7f}pp{-+z$8!)(?Kdty{J5B)@iiU#^50|mxe$)1T=y~ z3#8%UpH^ODgcg}EjZ88EM`|*E&S4YVXEJ7hWxD#g&{OAx#8D_kwzKdPY6iQZzl03x z?p4w1FN9B%*%$ZB`dwQ5FO*5e4VxBKPQ{?%R|-qx;u~q zuAM`C8RCBaFviBp$xbrp(N zTF8(DdbO!IMoo0^`NQWY)#hz`Jag8yjH`M_&(giKCyc_d)d(@D&yHOxME!4D*kKT( z6a32`#ya}bhGKQkzd(_q5yG!^a2Dye(30cdS{Mp*Xkis+2|_@LSOa8_Y0F67j-qk& zThOBo^#1$|4|~(lA^3!%!WOc$oe3@+wub9}AWCz!8N=4V9>7Y%g4irlY7kRR!jJ|C zH3=XS|7eqJ^TZhh(CtWq+#?l zNo({_NbihuHdN;Yd;;!HyxaQbOA+Za=cxIymvTc%BLwQVQ8U8ccwjE_4cdM}NzziW z!tf+*hH2w$ceF_CWjETxV+|Y4M(7+5Rv&oF^?0^?9LY?`Ga+~3{D!yw2Cyt7n^+Hy zNs)*hGI|!Nk;J%#BGT1BR!lQ-6~v|jUqE;9aXvrlV)U*42#YZ=4%ptPaO5mb>0CbE zb8TxD#bhqLc?dTus_I@J-g4ws`k=W@OStA2CW>?9MdyL%V7$l}x7)E8W_`9{rtPXh z+o14XYj9el1^fw0&zo89er<$!LH(bY?m9dnTsFt^h1+O$1DIjE2)Z>tyC{dH7jbPH z&E&1(>wo@jP{&lM6a{@uHqu0W*fhExky!17jaM7MAu&BZz8lmuDKDKmPUapnGCTUB z=_&J|{#qf`-8?!%!M&9l>tQxufk_A~*3k+ID=4S7f*aTh@3<5efeP4>wW5x)MmD zY*sY!Mm7Tc2mv$J4&w<$cGH?p?XMO2l-J9!SvJ~X zD<11vNUh_hStRau5dWUd-#o3s04tnLhOJ9#3dK2mF|M}ebuM?asagAg+PwX{ULal& z2G2d^1;~}&U!Nh1FPTu2w|~VCgmGDLU=jCD8q0ZzSKZ{YUtu@tm4RCM1{*KH)UC^< zwSZw6Q0y}oVCQBWDtYLV@N^ zYyj}+>2Xi~Wy+|Pzg5P7zK35TM3i@t2{~+?UjGA#g&nTEW%{(Nwn8%vMT)5;0wBC3 zDmn@xYGFz}psqjPsOj%S=C3?#&wb;oRR=L%eQ2LL=QU$~aQT0p4-8o(#vTZn118%1 zXU|`|^4z|9{!c>h3-SAv`fq0#P1;&Or_94UlzwQ&t!>FYuee<%He^9o%yGf5Kr+bV zb1%c^nq`vtUJrc)cm5A_3b#-X=U?kPO9Gh*iN>{h>u(5N6azLIN14ODLTnbPnS>Oz z(1(!GRMtjm2*gwLA=Qtr!yA8|3B%so$i8d74g7~E@!jF`a_!y-t4MxnQB9#*&D#0H zcdG8pw_nm+S-~grzdfcNi@IhlWy);b5%36krJHlrGB;PuV$1n`i10%t!Xoq&4zuk= zn0Z=eOGr);%4{e3x`h&6oi+biRUwCd;~UVYhbx(U|sxBf)2E0k?J*WOEaEYQMH7bgS9JiPTz(!zqv{=+trQ?$B&Rn`&t-rXn$ofJ{7Y zYnurJWTgQ=K3lL36QWV-@C`BtZ0z#j2b#lH76ii##|Cg@>0+Lw+KrSL#8N&s30tbh z(%{HSI4+goDp5KL0-1*uN1qi74h2OpY>mNTMV%#;j9$b6#x_NsVa>P$zt7-j@S_C} z;-^_}RTa#!{Z(Vigg)Px1GxcWe7=f4!yC)l#umH3JPWCFWZ9ZI>ZnV?sI3Y&(-7-T zJ-NGfPY4Xf3EEyy@ufG>&)8m5jE$;Ez>&mh!vfMsl<)9@_#t zY;6-musae9ZAzUuu!z#f`NLtj8Kv|_B0q|j2~S$Cwhq&5-*37NaYRWeg;S7MTFj89 zwRsMn=c`A*>CekK)9S3?^_JDj)+K7??1^ap=<|J9B|D~WmX1_NINeu|YZ+JlS)4a< z(Jy+lMlR9Oo4;R3)dZ3H8tPoMr2?6}&2neuFsvL5>i8bA-%xM&_hYqH_tl$R9nH}vP97|ZjKF6TkK&sw$6tY0q}~d|kvFOd zosd-C_NbfG7t!^TuY$z?K4DI_2U<1<2hdomf28dj;4s5CJl{WtVO#%@B;-1|V~v%H z9G);CHwl}kv4CMe37LkUy1Uh^HGADeDGGf;01cz@lLP7ihn~Xvf-Y{jqIy}G27VM` zmIB&YN8&=KoLq>hri%al5bG?Jq+sW-dp%!TZvJ|;!z)B$B(aTp*@s-aBS*m9Oy$EmD432^ZI7L$^uH_0%b|$cfe)5r25#R_3-y&rR9Zu+D;(clDsR~YnEuXq8Ygk1c9Tu0%R_2#=YCh zXVIp=qGH?!xsz!|Y%Wq03CU|gz@b#L(paVxn@5f-(nyBtbd?YOKF;I}F>Ljj5Ejc_ zhHc)F^+k%6kEV;1 zRxK((CM0V~!lu!(*ge8pAET_~3F(~4^*HWkB6fXVZ&-;9@Lws=xAUO?&1Ys4AVJBn zbv~SyChA=Y-%h5s5x8DSG|Q0r%HS-(WUg&F9%5d()bx}dj+zt|S1;W)M>3MnE-cQG zR5;t2j{-G*_R~7Hji_LE+R?^J95KkS9z9R77e9c-EAgRPJW%jKh8e839vXze#hlm` z=yNoZY-C$FKF&wj5S%cWa^GW|$Stg-B;iThco7b=elBR2NdwL$Z?g^p;j;X^{IFH& zn?`jjQMbTm#G^+r!wBE*0UO39ex@C&p(lbYV3sy!>$0(r(zM$z`rYqAG^Ms~f8W}^ zxk-DHa&L_oq)ux(5+N3&3K)Zi(~2coEd){EJ@5mZOje%UF>z~ZD8pD(I(orV8(+VH zJu1jOF{v}Lh6o?KBzcOIz+>ZTI-0`=pan5f_m23 zaVQ!BTt(sC@IvM22r-pW0=fiOK;exWyWUnnmU_}*D+0w<)>5kW$fA))<87!?6O0mC z%t8e|hc-DkoU|NaLOh#2+mYsC^2+w476Lz-0D<7QLQ7Xhu=@CJVr>P47CL@ugvB(x z>TYb3T3FD4LsL#v2`R%@CT~F8DfV0k0XuV<%vd%)j?lF^i6av#+MH8b|mmlOkyXn@Ll(wC~5&N--8~p4P_y%&dkXAvN*e5k)0kqOCs%0)eU~i&n|S-00|LW)=2XU6SlEieiSFE9Yl*twyO+aanf|8LPjx$ z!Q|Gen|k}jo{m25sb{@C*}kyFlmo>IJvAa~&KjG~*rfp?wkGjJSTj3G>GCdX; zsUBQ^f#0x9?WCEULfY(VYk>Xmn)UR(p-xGM#zBQh1*>Purz9<&n~7$raZQ>IFc!K~ zR@VGYQ?ra0&9Fcfhc9#>ORmsu|slFk*7XNI3{SZ~G1CZ%;`cpYql= z-cw9l+dS@)Rmzv{Mu29akSa5!vvp&{Qi6!G2XNfmBwKaM;xYfj_-@J|q|(KlFMuxv zZ-qzpLo`-Q$NzcRQVg^4vDz9b8HYMo4YP>H#GYze#9PISs2%wDZmSYZLd1-W(+^G_ z%!N9@}TpclXA-pDrMiXSZ?=7sg-wGZ72ho#@5hjrX1pTHwr2u+2RFd=-xgI*Sk^< zHcKE~&|9~9+Puw^vK!ySuRCT8ZbQH<&$87@)I$^_UXABuBXA-DpzRftVD09(9d*@J zsseU|4`swu&3qnIG?+5uG@5mm$LW=$SxlrRS{92rVapU61P%8lex}gZVoSjc7fS|5 z3U)O&PJPK;0_@1&6~Oye9mOMr1tVPiUTmP771GwE13I3KXUnVo#O*d4hXI>rmq7>N zup{fO>x3Q!iEBCo=;C~m(XyFl-$;HBB9^*LDRu;zG&a~4>%$a@Z-i<)h@=ImjEZbYlWO~M?uM(W>=CT|yHY>Nd;F$RJ26p6u3)%2v&<_uW#kz4WY3soMfO|H| z=KWb?Z#R(Aj#eKoiOnBG*XTL)MvFqY+KL5Pp#W&6G#za~lc>N8{)__yZf0{ae-Jz& zRj;0@3nq1%fua~GZ}m|Uo*mN&niRvD5huJ9R3xGSHp$9M)iSSpUL!&@|8{&&w^1O-(G1nty$tGc@*FlUfKyc&tuR&UriQCwVy(y) z$%26eX}Jjr!?^@kxS4{l!h;>#P0)kNIw6|v3{$82=pI76F+B*kDeWjTz+|o}BV+{I zchG)%`_YDDoRnt9X+Tw&GiI21nSawy2yu69r+sEY4@4J@M<#kKHb5Ai&57o-h!fL7 zIR#82cAd;>VH2T->{79kkY|dItyq01$t6eQF0-Cd)1v$y7wg8f$!jK-G~rWzW6vdk zV9i^~7&yVt1{mJ(;Q;r5XW9(h87bXGm6PO;kQ6j4j@ig#1e|7ynhkg*RHHJedMy}y zAq516L_U69IsoGupUIG101F)aOm-rC6q7R)DQlpYi1h-zMGiXhBBUG)EkS*TgrJ-5 zX@2Nx6)H~;l?rNB5BN|73DCrfrXw-WkPrlPG0GEC%!u+d?m5liDbcK!gbeB-(JV7= zqM40!A+B3=VPK%Hp`#IS94QH7vVj|B+_Z5#y!gcY&H@g@BkUh$LPjy|#lGE%HQ;A$ zL|z0mzLC=h$DFxhCQ7j7B@wG9khTaa3pER@%9$RHMa^{!YmQ6;jkiTQDh$XtT&T2) zfe25aSXt6*f!PAak`^qLi zY>*V@E7je$6aoSXjKK|#0`uWk#**yD1#9~;_&})u)+pC)H{>+)(B&kZf*s0fidZJX z+AE$sS`2CFYb&u2em0u~w@xi{R*%yT;bzx05&E=?t`o?1L(6t*t06nzzS@e@?XJMu z$<4%^Dlk2_B?2#nc)cI7D0;`Atit<{9^9+V!=B%cBZ=2twP4fZ?4P#ONqgNYShpzk~9Uj4~a27E7L>a}<9&N?iwbm{osQ10p zKEPM#PB|DWl! zS19uSeWGiDASxU`Pao?fR|2%_mNhHnAyrl!sE;J&hduF}Pq-4Me7yp(mI8 zp?pbgMyf_Iq$u#JiqfqL$l)n^0J{5IHp|rKCvhz05oh;7FkDFU0QA7QO_#wbR!oCh zInbXQiF>0x<}^cpCKY-WOuR+UUQA8BH4Ar+&XB*>TUPqS#)!|S1-Kt_Y`%=DmhGty zw3#E@k)lB?yZ}&6r%`J({#;=tatKZ?$pXI2AHvOLE%)kz3$#~Gm}X#0L+0CU2dgNC ztru8w zE;%%TCINW(=x-K4!&k5*rUNX*ybkn*r}zM2a09pqoq8&?BC%twaaOBaL8^~Y43(m{ zoo^^9S;l3K92;FQPc9&HPG>Hc5S+9{Tcaj;^QgU#mvJ3L8MvzRctA7k(iU+AzWh+g zD`4%*Bg5n+{QHP9|;Y%EIUDfj-KzDbNwgN*b_{u${ngLlRybZr0DbKH=d@8+l z7UIf7(ymP%;?c(IsV-N_&zM!_Eenv9gJoqhpTs!9Oh#5S?opZ~Wogc$Ryw3MrW>|C zwjSDHtM}1u7oAGEwEv5Dll;0SsV; z68voYxIjmW>5qzKa03M4-4H7nKlneMHLZ41EWA@q7{<0@x3rRYuX~?P6HE2NcZJ%} zUC<1OCKwY?$ONt$4$BL-G$o2Dal_WX#Y=)nrC$G-3`h%>Dn!zVXt|MOiJt9h77Ak( zOi2VGVHF8Iq_~uhCdjigEAQl+%ChWLf+0ncgG%Tb0zL2}+1mlLX51#E z@tMia8J!E64kwFu%?p8MsDRc2qYacF(*@J7V6vzRBJZWuM;kx8JGg3>Xz zieV4i5cG!pyXi$DIc&Ay=8elNyPFbgd6ijN*Tz7gDAh}8v~z@fkaZY>lDh72jaNyN z-ftu&fefe&eDm-wc$nPEs(LUWP@H2zF-ssLNfGv*r!NE)JcVLe2ywlk1m$*Iz@9x# zzICyNnC#eFA)`>jx5u1)P{SxTXC|IsGe}F+ziyuzGtoQ)P6_y?7u@RW{e55kC?>W( zhbmG6qP~g)7v;Qs(4e=J$L@k#Y~gUj)}#2&I%dDL`qN!te3C4vmL?CHhy}pvNkkPG z(1S2E%v$Da^p|$jC6^pj2Nq&)3jtZLTMIpz5Ei8(24d~0mn5qNgH5S;v(=al$b91m zO%#2_shTPd0xLG!Jc3+}lVe~sv8%rheZL)up~tF>yU^1JkRc?ZEKLW+0hp3U>0p2x zwtfbrXc&Uv^nOy)z;p;QvHojoHds=bi!DfK0JZ+^216!gVk2MhDYJ8l;+pde=gwX63P{ z|8~F#mKl49fdps|Yns~zMz+Roh-oxdTPJCrsDIJm$(f5KevI!ovn33&)z&09f@U=F zDFF;y0a$JI#+HC40m^EV~m5pF77LM%^ATavKmFhbQa@8I~bi{BRl4WuD<$ z<9b@a>TW1X^FqL;Q<~vL1KoC-4B3&*wtqPaD$YVOYur$}T|j$d{68ViCIs|CCbSl2AB(zpSXNmc^jOTm`H)YI#CQ+GwZqq!PR8%D~3BC0I!g)gy^4|ZHjTevaom3YZjRlq@fF)h%$%GKo z$4>*ZU&FDGibWZ3gb=H(=EnY-QlI?E$bNW$QBn?uo@{LK&OOG@#9ESf;bUoAmpGL( z%^0TvesGlp1zzx{958MQ?Xa~I{Vh_<`K;j88$FHtkY+%nk&4`CJ%gV$W=f)oXaXU@ zkY+Boc}-ba3Q{#ffz`(Cvj%5Z${(NWsk2}=&FI#WxEp#>A-3EUFoMu9xSAR?!&q=l z!hQDF?IvMC9R6-A=u>JYw@DbZwr`e^0MP`t{ObR9jtuOCC>aN)?a`ZYwO#ZJk?yQ$ zk#if(K&DAsuo)3eU`UV}SLmsNE_v6&g~d?u&6_x%pjCHPccVjV#o%V2@xp`6RQCPj z(6y|`{65oC0XmPD)Dt8F>I{(v)ZgRO9QPC#t%|Yae48bzf8Vdop`eZIwkcBYjxW=! z9XpdIq>3EFvV0N+bcVDzJNhYD(q=$meD<3RQ|0inu^cTpOFv*exjWy;wO#EW zi8hMRIaELA&YW3Sr0Y-|PfHeh3LX0Yqwa0ME4j)u!BeG@x+KeXNmkpkG5AOp=DNXf z0h2Tdflsn*WXB2DP8&SzKrW8Q<3N__43KpQFh{Zs!hx(XPS%-WHy4MnjW@}3X7kJd z!$Yn;ChOtxWP1{mWp+iPI#`8&4b?Hk$ncfg2i4~A@fCf_iziULHFn#pQta{_V%*AFe*&;rKd z*fv&d3cJx|E0AMXY))W4*ODmdahP~04tS5#($&|!1(O@f#MoBQ(Xhdr!h}qq} zC{(jp(hw8A5I20nUsR^nbbB?5u78W)lEn%9%6;T@qNnHVtlfhRNb5DIv(*_r%^ySq zz^rH{JZ#~+kds5{bD?(mOky=m42qymuAu1u-|Os9pDmj$Nv^aGW6eUn&_o;%W0-+w z#Vk6}+ap^gWIfa5q&bw+?uWx~k(E1*jxy|43+fD|h6%YMIh#Vh4<5!(ZR2R}KQ}P5 z@9SG638kRnY4A&dr=MC?=9V2l*!ensm1=M&JJb}lk;H9JVP@v=x-;@}xMe-tn+)wm zN0!~PkgXD6RmgxNzF3RPTX*t_=uAmK=&&R#nCWh%`#C`$5kv{3RQt`JRv=2 zu1cVqRyWbDmbTQM>L1dsSv@h2d#69qVCHx=@8!;@SF39osYrTqFB2(*XuSl(AKh@e zk6+{xL3E=HJgA#-o3^YCcH+7~%=sFeHGbbcCe=Xp?6TxNtF@IYM=^I2qhhMXR%?NR zU8jU2sQcJYg)&E4!a>goo^s$zm*I@+uC8Q+(j|p<4Va{kO1|sOCe0PjhqEHYjR8hU z?c!}%U9RN~6D#u#f?OtF5PT`)P4Txja8;+=g$a-Yu@r{gSG!#sc8e+7Evk-ywu$Zc zG@61zB0CvQDlj?6fQ*m7QZM>%eA#E%%6KhaTbovIZf9(+4MRCJGozRhmNG<8Mi>|G z+J~+a;};GSI78bSmv&K`05q(yizk4xm#v5&g?ZPhVKK0MP~6#!j4Mcb3WG2bIQwx& zcsVv>TdrZ&fg7HaoSI5g#R)w?8ziGy#lyg~&HO-idMvB$T6SI(*eAfogssS{oucIi z`T~PGSl;Ex`ha5(P{AoY%2c-Zx^{z!06Ob{(WC-nC(!CQ40e)UE*x9Mvm-Fna;;I< zWh?9(`@4?=20_vcvb8A<4z1pvk&!3lw&CG%M2*1%ed?J>ty*t352_bLVt#wh3lg?y zJ__(`nsfeig5?ZnZxz>(?@^NA#yqzT zBaPHRK|U1)(InbKUx;RHAeJ_q?8Iy+f-NDq%A-zAEcv#vt^C?Eo~M!EAS|=rX%KaY z?t~w}MTsZ)y9<}$Ik-P{cf_q(!ChY5O7*QjPtV0>U+eL`N3H$@4|&qF8)z|h*|88& z3D9m>qySvMzc>+^SGx%}O9DbBfTI}e8CZmTIkVKJi3Lkk7&Aj^CCup|2WXBUP&;DH zQtEbaOEj6Fsuy3QGt6iG9j#;gkg$MFZg?K<&mv*CEnFQ6j@2M)O9gjLivGx^n*5LV z`r*+HTaNo776fls3n#zoEz_Tz*%@o3edx9l&#G-&|3t`S<8;r=Mt^%w*k4ELA}yO^ z1&hH}Vs<3z8mz6H^AxTEgD46aa=KU}8h_(M=4&9Su%xMGiKzdopp~%V*@i!{#vo9`fA+rO30Py)CjnY!9cTA?rg78(?Jun zo~61?n#v^%o6OiC!xAG}*lR2p>?1rYt=ZlQJ>H&NNpcQXu*dJN$G2rCd^7`tdSq)g zF)Qv3a!Qqj%*-a?0y*bd2A`E2q_y%T&I!B40bGiS)zutOylT+?dNsq?)cj(^ooi~8 zVz$We4k+4!Z7zb8xB)MwXAhl4HNh&$gRS)0QGA{YZKt-u z4NDwI{;@OG5~LkwOckfVZ%SospmUWr%JymO$!Sg5(UYw&8qhLj!TU0*X-blR#55JsN4{S zU!ST1zl!+m(MH{fBi76XA)Dqd6D`Ah4)Qvq^;^_=2ESKN(7g-7j(1ExjJmA_t=_Bp zlUw*Xu?g~wrp9I~2JzQ?FtQo~W4nuhi_0Tn1E!FTAdaipEP@1sElP}N zVs^WS!jr+#jy0=4gJ6f>@w62JwH!Pz3_q|Qb@dha>PX~C6hGbvvu@@07=93i^_-YZ z%jR9qp9{pzx*Oy}d}e0A(l0j`Q=r-~$s+LcaJ|xHD=$eKY^m8aAVO@`Yy+09d|#l3 zVZbv1MA0+N1Oj3AywWymjcj$0Qw0Z@H`oLTU!(A&MY;fF*Bnk>9;i2dK*!-fKT;pL zx~G>&t^xh&t9wR2h0nbF;z#}DI-#Ku%$hq+;YKQpcI(f3Z6OwAvu&>b{2{xs2e0^P_YiOL;lU$roaXwzd{0?VH09LN?#WHL86`L8f>^ zl!6w4Z!U}pEX%MDlGS0d8Qv({Ht2ONiw)!PTIHE?5@|;82=XR!ng;Jl(Jmo4I5$R0 z6{)TMAM(zfPo^xGY^E;V8DbbN^bmP}S=pcXi1b+1${9-LTF!_v)z6|#n#nZl%T*U= zDyfapiqcoA+trV|6{u|#0)5wFYr|*!YbXL3)NZQH^)7Q*y^SPK3=ad)jy^yPrv!*W zGl@7i8)UQ0CPjng*?{_pWxZ&p?q#vr^cn)Ue~>hwT~94bRBINK0nB?etBeh)9k&eY zOVUbo>{mXr33eCVIZuh%s}8A~Y&adZ7q7A%SLqsy)*v7y`s`ePh&Cw35TQwy;WbSd z&5KQkd`pW;ZuFi|t7-Qtmli#7Ol^b@VF`Nr7P1A)anU~1SB-q|~nH&XjG_|OgGu)BLG zQukkNL=#&FkN%j}y=`1dy7HsSOn-~)9m9Qabr9hrUxUWEPVA?DtSdIyn|MBL`_*yy zbCHZYIJrwfNMU2x^#gerUtJ=^)n(FFl3)f+-HjIz_=rhzweuHL#^274iaI*gxxXm900@aBBPG%jG~4yW*9M=PvSdDAqI^rop!Jr z=teiWqr<5DkGU@i^9xWaV*;$TJ$hbI4 zti%-Ss}vzoI3&2z#=M3;^x{m_AtyD$IMOTnBP?9fu)ooP2hAmP!`@)AsLEZ0(rU|L z>>!>pd%&7B7bjALjc&oMKN*&&%U2hD^y1qYR!%zJ)cI3JHzcqPY9>&8;js#Yqdp&0 zKoLEmaO}IL6a&bz3pNn64ZdLqwGExD=&L?3@lVuo4#TH!>OlP15?`hgJiMghvkyg$ zhY8p$t~VH}p(PkmeS<07)Rt0u0@gNTtl$Wnj>S4fL>a8W7IhgikXthWNHiE`K)|&Q zB=Th=3EpM{;>;RSQf);nnjDp|0&1aWg?QSi1etV2%Q*vyN-ve6PT`KF?_e|7tWb{$ z&0Q53n4MU5A02NYSmGJW?#x~R0?OC|N{FVBNKL><+l>T0Vp+SHHs``ZLOI-;=z_yO zRta$<7D_lHaY;ro&NJ}kB9#*=XVH+WhOB4gq^<;xBVi`6yA<0Vwt6@kGxF^Rq*b3@ z15yn+0$%_~jaXJe>f%_92@Q zHAz}C5jTwlS8zO*$4Nem9J>KP?4COvXDmU`j({5%WYUZ)@+|R>=b5ezFnihv#4#Pg z7bD1RJ1ohZVreGoAV8n&l8K`W#rsKBy6X}%$VNDuny3#VK=mp>XhZ z?NApeSS-N(U{LmgIAZ03%4rMFJGF31O<6`EXEG^bnh_W|a~V?Nq1HVJl*PC{hMFK( zHS|HIc0*;cLZoQc$2{5(g&Egi3>AtB``T44-@4~&&QQ>?D9+j%0NR6PgrL*4=7iE# zNrEPB6jK|Js+dt_C1gF*~n$#>yl7dN7B?*(&&#DpiRdzHV2~2|n z&~>A>4oe!po3y!vNSn7oIsp=pc6M~qAJO7Gh8mNmDIN%XcilldNz@%X8HNb!>$ObY zwUGo-bcH>kJ!{8&uv4=-O_e50DWw^~gXutARp7DGMYSW3G?P@@Y>&4)W@yL&zKuF~ zy_I<3@a`jldfC|^2Dn^Kcc6Cn zCUKwkowoNTQsW*mq_o_Z+e;*eV^P9(_R%b+p&2$~&4kBYCG-c3D2JAqIo4q8{aO~# z64+f*BgDwo^MTp(ysc9og4v@Re*3ExKNSaw6xzRad3et)iz!5?NF`&&rYg8cLE&iOkh&=^)`{cVlrL*+C(_cXrt@}7&_JIu-NJ*vf@ijmdZ(C7b7Ph(t1GPA9u`PTa#O5<{ zj$XOXR+cFhMI(Ez06Wew*we!>vMg`Il`S?HM@!DiH&kKmai>+Z8i#t;4{?DnU3QSI z41_Cdj0A!m4*j&Ul&AS*lK~cZ)-WNv7{>3TY1;<3NK5KQ$W{ZgRKrk6PAn7>e*j2R zYf}$6>X`?;OxbG1QDaN`=MKW%+XSK(7FZ)!VOxQwr0y`nrqyJS1o+w>xD%TKi?P)S zp?ti@>S9`{-h7~ztGZp2IMEHLg%3z7Ogyv1ohhzfq#eIu2|OF((QKXzh|1Nmic&)e3KdOuJ6qlr(iV z6MwUYF;W@TI|3_mPa~s&dcX@*ujO^HY*nfsuZq49-*?@)BtBiY@C$)47#y*^2IIuu zBu>mPBjSu<5l^gR*}9TdU`~86ZbWY3lcoJ2(&!_&NXnjKXguBrGcZ+cneVcCj%ac<(7JX~lVeyiBi zXa9a&JdDlmSR?q^i%6edQfBjI{@BabR99qLNRKRAABqDg7{sPxvqK@x1|;#Uj-?_F z1Ja1Ogp}4x%0VCMAgQPH^+r}HGeTIouhkRZ#f^i<;Z>qh$C* zwj83rRq9K;>bUX|d&eSBM_){JHTby zt4SAIg4K_Kh{%ZCScuM0^Vee*ek^0pDzlipImu^hb3Sf`qh9oG8X+uO^9`j$2bjc7 zw8(%Nw8;h)=}jvbC`T|nC8S0yi3;`wIq_lL`_eqVa6f;)Dpjzf)8rTlKK@q#y#yyo zV)Phy$!q+6u1oQ`vIZeyCF;aDF9+-GAroK#K$Shk2P)jP2yOQVwfkUU@o7~K%EUhO zXq9X0%{3KOfQGn>X_=mMxNnhTR?Q}JBuaUqfi?mkh{AiB1E~ zYNbPVwv%NFdf8lkTIX0~xE*DvK7MzpC^Q+C15N5;Z3gJkp)b8!UM%FW{j`p%xNvWKJ)^v@HQ>AQ)OGCQ+z z0bhwKet^wxe8*G$NU%A{50^FHXMNc^T6?LpcC=!S4PD0@4i(_JA91w3lbUZt2O@i{ zK~jPc%gDnByP2D>$nxR#y6T1I>5_O;S@YHr4fJ48>Q|2?5xx1}5_OVz2B#yuQ z-@OE*E)cT!qS);BV$Zfe2ieDQ@5cM6YsywI+a{=}5E!4h2<~?tKk{l#M&T|cFvDeQ z9+P-h7!X(dau%wr1U`nAbAY-MuyJ4};i$bx+CvGljlr!>Ga3xi>3TL-B+(>2e4aAl z=|HU_(^-3gI`QUjSnJ4EKo7L&^GdecQ_5eT7uEY+*C`Xnjlzvv6ixTOjPFdM5riz; z4G&Bx|Bden)O~-CIyN}D=OS5Q(2-bI(B<08Ez^3ryP<^gTtKsl)qr8M z8o;2~1KemTqc?2dq{Lq7kI;Lt*b#j{E(+E{4;)6R3pMROfY()V$U^YIS@0 zt@3xUY^?`W1N!tbU$TptXH?_hv1Ky3^Q)#OLpY;I<>TO)oJ$)lbfgt3O> zW|67sC15u#MZ2@Ah!imn;qFn<5-KRr@%vLaIxsjG%%+@AzIIsbUD4Y}k>q2oo37vm zh$bMzOxNWQX&BHQ;x}k>^yf;ZSLaCF@;Qh}9d8L;`%1?lSGp6b#jO&?4B9<>CR+7_ zkR$da9{xorGhhq{07B9^XE&I`DK9Ws@Vs9N!{Fc}qC29`kKH?(tr{hJ zqM|Sr1|F~nd*%{$V*u{9x`FaB+cj}F?Hy=?bJJ1Rw3aN#U{~R%WlC#}Ide!&^4tio31ng!li|6}X2kFHl_!v)1hCYt%hodaYQ0 z9#8JJ{t4DiJ5c9uzXN4khg*Mgz8e2-t9}2%7NP$JrM~gE_THn=&1~6};9^-GQy7pM zmbFALk2SDspWjJ>Yvb=~BvUVmi#4hoIjd@3E7jwum}oHMDK3&G>EZJt6aF`xI4PqZ zIgDEWOTq0Nk}AQ>-i+t}H}As&kt?H#}HFyl46h1nOxZai3A4cK3 zlLxczVlPIM0SI?WKA{5LQEQWGmTUNNAiGGlF5Wp+*Z_Mdj)dSPgZb zG_RIsvz?6)nJwGa!Hl#~QX3LH8`=Z+?@y^{enIhPnN23XMsV#ZG+-bMnh3jv1)OEc zuGwEx&=!|w3lauxA{!9oGggNL$|*yP%%+|d(3+r38Ivv~aPi&#popy%o{8*M~s1r*m6;g}#pFm~TXb@~$`aEAAJ2Vj&QWXe)J zWu{;-&SRdoe=z%3@q^Zo3#E0Ylek1u4_mOBucd^ZIoc0UMjDr-M6=Jt0_Y;h>ay?o z#ayYLAzx}cm}gQ^BqHYQBrS_Qu=F}K$(Y*q+v^i^I!QD>j#>`z9WpD`-VMFXj^fN8FqnPot1VNJ1(;3c z+C>bFa3?Mtu!Y9Mht>!A!jzc~)H#3V>1%p3zM8mYKJbk%sMnVI0zMA)SJx}Q_mD`T zXo^hzPB0^ggpeN5x+|iW8tn53Z1O|mI-f$D_Y?vafC=D|Z3YYqxf1H^r=r4<>AM*T#n`V3Z zBX3ly`>p2%G>M0V{pD{?gxgnMi7-lRAEt@_Opy z)M7;_Rt`DO$VPK#4xN$n)A+jj>Zg->JPdDsSV`>(tD}u<{#*P|!(&TwsQ+`)R(G%s zDP>+LN}WK|_83#bU24N6++Jrh0!%&$)pc9NvEqzzfXJODcPrr z67kx0l3)6bXwf5>QG~%2U5nMI9EC@troFnwAVfNhDdtPpGrDxsZ686Pbub;OC7=NA zI=4$}ci6|?WM%JxNvRD`R$`2FpTGKXFzTTv^*c>eyVAmMtYtxGGb8EJ0c#-Eh0x;a zh~y9gpBXfXulA|~zWpIFk3lJ5D1LqJAj1yL8IUz(a#trZ#C<2bxNKI}xCcheAUTq< z&&nlOo`d5~l%NWamamX92GUMq9Y6Jnsgvyl=!}tn4lYKJ7s2hRqGKO zQ`I)WMyd*#rZgJoSplilI#W=s8Puo(GorHRJv8v&s3#{IT(|Lqf9lCqM(>=1b>mwO z%`1I`dNnrj_OR|X*IS)tCVAM^1@hlyYdt5iSHO@(>1-xV z8<*nw-zMp=#&!X=N)R>lknn2Eo@h|?%l1GLFe380zBOVb#;DHUn$+y#r~ltHbfg!9 zK>f+@dOIr*e>7AZZ&6xKx}Q#_+4kurPyOa4q2VnY*}L1FbrZ9SEE*d;Gda(QtA=%u zIjBRIm^M~WpVC%9xQ_`?3J~scn2gzcKBj&6S;-3wF z^EuvVz=~{W8p+nNCdNLNvVm`32{P8PoBz!D!F)OB+tu!$E6?0_(TOld^&C$_0rHjr(LN;d+P0*4|!LzS@^x* zMcHq;h5~G~s{b<2$3U!+JZP}`ozkfzJ6bKaHLL4vfZ*W{`?-_-C?9oh6tf@w)w0@= zX|k{I9gnWdm+#%JR1^5>x=)^~P|3>J^*C<+?#`?JYPqCs#wFymZI#eX20t^Qyl$qJ zGo*t^YATVWqbA4wYc~5=QKKP^2~1_%kj`dGbck;>NVXn2=%kcky|%E$;-gh-Xi>10 zL4EXcr~P}%^c(4|e8aeD zfZy1q25q8pM1dgGqcz+7;M0;iE=2=L6uT+(n=%&hNfELL z2mc4*V%$89T_uFk9xER=RRc`cgFXX*nzR=t@L6vL+}&ef;vm6lhC;z*J+>)1NacB5 z=_74<^tidiJml@$~Nqov3&HS3ZN^rML2O`7<|fc3J*CW zqnOfwlz4XMmptA7Bm9C4^)`Z>12hNd&*RctS}zHQqt)!wdZMZ;V&+7HVZOLXnk2^d z#P^jFW+*g&%B7jFgbV*z1*ZGo=@XxNDXQ{Y_;p^e+qIYMdH}GH(($} z;xE+-2~A+&MHB9CgsQcu*P5`N3D46n#@YM&Gm)&7(e(vo6FEo_D${J*RUpCQgc9XX z+E2H%mp_H4`vsNC=Fn4yqI!$_80V5Td-kQ~fIEVG^T0Hh7?*R&9CUDF4|;Z3ta3-= z1QvsJBF>ovS#iuXnJha7(|aqVQW9=PF0d9^bOT-CIug@r*1hkFCxEq};#I~9=+!V; zO~%W#0|uB3R;WC_{WqW-RI1rkzFKR3Ek_N*Pz385f66YOq4APl~ybP;ex~8mcDwLO#ZP7gr+;GZcE^H*%Ly2_pi9-%G(mCoD)Qs5BG?J_Q6tnYQ+yy6@ zLO0`?fL&&4U}N!As2=!opuFo|Y)tQtLw3GH4ZB~R@K15s`Zau^M^jDCY{oNV`zKhm zWodLWi*;#v;LtYiw&Fq7A|udQZnjaQ4_*~5>HE}X!$w|Yjy{NUgUJENAbHkO#_zmE zPzw%>`XSHTZeVQnlV8OANHjj_egw_&7M^Tl!29LFv2&-@X^zm1KpJsnnF52gHI}XQ z^M(uuo*^U|{d*??+3VN?r(GV?S!C+pzV zj$e52@Kp%BF6Rr<2M*bQVBvb;`csAd%-z-$d8P?=PS&ZR0yETJsK8bbY)ktY= zFf5}iERK+biEF-WnphT)1F0xiXe7&F7d*mZ`QYi2FFy0}PK7W}0xagrW-%;V8W4A# zH#VCJIR^r;Y4Tdnz$47m!7dC7nPLZ=E+;jLr;TbjY&OY|a|U?^(_qUJas|mow9p-j zm%*g_HoG;;TO7FiMCf2MvnEn2!jX8}Ju#6pTke_l)v77mb=_?;A)TMbra3~UZMc$* zV#>`5HRNi;mWuc16TRf9hM&uM=Hj17^r_}NY{#*g?0A!Kj2!!cW4siUJyLNOjF4)` z5qJqcHDXzFm{iEBsM=|3Hc*!;O|z5GrOAIB?a54_TAkUx4-(d}*iy5pkrB_Rpc>wp z(-qlLQi6rf85zZtn=SUN%et5prx(c@z=e^V12ne}5L$osp)-!zeP75+%ZG0ZWr5ef zyII7+riFc&J+}cw4R}%((@<$D;1V44&MpYmYitIaVBLhckIl*?gaAs(uslQp{o zUWxfb&2*o7eYIc$WPJBnd|bBjvppp1ppC#J4lACdTCQ$|f(gMefWw$_vsLpD`0w=M zPz`O6GY+J!Sr>@~`3I}l(ih3xK9@V{n%-|uG1wY4 z7R$(UfX1c}Ndh#8VIoIJ&%HL(WX)pSV`IB7vyVDZwhGovcXCN>U%g}6m=*h*H(1mB zmHU4GvLFmzi)&4%@!Y~sVZD|$GAP@KXI17>TB1uHxzyX4=jsVCT#^Dz8qyK7LJhg7 zlcoFUWT0M+_DX||An`2Kl}KqOvM%OcVotb*k3vM^)@Do{v0+Ha6=@V>g?c`J_M;a3 z?!UiWt@_Uw6@AlRH;=jHGBn7>D{*<^iWdaxg2zQ|w{W#TXSUq4TAO4@b^X-yBlQt~ zs|B3N5g5QxOu1Q2>1@<(Fi(AGQf+Tz5=(=PAoVQKRVvjWyrr^dO6WBd^u9VN7nnmR z!*UqTer+=m6aOLnuzG}Q)>q(1n16MmaawWcrZiDeYY`YmD&>p~h+=e=qdA0Pw zAXDBJ^oo50dqle)!JGl2-OCs|1Nm~1jdGHsm>~vyuPz5Vm&;{X9R)xInF=c4h*7v9 zAR1}kQ!5x%G}knQq=6H+-efp;V$JqjBW!vEb3lmhpeP5VF;OGBuAz&Ud(zMT;#wlz z{3sb#TGW|*ankxFK0cEepm`Wnp>0oMxlK~D$YFI^tYH+ZM^VL?xYe~l-7$p`YSb=^ zm8V$yHh4TGOOazIbb&bz z@Xfk+3C2hkm|H@~myN6z(;y&OmZ474 z*Wr7Z)}KBIa5VQv1fcfI#}9vg+P zeof6y_V2~R!Pna75L<(fl$L24AJ33&1nniIyJ~xU@kSDXus-8u>wfE~k;OVD_JA>A z&_N;Wn-4b-KgsdI!z+_0vpEg{Hdb^ZC0N0teivUc}(x`5Ivz~xcH!-M3>B{@yJ6-^q&n9ifX3@4=x3y)tJ zMRlfAEd!LRJ`XD%joiUQs01N5#bF-~%lPJv2mJ>CE(}A827}`eA<35cUtdjg@Om36orX#LV@ab;@!w#HaRHNN285cA@J<70_8V8((V-0!m0nGqi7DBFm^Ru8x? zYskfDY9`|Lk!r{h*ocK(HX3BLm?v-_Ej7D)nSH06T;*_Mpv=kZ)OK*x|0Hgt2b-S# z^+o?hJrM=N-$6%Qqbr@ruPJZxZvq!hV8q*FdpUTB!uFCZ z%i+1w$^Cw9zEXMq3p4YN{p!oe+iyx`@UE@nTgf!8Q?jUkUH zv(lTwPe(Zc9@s3E;R9>j#Ab;)KtRu$ZF92PIY6a(Rl#N^EP2xYa_!pnqZAn6Sds-( z++TFUgQ%zJ7*|&j;@J;tZDNSRH<=)WFCRKHZMhD??#FsKOBi-1C5@lRj3a1x5iZ8# zvodE3y5o$M8PA&dQx{+8NY_B6ZL7Fi2jR}r(f3rnj|LqQGog6|*X;6jxfDXq2_n39 z)7BPO_&eMK)2SR2&{hi>9&b)yzEGmXKp}525{-1VJ`TmQby!BP8ZxCUXDn8dIvuJ` znx+yd*OX8qPdZ8)Wak$meC6g5)t|%@!+@~E8!0JBnre{Hbv)VjhoN2y+YFWD%2TJc zgdUk*38es?_bkIuSV4Ax%P@^3i)+lW6>1!47!>{M*Q8y(*FN?`v%95ECep9KujzFT zd569K8LUsNMz`Z`t2K90t1E+_7o}c{!P#(xJiukEPRyf|`n%WLT%oHqB_F7%NIf=T z&S`Wni#l|%BC)F;?A>&OZW(5pfFTp>NHY-^Gu4nIaBLEC+15Z-i`m0C4vb-cGYh;W zQwK)feZQL2>)S^=Mx*WVZmWih=xIOL+=R{9*Lt4rBenU{u@S1I*>cYY--4?!pBCYf zOE)!U!G=21l28x`d$VqI9cf4|4@llKEL+uo_S~AAatBVx9WH#Tv!!RE0BT4}B5f5f}3Wy{u7 zr>4TBY{E=7QmM8AmM2tTeB2{qgc<=EH8~S=Ocz3%4X6tGo?6e+*v26|0lx-)?UDauo}saJSXll3>G=Yi;&+ViRZ5gtoMJC)rGO znlCxW(801*_2e)bZY&wIkO`06Xgjy@TQ<^erJPmrSO0Dz%*B# z@vMnZZdO?%FoqX7O_0lYg=@VzYH?=MJ#($ycPs74>guGu8rNdrbYi$DuPt3ykHyA` zh2Cfr$FsIKw|g6gZv0ac z8GJ!yu;-fa!H_--q7^TV_E>nXP? z&_r$ix30bfX|ZK{5-vZ1NN>DHfxo`+`C%~QwmP{!{# z=C}Q>ihkn#T6On+nb$M{3`1erXm(N8vZ&jAeC+T4df9>wZwZ9#l>pBSPB*@g%a)Rf z7&MPLhhjqc0V;&lMAc5rT3Vz*9UHRdnnNlSD=9x<7W~&eeEjZzJdB&Fr+4)I>X3DO z=PC6Ud#qggV=rz{N8U&Wr=hQ-HvLP`e*1_=ABz;S)Q&V7Oi$j3-wnA1mpLZx3|fn8 zkpO4fFACJuk!6%^6Sp3S!De-8CUPN7B4tR)dj^CMYgzhQec0fx)Hd`|K_zHVM|4$6 zR1&4uV5Rt(5@9w{-}OJ%>P&uWR(%22bd39Sk2)+q2#-*)6lpgISzk>(%@%anQ@yRr zmD*cZ-6Gx*94@nTk7FpHq|ayg`(N=4xju(5^v=fxr)#PAKtR(|@w6xSy%(k{fwvz4 zV)qBJ>mG!XGt(gu)24?#qB^sLBjJ>u-K#}KOFioWhl2xvJub$t z0)K{4ViBmSdXQwi3pLJYW+0#k$-H(-4;7LQi8~2kV$t-M65$3W9O=Kw0qUfJI&7|9oFR@1?p+FiC}s7ScrXW2CRoL`*{X1`JG8Dhc7`L90&yd23B1!#Z2Lw4Qk8aYr9766k8Ue=@%6lZ1sSK zXvoFlX(r;9nQF)pP#a3fWeZQ%93~YaOC{j8-}dh7@ym~|LiOA`x2it+f)%R6yREAK zR~N1MiP>n_NOD=Vu1?$6_d{vnLni>Ib< zqs-ly1c&cd>ITCPjuSI%b`a9Uvl%e7=gJ`yg_iYO+iyQ=TMC{ zWxyb0Vp+1al2lhWN5~e*Y*H?Z8PqW;Rlshu*Tb^Z|0z+?m%>%FQ-OfF92cQH1oqym*_jRkaCSQj zuv@27qd9{IAq;&%WC!K$M+V`@AdndXi9nw3Ch^CS5_(4T5aUSJS3S<b2vfO z0S5^#CG6Abo}}#XCNP~rJ|!dxsAeq=IDoj%5?zrKzQSW*Jv=RS-FyY*ax?vkeGsU-ha!P%7RW`-hb|>s+3Gkr` z4Au#tiviI9!l0$CW!s{#euWHd3#S!JR$itJkHTF!Lp2)bcfA zkVahNGSxRxF-mpSEAZKmQ?3VD6D?;*yMrBXkhImBj45E*#u#MmIBjA>Xb9kI^b4$% zXyb1&8E-LfGdPdzDu0}mQ@6UGM1o9W$2X|2ISgs&|A@`NwqnBQxOYvMnbyouWQVeq+VjMSQzqO@*nFjZ5F<|<$uVaCTgk&cAh{C{ zTa&@2SFo#w_yb)Gq$Xz^nnZ)j5jdC#xom04n!_YQ{x*54APR8;eUY8fphuLQEe|f@j62_h*BRxNaS@h zN)AO)bcwwWq}20pNoMwafiLR7maRQT();f*8NL{^xj3rj-hm;!CLXsKT!u0M%>*^1 z!6u>(gbax)!wewRkRz~;(}kQNA2FJVoLMx|T98ky;R5^fQGzFpIwg}<70l{Ae2>iz zM@cKm8~NyaBUvTO%q93T!MA6Wx&McpAJ&H&yZt*p?x{~{Lb?jz|y8-#iAxJ+imgp+yv zo7Atz^7A)wvFWK7;<}TCPvM+|PiB{ZS(aOjQs34H`r*y)=0lMB{g^k~!b`+)#|9m9 z^Sxrc;8(iq60_a=;cyBArdHSboXQ;ph*CexHvwmK!#W6>zmsBW9W0Gstz-p*X{Obk zEIIO^eWu}L?XFiSR~qMIH5Zr*b-eXq7qtTtvAD+U~1%JD4ao8mg@lU8Ty> z(g){jIfoh2H}glwK0VAkoJYL?b~rIWr`u(>Q>(xTs!I7u4Fh4}&Lj~ZwLzlC41@v3 zC451;4m2Xoo2ng}$1XQFK{nLXkd}>^8bBG!fR$=X>ijHY1Kx1C*BGQR=RO#9R@P>6 zm@GAu?z(b#UqiWrSzHv8n270?))~g6@&>2?9n}R24=obyA{z`iN>cL*9XjkE!Ow|K zaWN4~^i_Wb;s40)BrLoBRidB>t&5OsFf>NkLV;|ee%GpJ0f%YeV|_3hnaVODU=5%@ zwY|RicC#^kY!XlC&Maxl>}gck2bs#kU4tHB{HIYHCoZy8Dc)&Jw4!)UVzMHo>FYu9 zFZOX02iGu8YgX+dmaQFi%-iylW$OV1#s2gwo^gEmm1sbx z0XyYj;aro7Yb4Q-C$N_R{LtAp#$`+JAo@p-v6N0zsFC~3b8d{!e{K6e^7&ofM&wR0Y_n` z2?&{3Pid_nU0hwcU>mRvg&zpPmb{{XWI4zuFrWJ(9`wESdwAX(UlN`L@5V9X$sT6N zYZ$;%e`t2)Gzw|9un^9TMkFtlNYP#@BW+$ zl=&m0`U|fWt<}ez5kqvYv`Ih;?*k7-oxwbQdP|uvZXsO9iniz z6*Z$U5XWZz)HX_R)|(Z5dm)_bPa-gotoiXTK49+v`}YaMuM0&B)-cMYG%R#WJ!anVu78o^7}lLIwL zM7zn*qAiVm%0Vkh)E>>`V>qL>-vLwXg$cYJXD!2w=5AkL@YEbUo3cKctdSD6f*xoF zWhvo`(M|ctZep4JAEcRzXbHu|lbxFE-Z50`mK}Y~@JyKWDdCE%t?D2^D`90uVwLH7mC{#^w}6$c!V>8+O0RLKh82+64Gh@= zY?=u`tYL`2AjC#O$I5puVoZ<-tyv9KJDSyG;Dx3gY!Xivw~^M^47SuC<9VWH@ip+S zLYw9#mM*9=ffV@#8*Y8^9&9xTIkvF1un9Jd5t;|P^8>D+4GD%AUwI(m&Vyt1kr|DY zV~MrpS)iv6H7YI{Qsp2NC1V^)7yp=l#D*zX6jN?3s6dc}vHV&h?#6NHiPfQK%sT_N zuNp8|m(oGpCP2+j;Lc!(MY1!}oj{L&9-0ON8#=Q{(LgTLO>35=g4Zwzh*w}t76Ux% zk&-i)u<}bON3r!ub&uU9q>`g~o?|=?x?#_`)G?+Sa)dn+6C;*2he?IVRtW|-yw6kf z5wsgKVMfe`sacM$drD8iY=p8I)Fh(JN%L`5s*}~IY*s`ad^T+rj1&5yC#*7Cl0Fkt z*cd>cVc5e4vqK?GJ*#2Cu;RZ&>2}wP3>8%aJhO~BbJZeMRDty$0E}l=Q2Fd+L8M&c zKU0s7*^YOn(q~id+3-xC%>p>x98L^2;f^P@NSD@FU^xc^l(&iXEiV4)Q1e1ERk8O9 zwg%AWdD&nD=9$t2eYO`1{naj74!$u1GIbsV$U!J^C8L{Y)^RNA^v-I>$k=Hz$0bTN z1i+<)+*!u5<}j%c6;%SvLYy~lcK8TEjkcke^ zo97fXDh3PTuLuskIF3jonA+eYEQVnj0`|uQtOaqo02$vP-OTJ3zxBi_0~mu%Gle6j zZxL_vt=Q~A>|!fTRlx%V`%NGlizfWfDv!aMMQOoY(f-J%IOEDKRD}W)xit4W}ut&gN-i;&CxJ&^s2DhOnWAU*>7xUq+`Mxy;_e0A^pH{Z%U;{I5;b>}{5C z!eiTvh4i?sFj)mHyI5vb7_!B|5vHjjN6^G3Ml5R%BP9EWxo-f6Ugh2TBfq1%rga_6 zUhN5Fl=F9LQpEqb1h88I8q_l!eO+fN2e7=LhT=^H2Yyf!K%RThY zjwTra!!%WCCK{G=*D^wttWqj&44UccA{7_XgOD^ZE#`kZU&-U|P%T;b89Zj_8pFvB z)?2I3U(Ywr=t)Gpj};xC%xixO+Bfl`rs;QKK8y!nf`4;GDD5Y#$Mm`q+W+E}n1Xq; zdg{E(q3g8s^vn2`(8u03p+5G>Deut*yKd#Fmi0_+xsawouWt0h@c73?b#Qx^3TokF ze-C@)ip}+zE`)v|whpQ57^>)Tv#4URG*M<|!E}DLr_@8fx?24sC-MGGf1x{~10Biz zB;@((lzJbE-Jih9=wf{1CEQZptz42^Il1CARPQJI7e_1Vrs?${I=VH(`_?qJhYM7_ z^Kh?w<0>?$gPD-@UZL8vs3Nmss$GhylMlb{0HOpeJU4fr>}vwjYmCxYl5iv>Jo zF!_l=CkYF@Hq`+By{Dt3$wZ#O^oy@pJ>$UZB=-&>ESy345P1?(viW-gvlu zWLzgEWlTt0Bs9P~s~iiqF}3^v+56?TQ!F-3a-&qr&YCOGbAg{3vAZ;aG;DmULx*ImZ45}i~_Sj%& zRJ>@#?<(2AJDJG4QfW-e;Rs=j%5d@{dks&e?b^=>u?XQCPe%yBf6;*6i3@%Kim`pO z63vF%!^Q@VP^><}eR1ne>bQ?FoXidw51wJ0fFRi`;Dy^SG`vD#WF{}G+?tMgu4CI!Fzs1?O~EZzM^ za+=)~=4GJYr8h8J@*Xw6u2v^|aZSn!xUuF-Dm*7UlxfYr!qcjEo3~*8GuqH+@oqXe z^lPpW56jlD*J`3Y2}f4sOZ(G%#EC$3Yy?RT0Uq;5QRcc9+%Ixk|kHP{A5 zxTLYqAMW9oGatb{vFOyaW4RH>i2zrm8+5BTZ+ui{5O&1NBi-io2S%(a(pcayP5^~11 zqM42fkuWn-q*08Ffhxz zakc_|mhI)=z;O8)*70o^wH}yI-oL&=;UsN0(5t~%T_>jWF6US6H&63gj6d^&IkWpV z3=_D2V*^-NwlpA0Xas`!-k^z%V+Ix1n;Z6 zr-rlqMQM*=_m71EPoXk#i*0~`d68QDzT|5%P3}qrts$?U(;%j4QT52L)#r^BzjD(^%-Sl{W#HyKu=d z8edSm=yl16uocgf)d0*OS(?(r6#FDix@;Y$7Uxai3Rp}XV0(k)IH@!2liJBu2DyFQHz>f z!zyg2q$ndg&E$k0K|UuCGL9E~crbtjm#mBmpXah?rumpqp)3#Nk{%Ei5-&W#?wvcBjUJm{JB zq*NViP_S%8$DR``aj~s;F!^o|2I7>rED;>Tg}2^qXgGI;YVqsOTefS!*Q_coTk*S- znSr28MKgT&3O`-8Hk0s{N~(g6xw0cs!jm@U8yj6J`9BpjX03Dxt2rHV;%-}gGELFRLr4``n4|v+$ZGD}#0kmPzptK>94{0t^ zWJv=e%%m|};&*6{_@?`hn_T{a8LiMdbLOZIV7SW#n}|x_ge|8kZVZ}IBZOR$1|=Zx z_-2>R*Z3($Z|&NJ%RTMP_Le)-zWOb!Zj#0s`gkX9?#WEqUj8)PvddPqf(=;qeXQbf z+lS6oLl0A!#ix~+V|dbfYDGn_=;1MA$Y9ypzOSuhN3EbPs3ff&W^!dqJgZ@OJLEK< zHU3v^UsFjX^zZ~bKeubhm6~Jbzc9cAdFd7L;#qUJtI08QeSfc(*l% zj{iR8Ek1F#%^EhmS#tp{VZ`zYTiMZ5uR)VJwz8(eqaELW|8-8!iqq&R5eMPj_&ZskMnKgQI&R2~B%WO+)6|^t z@p}`2+Cjl(86il4H7ePhO#|yd(81XV1PMGTW4PRPi5t%_!?0rjuSm5Z^9!nVNmtHu@SSw^l;Do3#|*HRGc;3(9Dr4p$#cojL5G zq5unUv0h1_Y!k0%Y{t>RVlzbvm)JyF2?=`WNV9Si`sjm5@FC#@%slSHylGf`FN@gw z_ zPOd8JCTvuKA@vE|7AORwZNx}*jirz;i_}=g?QhvCXJS^VzgP%5yI-9Tw(LW~whOB6 zUw_D-*?OMjm(lt(qLUcu@>$xq-m)Ti#&pGeZVJoW&%~eB%oeLSEne>g6n*AMpf1H6 zxZk-fV(GU(x2!Z;$vp11Vx9wFzt&77W`&uNNNHlhBDtuurQEMBT~-0Ev2^bsPd&_c z{~R@uGZzaP9&--Ggz7_1RooafMMenp*N|dIv$&8t#b}Z!)Bxs3+e;y^Qg)~T8k-?MUIkOfCg|I77v0q#kYV=B8X%aKr22st`?_M zpsxfY^$BDng?X`(T@$DeR>_Y;!}|edv=n6;ET`f0tsKmN)E*?C%~TV!kqUy#G1{O+ z_IjP|YFM^fFqmX16xf4sKJj-@nKet=UdFWK`wsGg^y?;ePCd$ zCU?uffzpYpp;tpez^09oZVcLYnOYkUtQ;v-##4tsgGkKLJwvPIF0v2=ZTH-DZAwV+ z?pbq78)I=sX|ajQT~~aKa<;HQ?N>7HpJ=8;EunP>oNCu!I*bBoX0v74>LPB)#Z@@q zjH{KxV*?)XVb$6eSS*}Ws|=B&ZA`C7WD&K`kzog)6bvxD)&L%+nO2JtxG4UUHQf6m zYo1>CF&V7iR#DDcxE8PHVu?EpIzillfvi0tTX^lrxt|buZhq3Rijivl9jn)0DgMNqYzk`M0yIp4&+jCi8RbY&ITkyoB3eEW&vup42 zx2l-;OS}EDK$h0nRer)f_EDwKfarbiQoGp7@By8)!SG$8iIWCj9xxSaYy8Qj$@OWv%cGTJkI} zpTZGVYMH}yR9@u?SLz|t2V27U;8Upb@by8ppH8jVy?pt z+0O4^$-=@^fl=CYPHAQO=i|$3ubx)gahuAr71X*=ZbV2E3+IG>2!7e+g75x@R_$%4 zfW%TTphPA#o8(9v88ZoN{B!91lCLiO?1j4iY%{6+MIT?2H&?@-eS&E&Kj~N(;`>(Y zoAsa_3u;sCq7wH$iU)m#1;EdCT6acN$O3^xs+kS+jbd$~pdC zN$}MlM$0jqahomYRUOi{4fo|Bp7|K4VXH}1iHBG9I_`c*xyl2l=vd=+T^B2|%w-T7 zFMq|1ZF|w4lX^v*Ih=_W&%tGfIXvpPj-~1XyT#c8`iILTTR7NBORXIrGuMJCQ!KZj zZtb#lAX?4(0C@dAc1@Z9*qQ+6UUgtwumRVYc6(E3hp3MbvN}*^nmRVIA&q@C7)7(V zlqcjf+?_4JeI`^v=r=8%jyzq#tKsJc>5Y1U0VB%kifPjau&cLh_G1N|05xhPTSC)l z+1fIwQ8k#Cw)W#jt*j@w%HnTU)>fh<4q0VK>?PFXm%L7LEWWVLaGlZyy6-A3=(a;bTg>fey@)IL~my6;)dU zGr@|I=}?2Mqoyvup@w}wWWBQ`LH~<5HyoSh=VEFAAExrY^Zb%Q(~iCGQe3wB>XCn_ zYjlB(*6Yo*aTux0O4mG3HT{TQDlWWasG{e4b9?8msi|8*I5n@P4Bq*! z4PQU%9QIBaG=1JBI+E%fO{8TTB{jsDXH;K(>ms@K;Uj~$x2j}K?~5UZ%^c`V4yQ}c z*xn5HGx(yUaTBGTxX%=^4B;SA2WGXdq78edgEWyKDvoh!c&6e*y~6IZg-oP-*w=$# zy^8svt^dQSy{zj+&X@zw<>2adxZHBroNDy!*djP%-Gjwap9xh zNc1+Px6Ao7HLy0TD}`JHdns2b!GBU%i{H<#(?Hme#|ZZsKo_hBVd*qn4%fF`xAi@v z#59m}k>QNF$dYN$GU|=Q98);9>7+Uy=mt`O0h7i?&@5sOsBU~n){ka<(VRq6`AvJZ zPr-Z1%Ij>VI31~Io8j;CZYw^IrF_T7i-~m*g;*f$qDIZCfVbbO6WBMMzx~k&Y>Nsi z+SMJ1RQrMrztOT4%{NkSMMNHNWV|7hZoubip}*E_P{}I9rITpRs9-lxSM3~H4*M5- z{>%x!6k7*j(6_%@(#9y&zihRReP48gmmmezH1ouCMP+48$VNPLW|16K7^?7HY97m0 zg=MR$KMxBE$oCAfY{ftZfD80Om}WzxT7%7EH51kdjuH7ZHv7mjYe%qIq05=50+Cqz zUPe0vfUYx(yRE*$yR8PxR^R+Dm#Oec3^h7HB{gXwy}eaGya`qwdxNp⪼@1Y1u|G z0ybN=@_^)7`sXV>yS$~keRwuU=_)`!)f$zS1XnCG_9&BK`oh2VakuqN%6#b(e$lT1 zOtx^h^#kD`rjXH;%h~XO$Q7x)kX|FRBidm=V@u7hGc#;9=*~`t6JNP%W8v|Y3Eh7q zzD;_;|4-ig0NHYt_kpK-dgk3XdXnywM$(f2ab`4<2O*IwA+R7?@y#&c0pu`ZW!E#Y z*Y{x}WWcUxBRjGe@HdY^Oko8NtZctzDSQ#Kqs5p~IdTfePU;GQOD2k~rEr2%>&@V@ zxA31$y`=0E>tM6L-#OiV`+xVHnfD|+-%NL(^Zh^HIeq$^?$dpL3!eRPpj)h+HhBE6 z@St@?)hxVQhc@Oe8Y!F2dnS}C30Y#3Qf+)?Ccy7mF7ne}_&yOyEpT#t+$ed-Y?<)d z)TQ?D0W0|;l6>0Q2`rzs-cNrH30UARpj{a=%CHz|Yt!uFX6xR?5}9|I`4FA4eDYS! zFf}FrHP*(>@r}88|5H^>ya%9#pEkXj^_y|79YcL|QZ#Jlf)YKxNKU_mhO({eYUW#m#6vp}tC1 zllFo4WZO&Y$KSM@JQkR;)SX?%^?q>(Zu%4ncFh3qjaXjfs$rRE>S9|_W?I0-Lcla- z0V$G)dZ+Sy$iX?n6cS{2Eg=wkyoFFXY2r}J#;n<-rhusf+V$;;pP1!YTM5HTY&Ehb zYx!Z0|y9e-Z7Vj%_-;J1r_ihWx&Ks39Nk)-Pp=1%<~GOL4C1 za4(Pp{D3j1t+t9GkF%k<7MKe@ZS`J^&g8vm&7tAH#C%lvNr7FyJ&G}=o3_62K{GF{ z(q`HGyNi#`p%rfich6MJ5@QXpa!^p#(R<;h>AhCkTO5iK_%{a2hS?U6z9$GdZN=Ai zt-yAH05B_n0CMyRzp zAbA#j$eM@lH0GBu8|`uf%nbX1|NRIC*XhEMpxn*<*^+tuk3X=3Y3nv)(gwI?ajA)J z`Wsxa$x6=wmb;_^g_%;3Qp{PBi{U4(8JzaF#U+JYL7U zPXAt-t;JM}MZ)@pgrm${=*o;t~#WnizfBWY~{_6Xs zzxg>-Ok6yQ)xzc$7)eddG2>1k9PzZ(m@nci!})`CcoZ%P|%* zcS`}$nsp(18l$5z=7Z)&+e2sEGxnDu$au6s&nyL7n6{$-eJ56wRsdVuVZdKS=8AJy zVKLmtwWGSNt)gw4D-)l#c2wm~N#*2k3XxXb9pbx;1}spdt;$La{Q+*~_Y zlTc#(^ZHmw$<_$FP$}dHp&&NPgA2JZ5oZ0#nC)Z8Xy7v3;`)dajKvl(xPQoGGvFeO zVUl>$7@)*snNTT~F#-bxOh4;cbwF~?r&WL#Fk)@gSPML%ISaDnU^y7d80gpt0dc(x z?`AXI48wqTM?;||GP~H~m}nNHQ-U%>BbJG{HZqt*h}32nVmJy8A=i{iN z3g$UM5UBysvqr#|fzS(wWue2+gn;!ePA%MOZd_kc2rGzEVkgB}|KFdo-ML z9vA=KF2A8@H09PD9_5h;$HY*1(I@QSGAab6m8(n`aT$gamuP`>VhV^n@!3l^bZ%+ULQxzUXDm8gYYJ)zmOgSRmc%$ zE@I3(VE=wlz~hK1!Sx&k88`AE!50yUsEb~d6M^X)D{*-_1j4`YqRq|&>MBMQhy`xv zf^4z5c9y$T64?ke8*8UMYI7jmH<~3o##wM{6DE}oTq6MwYY=UL88y#_SQ@hgK4|c! zain#NEh$M;*;2WpkP&LA9_o?O2)Min6$aSSP-0lQ$V}{LY|vVVYTkJaCnU5$Z;} zie2=<=8-WwwI@I5I=gJlqpX5aHY4@%&a!3F@_TsQ;6Gz!PM4b`UhcHXIglzN);kk_$Kb1kdeJ^?@E>sMGOk&1ju*8BbB`7n_2oq#gCWu*KA`xP`4Wf;IT+mL5 zM1wGs_@m4uW`|dwz?64*G#vl=j9L1_T`^~04nN!@Sn{>N_`ccR+&V7B7AsMB2Pk7i zQzQAZS?X-}EYC7`+?vb20MABqbiExkm>W$Tpt%I-;>pjv$&A;ovgXQ{Mx%t#bo>mz z()su|W`cB8D7cjpNhI_BCUK~*_3Q~iJ=IXs4mKrgi6F$%?BG{c@$MtWunV{J&;@_M zn7eyX{~;_AmY+T1?{AQ`0hWiqgYn^~aHDi{jP4N@sW)!F6111iSn%fpQvGJ#V?X$^ z(0u);U;{tO+X==jV&Z@nMf6=*QTN1$G}!w|+)!x;3HX&x^W|vR&fAp(Xa0Esd=<># ztFVDpRs>cHFZ35|Wbw3h_DjaxoNeK?_TM46;W&Vb$MB7q^9X~B`eyhGYrNOrt(hD9 zU=yR#*X@oD`#!f*JUVKemd$(6QA*sY{HQUuhf(Zk1*dkfiAORx=TOt_s>C&PG>*s` z-L|w^8A>QWAfU^^t$AT@5Oxi(8_w0*b*&I*v$Pk}XsTs>+QxfGr(_2wQyiG+-^YHv!Ec`Q1;eBz79lWu zQP5$Lu)5wA{fxz1ckbuVE1i`}>@-LXRKdTEZFt;f>ZVQ!nkqYyJfKr?qc~vCqCUrW zA#rcGlxM2W&4cl-5TAv)z`&w*C~na!d-CGT`r#vZ+Ui>5cf~z}?Wvz*75Ve|J{K#_ zj)@FD=tu*%KlGj0`M~ObhmYr8zS>3moaz6(Ei$?yx`JZb8erOrntu`dTBZoN()j@;5)CBe%#ISX_LpC6cHjNJ za4f;Kp?&@L;H(3yZen&u;TDjuY~arR>jEQoZNT9$>HLDqQK2uta6c!tkH`%r%&{9x>6+J>j{?)Cezp6ca-H6in(l zxRnw)8|)v1SQ=ret83i}k);(L#cbJ-7X{!}dlhP_P@JuZeiOa%Fk>xTA+Dl_?2a>{C1DzjQQU1lQ*@NAPVoFdk3213B1-}UTTY0U1Lp=#p|3W zXdW@H+Yu3h3oa}{7KaE++yHr^mItfSRVqvue6CoIayT1cyvZy5-GGy9_LbY41Rv|cw2he9wy=N$0S(DnTsslr?j9#H%slQr!jB3kQ zE$@5p2h<6L`?*!-MN3A}owtLwvt&3nGijH^ljLX(t=4WMyMl~cT;1M-ZdmYR!*9}n z`2^m2=5V|MG{KcjCxi~iqZUl-*s5*hG&mL|3g_1Z>?C>isF}mGb<^BC!8N3GAo4Ms z+?TVd931rED%vz`>mzH{qGpcIkMLQ4{otpUL!7z!fK)26xbuc-YcTvc!gtT?*4&Ac zTx&oWyFGTxN#cOV-9JE+z_fMctKY#3c`J-y`luaNak6GGZH+K(6;4~Lc=GxM+1?nJ zVZL9-{vv7e6lD_HmAgSZZnnn9;w+S3MuKXrbh!t^^o|6Q8-rjDHSK+OB+;P4M-{6*wM! z62Axh==GCt@Ia+fq`64tdP#*S*a$FN)()Ifs@d%L>qo-TZ+^BHSn?iyz(EGzHjQrRUR!cLm1- z7EYk7%;;TkY2mefv-eib;%n2ro*kZ)|3H^L*d)<>WISph+tg}U_K*H$OjI}h6r@FaIBqn0hNm>HG@HXlHb-=!@QB-*~2KyRJ5l7nm)K z#C+Rp?pV;9np(2YK3>tD_Dl4GQ4?i_Ub+2V&&bIn?1AzJ@lxNU7ZwwqR*f5XvaR zv~|VYiPOCQoi3JbGW({#qHoUp_cM6fdh2St4o1ydTV#yP2q?C9Oj~=sQ=;xB;~SAFdaP0v3vlZ z@A%la&KUihZckf7J-$8(t_wtRT}1fxt)F>;89)3XGoE{ws}ApY)Bf!@81t)m+Uo9^ z$F-sqNUEzk*lxjykgr(l-EM=Hv_q3pU5OyX#zsUH3zimc>zN_nCB5Y$jD^ugyWEbiAJYSJ@{qL6>hY)$8wj4{}3^cnxz*{KcVt|{u z_^!t`*7sbjUeA||y}3=J2A{_VoN&GC9ShuGt4-hlba#5lFQA%sHrmyUaiT}s{8)}! zUXRT((E|9?B{@(L>_L*fOPsc^}AYyYf{yuTI>lE4};Bk z(e;XPH}lb2i40)?VKo-_92qachV*%Xforsz)ZOV5v0zgyG9-Tg}(Vs=Bup1aWq)84umwCDy{ zLN6wh5|msn*K>oi^xSLWDbkX%X_ip(BTJ0a7LAtfb>U6LTg&5b(hX99B$37_@i!q< z9BVw%g!L>sQ%qaOou0N@NQM9CA}$Luk>DqYaclwiBw=T*i7RcTbxhXSI@0;XGrGg8 zjM~qx9-^7?6{wQizr&bO-H_*@)@HO+{WOzj96NC|M!efPIQ25=_3)9>R_D>eWaCHT zNBEb%X4?-$AjZZ+m4eNk_^f!vW*=M>W3kNEu@d9WGLZB)s3M24#AH3g(}oPoyO+)w`)<(h#>@+)dRoYz zW%;PX5L*Xm5K5Y>K_+O<*ML`b;+uha_Uo7SdUJP>rv>1W(@C*SJQ` zQZ8#_@=eaSV6xZ$BE~7DdQr$|2cyQ=f_A5i(%5oltBusKP`D8g>fy%P#>_m{;-TXD zI`-b?N^&_>FrE`PlnJ$<+u8CbIgSSPlP=FkIV2N74@V8jP*}&pJMapdAizj+`+TH^~ep zpOnBX=oXg6Z!WA@M;hiC_Lut{-j+6Z7<25aOHP57)YQM}6SKmzvQ$w`G>hAdto2SY z#`PX<>1_X)TTN9|R?g#-3%*sBNq-wKYvU_1ig!&QFcQwR`rI5vd~1)N3w02RKTf~z z*%}#CxCh>QaZe=eP7P}wy$QD@#2S!69$%9a;@e|UBmJDCBR7V(YtE6@&a4X;?z;zJ zXgM0LYXH8hZz_AR+(gA$M>5qcVyhdOk;elHW|=~pkQ3$uq011F0nMa6y9S%?3$-a> z*QJt%m7;4Cp=l{btz?dn?{)LJ9HY-z6w*JSh?fdS>aS#;T(`G%1dqqBKJ0Fx=smt$ zE0gKG*&to9rSESMQ3=CUt;Cm+9q%vG=z_V~jQkyr%Jy5RuB|iVV$;ck&1cVlPNz2^ zKG5m4z29NlTrO$B?(vY{vp}!sV7wA1+`*r~m0``YB}3ml4msC0aP;rCT0Cv7h z`L&84MKj-h!5fXaw$ttJyKzsdcG}wBKhOmYYp*3m4_otT$0x0hAY3pT{N)sc(3_Nom zeo&;jHN$bi>lsJPnPYptE=Q;S2?Yf1^p&Z!B=uzz@?`_EwEmZhwJK3$w(yghJMV-( z{%2X3ZicHdwjoFA082>_Y!pB7%~d;AEav#`*C5vhJ$0%sy8-8`ndyDfRV8!-?QMX7 z_cVb(t2TEL`_y19eCvZSl&f`4_LO8g)(SjV$%wVU&OSUFZ+zOVn z@O0+ZU^%TgNaRXFLcVM%YvLILk_yQq{}I9anhEqA=*u^|Nr;PC%F^UiN)x7XrDSL< zu>kvnp#9o)(WahU_n4ObW=gm8zZLGk*{Hs&?`}7?GEc!UoPu}1tUWP*9zJQJW4V&D zR`(Bmb3B-}KQm+IA3&uH-yn8+U4nDH0yK-mYqDk;Lt61IDoZM*Q8^Y!i3w~hEfASq zyV`tGYKsaqO54uUs$^;g>rMu|k}|bqg8(0WBWz=`2sS&$&Rw8*bp6WV*z*;S_pN*B zDeNrN>}Yh?)7ay-!F^yj%4hGKx~>$tH-M0^wVUuiWl$78V1A7yX#t$294&1-R_)M= zTl9f!`R3A$IkR~jedf4R$Sag;1rDf)O$MOcv0^O~-JrKHZ$$C&?;~%>3RD;R7HHSN z+I$StT-PV+%UMg6>1y+ptZ~_^X&J2I#Nzk}VM5)uz_^w!ISLl``N!lOeGD_xR#y#< zb(*5&bTeZU%s5GOII=#R)yNjP**MC8M<4uULpPB1R7NOwtttzZFw^pv6yy++%hhJY zhiCu>3#Vnj(N5cNY$u16bRu2D=@tp!C1&Q{P6ou{qgsU`P1=rq50As zQTp{|I>(|=tvj1}dMP$}aM73>vQJw%Tjqo%ovC!X6&;qeXEc<}`m!~$*w!}O$K~U( zIj-v$V-sCCCS{`$CBb#nibMyqqX%y^xSP%^6XMW&k1abtqNWxIl?*I`Wdgd~9*DUR zsT1GCj{yGZ5u;bW*RND_^rqeRE6mXT5nj2+PeLaB#tsD%8$ugtEj-m<8|*%UyPPS8 zX5+|+6LRf<8k!){?n*#i0OhVk5Mnb%>R3==tuQnn*$u)M8&1#g*m9l5CS^;E5Hk>x z5{xoa?b++U3&sBgoPYd-=GgYP8L{Ne#mr%dt%pEJ%bD{Ay@>r2(E8VfcJzH~>0L@s zs@ad{t%mQOHw$BqZCl}fys&BRR^OaT+z!n;ex8Cp6_}gUud+ui)s$i?=VjLR&6^=| z_63;d@V3nP0L5#v_^KEl6ZzkaXt%M;W*FdJ=5{+T+VQTUO;vyDCzgM1sa`r}xnLmX(&|H-k2Q3s zm%dsuuuV3CTdm7R<{XM&vPF(UiSRZvRO!GXseyIrbf;;mTcWk~c2kq*vT4K4<0m;3 z!t>k^rRAAWCn;^!G~s0mONMxGVt&x8%%@<})PWOj{Lmggfgy{nAFgCxbyHv_HA$b7 zR*E}iTW^HxE96MQrYV=;y!`nMT5!)6@>69w6>zUkUp7MBGMzLZpPo<85TBoFANMC` zk76XuCoT74OHR0YMG~1WK=Qt;`U~dC-Fm(W1y5VU%(QhvNK=}o4lU7ma>Z7pqqQ?= z$L)dXsLZGvXzsD;zg@9oq5x_Oh$*s>xYNro623$*ZQU|&>>~g1_lM?>#@~hoGB~%B z)0@um1QlvP4BUKHnc>scJ+rB_FA)!pmc-vYO$q8gc;TjT~=(iOVyL{ z%&{TvltCa_GmvPQ7%5|tvI!LA%<7|5b?zbzRH5(o^;2ogQqQ!jXA=i#E(VK2>WOb| znP<;j8X8PnyOk6+NA|1G^S|Ba-^2kzsgllECXynt50IxYpF8=WSrhqSHyn`A4Sp2UR@>#0*uVegNVeW} zob9;|q>eDb+ez}mratW*Z*qT1hwz7A#AYZG>ebELf=I z!YXjS1|uYg)Er5AB{e0$R@2rjME z#i%uc&e{=6HY=EkDi(0X+$^kR8daDN@g`92GlN2M;(~f+qAxEe- zQeqKmY=n~-?Tg?>`J7QQ#!vi(>70==rrxswgq6#?c}LGhz2q4yrWrO04$xczr;$+J z(&N`Q_OP|X=g4S{IRdvit0CL)4TL=((@%|cmDJM#5r%SLjt z^sE&Wcj7NW{hh{%;o@`x#Q>ybOO2E<^_~r(0RiFd2#ab3Oz)Y&^8pqib%5p$0QVd~ z_5h;KjaivJfXnAs6z`z67zh;{c=t_Z*un{N-?2DGp5`1Q`tHCbs&~Nvy(f+AAH3Ou zJX`z)0Qd2F8ygCaC8@0CO82r1xwPe@TV9WSuRhEXT_)S5mZ=US)p)$!<1bCPc1-%| zYTgWXjI?u#I6T~l>$z~%%UyT4no%OOu8f=k4#1MNUe3ulju{0<^omLInrO%o6bvk0 z-iQ#J$SO0fz&cEPL^5r_nUbhClEJi^rDsCL{5fvUGm*)AMw^X*v(P9xa-|eJC5wOH z2jz6X;XWc$M^LA2*oj;56mMTY*3e1&d1qpyL+k}%^1wJA2znT8Fd*(FQmwAQ{TeB0 z>rs<*@s|btyuapmVMl{PNX9lEM0OLoAY7e2!g!x2ql4MScBDzqn>%7N?!@LzGy=H&S44vw*;a1pxIMbL>x%$ z_Z%vel&T)T4ZD?9ysgpgMqNQgeRkJacRd&!v1a>KxI*A-FI`}0!FB!Oi~B+Eo7PAy z!V+7ip~SsXCCsqZ?LJ~u7cituF*JJ?+vK{yOdD7-&_$jvf{l=vl^lU)J6!9WhUT4L z#BjT!P&69Xt57Isq>M>Cs|W}r_0bRZ%(b@#X806ttCyXp@;1mh5PRli7@*evMwNqxn#-C-B#s zO)I~irP-dR>MVvJFO;|;Q5V2+hqBq!NP?NPxq7oZ_hm^VwaiEL#jBBo+>v7B?EW2L%Ynr z1+}DD&W&4{*47)!v^Or>zATgsre5s%N3l!12Xwfw>D3Jod8yLOo~aS)8Imegeg!1r zFJJKnemh7Yo)JqA>ubuUif}{N^%eD}WS57wsk#fCaIY z*T=P^nK3$LL3Q#3>;@xr{}96WBXEle)2|l5v=t*84XeCw5uK2&PSO`T<=#O*M57T` zpfGOMEGteXQ)(01ZVi)*geiY6W=@fhfdi(x03JO?=A?Vf3Uz0?h?wOy=R0;aU~BN_ z8C~CfOblzF%=GT5_nvf4S-L}{F>m^P;)3kO90@f;TB}($J82`s8~S2i4&q)Abi1D} zTSlC+A8`A&9j2|foxb^~;rD8)p*dvLG6%zIS8+AJFuF^6%(b7fl-X6PNKt>arGb1cO;vm{f_<2aJ8|< zJoY-%d;BFR-G^C-6l|KxB{*$0pM66P_k74mCnx%Q7h#FuwVimul*}#~`0Y@wG5nJ7 z116BID>da!qN#4xM2fCXbgFtb=Z=kJ%+ESmP1U0XrR_#E=q%dUD^@uQjj#& zoM5*&B+HD=M~gV&t{KWgF@N&hfH7sHG{$#0*tH01Hw-2^gvYVfw=XpV4I>HpaBc!J zNp?$J*vg#vG;fY?B0y`Em;cnM_SySHBU5ZF0E-0@`}!=ArF0pnZ-V7VkL@Jel=5{W zXa%97EJ(D2tI%}WX*+)fN3Ka!(D#MPM4(#UrUgvvFVDD7w@xb5umg6j0naxN3v{Ar z$Z5&TgYXzXQrZcgn6Veh%So$Nh_OxDU3Fn?xNIt(Ve`iYas z?5$!Kz19R@y{s%&*)tT_dZPj&&hEy2FP^rJwqJ$Q^%-|Zu$no=qDoHhT^^bzU$%79 z#$WbV=^cT6J==$2ow4}|HuN=a+G?J?6}OyI3=5*zoRDRr6>t~Yk}0Phd78D@@&uaY z`K8zzSV(OI>Uadd(0EdNs|c6Zg@Z7iH6kTUgV|k3>cua{MBd_2$-7a>*;2U)wKGN| zb-wq(tFf;ta|MDSYF1j<+ljpV)eEo3*k6!)POVMv{U9}WFgj-V9T-VUz-g=}~|!bkD6^;t|? z^~dp`trPqqJb2=W>5acz2JHglUo$84v)^R|tjX3c^*rJ5(Heeka{04Wo=Fw54mX^` zYb*CEB91#c0PFVHO^hU%h9g^f3()yrW0%!oG7Z+TiSX?yUt(%5x?_o)G!Y3=`In(J zW+9Q5EV3b85O3f-FQkoBt4&kg6U6{|$rPJ}O1f)gB~-(#>(-#Me=8ae-l;On)r?uJ zZzHP?rA9m{aVue@zcC4#1EkXuBrGX8K{OvbG|grO71U7$u7HXQ#>SrBc98-PI@4CD za(~s_#~_7LMJ&auXrdVMBHe_DJT-q58wY)@@GtOvk8PYhEBBdW>#f{qRlR;VC;rBC z4ZGIhp9g3k;DHNKr8}-0al1+~k;O`~ft%S3upjXPS%zkPj=!13#yU4D#@S_a#wptb zL2CFtWTL3PfsHl8U72hyL#4U7x;jHBYGA>Pmx`ox zuC(E5981-v1uTh<`q-pqN)x+|Og*tAne!NLYqkBs@DPGFIf5M@P-l;?JOO`Mt=9XBg8D%QVhO zw@COdW>|sv5{T-`T>fdR-61&^5@Dtp#wRTqpxrfaVFr*jJ0Z}lD_b**;cbCtxCyU} z^J%M^Eiy*88O1@cxw0ijWVMKyc(%HcahaYCUW5>h@!g2G-yRx!2iB;>rRuN6TIQ9B zX255r!H_uM%q6`&o8Iu;ckpRzfAi$IMT`5BtR&q8V&1Oa-?7rnt86>?G+z;cJOO+JI0 zVA!-&NUk?~@)|YrW!&TlPorKw@gdhzCd~kP*;tz)f*~;GTXI(9K}=f>pSHSbYwUNH zA(w_DR{xtv&5bAT%H^2y8BAO8v~@L)%r&3n7xeNh*&NJ17jVREhG#BXvG$z%bWhId zefu-E-wD~D!;o`ZBQqY975R2i#%C~o!ka-9lNh0*Uire@*tSnw-FY?cyGp{apN|aF zHk@jZ-MQQ+BM~$YUlT?E0&7p34)i4y8$O2<#2U$#Yx>L}+Xt#Szv6+>uxdG1knM~bf zX@`*BEa=F!?E>u(v;HmXLsXbk6-PUH?2V-f;c)0Vs@g(Mb{-w98*SvU`_Am_Te3+| znuv}jnrqayRiK@rHFO+jp8xj4chTL*XX0_YQ6;nbpDvro?K`EllE(uxkFilM__fT_ z5_G%0q|@r`;3~h2aJ~UC+l+@an}#cU9k3^Ef~OgedrBO>Vzm=qY%viI;hkhq~ez<-#8@*sY-8 zDAtM-`qjVRH@h2wAwx~DElJt%50l8WnpQ~0W_6++$V3AfCWNe!1{TUR0xQ0#i+M|_ z9HETq=-DnNJIecju8w~Tms`XzTBU&|sPe3dzlFBxi&Wa1CjWm_h+V3c&<)EYR^ zJ=q5G`65jf#khRD&=Cng9)sQ3P%+|6f z*%~3z3Wp&f*zzSQV`|M#0^(Rc231>VwG8H1@GFk3UV+h|5+u9^Z>u4#4#8avX}u(I zNC>q@3rbEE5rqE=Z2y*UV#5-f4fb#;i&;lzGcikz&43|ArP)NoS&F+bF_AT!4}Xq@ ztc^gAq023Z5OUHSz;c&0GAv;l%;uuLi&ZHP5Ac4{_OIZ{x51+{=xvXO5&S^##esXt zL+Fk7jK$j*tZoX+Q-TMu6jhcR)y6ULqB+i7rlcB(zOhy4^Nu_JR`;&%ueddh#)|EW2%tHP>l7q+s*3wV?@iX=Gh^^4@EMMRK-Jg`+LUmsfjUa;xIh zj=gg056$~QGucz63ln)pHH`lNcw0j|?`YYFg^dm}Ee)fCh7K&e*1BtBX!dLee$OI@ zI&Ez(XqVojv*S}R>J+?Fu%e?o?=bKKB*wKlws}v)7+fRrxY`_;J3+Zev|(sow$Cs; zM~&g$PDMR$OuiC1Z5^BFjrcYQx(^>fzf!c>u;BM?YCcNGa4Mx~!D4gW0gese=Z_KX zlUrie7w%zrzTau9osG3L9W043du7&a;<$@PN?@Zam95M|g^eKAy7zrK?N@Tr96-3s z87X7hJqsMmDX2QN((m=&ix(|}OO8_SYL|B23$Y+ITS^q)`-cQyGM%{Sd?lg@vZ`ET z7=U{YyJt7VC?%_lWJ$_3ha@s?Asean;1{1L8B*;?CmQku7;)>*1swG(p(B%}2}%yb zq@_TPkYL=ZBq6!hv&q>&7WcGO(5(4lg?;A89QDppcO$S4Rj zDK%?&+B&6B!oHp)>W^$XhYYyGu@8_&8HRZ(*t>dGf|24z1ZFrhcx8vr+-;s(YBS-6)&RTTPHk2)HC1@FJRe}zSh^v~eMewLb#6oXbGOApAu5Gz zNjP71ZQLdC!$-I;G#tL#{c7xEsY@)|_iO5#HKG67|4CR>U8Tu+v$P9VDY9qsiO(6- zzLU+(ubU;&W-V~8iz3TH@WPmaq^V8`-@y5M)j?>Tgl#_$;n@_^*5x)w>d*T*-Tw(# z_kbz&M$?o}*g}3k*)Da~L9cY+X=3U5$7}p@AlP>4mA$J;fHX~aOtb+WAGAVxy4pM| z26Ov;+G-1`@`}%2E-lLWGtsI!p0*luGdhQ}v+4wFs~8#XsX33>2`L#|6T$F!&|XmS z9R(TL1_T@7*xS{NejbS&fp$|Pf{e*wVzb7Q(gv0cIqk?N8uElnBh@Ta+6X0G;1)`N zAi1)oPZ^cuuAcE}>nS`xx$jT(9&Qe#8gNu89qQVouhHrxNX#8^gX8D-=Y zzN1`$?}$q6cjW)O#l}magV2n3lXUAb8q~9}Di~8G3%Ww|1}%V(SGW$tl8=o8PVu1F zvIIZ%5@KT`bCV%fj0u!vm`w}Dd?maDZi3NRQftgcDM83pEL%?2fJLdN5g244M@E

    modules, 61 connections]]> + 17
    modules, 65 connections]]> Transform: TerminalIdAssignmentUpdateTransform Transform: DefaultSlaveTransform Transform: TranslatorTransform @@ -2139,7 +2149,10 @@ - 33
    modules, 128 connections]]> + + + + 35
    modules, 136 connections]]> Transform: IDPadTransform Transform: DomainTransform Transform merlin_domain_transform not run on matched interfaces nios2_gen2.data_master and nios2_gen2_data_master_translator.avalon_anti_master_0 @@ -2285,6 +2298,15 @@ + + + + + + + + + Transform merlin_domain_transform not run on matched interfaces jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0 and jtag_uart.avalon_jtag_slave Transform merlin_domain_transform not run on matched interfaces i2c_opencores_mipi_avalon_slave_0_translator.avalon_anti_slave_0 and i2c_opencores_mipi.avalon_slave_0 Transform merlin_domain_transform not run on matched interfaces i2c_opencores_camera_avalon_slave_0_translator.avalon_anti_slave_0 and i2c_opencores_camera.avalon_slave_0 @@ -2300,7 +2322,8 @@ Transform merlin_domain_transform not run on matched interfaces mipi_reset_n_s1_translator.avalon_anti_slave_0 and mipi_reset_n.s1 Transform merlin_domain_transform not run on matched interfaces mipi_pwdn_n_s1_translator.avalon_anti_slave_0 and mipi_pwdn_n.s1 Transform merlin_domain_transform not run on matched interfaces EEE_IMGPROC_0_s1_translator.avalon_anti_slave_0 and EEE_IMGPROC_0.s1 - 68
    modules, 359 connections]]> + Transform merlin_domain_transform not run on matched interfaces uart_0_s1_translator.avalon_anti_slave_0 and uart_0.s1 + 72
    modules, 381 connections]]> Transform: RouterTransform @@ -2353,7 +2376,10 @@ - 85
    modules, 426 connections]]> + + + + 90
    modules, 452 connections]]> Transform: TrafficLimiterTransform @@ -2361,7 +2387,7 @@ - 87
    modules, 436 connections]]> + 92
    modules, 462 connections]]> Transform: BurstTransform Transform: TreeTransform Transform: NetworkToSwitchTransform @@ -2467,7 +2493,13 @@ - 120
    modules, 520 connections]]> + + + + + + + 127
    modules, 551 connections]]> Transform: WidthTransform Transform: RouterTableTransform Transform: ThreadIDMappingTableTransform @@ -2490,12 +2522,12 @@ 124 modules, 548 connections]]> + culprit="com_altera_sopcmodel_transforms_avalon_ClockCrossingTransform">131 modules, 579 connections]]> Transform: PipelineTransform Transform: SpotPipelineTransform Transform: PerformanceMonitorTransform Transform: TrafficLimiterUpdateTransform - 124 modules, 550 connections]]> + 131 modules, 581 connections]]> Transform: InsertClockAndResetBridgesTransform @@ -2512,13 +2544,13 @@ - 129 modules, 682 connections]]> + 136 modules, 720 connections]]> Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 21 modules, 90 connections]]> + 22 modules, 95 connections]]> Transform: InitialInterconnectTransform 5 modules, 8 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -2621,13 +2653,13 @@ - 22 modules, 94 connections]]> - 22 modules, 94 connections]]> + 23 modules, 99 connections]]> + 23 modules, 99 connections]]> Transform: InterruptMapperTransform - 23 modules, 98 connections]]> + 24 modules, 103 connections]]> Transform: InterruptSyncTransform Transform: InterruptFanoutTransform Transform: AvalonStreamingTransform @@ -2644,7 +2676,7 @@ - 27 modules, 97 connections]]> + 28 modules, 102 connections]]> Qsys" reuses EEE_IMGPROC "submodules/EEE_IMGPROC"]]> Qsys" reuses TERASIC_AUTO_FOCUS "submodules/TERASIC_AUTO_FOCUS"]]> Qsys" reuses TERASIC_CAMERA "submodules/TERASIC_CAMERA"]]> @@ -2664,41 +2696,42 @@ Qsys" reuses altera_avalon_pio "submodules/Qsys_sw"]]> Qsys" reuses altera_avalon_sysid_qsys "submodules/Qsys_sysid_qsys"]]> Qsys" reuses altera_avalon_timer "submodules/Qsys_timer"]]> + Qsys" reuses altera_avalon_uart "submodules/Qsys_uart_0"]]> Qsys" reuses altera_mm_interconnect "submodules/Qsys_mm_interconnect_0"]]> Qsys" reuses altera_mm_interconnect "submodules/Qsys_mm_interconnect_1"]]> Qsys" reuses altera_irq_mapper "submodules/Qsys_irq_mapper"]]> Qsys" reuses altera_reset_controller "submodules/altera_reset_controller"]]> Qsys" reuses altera_reset_controller "submodules/altera_reset_controller"]]> Qsys" reuses altera_reset_controller "submodules/altera_reset_controller"]]> - queue size: 24 starting:EEE_IMGPROC "submodules/EEE_IMGPROC" + queue size: 25 starting:EEE_IMGPROC "submodules/EEE_IMGPROC" Qsys" instantiated EEE_IMGPROC "EEE_IMGPROC_0"]]> - queue size: 23 starting:TERASIC_AUTO_FOCUS "submodules/TERASIC_AUTO_FOCUS" + queue size: 24 starting:TERASIC_AUTO_FOCUS "submodules/TERASIC_AUTO_FOCUS" Qsys" instantiated TERASIC_AUTO_FOCUS "TERASIC_AUTO_FOCUS_0"]]> - queue size: 22 starting:TERASIC_CAMERA "submodules/TERASIC_CAMERA" + queue size: 23 starting:TERASIC_CAMERA "submodules/TERASIC_CAMERA" set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0002_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0002_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.625s - Command took 0.719s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0054_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0054_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.590s + Command took 0.627s set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0005_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:\Users\Anish Ghanekar\OneDrive - Imperial College London\GitHub\EE2Rover\Vision\DE10_LITE_D8M_VIP_16\ip\TERASIC_CAMERA\TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0005_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=TERASIC_CAMERA "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=VIDEO_W=D\"640\";VIDEO_H=D\"480\";" --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.614s - Command took 0.704s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0057_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\ip\TERASIC_CAMERA\TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0057_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=TERASIC_CAMERA "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=VIDEO_W=D\"640\";VIDEO_H=D\"480\";" --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.533s + Command took 0.605s Qsys" instantiated TERASIC_CAMERA "TERASIC_CAMERA_0"]]> - queue size: 21 starting:alt_vip_itc "submodules/alt_vipitc131_IS2Vid" + queue size: 22 starting:alt_vip_itc "submodules/alt_vipitc131_IS2Vid" set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0006_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0006_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.616s - Command took 0.718s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0058_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0058_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.524s + Command took 0.629s set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0007_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:\intelfpga_lite\16.1\ip\altera\clocked_video_output\src_hdl\alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0007_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=alt_vipitc131_IS2Vid "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=NUMBER_OF_COLOUR_PLANES=D\"3\";COLOUR_PLANES_ARE_IN_PARALLEL=D\"1\";BPS=D\"8\";INTERLACED=D\"0\";H_ACTIVE_PIXELS=D\"640\";V_ACTIVE_LINES=D\"480\";ACCEPT_COLOURS_IN_SEQ=D\"0\";FIFO_DEPTH=D\"640\";CLOCKS_ARE_SAME=D\"0\";USE_CONTROL=D\"0\";NO_OF_MODES=D\"1\";THRESHOLD=D\"639\";STD_WIDTH=D\"1\";GENERATE_SYNC=D\"0\";USE_EMBEDDED_SYNCS=D\"0\";AP_LINE=D\"0\";V_BLANK=D\"0\";H_BLANK=D\"0\";H_SYNC_LENGTH=D\"96\";H_FRONT_PORCH=D\"16\";H_BACK_PORCH=D\"48\";V_SYNC_LENGTH=D\"2\";V_FRONT_PORCH=D\"10\";V_BACK_PORCH=D\"33\";F_RISING_EDGE=D\"0\";F_FALLING_EDGE=D\"0\";FIELD0_V_RISING_EDGE=D\"0\";FIELD0_V_BLANK=D\"0\";FIELD0_V_SYNC_LENGTH=D\"0\";FIELD0_V_FRONT_PORCH=D\"0\";FIELD0_V_BACK_PORCH=D\"0\";ANC_LINE=D\"0\";FIELD0_ANC_LINE=D\"0\";" --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.588s - Command took 0.750s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0059_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:\intelfpga_lite\16.1\ip\altera\clocked_video_output\src_hdl\alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0059_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=alt_vipitc131_IS2Vid "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=NUMBER_OF_COLOUR_PLANES=D\"3\";COLOUR_PLANES_ARE_IN_PARALLEL=D\"1\";BPS=D\"8\";INTERLACED=D\"0\";H_ACTIVE_PIXELS=D\"640\";V_ACTIVE_LINES=D\"480\";ACCEPT_COLOURS_IN_SEQ=D\"0\";FIFO_DEPTH=D\"640\";CLOCKS_ARE_SAME=D\"0\";USE_CONTROL=D\"0\";NO_OF_MODES=D\"1\";THRESHOLD=D\"639\";STD_WIDTH=D\"1\";GENERATE_SYNC=D\"0\";USE_EMBEDDED_SYNCS=D\"0\";AP_LINE=D\"0\";V_BLANK=D\"0\";H_BLANK=D\"0\";H_SYNC_LENGTH=D\"96\";H_FRONT_PORCH=D\"16\";H_BACK_PORCH=D\"48\";V_SYNC_LENGTH=D\"2\";V_FRONT_PORCH=D\"10\";V_BACK_PORCH=D\"33\";F_RISING_EDGE=D\"0\";F_FALLING_EDGE=D\"0\";FIELD0_V_RISING_EDGE=D\"0\";FIELD0_V_BLANK=D\"0\";FIELD0_V_SYNC_LENGTH=D\"0\";FIELD0_V_FRONT_PORCH=D\"0\";FIELD0_V_BACK_PORCH=D\"0\";ANC_LINE=D\"0\";FIELD0_ANC_LINE=D\"0\";" --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.527s + Command took 0.635s Qsys" instantiated alt_vip_itc "alt_vip_itc_0"]]> - queue size: 20 starting:alt_vip_vfb "submodules/Qsys_alt_vip_vfb_0" + queue size: 21 starting:alt_vip_vfb "submodules/Qsys_alt_vip_vfb_0" alt_vip_vfb_0" reuses alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2"]]> alt_vip_vfb_0" reuses alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2"]]> alt_vip_vfb_0" reuses alt_au "submodules/alt_cusp161_au"]]> @@ -2899,67 +2932,67 @@ dut" reuses alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset"]]> dut" reuses alt_vip_vfb "submodules/Qsys_alt_vip_vfb_0"]]> Qsys" instantiated alt_vip_vfb "alt_vip_vfb_0"]]> - queue size: 343 starting:alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2" + queue size: 350 starting:alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2" alt_vip_vfb_0" instantiated alt_cusp_muxbin2 "vfb_writer_packet_write_address_au_l_muxinst"]]> - queue size: 341 starting:alt_au "submodules/alt_cusp161_au" + queue size: 348 starting:alt_au "submodules/alt_cusp161_au" alt_vip_vfb_0" instantiated alt_au "vfb_writer_packet_write_address_au"]]> - queue size: 332 starting:alt_reg "submodules/alt_cusp161_reg" + queue size: 339 starting:alt_reg "submodules/alt_cusp161_reg" alt_vip_vfb_0" instantiated alt_reg "vfb_writer_overflow_flag_reg"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 331 starting:alt_cusp_muxhot16 "submodules/alt_cusp161_muxhot16" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 338 starting:alt_cusp_muxhot16 "submodules/alt_cusp161_muxhot16" alt_vip_vfb_0" instantiated alt_cusp_muxhot16 "vfb_writer_length_counter_au_enable_muxinst"]]> - queue size: 307 starting:alt_avalon_st_input "submodules/alt_cusp161_avalon_st_input" + queue size: 314 starting:alt_avalon_st_input "submodules/alt_cusp161_avalon_st_input" alt_vip_vfb_0" instantiated alt_avalon_st_input "din"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 302 starting:alt_avalon_st_output "submodules/alt_cusp161_avalon_st_output" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 309 starting:alt_avalon_st_output "submodules/alt_cusp161_avalon_st_output" alt_vip_vfb_0" instantiated alt_avalon_st_output "dout"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 298 starting:alt_avalon_mm_bursting_master_fifo "submodules/alt_cusp161_avalon_mm_bursting_master_fifo" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 305 starting:alt_avalon_mm_bursting_master_fifo "submodules/alt_cusp161_avalon_mm_bursting_master_fifo" alt_vip_vfb_0" instantiated alt_avalon_mm_bursting_master_fifo "read_master"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 296 starting:alt_cusp_pulling_width_adapter "submodules/alt_cusp161_pulling_width_adapter" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 303 starting:alt_cusp_pulling_width_adapter "submodules/alt_cusp161_pulling_width_adapter" alt_vip_vfb_0" instantiated alt_cusp_pulling_width_adapter "read_master_pull"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 290 starting:alt_cusp_pushing_width_adapter "submodules/alt_cusp161_pushing_width_adapter" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 297 starting:alt_cusp_pushing_width_adapter "submodules/alt_cusp161_pushing_width_adapter" alt_vip_vfb_0" instantiated alt_cusp_pushing_width_adapter "write_master_push"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 256 starting:alt_pc "submodules/alt_cusp161_pc" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 263 starting:alt_pc "submodules/alt_cusp161_pc" alt_vip_vfb_0" instantiated alt_pc "pc0"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 196 starting:alt_cmp "submodules/alt_cusp161_cmp" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 203 starting:alt_cmp "submodules/alt_cusp161_cmp" alt_vip_vfb_0" instantiated alt_cmp "fu_id_4494_line325_93"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 146 starting:alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 153 starting:alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset" alt_vip_vfb_0" instantiated alt_cusp_testbench_clock "clocksource"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 218 starting:altpll "submodules/Qsys_altpll_0" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 219 starting:altpll "submodules/Qsys_altpll_0" set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0008_sopcgen/Qsys_altpll_0.v --source=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0008_sopcgen/Qsys_altpll_0.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0009_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.841s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0060_sopcgen/Qsys_altpll_0.v --source=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0060_sopcgen/Qsys_altpll_0.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0061_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.661s Qsys" instantiated altpll "altpll_0"]]> - queue size: 217 starting:i2c_opencores "submodules/i2c_opencores" + queue size: 218 starting:i2c_opencores "submodules/i2c_opencores" Qsys" instantiated i2c_opencores "i2c_opencores_camera"]]> - queue size: 215 starting:altera_avalon_jtag_uart "submodules/Qsys_jtag_uart" + queue size: 216 starting:altera_avalon_jtag_uart "submodules/Qsys_jtag_uart" Starting RTL generation for module 'Qsys_jtag_uart' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=Qsys_jtag_uart --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0011_jtag_uart_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0011_jtag_uart_gen//Qsys_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=Qsys_jtag_uart --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0063_jtag_uart_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0063_jtag_uart_gen//Qsys_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_jtag_uart' Qsys" instantiated altera_avalon_jtag_uart "jtag_uart"]]> - queue size: 214 starting:altera_avalon_pio "submodules/Qsys_key" + queue size: 215 starting:altera_avalon_pio "submodules/Qsys_key" Starting RTL generation for module 'Qsys_key' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_key --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0012_key_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0012_key_gen//Qsys_key_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_key --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0064_key_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0064_key_gen//Qsys_key_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_key' Qsys" instantiated altera_avalon_pio "key"]]> - queue size: 213 starting:altera_avalon_pio "submodules/Qsys_led" + queue size: 214 starting:altera_avalon_pio "submodules/Qsys_led" Starting RTL generation for module 'Qsys_led' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_led --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0013_led_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0013_led_gen//Qsys_led_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_led --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0065_led_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0065_led_gen//Qsys_led_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_led' Qsys" instantiated altera_avalon_pio "led"]]> - queue size: 212 starting:altera_avalon_pio "submodules/Qsys_mipi_pwdn_n" + queue size: 213 starting:altera_avalon_pio "submodules/Qsys_mipi_pwdn_n" Starting RTL generation for module 'Qsys_mipi_pwdn_n' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_mipi_pwdn_n --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0014_mipi_pwdn_n_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0014_mipi_pwdn_n_gen//Qsys_mipi_pwdn_n_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_mipi_pwdn_n --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0066_mipi_pwdn_n_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0066_mipi_pwdn_n_gen//Qsys_mipi_pwdn_n_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_mipi_pwdn_n' Qsys" instantiated altera_avalon_pio "mipi_pwdn_n"]]> - queue size: 210 starting:altera_nios2_gen2 "submodules/Qsys_nios2_gen2" + queue size: 211 starting:altera_nios2_gen2 "submodules/Qsys_nios2_gen2" @@ -2974,64 +3007,69 @@ Transform: ResetAdaptation nios2_gen2" reuses altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu"]]> Qsys" instantiated altera_nios2_gen2 "nios2_gen2"]]> - queue size: 144 starting:altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu" + queue size: 151 starting:altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu" Starting RTL generation for module 'Qsys_nios2_gen2_cpu' - Generation command is [exec C:/intelFPGA_lite/16.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=Qsys_nios2_gen2_cpu --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0022_cpu_gen/ --quartus_bindir=C:/intelFPGA_lite/16.1/quartus/bin64/ --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0022_cpu_gen//Qsys_nios2_gen2_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2021.05.27 17:51:00 (*) Starting Nios II generation - # 2021.05.27 17:51:00 (*) Checking for plaintext license. - # 2021.05.27 17:51:01 (*) Couldn't query license setup in Quartus directory C:/intelFPGA_lite/16.1/quartus/bin64/ - # 2021.05.27 17:51:01 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2021.05.27 17:51:01 (*) LM_LICENSE_FILE environment variable is empty - # 2021.05.27 17:51:01 (*) Plaintext license not found. - # 2021.05.27 17:51:01 (*) Checking for encrypted license (non-evaluation). - # 2021.05.27 17:51:01 (*) Couldn't query license setup in Quartus directory C:/intelFPGA_lite/16.1/quartus/bin64/ - # 2021.05.27 17:51:01 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2021.05.27 17:51:01 (*) LM_LICENSE_FILE environment variable is empty - # 2021.05.27 17:51:01 (*) Encrypted license not found. Defaulting to OCP evaluation license (produces a time-limited SOF) - # 2021.05.27 17:51:01 (*) Elaborating CPU configuration settings - # 2021.05.27 17:51:01 (*) Creating all objects for CPU - # 2021.05.27 17:51:01 (*) Testbench - # 2021.05.27 17:51:02 (*) Instruction decoding - # 2021.05.27 17:51:02 (*) Instruction fields - # 2021.05.27 17:51:02 (*) Instruction decodes - # 2021.05.27 17:51:02 (*) Signals for RTL simulation waveforms - # 2021.05.27 17:51:02 (*) Instruction controls - # 2021.05.27 17:51:02 (*) Pipeline frontend - # 2021.05.27 17:51:02 (*) Pipeline backend - # 2021.05.27 17:51:05 (*) Generating RTL from CPU objects - # 2021.05.27 17:51:06 (*) Creating encrypted RTL - # 2021.05.27 17:51:07 (*) Done Nios II generation + Generation command is [exec F:/intelFPGA_lite/16.1/quartus/bin64//eperlcmd.exe -I F:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=Qsys_nios2_gen2_cpu --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0075_cpu_gen/ --quartus_bindir=F:/intelFPGA_lite/16.1/quartus/bin64/ --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0075_cpu_gen//Qsys_nios2_gen2_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2021.06.03 15:10:02 (*) Starting Nios II generation + # 2021.06.03 15:10:02 (*) Checking for plaintext license. + # 2021.06.03 15:10:03 (*) Couldn't query license setup in Quartus directory F:/intelFPGA_lite/16.1/quartus/bin64/ + # 2021.06.03 15:10:03 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2021.06.03 15:10:03 (*) LM_LICENSE_FILE environment variable is empty + # 2021.06.03 15:10:03 (*) Plaintext license not found. + # 2021.06.03 15:10:03 (*) Checking for encrypted license (non-evaluation). + # 2021.06.03 15:10:03 (*) Couldn't query license setup in Quartus directory F:/intelFPGA_lite/16.1/quartus/bin64/ + # 2021.06.03 15:10:03 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2021.06.03 15:10:03 (*) LM_LICENSE_FILE environment variable is empty + # 2021.06.03 15:10:03 (*) Encrypted license not found. Defaulting to OCP evaluation license (produces a time-limited SOF) + # 2021.06.03 15:10:03 (*) Elaborating CPU configuration settings + # 2021.06.03 15:10:03 (*) Creating all objects for CPU + # 2021.06.03 15:10:03 (*) Testbench + # 2021.06.03 15:10:03 (*) Instruction decoding + # 2021.06.03 15:10:03 (*) Instruction fields + # 2021.06.03 15:10:03 (*) Instruction decodes + # 2021.06.03 15:10:04 (*) Signals for RTL simulation waveforms + # 2021.06.03 15:10:04 (*) Instruction controls + # 2021.06.03 15:10:04 (*) Pipeline frontend + # 2021.06.03 15:10:04 (*) Pipeline backend + # 2021.06.03 15:10:06 (*) Generating RTL from CPU objects + # 2021.06.03 15:10:08 (*) Creating encrypted RTL + # 2021.06.03 15:10:08 (*) Done Nios II generation Done RTL generation for module 'Qsys_nios2_gen2_cpu' nios2_gen2" instantiated altera_nios2_gen2_unit "cpu"]]> - queue size: 210 starting:altera_avalon_onchip_memory2 "submodules/Qsys_onchip_memory2_0" + queue size: 211 starting:altera_avalon_onchip_memory2 "submodules/Qsys_onchip_memory2_0" Starting RTL generation for module 'Qsys_onchip_memory2_0' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=Qsys_onchip_memory2_0 --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0015_onchip_memory2_0_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0015_onchip_memory2_0_gen//Qsys_onchip_memory2_0_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=Qsys_onchip_memory2_0 --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0067_onchip_memory2_0_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0067_onchip_memory2_0_gen//Qsys_onchip_memory2_0_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_onchip_memory2_0' Qsys" instantiated altera_avalon_onchip_memory2 "onchip_memory2_0"]]> - queue size: 209 starting:altera_avalon_new_sdram_controller "submodules/Qsys_sdram" + queue size: 210 starting:altera_avalon_new_sdram_controller "submodules/Qsys_sdram" Starting RTL generation for module 'Qsys_sdram' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller/generate_rtl.pl --name=Qsys_sdram --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0016_sdram_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0016_sdram_gen//Qsys_sdram_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller/generate_rtl.pl --name=Qsys_sdram --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0068_sdram_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0068_sdram_gen//Qsys_sdram_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_sdram' Qsys" instantiated altera_avalon_new_sdram_controller "sdram"]]> - queue size: 208 starting:altera_avalon_pio "submodules/Qsys_sw" + queue size: 209 starting:altera_avalon_pio "submodules/Qsys_sw" Starting RTL generation for module 'Qsys_sw' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_sw --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0017_sw_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0017_sw_gen//Qsys_sw_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_sw --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0069_sw_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0069_sw_gen//Qsys_sw_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_sw' Qsys" instantiated altera_avalon_pio "sw"]]> - queue size: 207 starting:altera_avalon_sysid_qsys "submodules/Qsys_sysid_qsys" + queue size: 208 starting:altera_avalon_sysid_qsys "submodules/Qsys_sysid_qsys" Qsys" instantiated altera_avalon_sysid_qsys "sysid_qsys"]]> - queue size: 206 starting:altera_avalon_timer "submodules/Qsys_timer" + queue size: 207 starting:altera_avalon_timer "submodules/Qsys_timer" Starting RTL generation for module 'Qsys_timer' - Generation command is [exec C:/intelFPGA_lite/16.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=Qsys_timer --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0019_timer_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0019_timer_gen//Qsys_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelFPGA_lite/16.1/quartus/bin64//perl/bin/perl.exe -I F:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=Qsys_timer --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0071_timer_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0071_timer_gen//Qsys_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_timer' Qsys" instantiated altera_avalon_timer "timer"]]> + queue size: 206 starting:altera_avalon_uart "submodules/Qsys_uart_0" + Starting RTL generation for module 'Qsys_uart_0' + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=Qsys_uart_0 --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0072_uart_0_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0072_uart_0_gen//Qsys_uart_0_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'Qsys_uart_0' + Qsys" instantiated altera_avalon_uart "uart_0"]]> queue size: 205 starting:altera_mm_interconnect "submodules/Qsys_mm_interconnect_0" Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform 0 modules, 0 connections]]> @@ -3057,7 +3095,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3082,7 +3120,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3107,7 +3145,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3132,7 +3170,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3157,7 +3195,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3182,7 +3220,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3207,7 +3245,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3232,7 +3270,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3257,7 +3295,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3282,7 +3320,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3307,7 +3345,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3332,7 +3370,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3357,7 +3395,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3382,7 +3420,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3407,7 +3445,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3432,7 +3470,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -3457,7 +3495,32 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> + Transform: InitialInterconnectTransform + 0 modules, 0 connections]]> + Transform: TerminalIdAssignmentUpdateTransform + Transform: DefaultSlaveTransform + Transform: TranslatorTransform + No Avalon connections, skipping transform + Transform: IDPadTransform + Transform: DomainTransform + Transform: RouterTransform + Transform: TrafficLimiterTransform + Transform: BurstTransform + Transform: TreeTransform + Transform: NetworkToSwitchTransform + Transform: WidthTransform + Transform: RouterTableTransform + Transform: ThreadIDMappingTableTransform + Transform: ClockCrossingTransform + Transform: PipelineTransform + Transform: SpotPipelineTransform + Transform: PerformanceMonitorTransform + Transform: TrafficLimiterUpdateTransform + Transform: InsertClockAndResetBridgesTransform + Transform: InterconnectConnectionsTagger + Transform: HierarchyTransform + 119 modules, 407 connections]]> Transform: InterruptMapperTransform Transform: InterruptSyncTransform Transform: InterruptFanoutTransform @@ -3469,122 +3532,130 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.007s - Timing: COM:3/0.029s/0.039s + Timing: COM:3/0.026s/0.034s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.007s - Timing: COM:3/0.012s/0.013s + Timing: COM:3/0.011s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.013s/0.014s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.007s - Timing: COM:3/0.012s/0.012s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.017s/0.027s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.013s/0.016s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.012s/0.014s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.007s + Timing: COM:3/0.011s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.012s/0.013s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.016s/0.024s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.012s/0.013s + Timing: ELA:1/0.007s + Timing: COM:3/0.009s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.011s/0.012s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.011s/0.012s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.017s/0.027s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.015s/0.022s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.011s/0.012s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.012s/0.015s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.005s + Timing: COM:3/0.009s/0.011s + + + + Inserting error_adapter: error_adapter_0 + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.005s + Timing: COM:3/0.009s/0.010s 128 modules, 431 connections]]> + culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform">135 modules, 455 connections]]> Transform: ResetAdaptation mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> @@ -3603,6 +3674,7 @@ mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> @@ -3637,6 +3709,8 @@ mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> @@ -3654,6 +3728,7 @@ mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> + mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]> mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux"]]> @@ -3673,6 +3748,7 @@ mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> + mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> @@ -3688,6 +3764,7 @@ mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005"]]> + mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001"]]> mm_interconnect_0" reuses altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser"]]> @@ -3709,53 +3786,54 @@ mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> + mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> Qsys" instantiated altera_mm_interconnect "mm_interconnect_0"]]> - queue size: 143 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 150 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_gen2_data_master_translator"]]> - queue size: 141 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 148 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> - queue size: 126 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 132 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_gen2_data_master_agent"]]> - queue size: 124 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 130 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> - queue size: 123 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 129 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> - queue size: 92 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router" + queue size: 96 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - queue size: 91 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001" + queue size: 95 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001" mm_interconnect_0" instantiated altera_merlin_router "router_001"]]> - queue size: 90 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002" + queue size: 94 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002" mm_interconnect_0" instantiated altera_merlin_router "router_002"]]> - queue size: 86 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_006" + queue size: 90 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_006" mm_interconnect_0" instantiated altera_merlin_router "router_006"]]> - queue size: 75 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 78 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "nios2_gen2_data_master_limiter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 73 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 76 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 72 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux_001" + queue size: 75 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - queue size: 71 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux" + queue size: 74 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - queue size: 67 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux_004" + queue size: 70 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux_004" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_004"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 56 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 58 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 52 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_004" + queue size: 54 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_004" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_004"]]> - queue size: 51 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005" + queue size: 53 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_005"]]> - queue size: 41 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux" + queue size: 42 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 40 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 41 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 39 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 40 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - queue size: 35 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + queue size: 36 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter" @@ -3772,7 +3850,7 @@ mm_interconnect_0" instantiated altera_avalon_st_adapter "avalon_st_adapter"]]> queue size: 1 starting:error_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter_error_adapter_0" avalon_st_adapter" instantiated error_adapter "error_adapter_0"]]> - queue size: 327 starting:altera_mm_interconnect "submodules/Qsys_mm_interconnect_1" + queue size: 334 starting:altera_mm_interconnect "submodules/Qsys_mm_interconnect_1" @@ -3864,9 +3942,9 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.012s/0.013s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.013s/0.015s 23 modules, 67 connections]]> @@ -3893,15 +3971,15 @@ mm_interconnect_1" reuses altera_merlin_width_adapter "submodules/altera_merlin_width_adapter"]]> mm_interconnect_1" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_1_avalon_st_adapter"]]> Qsys" instantiated altera_mm_interconnect "mm_interconnect_1"]]> - queue size: 143 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 150 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_gen2_data_master_translator"]]> - queue size: 141 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 148 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> - queue size: 126 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 132 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_gen2_data_master_agent"]]> - queue size: 124 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 130 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> - queue size: 123 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 129 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> queue size: 13 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_1_router" mm_interconnect_1" instantiated altera_merlin_router "router"]]> @@ -3909,21 +3987,21 @@ mm_interconnect_1" instantiated altera_merlin_router "router_002"]]> queue size: 10 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_1" instantiated altera_merlin_burst_adapter "sdram_s1_burst_adapter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> queue size: 9 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_1_cmd_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux"]]> queue size: 7 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_1_cmd_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 6 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_1_rsp_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux"]]> queue size: 5 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_1_rsp_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 3 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_1" instantiated altera_merlin_width_adapter "sdram_s1_rsp_width_adapter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_address_alignment.sv]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_address_alignment.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> queue size: 1 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_1_avalon_st_adapter" @@ -3941,9 +4019,9 @@ mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter"]]> queue size: 0 starting:error_adapter "submodules/Qsys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" avalon_st_adapter" instantiated error_adapter "error_adapter_0"]]> - queue size: 347 starting:altera_irq_mapper "submodules/Qsys_irq_mapper" + queue size: 354 starting:altera_irq_mapper "submodules/Qsys_irq_mapper" Qsys" instantiated altera_irq_mapper "irq_mapper"]]> - queue size: 346 starting:altera_reset_controller "submodules/altera_reset_controller" + queue size: 353 starting:altera_reset_controller "submodules/altera_reset_controller" Qsys" instantiated altera_reset_controller "rst_controller"]]> @@ -3956,19 +4034,18 @@ name="EEE_IMGPROC"> - + - queue size: 24 starting:EEE_IMGPROC "submodules/EEE_IMGPROC" + queue size: 25 starting:EEE_IMGPROC "submodules/EEE_IMGPROC" Qsys" instantiated EEE_IMGPROC "EEE_IMGPROC_0"]]> @@ -3983,35 +4060,35 @@ + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_AUTO_FOCUS/TERASIC_AUTO_FOCUS_hw.tcl" /> - queue size: 23 starting:TERASIC_AUTO_FOCUS "submodules/TERASIC_AUTO_FOCUS" + queue size: 24 starting:TERASIC_AUTO_FOCUS "submodules/TERASIC_AUTO_FOCUS" Qsys" instantiated TERASIC_AUTO_FOCUS "TERASIC_AUTO_FOCUS_0"]]> @@ -4024,65 +4101,65 @@ name="TERASIC_CAMERA"> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA_hw.tcl" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/CAMERA_RGB.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/CAMERA_Bayer.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/Bayer2RGB.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/Bayer_LineBuffer.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/rgb_fifo.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/add2.v" /> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/add4.v" /> - queue size: 22 starting:TERASIC_CAMERA "submodules/TERASIC_CAMERA" + queue size: 23 starting:TERASIC_CAMERA "submodules/TERASIC_CAMERA" set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0002_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0002_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.625s - Command took 0.719s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0054_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/TERASIC_CAMERA/TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0054_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.590s + Command took 0.627s set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0005_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:\Users\Anish Ghanekar\OneDrive - Imperial College London\GitHub\EE2Rover\Vision\DE10_LITE_D8M_VIP_16\ip\TERASIC_CAMERA\TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0005_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=TERASIC_CAMERA "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=VIDEO_W=D\"640\";VIDEO_H=D\"480\";" --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.614s - Command took 0.704s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0057_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\ip\TERASIC_CAMERA\TERASIC_CAMERA.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0057_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=TERASIC_CAMERA "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=VIDEO_W=D\"640\";VIDEO_H=D\"480\";" --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.533s + Command took 0.605s Qsys" instantiated TERASIC_CAMERA "TERASIC_CAMERA_0"]]> @@ -4095,100 +4172,100 @@ name="alt_vipitc131_IS2Vid"> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/alt_vip_itc_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid.sv" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_sync_compare.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_calculate_mode.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_control.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_mode_banks.sv" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid_statemachine.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_fifo.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_generic_count.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_to_binary.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_sync.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_trigger_sync.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_sync_generation.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_frame_counter.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_common_sample_counter.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/alt_vipitc131_cvo.sdc" /> - queue size: 21 starting:alt_vip_itc "submodules/alt_vipitc131_IS2Vid" + queue size: 22 starting:alt_vip_itc "submodules/alt_vipitc131_IS2Vid" set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0006_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0006_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.616s - Command took 0.718s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0058_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:/intelfpga_lite/16.1/ip/altera/clocked_video_output/src_hdl/alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0058_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.524s + Command took 0.629s set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0007_sopcqmap/not_a_project_setup.tcl - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:\intelfpga_lite\16.1\ip\altera\clocked_video_output\src_hdl\alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0007_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=alt_vipitc131_IS2Vid "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=NUMBER_OF_COLOUR_PLANES=D\"3\";COLOUR_PLANES_ARE_IN_PARALLEL=D\"1\";BPS=D\"8\";INTERLACED=D\"0\";H_ACTIVE_PIXELS=D\"640\";V_ACTIVE_LINES=D\"480\";ACCEPT_COLOURS_IN_SEQ=D\"0\";FIFO_DEPTH=D\"640\";CLOCKS_ARE_SAME=D\"0\";USE_CONTROL=D\"0\";NO_OF_MODES=D\"1\";THRESHOLD=D\"639\";STD_WIDTH=D\"1\";GENERATE_SYNC=D\"0\";USE_EMBEDDED_SYNCS=D\"0\";AP_LINE=D\"0\";V_BLANK=D\"0\";H_BLANK=D\"0\";H_SYNC_LENGTH=D\"96\";H_FRONT_PORCH=D\"16\";H_BACK_PORCH=D\"48\";V_SYNC_LENGTH=D\"2\";V_FRONT_PORCH=D\"10\";V_BACK_PORCH=D\"33\";F_RISING_EDGE=D\"0\";F_FALLING_EDGE=D\"0\";FIELD0_V_RISING_EDGE=D\"0\";FIELD0_V_BLANK=D\"0\";FIELD0_V_SYNC_LENGTH=D\"0\";FIELD0_V_FRONT_PORCH=D\"0\";FIELD0_V_BACK_PORCH=D\"0\";ANC_LINE=D\"0\";FIELD0_ANC_LINE=D\"0\";" --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.588s - Command took 0.750s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_sh.exe -t C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0059_sopcqmap/not_a_project_setup.tcl + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=F:\intelfpga_lite\16.1\ip\altera\clocked_video_output\src_hdl\alt_vipitc131_IS2Vid.sv --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0059_sopcqmap/ --set=HDL_INTERFACE_INSTANCE_NAME=inst --set=HDL_INTERFACE_INSTANCE_ENTITY=alt_vipitc131_IS2Vid "--set=HDL_INTERFACE_INSTANCE_PARAMETERS=NUMBER_OF_COLOUR_PLANES=D\"3\";COLOUR_PLANES_ARE_IN_PARALLEL=D\"1\";BPS=D\"8\";INTERLACED=D\"0\";H_ACTIVE_PIXELS=D\"640\";V_ACTIVE_LINES=D\"480\";ACCEPT_COLOURS_IN_SEQ=D\"0\";FIFO_DEPTH=D\"640\";CLOCKS_ARE_SAME=D\"0\";USE_CONTROL=D\"0\";NO_OF_MODES=D\"1\";THRESHOLD=D\"639\";STD_WIDTH=D\"1\";GENERATE_SYNC=D\"0\";USE_EMBEDDED_SYNCS=D\"0\";AP_LINE=D\"0\";V_BLANK=D\"0\";H_BLANK=D\"0\";H_SYNC_LENGTH=D\"96\";H_FRONT_PORCH=D\"16\";H_BACK_PORCH=D\"48\";V_SYNC_LENGTH=D\"2\";V_FRONT_PORCH=D\"10\";V_BACK_PORCH=D\"33\";F_RISING_EDGE=D\"0\";F_FALLING_EDGE=D\"0\";FIELD0_V_RISING_EDGE=D\"0\";FIELD0_V_BLANK=D\"0\";FIELD0_V_SYNC_LENGTH=D\"0\";FIELD0_V_FRONT_PORCH=D\"0\";FIELD0_V_BACK_PORCH=D\"0\";ANC_LINE=D\"0\";FIELD0_ANC_LINE=D\"0\";" --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.527s + Command took 0.635s Qsys" instantiated alt_vip_itc "alt_vip_itc_0"]]> @@ -4215,1154 +4292,1154 @@ value="<frameBufferParams><VFB_NAME>MyFrameBuffer</VFB_NAME><VFB_MAX_WIDTH>640</VFB_MAX_WIDTH><VFB_MAX_HEIGHT>480</VFB_MAX_HEIGHT><VFB_BPS>8</VFB_BPS><VFB_CHANNELS_IN_SEQ>1</VFB_CHANNELS_IN_SEQ><VFB_CHANNELS_IN_PAR>3</VFB_CHANNELS_IN_PAR><VFB_WRITER_RUNTIME_CONTROL>false</VFB_WRITER_RUNTIME_CONTROL><VFB_DROP_FRAMES>true</VFB_DROP_FRAMES><VFB_READER_RUNTIME_CONTROL>0</VFB_READER_RUNTIME_CONTROL><VFB_REPEAT_FRAMES>true</VFB_REPEAT_FRAMES><VFB_FRAMEBUFFERS_ADDR>00000000</VFB_FRAMEBUFFERS_ADDR><VFB_MEM_PORT_WIDTH>32</VFB_MEM_PORT_WIDTH><VFB_MEM_MASTERS_USE_SEPARATE_CLOCK>false</VFB_MEM_MASTERS_USE_SEPARATE_CLOCK><VFB_RDATA_FIFO_DEPTH>1024</VFB_RDATA_FIFO_DEPTH><VFB_RDATA_BURST_TARGET>4</VFB_RDATA_BURST_TARGET><VFB_WDATA_FIFO_DEPTH>1024</VFB_WDATA_FIFO_DEPTH><VFB_WDATA_BURST_TARGET>4</VFB_WDATA_BURST_TARGET><VFB_MAX_NUMBER_PACKETS>1</VFB_MAX_NUMBER_PACKETS><VFB_MAX_SYMBOLS_IN_PACKET>10</VFB_MAX_SYMBOLS_IN_PACKET><VFB_INTERLACED_SUPPORT>0</VFB_INTERLACED_SUPPORT><VFB_CONTROLLED_DROP_REPEAT>0</VFB_CONTROLLED_DROP_REPEAT><VFB_BURST_ALIGNMENT>0</VFB_BURST_ALIGNMENT><VFB_DROP_INVALID_FIELDS>false</VFB_DROP_INVALID_FIELDS></frameBufferParams>" /> - + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/alt_vip_vfb.cpp" /> + + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_constants.h" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_elementclass_info.h" /> - + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_vfb_hwfast.hpp" /> + + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cdfg_types.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cusp_synth.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_exception.h" /> - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_lib_types.h" /> + + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_eb.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_mm.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_st.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo_paged.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_gpio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_immed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mac.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mem.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_multadd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_reg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_shift.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_tapped_delay.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_bus.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_eb_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_st_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_debug.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_exit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_overlay.h" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_pc.h" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cassert" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cctype" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/climits" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_confix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_cusp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stlcomp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstddef" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdio" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdlib" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/exception" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/math.h" /> - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/memory" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/pthread.h" /> + + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdarg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stddef.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdexcept" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdlib.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_auto_ptr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_bvector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat_post.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_construct.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctraits_fns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_cwchar.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_epilog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_adaptors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_hash_fun.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_new.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_pair.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_prolog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_range_errors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_raw_storage_iter.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_relops_cont.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_set.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_site_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_uninitialized.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/c_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/type_traits.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl_user_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/typeinfo" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/istream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/ostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/vector" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_buffer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_communication_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_finder.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_queue.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_export.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_interface.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_port.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_prim_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_proxies.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_logic.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_proxy.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/fx.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_context.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fx_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxcast_switch.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxtype_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_ieee.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_mant.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_other_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_rep.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_utils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_bigint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_biguint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_length_param.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbexterns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbutils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_signed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_unsigned.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_concatref.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_value_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_attribute.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_cmnhdr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_constants.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_event.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_externs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_kernel_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_exps.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_friends.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_macros.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module_name.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_object.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_b.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_host.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_sensitive.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_simcontext.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_time.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_ver.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait_cthread.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_vcd_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_wif_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_iostream.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_list.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_mempool.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_pq.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report_handler.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_temporary.h" /> - - + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_vector.h" /> + + + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/frame_buffer.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/forms_rt.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/jdom.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/launcher.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowbase.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowmanager.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/util/jptf.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/lib/com.altera.megawizard2.jar" /> - + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/alt_vip_vfb.cpp" /> + + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_constants.h" /> + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_elementclass_info.h" /> - + path="F:/intelfpga_lite/16.1/ip/altera/frame_buffer/lib/vip_vfb_hwfast.hpp" /> + + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cdfg_types.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_cusp_synth.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_exception.h" /> - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/alt_lib_types.h" /> + + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_eb.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_mm.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_avalon_st.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fifo_paged.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_au.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_cmp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_fp_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_gpio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_immed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mac.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mem.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_mult.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_multadd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_reg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_shift.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/fuLib/alt_tapped_delay.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_bus.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_eb_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_avalon_st_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_debug.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_exit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_overlay.h" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + path="F:/intelFPGA_lite/16.1/quartus/cusp/include/cusp/simlib/alt_pc.h" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cassert" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cctype" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/climits" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_confix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stl_cusp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/config/stlcomp.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstddef" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdio" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstdlib" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/exception" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/math.h" /> - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/memory" /> + - + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/pthread.h" /> + + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdarg.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stddef.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdexcept" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdio.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stdlib.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_algobase.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_alloc.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_auto_ptr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_bvector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_config_compat_post.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_construct.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctraits_fns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_ctype.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_cwchar.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_epilog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_adaptors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_function_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_hash_fun.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_iterator_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_new.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_pair.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_prolog.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_range_errors.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_raw_storage_iter.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_relops_cont.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_set.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_site_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_fwd.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_string_io.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tempbuf.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_threads.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_tree.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_uninitialized.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.c" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/_vector.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/c_locale.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl/type_traits.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/stl_user_config.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/typeinfo" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/cstring" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/istream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/ostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/using/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/vector" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/fstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iosfwd" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/iostream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/synthinclude/stlport/wrap_std/sstream" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_buffer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_clock_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_communication_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_finder.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_event_queue.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_export.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_fifo_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_interface.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_mutex_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_port.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_prim_channel.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_semaphore_if.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ifs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_resolved_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/communication/sc_signal_rv_ports.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bit_proxies.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_bv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_logic.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_lv_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/bit/sc_proxy.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/fx.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_context.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fx_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxcast_switch.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxnum_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxtype_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_fxval_observer.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufix.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/sc_ufixed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_ieee.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_mant.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_other_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_params.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_rep.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/fx/scfx_utils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_bigint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_biguint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_int_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_length_param.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbdefs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbexterns.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_nbutils.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_signed.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_uint_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/int/sc_unsigned.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_concatref.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/datatypes/misc/sc_value_base.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_attribute.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_cmnhdr.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_constants.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_event.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_externs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_kernel_ids.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_defs.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_exps.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_lambda_friends.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_macros.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_module_name.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_object.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_b.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_process_host.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_sensitive.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_simcontext.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_time.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_ver.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/kernel/sc_wait_cthread.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_vcd_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/tracing/sc_wif_trace.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_hash.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_iostream.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_list.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_mempool.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_pq.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_report_handler.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_string.h" /> + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_temporary.h" /> - - + path="F:/intelFPGA_lite/16.1/quartus/cusp/systemc/include/sysc/utils/sc_vector.h" /> + + + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/frame_buffer.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/forms_rt.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/frame_buffer/lib/ip_toolbench/jdom.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/launcher.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowbase.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/flowmanager.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/ip_toolbench/v1.3.0/bin/util/jptf.jar" /> + path="F:/intelFPGA_lite/16.1/ip/altera/common/lib/com.altera.megawizard2.jar" /> - queue size: 20 starting:alt_vip_vfb "submodules/Qsys_alt_vip_vfb_0" + queue size: 21 starting:alt_vip_vfb "submodules/Qsys_alt_vip_vfb_0" alt_vip_vfb_0" reuses alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2"]]> alt_vip_vfb_0" reuses alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2"]]> alt_vip_vfb_0" reuses alt_au "submodules/alt_cusp161_au"]]> @@ -5563,39 +5640,39 @@ dut" reuses alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset"]]> dut" reuses alt_vip_vfb "submodules/Qsys_alt_vip_vfb_0"]]> Qsys" instantiated alt_vip_vfb "alt_vip_vfb_0"]]> - queue size: 343 starting:alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2" + queue size: 350 starting:alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2" alt_vip_vfb_0" instantiated alt_cusp_muxbin2 "vfb_writer_packet_write_address_au_l_muxinst"]]> - queue size: 341 starting:alt_au "submodules/alt_cusp161_au" + queue size: 348 starting:alt_au "submodules/alt_cusp161_au" alt_vip_vfb_0" instantiated alt_au "vfb_writer_packet_write_address_au"]]> - queue size: 332 starting:alt_reg "submodules/alt_cusp161_reg" + queue size: 339 starting:alt_reg "submodules/alt_cusp161_reg" alt_vip_vfb_0" instantiated alt_reg "vfb_writer_overflow_flag_reg"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 331 starting:alt_cusp_muxhot16 "submodules/alt_cusp161_muxhot16" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 338 starting:alt_cusp_muxhot16 "submodules/alt_cusp161_muxhot16" alt_vip_vfb_0" instantiated alt_cusp_muxhot16 "vfb_writer_length_counter_au_enable_muxinst"]]> - queue size: 307 starting:alt_avalon_st_input "submodules/alt_cusp161_avalon_st_input" + queue size: 314 starting:alt_avalon_st_input "submodules/alt_cusp161_avalon_st_input" alt_vip_vfb_0" instantiated alt_avalon_st_input "din"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 302 starting:alt_avalon_st_output "submodules/alt_cusp161_avalon_st_output" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 309 starting:alt_avalon_st_output "submodules/alt_cusp161_avalon_st_output" alt_vip_vfb_0" instantiated alt_avalon_st_output "dout"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 298 starting:alt_avalon_mm_bursting_master_fifo "submodules/alt_cusp161_avalon_mm_bursting_master_fifo" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 305 starting:alt_avalon_mm_bursting_master_fifo "submodules/alt_cusp161_avalon_mm_bursting_master_fifo" alt_vip_vfb_0" instantiated alt_avalon_mm_bursting_master_fifo "read_master"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 296 starting:alt_cusp_pulling_width_adapter "submodules/alt_cusp161_pulling_width_adapter" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 303 starting:alt_cusp_pulling_width_adapter "submodules/alt_cusp161_pulling_width_adapter" alt_vip_vfb_0" instantiated alt_cusp_pulling_width_adapter "read_master_pull"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 290 starting:alt_cusp_pushing_width_adapter "submodules/alt_cusp161_pushing_width_adapter" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 297 starting:alt_cusp_pushing_width_adapter "submodules/alt_cusp161_pushing_width_adapter" alt_vip_vfb_0" instantiated alt_cusp_pushing_width_adapter "write_master_push"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 256 starting:alt_pc "submodules/alt_cusp161_pc" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 263 starting:alt_pc "submodules/alt_cusp161_pc" alt_vip_vfb_0" instantiated alt_pc "pc0"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 196 starting:alt_cmp "submodules/alt_cusp161_cmp" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 203 starting:alt_cmp "submodules/alt_cusp161_cmp" alt_vip_vfb_0" instantiated alt_cmp "fu_id_4494_line325_93"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - queue size: 146 starting:alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + queue size: 153 starting:alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset" alt_vip_vfb_0" instantiated alt_cusp_testbench_clock "clocksource"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_altpll/altera_avalon_altpll_hw.tcl" /> - queue size: 218 starting:altpll "submodules/Qsys_altpll_0" + queue size: 219 starting:altpll "submodules/Qsys_altpll_0" set ALTERA_HW_TCL_KEEP_TEMP_FILES=1 to retain temp files - Command: C:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0008_sopcgen/Qsys_altpll_0.v --source=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0008_sopcgen/Qsys_altpll_0.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0009_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on - Command took 0.841s + Command: F:/intelfpga_lite/16.1/quartus\bin64/quartus_map.exe not_a_project --generate_hdl_interface=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0060_sopcgen/Qsys_altpll_0.v --source=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0060_sopcgen/Qsys_altpll_0.v --set=HDL_INTERFACE_OUTPUT_PATH=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0061_sopcqmap/ --ini=disable_check_quartus_compatibility_qsys_only=on + Command took 0.661s Qsys" instantiated altpll "altpll_0"]]> @@ -5811,35 +5888,35 @@ name="i2c_opencores"> + path="F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/ip/i2c_opencores/i2c_opencores_hw.tcl" /> - queue size: 217 starting:i2c_opencores "submodules/i2c_opencores" + queue size: 218 starting:i2c_opencores "submodules/i2c_opencores" Qsys" instantiated i2c_opencores "i2c_opencores_camera"]]> @@ -5868,21 +5945,21 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" /> - queue size: 215 starting:altera_avalon_jtag_uart "submodules/Qsys_jtag_uart" + queue size: 216 starting:altera_avalon_jtag_uart "submodules/Qsys_jtag_uart" Starting RTL generation for module 'Qsys_jtag_uart' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=Qsys_jtag_uart --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0011_jtag_uart_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0011_jtag_uart_gen//Qsys_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=Qsys_jtag_uart --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0063_jtag_uart_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0063_jtag_uart_gen//Qsys_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_jtag_uart' Qsys" instantiated altera_avalon_jtag_uart "jtag_uart"]]> @@ -5916,21 +5993,21 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> - queue size: 214 starting:altera_avalon_pio "submodules/Qsys_key" + queue size: 215 starting:altera_avalon_pio "submodules/Qsys_key" Starting RTL generation for module 'Qsys_key' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_key --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0012_key_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0012_key_gen//Qsys_key_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_key --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0064_key_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0064_key_gen//Qsys_key_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_key' Qsys" instantiated altera_avalon_pio "key"]]> @@ -5964,21 +6041,21 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> - queue size: 213 starting:altera_avalon_pio "submodules/Qsys_led" + queue size: 214 starting:altera_avalon_pio "submodules/Qsys_led" Starting RTL generation for module 'Qsys_led' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_led --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0013_led_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0013_led_gen//Qsys_led_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_led --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0065_led_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0065_led_gen//Qsys_led_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_led' Qsys" instantiated altera_avalon_pio "led"]]> @@ -6012,28 +6089,28 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> - queue size: 212 starting:altera_avalon_pio "submodules/Qsys_mipi_pwdn_n" + queue size: 213 starting:altera_avalon_pio "submodules/Qsys_mipi_pwdn_n" Starting RTL generation for module 'Qsys_mipi_pwdn_n' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_mipi_pwdn_n --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0014_mipi_pwdn_n_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0014_mipi_pwdn_n_gen//Qsys_mipi_pwdn_n_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_mipi_pwdn_n --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0066_mipi_pwdn_n_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0066_mipi_pwdn_n_gen//Qsys_mipi_pwdn_n_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_mipi_pwdn_n' Qsys" instantiated altera_avalon_pio "mipi_pwdn_n"]]> - + + value="<address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='timer.s1' start='0x41000' end='0x41020' type='altera_avalon_timer.s1' /><slave name='TERASIC_AUTO_FOCUS_0.mm_ctrl' start='0x41020' end='0x41040' type='TERASIC_AUTO_FOCUS.mm_ctrl' /><slave name='i2c_opencores_camera.avalon_slave_0' start='0x41040' end='0x41060' type='i2c_opencores.avalon_slave_0' /><slave name='i2c_opencores_mipi.avalon_slave_0' start='0x41060' end='0x41080' type='i2c_opencores.avalon_slave_0' /><slave name='mipi_pwdn_n.s1' start='0x41080' end='0x41090' type='altera_avalon_pio.s1' /><slave name='mipi_reset_n.s1' start='0x41090' end='0x410A0' type='altera_avalon_pio.s1' /><slave name='key.s1' start='0x410A0' end='0x410B0' type='altera_avalon_pio.s1' /><slave name='sw.s1' start='0x410B0' end='0x410C0' type='altera_avalon_pio.s1' /><slave name='led.s1' start='0x410C0' end='0x410D0' type='altera_avalon_pio.s1' /><slave name='altpll_0.pll_slave' start='0x410D0' end='0x410E0' type='altpll.pll_slave' /><slave name='sysid_qsys.control_slave' start='0x410E0' end='0x410E8' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x410E8' end='0x410F0' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='EEE_IMGPROC_0.s1' start='0x42000' end='0x42020' type='EEE_IMGPROC.s1' /><slave name='uart_0.s1' start='0x42020' end='0x42040' type='altera_avalon_uart.s1' /></address-map>" /> @@ -6224,7 +6301,7 @@ + value="<address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /></address-map>" /> @@ -6241,78 +6318,78 @@ + path="F:/intelfpga_lite/16.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" /> - queue size: 210 starting:altera_nios2_gen2 "submodules/Qsys_nios2_gen2" + queue size: 211 starting:altera_nios2_gen2 "submodules/Qsys_nios2_gen2" @@ -6327,40 +6404,40 @@ Transform: ResetAdaptation nios2_gen2" reuses altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu"]]> Qsys" instantiated altera_nios2_gen2 "nios2_gen2"]]> - queue size: 144 starting:altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu" + queue size: 151 starting:altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu" Starting RTL generation for module 'Qsys_nios2_gen2_cpu' - Generation command is [exec C:/intelFPGA_lite/16.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=Qsys_nios2_gen2_cpu --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0022_cpu_gen/ --quartus_bindir=C:/intelFPGA_lite/16.1/quartus/bin64/ --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0022_cpu_gen//Qsys_nios2_gen2_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2021.05.27 17:51:00 (*) Starting Nios II generation - # 2021.05.27 17:51:00 (*) Checking for plaintext license. - # 2021.05.27 17:51:01 (*) Couldn't query license setup in Quartus directory C:/intelFPGA_lite/16.1/quartus/bin64/ - # 2021.05.27 17:51:01 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2021.05.27 17:51:01 (*) LM_LICENSE_FILE environment variable is empty - # 2021.05.27 17:51:01 (*) Plaintext license not found. - # 2021.05.27 17:51:01 (*) Checking for encrypted license (non-evaluation). - # 2021.05.27 17:51:01 (*) Couldn't query license setup in Quartus directory C:/intelFPGA_lite/16.1/quartus/bin64/ - # 2021.05.27 17:51:01 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2021.05.27 17:51:01 (*) LM_LICENSE_FILE environment variable is empty - # 2021.05.27 17:51:01 (*) Encrypted license not found. Defaulting to OCP evaluation license (produces a time-limited SOF) - # 2021.05.27 17:51:01 (*) Elaborating CPU configuration settings - # 2021.05.27 17:51:01 (*) Creating all objects for CPU - # 2021.05.27 17:51:01 (*) Testbench - # 2021.05.27 17:51:02 (*) Instruction decoding - # 2021.05.27 17:51:02 (*) Instruction fields - # 2021.05.27 17:51:02 (*) Instruction decodes - # 2021.05.27 17:51:02 (*) Signals for RTL simulation waveforms - # 2021.05.27 17:51:02 (*) Instruction controls - # 2021.05.27 17:51:02 (*) Pipeline frontend - # 2021.05.27 17:51:02 (*) Pipeline backend - # 2021.05.27 17:51:05 (*) Generating RTL from CPU objects - # 2021.05.27 17:51:06 (*) Creating encrypted RTL - # 2021.05.27 17:51:07 (*) Done Nios II generation + Generation command is [exec F:/intelFPGA_lite/16.1/quartus/bin64//eperlcmd.exe -I F:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=Qsys_nios2_gen2_cpu --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0075_cpu_gen/ --quartus_bindir=F:/intelFPGA_lite/16.1/quartus/bin64/ --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0075_cpu_gen//Qsys_nios2_gen2_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2021.06.03 15:10:02 (*) Starting Nios II generation + # 2021.06.03 15:10:02 (*) Checking for plaintext license. + # 2021.06.03 15:10:03 (*) Couldn't query license setup in Quartus directory F:/intelFPGA_lite/16.1/quartus/bin64/ + # 2021.06.03 15:10:03 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2021.06.03 15:10:03 (*) LM_LICENSE_FILE environment variable is empty + # 2021.06.03 15:10:03 (*) Plaintext license not found. + # 2021.06.03 15:10:03 (*) Checking for encrypted license (non-evaluation). + # 2021.06.03 15:10:03 (*) Couldn't query license setup in Quartus directory F:/intelFPGA_lite/16.1/quartus/bin64/ + # 2021.06.03 15:10:03 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2021.06.03 15:10:03 (*) LM_LICENSE_FILE environment variable is empty + # 2021.06.03 15:10:03 (*) Encrypted license not found. Defaulting to OCP evaluation license (produces a time-limited SOF) + # 2021.06.03 15:10:03 (*) Elaborating CPU configuration settings + # 2021.06.03 15:10:03 (*) Creating all objects for CPU + # 2021.06.03 15:10:03 (*) Testbench + # 2021.06.03 15:10:03 (*) Instruction decoding + # 2021.06.03 15:10:03 (*) Instruction fields + # 2021.06.03 15:10:03 (*) Instruction decodes + # 2021.06.03 15:10:04 (*) Signals for RTL simulation waveforms + # 2021.06.03 15:10:04 (*) Instruction controls + # 2021.06.03 15:10:04 (*) Pipeline frontend + # 2021.06.03 15:10:04 (*) Pipeline backend + # 2021.06.03 15:10:06 (*) Generating RTL from CPU objects + # 2021.06.03 15:10:08 (*) Creating encrypted RTL + # 2021.06.03 15:10:08 (*) Done Nios II generation Done RTL generation for module 'Qsys_nios2_gen2_cpu' nios2_gen2" instantiated altera_nios2_gen2_unit "cpu"]]> - + @@ -6404,21 +6481,21 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" /> - queue size: 210 starting:altera_avalon_onchip_memory2 "submodules/Qsys_onchip_memory2_0" + queue size: 211 starting:altera_avalon_onchip_memory2 "submodules/Qsys_onchip_memory2_0" Starting RTL generation for module 'Qsys_onchip_memory2_0' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=Qsys_onchip_memory2_0 --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0015_onchip_memory2_0_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0015_onchip_memory2_0_gen//Qsys_onchip_memory2_0_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=Qsys_onchip_memory2_0 --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0067_onchip_memory2_0_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0067_onchip_memory2_0_gen//Qsys_onchip_memory2_0_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_onchip_memory2_0' Qsys" instantiated altera_avalon_onchip_memory2 "onchip_memory2_0"]]> @@ -6457,25 +6534,25 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller/altera_avalon_new_sdram_controller_hw.tcl" /> - queue size: 209 starting:altera_avalon_new_sdram_controller "submodules/Qsys_sdram" + queue size: 210 starting:altera_avalon_new_sdram_controller "submodules/Qsys_sdram" Starting RTL generation for module 'Qsys_sdram' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller/generate_rtl.pl --name=Qsys_sdram --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0016_sdram_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0016_sdram_gen//Qsys_sdram_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_new_sdram_controller/generate_rtl.pl --name=Qsys_sdram --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0068_sdram_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0068_sdram_gen//Qsys_sdram_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_sdram' Qsys" instantiated altera_avalon_new_sdram_controller "sdram"]]> @@ -6509,49 +6586,49 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" /> - queue size: 208 starting:altera_avalon_pio "submodules/Qsys_sw" + queue size: 209 starting:altera_avalon_pio "submodules/Qsys_sw" Starting RTL generation for module 'Qsys_sw' - Generation command is [exec C:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_sw --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0017_sw_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0017_sw_gen//Qsys_sw_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=Qsys_sw --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0069_sw_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0069_sw_gen//Qsys_sw_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_sw' Qsys" instantiated altera_avalon_pio "sw"]]> - + + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl" /> - queue size: 207 starting:altera_avalon_sysid_qsys "submodules/Qsys_sysid_qsys" + queue size: 208 starting:altera_avalon_sysid_qsys "submodules/Qsys_sysid_qsys" Qsys" instantiated altera_avalon_sysid_qsys "sysid_qsys"]]> @@ -6581,28 +6658,72 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_timer/altera_avalon_timer_hw.tcl" /> - queue size: 206 starting:altera_avalon_timer "submodules/Qsys_timer" + queue size: 207 starting:altera_avalon_timer "submodules/Qsys_timer" Starting RTL generation for module 'Qsys_timer' - Generation command is [exec C:/intelFPGA_lite/16.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=Qsys_timer --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0019_timer_gen/ --quartus_dir=C:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0019_timer_gen//Qsys_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec F:/intelFPGA_lite/16.1/quartus/bin64//perl/bin/perl.exe -I F:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=Qsys_timer --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0071_timer_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0071_timer_gen//Qsys_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'Qsys_timer' Qsys" instantiated altera_avalon_timer "timer"]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 206 starting:altera_avalon_uart "submodules/Qsys_uart_0" + Starting RTL generation for module 'Qsys_uart_0' + Generation command is [exec F:/intelfpga_lite/16.1/quartus/bin64/perl/bin/perl.exe -I F:/intelfpga_lite/16.1/quartus/bin64/perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/common -I F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- F:/intelfpga_lite/16.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=Qsys_uart_0 --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0072_uart_0_gen/ --quartus_dir=F:/intelfpga_lite/16.1/quartus --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0072_uart_0_gen//Qsys_uart_0_component_configuration.pl --do_build_sim=0 ] + Done RTL generation for module 'Qsys_uart_0' + Qsys" instantiated altera_avalon_uart "uart_0"]]> + + + +};set_instance_parameter_value {nios2_gen2_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_gen2_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_gen2_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_gen2_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_gen2_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_gen2_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_gen2_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {5};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {i2c_opencores_mipi_avalon_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {ST_DATA_W} {97};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {ID} {4};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent} {ECC_ENABLE} {0};add_instance {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {i2c_opencores_camera_avalon_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {ST_DATA_W} {97};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {ID} {3};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent} {ECC_ENABLE} {0};add_instance {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sysid_qsys_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_DATA_W} {97};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sysid_qsys_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ID} {13};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ECC_ENABLE} {0};add_instance {sysid_qsys_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {nios2_gen2_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {ST_DATA_W} {97};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {ID} {10};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_gen2_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {altera_merlin_slave_agent};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_DATA_H} {31};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_DATA_L} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {ST_DATA_W} {97};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {ID} {1};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent} {ECC_ENABLE} {0};add_instance {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {BITS_PER_SYMBOL} {34};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {EMPTY_LATENCY} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {altpll_0_pll_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {altpll_0_pll_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {altpll_0_pll_slave_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {altpll_0_pll_slave_agent} {ST_DATA_W} {97};set_instance_parameter_value {altpll_0_pll_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {altpll_0_pll_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {altpll_0_pll_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {altpll_0_pll_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {altpll_0_pll_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {altpll_0_pll_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {altpll_0_pll_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {altpll_0_pll_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {altpll_0_pll_slave_agent} {ID} {2};set_instance_parameter_value {altpll_0_pll_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {altpll_0_pll_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {altpll_0_pll_slave_agent} {ECC_ENABLE} {0};add_instance {altpll_0_pll_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {altpll_0_pll_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_0_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_0_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {onchip_memory2_0_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {onchip_memory2_0_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_0_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_0_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_0_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_0_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_0_s1_agent} {ID} {11};set_instance_parameter_value {onchip_memory2_0_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent} {ECC_ENABLE} {0};add_instance {onchip_memory2_0_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_0_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {timer_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {timer_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {timer_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {timer_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {timer_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {timer_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {timer_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {timer_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {timer_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {timer_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {timer_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {timer_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {timer_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {timer_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {timer_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {timer_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {timer_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {timer_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {timer_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {timer_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {timer_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {timer_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {timer_s1_agent} {ID} {14};set_instance_parameter_value {timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {timer_s1_agent} {ECC_ENABLE} {0};add_instance {timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {led_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {led_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {led_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {led_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {led_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {led_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {led_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {led_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {led_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {led_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {led_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {led_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {led_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {led_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {led_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {led_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {led_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {led_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {led_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {led_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {led_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {led_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {led_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {led_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {led_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {led_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {led_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {led_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {led_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {led_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {led_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {led_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {led_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {led_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {led_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {led_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {led_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {led_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {led_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {led_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {led_s1_agent} {ID} {7};set_instance_parameter_value {led_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {led_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {led_s1_agent} {ECC_ENABLE} {0};add_instance {led_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {led_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {led_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {led_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {led_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {led_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {led_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {led_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {led_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {led_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {led_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {led_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {led_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {led_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {led_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sw_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {sw_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sw_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sw_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {sw_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sw_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sw_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sw_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sw_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sw_s1_agent} {ID} {12};set_instance_parameter_value {sw_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sw_s1_agent} {ECC_ENABLE} {0};add_instance {sw_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {key_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {key_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {key_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {key_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {key_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {key_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {key_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {key_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {key_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {key_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {key_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {key_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {key_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {key_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {key_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {key_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {key_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {key_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {key_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {key_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {key_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {key_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {key_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {key_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {key_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {key_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {key_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {key_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {key_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {key_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {key_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {key_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {key_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {key_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {key_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {key_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {key_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {key_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {key_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {key_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {key_s1_agent} {ID} {6};set_instance_parameter_value {key_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {key_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {key_s1_agent} {ECC_ENABLE} {0};add_instance {key_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {key_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {key_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {key_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {key_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {key_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {key_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {key_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {key_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {key_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {key_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {key_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {key_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {key_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {key_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mipi_reset_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {mipi_reset_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mipi_reset_n_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {mipi_reset_n_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {mipi_reset_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mipi_reset_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mipi_reset_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mipi_reset_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mipi_reset_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mipi_reset_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mipi_reset_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mipi_reset_n_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mipi_reset_n_s1_agent} {ID} {9};set_instance_parameter_value {mipi_reset_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mipi_reset_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mipi_reset_n_s1_agent} {ECC_ENABLE} {0};add_instance {mipi_reset_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mipi_reset_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mipi_pwdn_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {ID} {8};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent} {ECC_ENABLE} {0};add_instance {mipi_pwdn_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mipi_pwdn_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {EEE_IMGPROC_0_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {ID} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent} {ECC_ENABLE} {0};add_instance {EEE_IMGPROC_0_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {EEE_IMGPROC_0_s1_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {BITS_PER_SYMBOL} {34};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {EMPTY_LATENCY} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {EEE_IMGPROC_0_s1_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {uart_0_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {uart_0_s1_agent} {PKT_ORI_BURST_SIZE_H} {96};set_instance_parameter_value {uart_0_s1_agent} {PKT_ORI_BURST_SIZE_L} {94};set_instance_parameter_value {uart_0_s1_agent} {PKT_RESPONSE_STATUS_H} {93};set_instance_parameter_value {uart_0_s1_agent} {PKT_RESPONSE_STATUS_L} {92};set_instance_parameter_value {uart_0_s1_agent} {PKT_BURST_SIZE_H} {69};set_instance_parameter_value {uart_0_s1_agent} {PKT_BURST_SIZE_L} {67};set_instance_parameter_value {uart_0_s1_agent} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {uart_0_s1_agent} {PKT_BEGIN_BURST} {74};set_instance_parameter_value {uart_0_s1_agent} {PKT_PROTECTION_H} {87};set_instance_parameter_value {uart_0_s1_agent} {PKT_PROTECTION_L} {85};set_instance_parameter_value {uart_0_s1_agent} {PKT_BURSTWRAP_H} {66};set_instance_parameter_value {uart_0_s1_agent} {PKT_BURSTWRAP_L} {64};set_instance_parameter_value {uart_0_s1_agent} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {uart_0_s1_agent} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {uart_0_s1_agent} {PKT_ADDR_H} {54};set_instance_parameter_value {uart_0_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {uart_0_s1_agent} {PKT_TRANS_COMPRESSED_READ} {55};set_instance_parameter_value {uart_0_s1_agent} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {uart_0_s1_agent} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {uart_0_s1_agent} {PKT_TRANS_READ} {58};set_instance_parameter_value {uart_0_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {uart_0_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {uart_0_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {uart_0_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {uart_0_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {uart_0_s1_agent} {PKT_SRC_ID_L} {76};set_instance_parameter_value {uart_0_s1_agent} {PKT_DEST_ID_H} {83};set_instance_parameter_value {uart_0_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {uart_0_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {uart_0_s1_agent} {ST_CHANNEL_W} {16};set_instance_parameter_value {uart_0_s1_agent} {ST_DATA_W} {97};set_instance_parameter_value {uart_0_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {uart_0_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {uart_0_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {uart_0_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {uart_0_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {uart_0_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {uart_0_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {uart_0_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {uart_0_s1_agent} {ID} {15};set_instance_parameter_value {uart_0_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {uart_0_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {uart_0_s1_agent} {ECC_ENABLE} {0};add_instance {uart_0_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {98};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {uart_0_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {11 10 14 1 3 4 8 9 6 12 7 2 13 5 0 15 };set_instance_parameter_value {router} {CHANNEL_ID} {0000000010000000 0000000000010000 0000000100000000 0000000000100000 0000000000000100 0000000000000010 0010000000000000 0001000000000000 0000100000000000 0000010000000000 0000001000000000 0000000001000000 0000000000001000 0000000000000001 0100000000000000 1000000000000000 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both both both both both read read both both read both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x20000 0x40800 0x41000 0x41020 0x41040 0x41060 0x41080 0x41090 0x410a0 0x410b0 0x410c0 0x410d0 0x410e0 0x410e8 0x42000 0x42020 };set_instance_parameter_value {router} {END_ADDRESS} {0x40000 0x41000 0x41020 0x41040 0x41060 0x41080 0x41090 0x410a0 0x410b0 0x410c0 0x410d0 0x410e0 0x410e8 0x410f0 0x42020 0x42040 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {54};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router} {PKT_TRANS_READ} {58};set_instance_parameter_value {router} {ST_DATA_W} {97};set_instance_parameter_value {router} {ST_CHANNEL_W} {16};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {7};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {11};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {11 10 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x20000 0x40800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x40000 0x41000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {54};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_001} {ST_DATA_W} {97};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {11};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {54};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_002} {ST_DATA_W} {97};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {54};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_003} {ST_DATA_W} {97};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {54};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_004} {ST_DATA_W} {97};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {54};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_005} {ST_DATA_W} {97};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_006} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {54};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_006} {ST_DATA_W} {97};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {54};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_007} {ST_DATA_W} {97};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {54};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_008} {ST_DATA_W} {97};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {router_009} {altera_merlin_router};set_instance_parameter_value {router_009} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_009} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_009} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_009} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_009} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_009} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_009} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_009} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_009} {SPAN_OFFSET} {};set_instance_parameter_value {router_009} {PKT_ADDR_H} {54};set_instance_parameter_value {router_009} {PKT_ADDR_L} {36};set_instance_parameter_value {router_009} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_009} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_009} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_009} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_009} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_009} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_009} {ST_DATA_W} {97};set_instance_parameter_value {router_009} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_009} {DECODER_TYPE} {1};set_instance_parameter_value {router_009} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_009} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_009} {MEMORY_ALIASING_DECODE} {0};add_instance {router_010} {altera_merlin_router};set_instance_parameter_value {router_010} {DESTINATION_ID} {0 };set_instance_parameter_value {router_010} {CHANNEL_ID} {1 };set_instance_parameter_value {router_010} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_010} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_010} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_010} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_010} {SPAN_OFFSET} {};set_instance_parameter_value {router_010} {PKT_ADDR_H} {54};set_instance_parameter_value {router_010} {PKT_ADDR_L} {36};set_instance_parameter_value {router_010} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_010} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_010} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_010} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_010} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_010} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_010} {ST_DATA_W} {97};set_instance_parameter_value {router_010} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_010} {DECODER_TYPE} {1};set_instance_parameter_value {router_010} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_010} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_010} {MEMORY_ALIASING_DECODE} {0};add_instance {router_011} {altera_merlin_router};set_instance_parameter_value {router_011} {DESTINATION_ID} {0 };set_instance_parameter_value {router_011} {CHANNEL_ID} {1 };set_instance_parameter_value {router_011} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_011} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_011} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_011} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_011} {SPAN_OFFSET} {};set_instance_parameter_value {router_011} {PKT_ADDR_H} {54};set_instance_parameter_value {router_011} {PKT_ADDR_L} {36};set_instance_parameter_value {router_011} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_011} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_011} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_011} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_011} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_011} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_011} {ST_DATA_W} {97};set_instance_parameter_value {router_011} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_011} {DECODER_TYPE} {1};set_instance_parameter_value {router_011} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_011} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_011} {MEMORY_ALIASING_DECODE} {0};add_instance {router_012} {altera_merlin_router};set_instance_parameter_value {router_012} {DESTINATION_ID} {0 };set_instance_parameter_value {router_012} {CHANNEL_ID} {1 };set_instance_parameter_value {router_012} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_012} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_012} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_012} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_012} {SPAN_OFFSET} {};set_instance_parameter_value {router_012} {PKT_ADDR_H} {54};set_instance_parameter_value {router_012} {PKT_ADDR_L} {36};set_instance_parameter_value {router_012} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_012} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_012} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_012} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_012} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_012} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_012} {ST_DATA_W} {97};set_instance_parameter_value {router_012} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_012} {DECODER_TYPE} {1};set_instance_parameter_value {router_012} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_012} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_012} {MEMORY_ALIASING_DECODE} {0};add_instance {router_013} {altera_merlin_router};set_instance_parameter_value {router_013} {DESTINATION_ID} {0 };set_instance_parameter_value {router_013} {CHANNEL_ID} {1 };set_instance_parameter_value {router_013} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_013} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_013} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_013} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_013} {SPAN_OFFSET} {};set_instance_parameter_value {router_013} {PKT_ADDR_H} {54};set_instance_parameter_value {router_013} {PKT_ADDR_L} {36};set_instance_parameter_value {router_013} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_013} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_013} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_013} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_013} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_013} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_013} {ST_DATA_W} {97};set_instance_parameter_value {router_013} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_013} {DECODER_TYPE} {1};set_instance_parameter_value {router_013} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_013} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_013} {MEMORY_ALIASING_DECODE} {0};add_instance {router_014} {altera_merlin_router};set_instance_parameter_value {router_014} {DESTINATION_ID} {0 };set_instance_parameter_value {router_014} {CHANNEL_ID} {1 };set_instance_parameter_value {router_014} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_014} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_014} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_014} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_014} {SPAN_OFFSET} {};set_instance_parameter_value {router_014} {PKT_ADDR_H} {54};set_instance_parameter_value {router_014} {PKT_ADDR_L} {36};set_instance_parameter_value {router_014} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_014} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_014} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_014} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_014} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_014} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_014} {ST_DATA_W} {97};set_instance_parameter_value {router_014} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_014} {DECODER_TYPE} {1};set_instance_parameter_value {router_014} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_014} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_014} {MEMORY_ALIASING_DECODE} {0};add_instance {router_015} {altera_merlin_router};set_instance_parameter_value {router_015} {DESTINATION_ID} {0 };set_instance_parameter_value {router_015} {CHANNEL_ID} {1 };set_instance_parameter_value {router_015} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_015} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_015} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_015} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_015} {SPAN_OFFSET} {};set_instance_parameter_value {router_015} {PKT_ADDR_H} {54};set_instance_parameter_value {router_015} {PKT_ADDR_L} {36};set_instance_parameter_value {router_015} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_015} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_015} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_015} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_015} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_015} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_015} {ST_DATA_W} {97};set_instance_parameter_value {router_015} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_015} {DECODER_TYPE} {1};set_instance_parameter_value {router_015} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_015} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_015} {MEMORY_ALIASING_DECODE} {0};add_instance {router_016} {altera_merlin_router};set_instance_parameter_value {router_016} {DESTINATION_ID} {0 };set_instance_parameter_value {router_016} {CHANNEL_ID} {1 };set_instance_parameter_value {router_016} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_016} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_016} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_016} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_016} {SPAN_OFFSET} {};set_instance_parameter_value {router_016} {PKT_ADDR_H} {54};set_instance_parameter_value {router_016} {PKT_ADDR_L} {36};set_instance_parameter_value {router_016} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_016} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_016} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_016} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_016} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_016} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_016} {ST_DATA_W} {97};set_instance_parameter_value {router_016} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_016} {DECODER_TYPE} {1};set_instance_parameter_value {router_016} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_016} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_016} {MEMORY_ALIASING_DECODE} {0};add_instance {router_017} {altera_merlin_router};set_instance_parameter_value {router_017} {DESTINATION_ID} {0 };set_instance_parameter_value {router_017} {CHANNEL_ID} {1 };set_instance_parameter_value {router_017} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_017} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_017} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_017} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_017} {SPAN_OFFSET} {};set_instance_parameter_value {router_017} {PKT_ADDR_H} {54};set_instance_parameter_value {router_017} {PKT_ADDR_L} {36};set_instance_parameter_value {router_017} {PKT_PROTECTION_H} {87};set_instance_parameter_value {router_017} {PKT_PROTECTION_L} {85};set_instance_parameter_value {router_017} {PKT_DEST_ID_H} {83};set_instance_parameter_value {router_017} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_017} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {router_017} {PKT_TRANS_READ} {58};set_instance_parameter_value {router_017} {ST_DATA_W} {97};set_instance_parameter_value {router_017} {ST_CHANNEL_W} {16};set_instance_parameter_value {router_017} {DECODER_TYPE} {1};set_instance_parameter_value {router_017} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_017} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_017} {MEMORY_ALIASING_DECODE} {0};add_instance {nios2_gen2_data_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_DEST_ID_H} {83};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_SRC_ID_L} {76};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_THREAD_ID_H} {84};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PKT_THREAD_ID_L} {84};set_instance_parameter_value {nios2_gen2_data_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_gen2_data_master_limiter} {MAX_OUTSTANDING_RESPONSES} {5};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_gen2_data_master_limiter} {ST_DATA_W} {97};set_instance_parameter_value {nios2_gen2_data_master_limiter} {ST_CHANNEL_W} {16};set_instance_parameter_value {nios2_gen2_data_master_limiter} {VALID_WIDTH} {16};set_instance_parameter_value {nios2_gen2_data_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_gen2_data_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_gen2_data_master_limiter} {SUPPORTS_POSTED_WRITES} {1};set_instance_parameter_value {nios2_gen2_data_master_limiter} {SUPPORTS_NONPOSTED_WRITES} {0};set_instance_parameter_value {nios2_gen2_data_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_gen2_data_master_limiter} {REORDER} {0};add_instance {nios2_gen2_instruction_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_DEST_ID_H} {83};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_SRC_ID_L} {76};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_BYTE_CNT_H} {63};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_BYTE_CNT_L} {61};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_TRANS_POSTED} {56};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_TRANS_WRITE} {57};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_THREAD_ID_H} {84};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PKT_THREAD_ID_L} {84};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {ST_DATA_W} {97};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {ST_CHANNEL_W} {16};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {VALID_WIDTH} {16};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {SUPPORTS_POSTED_WRITES} {1};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {SUPPORTS_NONPOSTED_WRITES} {0};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_gen2_instruction_master_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {97};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {16};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {16};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {97};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {16};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_007} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_007} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_007} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_007} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_007} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_007} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_007} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_008} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_008} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_008} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_008} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_008} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_008} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_008} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_009} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_009} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_009} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_009} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_009} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_009} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_009} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_010} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_010} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_010} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_010} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_010} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_010} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_010} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_011} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_011} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_011} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_011} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_011} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_011} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_011} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_012} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_012} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_012} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_012} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_012} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_012} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_012} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_013} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_013} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_013} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_013} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_013} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_013} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_013} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_014} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_014} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_014} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_014} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_014} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_014} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_014} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_015} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_015} {ST_DATA_W} {97};set_instance_parameter_value {cmd_mux_015} {ST_CHANNEL_W} {16};set_instance_parameter_value {cmd_mux_015} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_015} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_015} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_015} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_007} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_007} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_007} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_007} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_007} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_008} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_008} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_008} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_008} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_008} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_009} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_009} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_009} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_009} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_009} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_010} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_010} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_010} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_010} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_010} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_011} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_011} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_011} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_011} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_011} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_012} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_012} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_012} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_012} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_012} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_013} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_013} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_013} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_013} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_013} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_014} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_014} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_014} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_014} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_014} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_015} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_015} {ST_DATA_W} {97};set_instance_parameter_value {rsp_demux_015} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_demux_015} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_015} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {97};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {16};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {97};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {16};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {59};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)};add_instance {crosser} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser} {DATA_WIDTH} {97};set_instance_parameter_value {crosser} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {crosser} {USE_PACKETS} {1};set_instance_parameter_value {crosser} {USE_CHANNEL} {1};set_instance_parameter_value {crosser} {CHANNEL_WIDTH} {16};set_instance_parameter_value {crosser} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser} {USE_ERROR} {0};set_instance_parameter_value {crosser} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_001} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_001} {DATA_WIDTH} {97};set_instance_parameter_value {crosser_001} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {crosser_001} {USE_PACKETS} {1};set_instance_parameter_value {crosser_001} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_001} {CHANNEL_WIDTH} {16};set_instance_parameter_value {crosser_001} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_001} {USE_ERROR} {0};set_instance_parameter_value {crosser_001} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_001} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_001} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_001} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_002} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_002} {DATA_WIDTH} {97};set_instance_parameter_value {crosser_002} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {crosser_002} {USE_PACKETS} {1};set_instance_parameter_value {crosser_002} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_002} {CHANNEL_WIDTH} {16};set_instance_parameter_value {crosser_002} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_002} {USE_ERROR} {0};set_instance_parameter_value {crosser_002} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_002} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_002} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_002} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_003} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_003} {DATA_WIDTH} {97};set_instance_parameter_value {crosser_003} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {crosser_003} {USE_PACKETS} {1};set_instance_parameter_value {crosser_003} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_003} {CHANNEL_WIDTH} {16};set_instance_parameter_value {crosser_003} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_003} {USE_ERROR} {0};set_instance_parameter_value {crosser_003} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_003} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_003} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_003} {USE_OUTPUT_PIPELINE} {0};add_instance {nios2_gen2_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_gen2_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_gen2_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_gen2_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {nios2_gen2_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {TERASIC_AUTO_FOCUS_0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {TERASIC_AUTO_FOCUS_0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {altpll_0_inclk_interface_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {altpll_0_inclk_interface_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {altpll_0_inclk_interface_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {altpll_0_inclk_interface_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {altpll_0_inclk_interface_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_instance {altpll_0_c2_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {altpll_0_c2_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {altpll_0_c2_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_gen2_data_master_translator.avalon_universal_master_0} {nios2_gen2_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_gen2_data_master_translator.avalon_universal_master_0/nios2_gen2_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_gen2_data_master_translator.avalon_universal_master_0/nios2_gen2_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_gen2_data_master_translator.avalon_universal_master_0/nios2_gen2_data_master_agent.av} {defaultConnection} {false};add_connection {nios2_gen2_instruction_master_translator.avalon_universal_master_0} {nios2_gen2_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_gen2_instruction_master_translator.avalon_universal_master_0/nios2_gen2_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_gen2_instruction_master_translator.avalon_universal_master_0/nios2_gen2_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_gen2_instruction_master_translator.avalon_universal_master_0/nios2_gen2_instruction_master_agent.av} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {i2c_opencores_mipi_avalon_slave_0_agent.m0} {i2c_opencores_mipi_avalon_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent.m0/i2c_opencores_mipi_avalon_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent.m0/i2c_opencores_mipi_avalon_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {i2c_opencores_mipi_avalon_slave_0_agent.m0/i2c_opencores_mipi_avalon_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {i2c_opencores_mipi_avalon_slave_0_agent.rf_source} {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo.out} {i2c_opencores_mipi_avalon_slave_0_agent.rf_sink} {avalon_streaming};add_connection {i2c_opencores_mipi_avalon_slave_0_agent.rdata_fifo_src} {i2c_opencores_mipi_avalon_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {i2c_opencores_mipi_avalon_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/i2c_opencores_mipi_avalon_slave_0_agent.cp} {qsys_mm.command};add_connection {i2c_opencores_camera_avalon_slave_0_agent.m0} {i2c_opencores_camera_avalon_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {i2c_opencores_camera_avalon_slave_0_agent.m0/i2c_opencores_camera_avalon_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {i2c_opencores_camera_avalon_slave_0_agent.m0/i2c_opencores_camera_avalon_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {i2c_opencores_camera_avalon_slave_0_agent.m0/i2c_opencores_camera_avalon_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {i2c_opencores_camera_avalon_slave_0_agent.rf_source} {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo.out} {i2c_opencores_camera_avalon_slave_0_agent.rf_sink} {avalon_streaming};add_connection {i2c_opencores_camera_avalon_slave_0_agent.rdata_fifo_src} {i2c_opencores_camera_avalon_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {i2c_opencores_camera_avalon_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/i2c_opencores_camera_avalon_slave_0_agent.cp} {qsys_mm.command};add_connection {sysid_qsys_control_slave_agent.m0} {sysid_qsys_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sysid_qsys_control_slave_agent.rf_source} {sysid_qsys_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent_rsp_fifo.out} {sysid_qsys_control_slave_agent.rf_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.rdata_fifo_src} {sysid_qsys_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sysid_qsys_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sysid_qsys_control_slave_agent.cp} {qsys_mm.command};add_connection {nios2_gen2_debug_mem_slave_agent.m0} {nios2_gen2_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_gen2_debug_mem_slave_agent.m0/nios2_gen2_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_gen2_debug_mem_slave_agent.m0/nios2_gen2_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_gen2_debug_mem_slave_agent.m0/nios2_gen2_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_gen2_debug_mem_slave_agent.rf_source} {nios2_gen2_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_gen2_debug_mem_slave_agent_rsp_fifo.out} {nios2_gen2_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {nios2_gen2_debug_mem_slave_agent.rdata_fifo_src} {nios2_gen2_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {nios2_gen2_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/nios2_gen2_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.m0} {TERASIC_AUTO_FOCUS_0_mm_ctrl_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.m0/TERASIC_AUTO_FOCUS_0_mm_ctrl_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.m0/TERASIC_AUTO_FOCUS_0_mm_ctrl_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.m0/TERASIC_AUTO_FOCUS_0_mm_ctrl_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.rf_source} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo.in} {avalon_streaming};add_connection {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo.out} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.rf_sink} {avalon_streaming};add_connection {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.rdata_fifo_src} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo.in} {avalon_streaming};add_connection {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo.out} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.cp} {qsys_mm.command};add_connection {altpll_0_pll_slave_agent.m0} {altpll_0_pll_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {altpll_0_pll_slave_agent.m0/altpll_0_pll_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {altpll_0_pll_slave_agent.m0/altpll_0_pll_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {altpll_0_pll_slave_agent.m0/altpll_0_pll_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {altpll_0_pll_slave_agent.rf_source} {altpll_0_pll_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {altpll_0_pll_slave_agent_rsp_fifo.out} {altpll_0_pll_slave_agent.rf_sink} {avalon_streaming};add_connection {altpll_0_pll_slave_agent.rdata_fifo_src} {altpll_0_pll_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {altpll_0_pll_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/altpll_0_pll_slave_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_0_s1_agent.m0} {onchip_memory2_0_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_0_s1_agent.m0/onchip_memory2_0_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_0_s1_agent.m0/onchip_memory2_0_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_0_s1_agent.m0/onchip_memory2_0_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_0_s1_agent.rf_source} {onchip_memory2_0_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_0_s1_agent_rsp_fifo.out} {onchip_memory2_0_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_0_s1_agent.rdata_fifo_src} {onchip_memory2_0_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_007.src} {onchip_memory2_0_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_007.src/onchip_memory2_0_s1_agent.cp} {qsys_mm.command};add_connection {timer_s1_agent.m0} {timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {timer_s1_agent.m0/timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {timer_s1_agent.m0/timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {timer_s1_agent.m0/timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {timer_s1_agent.rf_source} {timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {timer_s1_agent_rsp_fifo.out} {timer_s1_agent.rf_sink} {avalon_streaming};add_connection {timer_s1_agent.rdata_fifo_src} {timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_008.src} {timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_008.src/timer_s1_agent.cp} {qsys_mm.command};add_connection {led_s1_agent.m0} {led_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {led_s1_agent.m0/led_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {led_s1_agent.m0/led_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {led_s1_agent.m0/led_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {led_s1_agent.rf_source} {led_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {led_s1_agent_rsp_fifo.out} {led_s1_agent.rf_sink} {avalon_streaming};add_connection {led_s1_agent.rdata_fifo_src} {led_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_009.src} {led_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_009.src/led_s1_agent.cp} {qsys_mm.command};add_connection {sw_s1_agent.m0} {sw_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sw_s1_agent.rf_source} {sw_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sw_s1_agent_rsp_fifo.out} {sw_s1_agent.rf_sink} {avalon_streaming};add_connection {sw_s1_agent.rdata_fifo_src} {sw_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_010.src} {sw_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_010.src/sw_s1_agent.cp} {qsys_mm.command};add_connection {key_s1_agent.m0} {key_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {key_s1_agent.m0/key_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {key_s1_agent.m0/key_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {key_s1_agent.m0/key_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {key_s1_agent.rf_source} {key_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {key_s1_agent_rsp_fifo.out} {key_s1_agent.rf_sink} {avalon_streaming};add_connection {key_s1_agent.rdata_fifo_src} {key_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_011.src} {key_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_011.src/key_s1_agent.cp} {qsys_mm.command};add_connection {mipi_reset_n_s1_agent.m0} {mipi_reset_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mipi_reset_n_s1_agent.m0/mipi_reset_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mipi_reset_n_s1_agent.m0/mipi_reset_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mipi_reset_n_s1_agent.m0/mipi_reset_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mipi_reset_n_s1_agent.rf_source} {mipi_reset_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mipi_reset_n_s1_agent_rsp_fifo.out} {mipi_reset_n_s1_agent.rf_sink} {avalon_streaming};add_connection {mipi_reset_n_s1_agent.rdata_fifo_src} {mipi_reset_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_012.src} {mipi_reset_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_012.src/mipi_reset_n_s1_agent.cp} {qsys_mm.command};add_connection {mipi_pwdn_n_s1_agent.m0} {mipi_pwdn_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mipi_pwdn_n_s1_agent.m0/mipi_pwdn_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mipi_pwdn_n_s1_agent.m0/mipi_pwdn_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mipi_pwdn_n_s1_agent.m0/mipi_pwdn_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mipi_pwdn_n_s1_agent.rf_source} {mipi_pwdn_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mipi_pwdn_n_s1_agent_rsp_fifo.out} {mipi_pwdn_n_s1_agent.rf_sink} {avalon_streaming};add_connection {mipi_pwdn_n_s1_agent.rdata_fifo_src} {mipi_pwdn_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_013.src} {mipi_pwdn_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_013.src/mipi_pwdn_n_s1_agent.cp} {qsys_mm.command};add_connection {EEE_IMGPROC_0_s1_agent.m0} {EEE_IMGPROC_0_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {EEE_IMGPROC_0_s1_agent.m0/EEE_IMGPROC_0_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {EEE_IMGPROC_0_s1_agent.m0/EEE_IMGPROC_0_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {EEE_IMGPROC_0_s1_agent.m0/EEE_IMGPROC_0_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {EEE_IMGPROC_0_s1_agent.rf_source} {EEE_IMGPROC_0_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {EEE_IMGPROC_0_s1_agent_rsp_fifo.out} {EEE_IMGPROC_0_s1_agent.rf_sink} {avalon_streaming};add_connection {EEE_IMGPROC_0_s1_agent.rdata_fifo_src} {EEE_IMGPROC_0_s1_agent_rdata_fifo.in} {avalon_streaming};add_connection {EEE_IMGPROC_0_s1_agent_rdata_fifo.out} {EEE_IMGPROC_0_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_014.src} {EEE_IMGPROC_0_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_014.src/EEE_IMGPROC_0_s1_agent.cp} {qsys_mm.command};add_connection {uart_0_s1_agent.m0} {uart_0_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {uart_0_s1_agent.m0/uart_0_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {uart_0_s1_agent.m0/uart_0_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {uart_0_s1_agent.m0/uart_0_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {uart_0_s1_agent.rf_source} {uart_0_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {uart_0_s1_agent_rsp_fifo.out} {uart_0_s1_agent.rf_sink} {avalon_streaming};add_connection {uart_0_s1_agent.rdata_fifo_src} {uart_0_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_015.src} {uart_0_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_015.src/uart_0_s1_agent.cp} {qsys_mm.command};add_connection {nios2_gen2_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {nios2_gen2_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {i2c_opencores_mipi_avalon_slave_0_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {i2c_opencores_mipi_avalon_slave_0_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {i2c_opencores_camera_avalon_slave_0_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {i2c_opencores_camera_avalon_slave_0_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sysid_qsys_control_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sysid_qsys_control_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {nios2_gen2_debug_mem_slave_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_debug_mem_slave_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {altpll_0_pll_slave_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {altpll_0_pll_slave_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {onchip_memory2_0_s1_agent.rp} {router_009.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_0_s1_agent.rp/router_009.sink} {qsys_mm.response};add_connection {router_009.src} {rsp_demux_007.sink} {avalon_streaming};preview_set_connection_tag {router_009.src/rsp_demux_007.sink} {qsys_mm.response};add_connection {timer_s1_agent.rp} {router_010.sink} {avalon_streaming};preview_set_connection_tag {timer_s1_agent.rp/router_010.sink} {qsys_mm.response};add_connection {router_010.src} {rsp_demux_008.sink} {avalon_streaming};preview_set_connection_tag {router_010.src/rsp_demux_008.sink} {qsys_mm.response};add_connection {led_s1_agent.rp} {router_011.sink} {avalon_streaming};preview_set_connection_tag {led_s1_agent.rp/router_011.sink} {qsys_mm.response};add_connection {router_011.src} {rsp_demux_009.sink} {avalon_streaming};preview_set_connection_tag {router_011.src/rsp_demux_009.sink} {qsys_mm.response};add_connection {sw_s1_agent.rp} {router_012.sink} {avalon_streaming};preview_set_connection_tag {sw_s1_agent.rp/router_012.sink} {qsys_mm.response};add_connection {router_012.src} {rsp_demux_010.sink} {avalon_streaming};preview_set_connection_tag {router_012.src/rsp_demux_010.sink} {qsys_mm.response};add_connection {key_s1_agent.rp} {router_013.sink} {avalon_streaming};preview_set_connection_tag {key_s1_agent.rp/router_013.sink} {qsys_mm.response};add_connection {router_013.src} {rsp_demux_011.sink} {avalon_streaming};preview_set_connection_tag {router_013.src/rsp_demux_011.sink} {qsys_mm.response};add_connection {mipi_reset_n_s1_agent.rp} {router_014.sink} {avalon_streaming};preview_set_connection_tag {mipi_reset_n_s1_agent.rp/router_014.sink} {qsys_mm.response};add_connection {router_014.src} {rsp_demux_012.sink} {avalon_streaming};preview_set_connection_tag {router_014.src/rsp_demux_012.sink} {qsys_mm.response};add_connection {mipi_pwdn_n_s1_agent.rp} {router_015.sink} {avalon_streaming};preview_set_connection_tag {mipi_pwdn_n_s1_agent.rp/router_015.sink} {qsys_mm.response};add_connection {router_015.src} {rsp_demux_013.sink} {avalon_streaming};preview_set_connection_tag {router_015.src/rsp_demux_013.sink} {qsys_mm.response};add_connection {EEE_IMGPROC_0_s1_agent.rp} {router_016.sink} {avalon_streaming};preview_set_connection_tag {EEE_IMGPROC_0_s1_agent.rp/router_016.sink} {qsys_mm.response};add_connection {router_016.src} {rsp_demux_014.sink} {avalon_streaming};preview_set_connection_tag {router_016.src/rsp_demux_014.sink} {qsys_mm.response};add_connection {uart_0_s1_agent.rp} {router_017.sink} {avalon_streaming};preview_set_connection_tag {uart_0_s1_agent.rp/router_017.sink} {qsys_mm.response};add_connection {router_017.src} {rsp_demux_015.sink} {avalon_streaming};preview_set_connection_tag {router_017.src/rsp_demux_015.sink} {qsys_mm.response};add_connection {router.src} {nios2_gen2_data_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/nios2_gen2_data_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_gen2_data_master_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_data_master_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {nios2_gen2_data_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_gen2_data_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_gen2_data_master_limiter.rsp_src} {nios2_gen2_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_gen2_data_master_limiter.rsp_src/nios2_gen2_data_master_agent.rp} {qsys_mm.response};add_connection {router_001.src} {nios2_gen2_instruction_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_001.src/nios2_gen2_instruction_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_gen2_instruction_master_limiter.cmd_src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_instruction_master_limiter.cmd_src/cmd_demux_001.sink} {qsys_mm.command};add_connection {rsp_mux_001.src} {nios2_gen2_instruction_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_gen2_instruction_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_gen2_instruction_master_limiter.rsp_src} {nios2_gen2_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_gen2_instruction_master_limiter.rsp_src/nios2_gen2_instruction_master_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux.src7} {cmd_mux_007.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src7/cmd_mux_007.sink0} {qsys_mm.command};add_connection {cmd_demux.src8} {cmd_mux_008.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src8/cmd_mux_008.sink0} {qsys_mm.command};add_connection {cmd_demux.src9} {cmd_mux_009.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src9/cmd_mux_009.sink0} {qsys_mm.command};add_connection {cmd_demux.src10} {cmd_mux_010.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src10/cmd_mux_010.sink0} {qsys_mm.command};add_connection {cmd_demux.src11} {cmd_mux_011.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src11/cmd_mux_011.sink0} {qsys_mm.command};add_connection {cmd_demux.src12} {cmd_mux_012.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src12/cmd_mux_012.sink0} {qsys_mm.command};add_connection {cmd_demux.src13} {cmd_mux_013.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src13/cmd_mux_013.sink0} {qsys_mm.command};add_connection {cmd_demux.src15} {cmd_mux_015.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src15/cmd_mux_015.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_004.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_004.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_007.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_007.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_004.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_007.src0} {rsp_mux.sink7} {avalon_streaming};preview_set_connection_tag {rsp_demux_007.src0/rsp_mux.sink7} {qsys_mm.response};add_connection {rsp_demux_007.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_007.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_008.src0} {rsp_mux.sink8} {avalon_streaming};preview_set_connection_tag {rsp_demux_008.src0/rsp_mux.sink8} {qsys_mm.response};add_connection {rsp_demux_009.src0} {rsp_mux.sink9} {avalon_streaming};preview_set_connection_tag {rsp_demux_009.src0/rsp_mux.sink9} {qsys_mm.response};add_connection {rsp_demux_010.src0} {rsp_mux.sink10} {avalon_streaming};preview_set_connection_tag {rsp_demux_010.src0/rsp_mux.sink10} {qsys_mm.response};add_connection {rsp_demux_011.src0} {rsp_mux.sink11} {avalon_streaming};preview_set_connection_tag {rsp_demux_011.src0/rsp_mux.sink11} {qsys_mm.response};add_connection {rsp_demux_012.src0} {rsp_mux.sink12} {avalon_streaming};preview_set_connection_tag {rsp_demux_012.src0/rsp_mux.sink12} {qsys_mm.response};add_connection {rsp_demux_013.src0} {rsp_mux.sink13} {avalon_streaming};preview_set_connection_tag {rsp_demux_013.src0/rsp_mux.sink13} {qsys_mm.response};add_connection {rsp_demux_015.src0} {rsp_mux.sink15} {avalon_streaming};preview_set_connection_tag {rsp_demux_015.src0/rsp_mux.sink15} {qsys_mm.response};add_connection {cmd_demux.src5} {crosser.in} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/crosser.in} {qsys_mm.command};add_connection {crosser.out} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {crosser.out/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src14} {crosser_001.in} {avalon_streaming};preview_set_connection_tag {cmd_demux.src14/crosser_001.in} {qsys_mm.command};add_connection {crosser_001.out} {cmd_mux_014.sink0} {avalon_streaming};preview_set_connection_tag {crosser_001.out/cmd_mux_014.sink0} {qsys_mm.command};add_connection {rsp_demux_005.src0} {crosser_002.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/crosser_002.in} {qsys_mm.response};add_connection {crosser_002.out} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {crosser_002.out/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_014.src0} {crosser_003.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_014.src0/crosser_003.in} {qsys_mm.response};add_connection {crosser_003.out} {rsp_mux.sink14} {avalon_streaming};preview_set_connection_tag {crosser_003.out/rsp_mux.sink14} {qsys_mm.response};add_connection {nios2_gen2_data_master_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {nios2_gen2_instruction_master_limiter.cmd_valid} {cmd_demux_001.sink_valid} {avalon_streaming};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_data_master_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_instruction_master_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {i2c_opencores_mipi_avalon_slave_0_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {i2c_opencores_camera_avalon_slave_0_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {sysid_qsys_control_slave_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_debug_mem_slave_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {onchip_memory2_0_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {timer_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {led_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {sw_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {key_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {mipi_reset_n_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {mipi_pwdn_n_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {uart_0_s1_translator.reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_data_master_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_instruction_master_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {i2c_opencores_mipi_avalon_slave_0_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {i2c_opencores_camera_avalon_slave_0_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {sysid_qsys_control_slave_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {sysid_qsys_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_debug_mem_slave_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {onchip_memory2_0_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {onchip_memory2_0_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {timer_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {led_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {led_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {sw_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {sw_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {key_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {key_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {mipi_reset_n_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {mipi_reset_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {mipi_pwdn_n_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {mipi_pwdn_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {uart_0_s1_agent.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {uart_0_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_009.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_010.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_011.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_012.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_013.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_014.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_015.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {router_017.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_data_master_limiter.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {nios2_gen2_instruction_master_limiter.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_007.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_008.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_009.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_010.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_011.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_012.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_013.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {cmd_mux_015.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_007.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_008.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_009.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_010.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_011.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_012.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_013.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_demux_015.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {crosser.in_clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {crosser_001.in_clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {crosser_002.out_clk_reset} {reset};add_connection {nios2_gen2_reset_reset_bridge.out_reset} {crosser_003.out_clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {TERASIC_AUTO_FOCUS_0_mm_ctrl_translator.reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {EEE_IMGPROC_0_s1_translator.reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {EEE_IMGPROC_0_s1_agent.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {EEE_IMGPROC_0_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {EEE_IMGPROC_0_s1_agent_rdata_fifo.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {router_016.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {cmd_mux_014.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {rsp_demux_014.clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {crosser.out_clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {crosser_001.out_clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {crosser_002.in_clk_reset} {reset};add_connection {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.out_reset} {crosser_003.in_clk_reset} {reset};add_connection {altpll_0_inclk_interface_reset_reset_bridge.out_reset} {altpll_0_pll_slave_translator.reset} {reset};add_connection {altpll_0_inclk_interface_reset_reset_bridge.out_reset} {altpll_0_pll_slave_agent.clk_reset} {reset};add_connection {altpll_0_inclk_interface_reset_reset_bridge.out_reset} {altpll_0_pll_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {altpll_0_inclk_interface_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {altpll_0_inclk_interface_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {altpll_0_inclk_interface_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_data_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_instruction_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {i2c_opencores_mipi_avalon_slave_0_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {i2c_opencores_camera_avalon_slave_0_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sysid_qsys_control_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_debug_mem_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {altpll_0_pll_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_0_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {led_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sw_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {key_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {mipi_reset_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {mipi_pwdn_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {uart_0_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_data_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_instruction_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {i2c_opencores_mipi_avalon_slave_0_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {i2c_opencores_camera_avalon_slave_0_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sysid_qsys_control_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sysid_qsys_control_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_debug_mem_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {altpll_0_pll_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {altpll_0_pll_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_0_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_0_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {led_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {led_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sw_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sw_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {key_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {key_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {mipi_reset_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {mipi_reset_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {mipi_pwdn_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {mipi_pwdn_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {uart_0_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {uart_0_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_data_master_limiter.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_instruction_master_limiter.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {crosser.in_clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {crosser_001.in_clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {crosser_002.out_clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {crosser_003.out_clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_gen2_reset_reset_bridge.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {altpll_0_inclk_interface_reset_reset_bridge.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {TERASIC_AUTO_FOCUS_0_mm_ctrl_translator.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {EEE_IMGPROC_0_s1_translator.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {EEE_IMGPROC_0_s1_agent.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {EEE_IMGPROC_0_s1_agent_rsp_fifo.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {EEE_IMGPROC_0_s1_agent_rdata_fifo.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {router_016.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {cmd_mux_014.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {rsp_demux_014.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {crosser.out_clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {crosser_001.out_clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {crosser_002.in_clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {crosser_003.in_clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.clk} {clock};add_interface {altpll_0_c2} {clock} {slave};set_interface_property {altpll_0_c2} {EXPORT_OF} {altpll_0_c2_clock_bridge.in_clk};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {altpll_0_inclk_interface_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {altpll_0_inclk_interface_reset_reset_bridge_in_reset} {EXPORT_OF} {altpll_0_inclk_interface_reset_reset_bridge.in_reset};add_interface {nios2_gen2_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_gen2_reset_reset_bridge_in_reset} {EXPORT_OF} {nios2_gen2_reset_reset_bridge.in_reset};add_interface {TERASIC_AUTO_FOCUS_0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {TERASIC_AUTO_FOCUS_0_reset_reset_bridge_in_reset} {EXPORT_OF} {TERASIC_AUTO_FOCUS_0_reset_reset_bridge.in_reset};add_interface {nios2_gen2_data_master} {avalon} {slave};set_interface_property {nios2_gen2_data_master} {EXPORT_OF} {nios2_gen2_data_master_translator.avalon_anti_master_0};add_interface {nios2_gen2_instruction_master} {avalon} {slave};set_interface_property {nios2_gen2_instruction_master} {EXPORT_OF} {nios2_gen2_instruction_master_translator.avalon_anti_master_0};add_interface {altpll_0_pll_slave} {avalon} {master};set_interface_property {altpll_0_pll_slave} {EXPORT_OF} {altpll_0_pll_slave_translator.avalon_anti_slave_0};add_interface {EEE_IMGPROC_0_s1} {avalon} {master};set_interface_property {EEE_IMGPROC_0_s1} {EXPORT_OF} {EEE_IMGPROC_0_s1_translator.avalon_anti_slave_0};add_interface {i2c_opencores_camera_avalon_slave_0} {avalon} {master};set_interface_property {i2c_opencores_camera_avalon_slave_0} {EXPORT_OF} {i2c_opencores_camera_avalon_slave_0_translator.avalon_anti_slave_0};add_interface {i2c_opencores_mipi_avalon_slave_0} {avalon} {master};set_interface_property {i2c_opencores_mipi_avalon_slave_0} {EXPORT_OF} {i2c_opencores_mipi_avalon_slave_0_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {key_s1} {avalon} {master};set_interface_property {key_s1} {EXPORT_OF} {key_s1_translator.avalon_anti_slave_0};add_interface {led_s1} {avalon} {master};set_interface_property {led_s1} {EXPORT_OF} {led_s1_translator.avalon_anti_slave_0};add_interface {mipi_pwdn_n_s1} {avalon} {master};set_interface_property {mipi_pwdn_n_s1} {EXPORT_OF} {mipi_pwdn_n_s1_translator.avalon_anti_slave_0};add_interface {mipi_reset_n_s1} {avalon} {master};set_interface_property {mipi_reset_n_s1} {EXPORT_OF} {mipi_reset_n_s1_translator.avalon_anti_slave_0};add_interface {nios2_gen2_debug_mem_slave} {avalon} {master};set_interface_property {nios2_gen2_debug_mem_slave} {EXPORT_OF} {nios2_gen2_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {onchip_memory2_0_s1} {avalon} {master};set_interface_property {onchip_memory2_0_s1} {EXPORT_OF} {onchip_memory2_0_s1_translator.avalon_anti_slave_0};add_interface {sw_s1} {avalon} {master};set_interface_property {sw_s1} {EXPORT_OF} {sw_s1_translator.avalon_anti_slave_0};add_interface {sysid_qsys_control_slave} {avalon} {master};set_interface_property {sysid_qsys_control_slave} {EXPORT_OF} {sysid_qsys_control_slave_translator.avalon_anti_slave_0};add_interface {TERASIC_AUTO_FOCUS_0_mm_ctrl} {avalon} {master};set_interface_property {TERASIC_AUTO_FOCUS_0_mm_ctrl} {EXPORT_OF} {TERASIC_AUTO_FOCUS_0_mm_ctrl_translator.avalon_anti_slave_0};add_interface {timer_s1} {avalon} {master};set_interface_property {timer_s1} {EXPORT_OF} {timer_s1_translator.avalon_anti_slave_0};add_interface {uart_0_s1} {avalon} {master};set_interface_property {uart_0_s1} {EXPORT_OF} {uart_0_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.EEE_IMGPROC_0.s1} {0};set_module_assignment {interconnect_id.TERASIC_AUTO_FOCUS_0.mm_ctrl} {1};set_module_assignment {interconnect_id.altpll_0.pll_slave} {2};set_module_assignment {interconnect_id.i2c_opencores_camera.avalon_slave_0} {3};set_module_assignment {interconnect_id.i2c_opencores_mipi.avalon_slave_0} {4};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {5};set_module_assignment {interconnect_id.key.s1} {6};set_module_assignment {interconnect_id.led.s1} {7};set_module_assignment {interconnect_id.mipi_pwdn_n.s1} {8};set_module_assignment {interconnect_id.mipi_reset_n.s1} {9};set_module_assignment {interconnect_id.nios2_gen2.data_master} {0};set_module_assignment {interconnect_id.nios2_gen2.debug_mem_slave} {10};set_module_assignment {interconnect_id.nios2_gen2.instruction_master} {1};set_module_assignment {interconnect_id.onchip_memory2_0.s1} {11};set_module_assignment {interconnect_id.sw.s1} {12};set_module_assignment {interconnect_id.sysid_qsys.control_slave} {13};set_module_assignment {interconnect_id.timer.s1} {14};set_module_assignment {interconnect_id.uart_0.s1} {15};" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> @@ -7192,7 +7334,7 @@ Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform 0 modules, 0 connections]]> @@ -7218,7 +7360,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7243,7 +7385,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7268,7 +7410,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7293,7 +7435,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7318,7 +7460,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7343,7 +7485,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7368,7 +7510,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7393,7 +7535,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7418,7 +7560,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7443,7 +7585,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7468,7 +7610,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7493,7 +7635,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7518,7 +7660,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7543,7 +7685,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7568,7 +7710,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7593,7 +7735,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -7618,7 +7760,32 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 113 modules, 386 connections]]> + 119 modules, 407 connections]]> + Transform: InitialInterconnectTransform + 0 modules, 0 connections]]> + Transform: TerminalIdAssignmentUpdateTransform + Transform: DefaultSlaveTransform + Transform: TranslatorTransform + No Avalon connections, skipping transform + Transform: IDPadTransform + Transform: DomainTransform + Transform: RouterTransform + Transform: TrafficLimiterTransform + Transform: BurstTransform + Transform: TreeTransform + Transform: NetworkToSwitchTransform + Transform: WidthTransform + Transform: RouterTableTransform + Transform: ThreadIDMappingTableTransform + Transform: ClockCrossingTransform + Transform: PipelineTransform + Transform: SpotPipelineTransform + Transform: PerformanceMonitorTransform + Transform: TrafficLimiterUpdateTransform + Transform: InsertClockAndResetBridgesTransform + Transform: InterconnectConnectionsTagger + Transform: HierarchyTransform + 119 modules, 407 connections]]> Transform: InterruptMapperTransform Transform: InterruptSyncTransform Transform: InterruptFanoutTransform @@ -7630,122 +7797,130 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.007s - Timing: COM:3/0.029s/0.039s + Timing: COM:3/0.026s/0.034s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.007s - Timing: COM:3/0.012s/0.013s + Timing: COM:3/0.011s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.013s/0.014s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.007s - Timing: COM:3/0.012s/0.012s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.017s/0.027s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.013s/0.016s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.012s/0.014s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.007s + Timing: COM:3/0.011s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.012s/0.013s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.016s/0.024s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.012s/0.013s + Timing: ELA:1/0.007s + Timing: COM:3/0.009s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.011s/0.012s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.011s/0.012s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.017s/0.027s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.015s/0.022s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.011s/0.012s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.012s/0.015s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.005s + Timing: COM:3/0.009s/0.011s + + + + Inserting error_adapter: error_adapter_0 + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.005s + Timing: COM:3/0.009s/0.010s 128 modules, 431 connections]]> + culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform">135 modules, 455 connections]]> Transform: ResetAdaptation mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> @@ -7764,6 +7939,7 @@ mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> @@ -7798,6 +7974,8 @@ mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> @@ -7815,6 +7993,7 @@ mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> + mm_interconnect_0" reuses altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]> mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux"]]> @@ -7834,6 +8013,7 @@ mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> + mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> @@ -7849,6 +8029,7 @@ mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005"]]> + mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001"]]> mm_interconnect_0" reuses altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser"]]> @@ -7870,53 +8051,54 @@ mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> + mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter"]]> Qsys" instantiated altera_mm_interconnect "mm_interconnect_0"]]> - queue size: 143 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 150 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_gen2_data_master_translator"]]> - queue size: 141 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 148 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> - queue size: 126 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 132 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_gen2_data_master_agent"]]> - queue size: 124 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 130 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> - queue size: 123 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 129 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> - queue size: 92 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router" + queue size: 96 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - queue size: 91 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001" + queue size: 95 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001" mm_interconnect_0" instantiated altera_merlin_router "router_001"]]> - queue size: 90 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002" + queue size: 94 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002" mm_interconnect_0" instantiated altera_merlin_router "router_002"]]> - queue size: 86 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_006" + queue size: 90 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_006" mm_interconnect_0" instantiated altera_merlin_router "router_006"]]> - queue size: 75 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 78 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "nios2_gen2_data_master_limiter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 73 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 76 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 72 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux_001" + queue size: 75 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - queue size: 71 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux" + queue size: 74 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - queue size: 67 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux_004" + queue size: 70 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux_004" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_004"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 56 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 58 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 52 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_004" + queue size: 54 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_004" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_004"]]> - queue size: 51 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005" + queue size: 53 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_005"]]> - queue size: 41 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux" + queue size: 42 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 40 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 41 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 39 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 40 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - queue size: 35 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter" + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + queue size: 36 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter" @@ -8010,167 +8192,167 @@ };set_instance_parameter_value {alt_vip_vfb_0_write_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {alt_vip_vfb_0_write_master_agent} {ID} {1};set_instance_parameter_value {alt_vip_vfb_0_write_master_agent} {BURSTWRAP_VALUE} {1};set_instance_parameter_value {alt_vip_vfb_0_write_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {alt_vip_vfb_0_write_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {alt_vip_vfb_0_write_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {alt_vip_vfb_0_write_master_agent} {USE_WRITERESPONSE} {0};add_instance {sdram_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sdram_s1_agent} {PKT_ORI_BURST_SIZE_H} {85};set_instance_parameter_value {sdram_s1_agent} {PKT_ORI_BURST_SIZE_L} {83};set_instance_parameter_value {sdram_s1_agent} {PKT_RESPONSE_STATUS_H} {82};set_instance_parameter_value {sdram_s1_agent} {PKT_RESPONSE_STATUS_L} {81};set_instance_parameter_value {sdram_s1_agent} {PKT_BURST_SIZE_H} {64};set_instance_parameter_value {sdram_s1_agent} {PKT_BURST_SIZE_L} {62};set_instance_parameter_value {sdram_s1_agent} {PKT_TRANS_LOCK} {54};set_instance_parameter_value {sdram_s1_agent} {PKT_BEGIN_BURST} {69};set_instance_parameter_value {sdram_s1_agent} {PKT_PROTECTION_H} {76};set_instance_parameter_value {sdram_s1_agent} {PKT_PROTECTION_L} {74};set_instance_parameter_value {sdram_s1_agent} {PKT_BURSTWRAP_H} {61};set_instance_parameter_value {sdram_s1_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {sdram_s1_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sdram_s1_agent} {PKT_BYTE_CNT_L} {56};set_instance_parameter_value {sdram_s1_agent} {PKT_ADDR_H} {49};set_instance_parameter_value {sdram_s1_agent} {PKT_ADDR_L} {18};set_instance_parameter_value {sdram_s1_agent} {PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {sdram_s1_agent} {PKT_TRANS_POSTED} {51};set_instance_parameter_value {sdram_s1_agent} {PKT_TRANS_WRITE} {52};set_instance_parameter_value {sdram_s1_agent} {PKT_TRANS_READ} {53};set_instance_parameter_value {sdram_s1_agent} {PKT_DATA_H} {15};set_instance_parameter_value {sdram_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sdram_s1_agent} {PKT_BYTEEN_H} {17};set_instance_parameter_value {sdram_s1_agent} {PKT_BYTEEN_L} {16};set_instance_parameter_value {sdram_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {sdram_s1_agent} {PKT_SRC_ID_L} {71};set_instance_parameter_value {sdram_s1_agent} {PKT_DEST_ID_H} {72};set_instance_parameter_value {sdram_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {sdram_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sdram_s1_agent} {ST_CHANNEL_W} {2};set_instance_parameter_value {sdram_s1_agent} {ST_DATA_W} {86};set_instance_parameter_value {sdram_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sdram_s1_agent} {AVS_BURSTCOUNT_W} {2};set_instance_parameter_value {sdram_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sdram_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(85:83) response_status(82:81) cache(80:77) protection(76:74) thread_id(73) dest_id(72) src_id(71) qos(70) begin_burst(69) data_sideband(68) addr_sideband(67) burst_type(66:65) burst_size(64:62) burstwrap(61) byte_cnt(60:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {sdram_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {1};set_instance_parameter_value {sdram_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sdram_s1_agent} {MAX_BYTE_CNT} {2};set_instance_parameter_value {sdram_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {sdram_s1_agent} {ID} {0};set_instance_parameter_value {sdram_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sdram_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sdram_s1_agent} {ECC_ENABLE} {0};add_instance {sdram_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {87};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {FIFO_DEPTH} {8};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sdram_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sdram_s1_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {BITS_PER_SYMBOL} {18};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {FIFO_DEPTH} {8};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {EMPTY_LATENCY} {3};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {1};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sdram_s1_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {0 };set_instance_parameter_value {router} {CHANNEL_ID} {1 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router} {START_ADDRESS} {0x4000000 };set_instance_parameter_value {router} {END_ADDRESS} {0x8000000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {67};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {94};set_instance_parameter_value {router} {PKT_PROTECTION_L} {92};set_instance_parameter_value {router} {PKT_DEST_ID_H} {90};set_instance_parameter_value {router} {PKT_DEST_ID_L} {90};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router} {PKT_TRANS_READ} {71};set_instance_parameter_value {router} {ST_DATA_W} {104};set_instance_parameter_value {router} {ST_CHANNEL_W} {2};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {0};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {1 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x4000000 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x8000000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {67};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {94};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {92};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {90};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {90};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_001} {ST_DATA_W} {104};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {2};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {read write };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {49};set_instance_parameter_value {router_002} {PKT_ADDR_L} {18};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {76};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {74};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {72};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {52};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {53};set_instance_parameter_value {router_002} {ST_DATA_W} {86};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {2};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(85:83) response_status(82:81) cache(80:77) protection(76:74) thread_id(73) dest_id(72) src_id(71) qos(70) begin_burst(69) data_sideband(68) addr_sideband(67) burst_type(66:65) burst_size(64:62) burstwrap(61) byte_cnt(60:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {sdram_s1_burst_adapter} {altera_merlin_burst_adapter};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_ADDR_H} {49};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_ADDR_L} {18};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BEGIN_BURST} {69};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BYTE_CNT_L} {56};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BYTEEN_H} {17};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BYTEEN_L} {16};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BURST_SIZE_H} {64};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BURST_SIZE_L} {62};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BURST_TYPE_H} {66};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BURST_TYPE_L} {65};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BURSTWRAP_H} {61};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_TRANS_WRITE} {52};set_instance_parameter_value {sdram_s1_burst_adapter} {PKT_TRANS_READ} {53};set_instance_parameter_value {sdram_s1_burst_adapter} {OUT_NARROW_SIZE} {0};set_instance_parameter_value {sdram_s1_burst_adapter} {IN_NARROW_SIZE} {0};set_instance_parameter_value {sdram_s1_burst_adapter} {OUT_FIXED} {0};set_instance_parameter_value {sdram_s1_burst_adapter} {OUT_COMPLETE_WRAP} {0};set_instance_parameter_value {sdram_s1_burst_adapter} {ST_DATA_W} {86};set_instance_parameter_value {sdram_s1_burst_adapter} {ST_CHANNEL_W} {2};set_instance_parameter_value {sdram_s1_burst_adapter} {OUT_BYTE_CNT_H} {57};set_instance_parameter_value {sdram_s1_burst_adapter} {OUT_BURSTWRAP_H} {61};set_instance_parameter_value {sdram_s1_burst_adapter} {MERLIN_PACKET_FORMAT} {ori_burst_size(85:83) response_status(82:81) cache(80:77) protection(76:74) thread_id(73) dest_id(72) src_id(71) qos(70) begin_burst(69) data_sideband(68) addr_sideband(67) burst_type(66:65) burst_size(64:62) burstwrap(61) byte_cnt(60:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {sdram_s1_burst_adapter} {COMPRESSED_READ_SUPPORT} {1};set_instance_parameter_value {sdram_s1_burst_adapter} {BYTEENABLE_SYNTHESIS} {1};set_instance_parameter_value {sdram_s1_burst_adapter} {PIPE_INPUTS} {0};set_instance_parameter_value {sdram_s1_burst_adapter} {NO_WRAP_SUPPORT} {0};set_instance_parameter_value {sdram_s1_burst_adapter} {INCOMPLETE_WRAP_SUPPORT} {0};set_instance_parameter_value {sdram_s1_burst_adapter} {BURSTWRAP_CONST_MASK} {1};set_instance_parameter_value {sdram_s1_burst_adapter} {BURSTWRAP_CONST_VALUE} {1};set_instance_parameter_value {sdram_s1_burst_adapter} {ADAPTER_VERSION} {13.1};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {104};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {2};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {104};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {2};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {104};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {2};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {50 30 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {104};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {2};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {104};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {2};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {1};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {104};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {2};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {sdram_s1_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_ADDR_H} {49};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_ADDR_L} {18};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_DATA_H} {15};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BYTEEN_H} {17};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BYTEEN_L} {16};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {56};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {52};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {61};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {61};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {64};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {62};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {82};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {81};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {55};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {66};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {65};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {83};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {85};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_ST_DATA_W} {86};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_ADDR_H} {67};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_ADDR_L} {36};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_DATA_H} {31};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {35};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {32};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {78};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {74};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {82};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {80};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {100};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {99};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {73};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {84};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {83};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {101};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {103};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_ST_DATA_W} {104};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {ST_CHANNEL_W} {2};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(85:83) response_status(82:81) cache(80:77) protection(76:74) thread_id(73) dest_id(72) src_id(71) qos(70) begin_burst(69) data_sideband(68) addr_sideband(67) burst_type(66:65) burst_size(64:62) burstwrap(61) byte_cnt(60:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {sdram_s1_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {sdram_s1_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_ADDR_H} {67};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_ADDR_L} {36};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_DATA_H} {31};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BYTEEN_H} {35};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BYTEEN_L} {32};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {78};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {74};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {70};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {79};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {79};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {82};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {80};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {100};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {99};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {73};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {84};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {83};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {101};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {103};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_ST_DATA_W} {104};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_ADDR_H} {49};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_ADDR_L} {18};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_DATA_H} {15};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {17};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {16};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {56};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {64};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {62};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {82};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {81};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {55};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {66};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {65};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {83};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {85};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_ST_DATA_W} {86};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {ST_CHANNEL_W} {2};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90) src_id(89) qos(88) begin_burst(87) data_sideband(86) addr_sideband(85) burst_type(84:83) burst_size(82:80) burstwrap(79) byte_cnt(78:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(85:83) response_status(82:81) cache(80:77) protection(76:74) thread_id(73) dest_id(72) src_id(71) qos(70) begin_burst(69) data_sideband(68) addr_sideband(67) burst_type(66:65) burst_size(64:62) burstwrap(61) byte_cnt(60:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {sdram_s1_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {alt_vip_vfb_0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {alt_vip_vfb_0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {alt_vip_vfb_0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {alt_vip_vfb_0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {alt_vip_vfb_0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {altpll_0_c2_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {altpll_0_c2_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {altpll_0_c2_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {alt_vip_vfb_0_read_master_translator.avalon_universal_master_0} {alt_vip_vfb_0_read_master_agent.av} {avalon};set_connection_parameter_value {alt_vip_vfb_0_read_master_translator.avalon_universal_master_0/alt_vip_vfb_0_read_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {alt_vip_vfb_0_read_master_translator.avalon_universal_master_0/alt_vip_vfb_0_read_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {alt_vip_vfb_0_read_master_translator.avalon_universal_master_0/alt_vip_vfb_0_read_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {alt_vip_vfb_0_read_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/alt_vip_vfb_0_read_master_agent.rp} {qsys_mm.response};add_connection {alt_vip_vfb_0_write_master_translator.avalon_universal_master_0} {alt_vip_vfb_0_write_master_agent.av} {avalon};set_connection_parameter_value {alt_vip_vfb_0_write_master_translator.avalon_universal_master_0/alt_vip_vfb_0_write_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {alt_vip_vfb_0_write_master_translator.avalon_universal_master_0/alt_vip_vfb_0_write_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {alt_vip_vfb_0_write_master_translator.avalon_universal_master_0/alt_vip_vfb_0_write_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {alt_vip_vfb_0_write_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/alt_vip_vfb_0_write_master_agent.rp} {qsys_mm.response};add_connection {sdram_s1_agent.m0} {sdram_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sdram_s1_agent.m0/sdram_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sdram_s1_agent.m0/sdram_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sdram_s1_agent.m0/sdram_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sdram_s1_agent.rf_source} {sdram_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sdram_s1_agent_rsp_fifo.out} {sdram_s1_agent.rf_sink} {avalon_streaming};add_connection {sdram_s1_agent.rdata_fifo_src} {sdram_s1_agent_rdata_fifo.in} {avalon_streaming};add_connection {sdram_s1_agent_rdata_fifo.out} {sdram_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {alt_vip_vfb_0_read_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {alt_vip_vfb_0_read_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {alt_vip_vfb_0_write_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {alt_vip_vfb_0_write_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {sdram_s1_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {sdram_s1_agent.rp/router_002.sink} {qsys_mm.response};add_connection {sdram_s1_burst_adapter.source0} {sdram_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {sdram_s1_burst_adapter.source0/sdram_s1_agent.cp} {qsys_mm.command};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {router_002.src} {sdram_s1_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/sdram_s1_rsp_width_adapter.sink} {qsys_mm.response};add_connection {sdram_s1_rsp_width_adapter.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {sdram_s1_rsp_width_adapter.src/rsp_demux.sink} {qsys_mm.response};add_connection {cmd_mux.src} {sdram_s1_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/sdram_s1_cmd_width_adapter.sink} {qsys_mm.command};add_connection {sdram_s1_cmd_width_adapter.src} {sdram_s1_burst_adapter.sink0} {avalon_streaming};preview_set_connection_tag {sdram_s1_cmd_width_adapter.src/sdram_s1_burst_adapter.sink0} {qsys_mm.command};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {alt_vip_vfb_0_read_master_translator.reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {alt_vip_vfb_0_write_master_translator.reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {sdram_s1_translator.reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {alt_vip_vfb_0_read_master_agent.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {alt_vip_vfb_0_write_master_agent.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {sdram_s1_agent.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {sdram_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {sdram_s1_agent_rdata_fifo.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {sdram_s1_burst_adapter.cr0_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {sdram_s1_rsp_width_adapter.clk_reset} {reset};add_connection {alt_vip_vfb_0_reset_reset_bridge.out_reset} {sdram_s1_cmd_width_adapter.clk_reset} {reset};add_connection {altpll_0_c2_clock_bridge.out_clk} {alt_vip_vfb_0_read_master_translator.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {alt_vip_vfb_0_write_master_translator.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {sdram_s1_translator.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {alt_vip_vfb_0_read_master_agent.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {alt_vip_vfb_0_write_master_agent.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {sdram_s1_agent.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {sdram_s1_agent_rsp_fifo.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {sdram_s1_agent_rdata_fifo.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {router.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {sdram_s1_burst_adapter.cr0} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {sdram_s1_rsp_width_adapter.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {sdram_s1_cmd_width_adapter.clk} {clock};add_connection {altpll_0_c2_clock_bridge.out_clk} {alt_vip_vfb_0_reset_reset_bridge.clk} {clock};add_interface {altpll_0_c2} {clock} {slave};set_interface_property {altpll_0_c2} {EXPORT_OF} {altpll_0_c2_clock_bridge.in_clk};add_interface {alt_vip_vfb_0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {alt_vip_vfb_0_reset_reset_bridge_in_reset} {EXPORT_OF} {alt_vip_vfb_0_reset_reset_bridge.in_reset};add_interface {alt_vip_vfb_0_read_master} {avalon} {slave};set_interface_property {alt_vip_vfb_0_read_master} {EXPORT_OF} {alt_vip_vfb_0_read_master_translator.avalon_anti_master_0};add_interface {alt_vip_vfb_0_write_master} {avalon} {slave};set_interface_property {alt_vip_vfb_0_write_master} {EXPORT_OF} {alt_vip_vfb_0_write_master_translator.avalon_anti_master_0};add_interface {sdram_s1} {avalon} {master};set_interface_property {sdram_s1} {EXPORT_OF} {sdram_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.alt_vip_vfb_0.read_master} {0};set_module_assignment {interconnect_id.alt_vip_vfb_0.write_master} {1};set_module_assignment {interconnect_id.sdram.s1} {0};" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_burst_adapter/altera_merlin_burst_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_width_adapter/altera_merlin_width_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> - queue size: 327 starting:altera_mm_interconnect "submodules/Qsys_mm_interconnect_1" + queue size: 334 starting:altera_mm_interconnect "submodules/Qsys_mm_interconnect_1" @@ -8262,9 +8444,9 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.006s - Timing: COM:3/0.012s/0.013s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.013s/0.015s 23 modules, 67 connections]]> @@ -8291,15 +8473,15 @@ mm_interconnect_1" reuses altera_merlin_width_adapter "submodules/altera_merlin_width_adapter"]]> mm_interconnect_1" reuses altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_1_avalon_st_adapter"]]> Qsys" instantiated altera_mm_interconnect "mm_interconnect_1"]]> - queue size: 143 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 150 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_gen2_data_master_translator"]]> - queue size: 141 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 148 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> - queue size: 126 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 132 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_gen2_data_master_agent"]]> - queue size: 124 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 130 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> - queue size: 123 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 129 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> queue size: 13 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_1_router" mm_interconnect_1" instantiated altera_merlin_router "router"]]> @@ -8307,21 +8489,21 @@ mm_interconnect_1" instantiated altera_merlin_router "router_002"]]> queue size: 10 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_1" instantiated altera_merlin_burst_adapter "sdram_s1_burst_adapter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> queue size: 9 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_1_cmd_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux"]]> queue size: 7 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_1_cmd_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 6 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_1_rsp_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux"]]> queue size: 5 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_1_rsp_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 3 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_1" instantiated altera_merlin_width_adapter "sdram_s1_rsp_width_adapter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_address_alignment.sv]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_address_alignment.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> queue size: 1 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_1_avalon_st_adapter" @@ -8343,30 +8525,30 @@ - - + + + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" /> - queue size: 347 starting:altera_irq_mapper "submodules/Qsys_irq_mapper" + queue size: 354 starting:altera_irq_mapper "submodules/Qsys_irq_mapper" Qsys" instantiated altera_irq_mapper "irq_mapper"]]> @@ -8379,29 +8561,29 @@ name="altera_reset_controller"> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> - queue size: 346 starting:altera_reset_controller "submodules/altera_reset_controller" + queue size: 353 starting:altera_reset_controller "submodules/altera_reset_controller" Qsys" instantiated altera_reset_controller "rst_controller"]]> @@ -8416,7 +8598,7 @@ @@ -8426,7 +8608,7 @@ instantiator="Qsys_alt_vip_vfb_0" as="vfb_writer_packet_write_address_au_l_muxinst,vfb_writer_packet_write_address_au_sload_muxinst,vfb_writer_first_packet_id_au_enable_muxinst,vfb_writer_first_packet_id_au_sload_muxinst,vfb_writer_next_to_last_packet_id_au_enable_muxinst,vfb_writer_overflow_trigger_au_sload_muxinst,vfb_writer_overflow_flag_reg_enable_muxinst,vfb_writer_word_counter_trigger_au_sload_muxinst,vfb_writer_field_interlace_reg_d_muxinst,vfb_writer_field_interlace_reg_enable_muxinst,vfb_writer_write_address_au_l_muxinst,vfb_reader_current_packet_id_au_l_muxinst,read_master_renable_trigger_muxinst,read_master_addr_muxinst,read_master_len_be_muxinst,write_master_len_be_muxinst,write_master_push_input_muxinst,vfb_writer_packets_sample_length_reg_d_muxinst,vfb_writer_packets_sample_length_reg_enable_muxinst,vfb_writer_packets_word_length_reg_d_muxinst,vfb_writer_packets_word_length_reg_enable_muxinst,packetdimensions_reg_d_muxinst,packetdimensions_reg_131_d_muxinst,packetdimensions_reg_1312_d_muxinst,packetdimensions_reg_1313_d_muxinst,output_reg_d_muxinst,output_reg_153_d_muxinst,output_reg_1532_d_muxinst,output_reg_1533_d_muxinst,output_reg_1534_d_muxinst,output_reg_1535_d_muxinst,msg_buffer_reply_id_3148_line162_d_muxinst,msg_buffer_id_3153_line170_d_muxinst,msg_field_width_id_3157_line172_d_muxinst,msg_field_height_id_3161_line174_d_muxinst,msg_field_interlace_id_3165_line176_d_muxinst,msg_samples_in_field_id_3169_line178_d_muxinst,msg_words_in_field_id_3173_line180_d_muxinst,msg_first_packet_id_3177_line222_d_muxinst,msg_next_to_last_packet_id_3181_line223_d_muxinst,vfb_reader_length_cnt_id_3256_line897_a_muxinst,vfb_reader_length_cnt_id_3265_line897_a_muxinst" /> - queue size: 343 starting:alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2" + queue size: 350 starting:alt_cusp_muxbin2 "submodules/alt_cusp161_muxbin2" alt_vip_vfb_0" instantiated alt_cusp_muxbin2 "vfb_writer_packet_write_address_au_l_muxinst"]]> @@ -8444,13 +8626,13 @@ @@ -8460,7 +8642,7 @@ instantiator="Qsys_alt_vip_vfb_0" as="vfb_writer_packet_write_address_au,vfb_writer_first_packet_id_au,vfb_writer_next_to_last_packet_id_au,vfb_writer_overflow_trigger_au,vfb_writer_length_counter_au,vfb_writer_word_counter_au,vfb_writer_word_counter_trigger_au,vfb_writer_write_address_au,vfb_reader_read_address_au,vfb_reader_packet_read_address_au,vfb_reader_current_packet_id_au,wrap_packet_id_id_3247_line1077,vfb_reader_length_cnt_4_id_3254_line897,vfb_reader_length_cnt_id_3256_line897,vfb_reader_length_cnt_id_3265_line897" /> - queue size: 341 starting:alt_au "submodules/alt_cusp161_au" + queue size: 348 starting:alt_au "submodules/alt_cusp161_au" alt_vip_vfb_0" instantiated alt_au "vfb_writer_packet_write_address_au"]]> @@ -8477,10 +8659,10 @@ @@ -8490,9 +8672,9 @@ instantiator="Qsys_alt_vip_vfb_0" as="vfb_writer_overflow_flag_reg,vfb_writer_field_width_reg,vfb_writer_field_height_reg,vfb_writer_field_interlace_reg,vfb_writer_just_read_reg,vfb_writer_packets_sample_length_reg,vfb_writer_packets_word_length_reg,msg_packets_sample_length_reg,msg_packets_word_length_reg,packetdimensions_reg,packetdimensions_reg_131,packetdimensions_reg_1312,packetdimensions_reg_1313,output_reg,output_reg_153,output_reg_1532,output_reg_1533,output_reg_1534,output_reg_1535,output_reg_1536,output_reg_1537,output_reg_1538,msg_buffer_reply_id_3148_line162,msg_buffer_id_3153_line170,msg_field_width_id_3157_line172,msg_field_height_id_3161_line174,msg_field_interlace_id_3165_line176,msg_samples_in_field_id_3169_line178,msg_words_in_field_id_3173_line180,msg_first_packet_id_3177_line222,msg_next_to_last_packet_id_3181_line223,vfb_writer_buffer_id_3185_line228,write_to_read_buf_id_3188_line153,write_to_read_ack_id_3191_line164,vfb_writer_packet_base_address_0_id_3194_line204,isnotimagedata_0_id_3196_line144,iscontrolpacket_0_id_3198_line202,no_last_burst_0_id_3200_line301,no_last_burst_0_id_3204_line585,cond588_0_id_3206,drop_0_id_3208_line345,loop_repeat_0_id_3210_line367,burst_trigger_0_id_3212_line563,cond568_0_id_3214,cond290_0_id_3218,vfb_reader_buffer_id_3220_line865,read_to_write_ack_id_3223_line156,read_to_write_buf_id_3226_line160,vfb_reader_field_width_0_id_3229_line773,vfb_reader_samples_in_field_0_id_3231_line889,vfb_reader_field_height_0_id_3233_line775,vfb_reader_words_in_field_0_id_3235_line891,vfb_reader_field_interlace_0_id_3237_line777,vfb_reader_next_to_last_packet_id_0_id_3239_line876,reader_packets_sample_length_0_id_3241_line878,reader_packets_word_length_0_id_3243_line880,vfb_reader_packet_base_address_0_id_3245_line873,vfb_reader_length_cnt_3_id_3250_line897,vfb_reader_word_cnt_0_id_3252_line898,repeat_0_id_3259_line1140,loop_repeat_0_id_3261_line1148,cond1203_0_id_3263,cond1113_0_id_3268,ispreviousendpacket_0_comb_id_7828,justreadqueue_1_1_comb_id_7831,justreadqueue_2_1_comb_id_7834,justreadqueue_1_2_comb_id_7837,ispreviousendpacket_1_comb_id_7840,justreadaccesswire_3_comb_id_7843,justreadaccesswire_4_comb_id_7846,justreadqueue_1_4_comb_id_7849,justreadqueue_2_2_comb_id_7852,justreadqueue_1_5_comb_id_7855,ispreviousendpacket_2_comb_id_7858,justreadaccesswire_6_comb_id_7861,justreadaccesswire_7_comb_id_7864,justreadqueue_1_7_comb_id_7867,justreadqueue_2_3_comb_id_7870,justreadqueue_1_8_comb_id_7873,word_counter_trigger_flag_0_comb_id_7876,op_2226_comb_id_7879,op_2226_comb_0_id_7882,op_4405_comb_id_7885,op_4407_comb_id_7888,op_3252_comb_id_7894,op_3252_comb_0_id_7897,op_4420_comb_id_7900,op_4421_comb_id_7903,cond1113_0_stage_1_id_7906,cond1113_0_stage_2_id_7909,cond1113_0_stage_3_id_7912,empty_image_0_comb_id_7915,cond1203_0_stage_1_id_7918,cond1203_0_stage_2_id_7921,cond1203_0_stage_3_id_7924" /> - queue size: 332 starting:alt_reg "submodules/alt_cusp161_reg" + queue size: 339 starting:alt_reg "submodules/alt_cusp161_reg" alt_vip_vfb_0" instantiated alt_reg "vfb_writer_overflow_flag_reg"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8516,7 +8698,7 @@ instantiator="Qsys_alt_vip_vfb_0" as="vfb_writer_length_counter_au_enable_muxinst,vfb_writer_word_counter_au_enable_muxinst,vfb_writer_word_counter_au_enable_trigger_muxinst,vfb_writer_word_counter_trigger_au_enable_muxinst,vfb_writer_write_address_au_enable_trigger_muxinst,vfb_writer_just_read_reg_enable_muxinst,vfb_reader_packet_read_address_au_sload_muxinst,din_takeb_trigger_muxinst,dout_takeb_trigger_muxinst,dout_wdata_muxinst,dout_seteop_trigger_muxinst,dout_eop_muxinst,read_master_pull_pull_trigger_muxinst,write_master_cenable_trigger_muxinst,write_master_push_push_trigger_muxinst,pc0_usenextpc_trigger_muxinst,pc0_hold_trigger_muxinst,pc1_usenextpc_trigger_muxinst,pc1_hold_trigger_muxinst" /> - queue size: 331 starting:alt_cusp_muxhot16 "submodules/alt_cusp161_muxhot16" + queue size: 338 starting:alt_cusp_muxhot16 "submodules/alt_cusp161_muxhot16" alt_vip_vfb_0" instantiated alt_cusp_muxhot16 "vfb_writer_length_counter_au_enable_muxinst"]]> @@ -8535,10 +8717,10 @@ @@ -8546,9 +8728,9 @@ - queue size: 307 starting:alt_avalon_st_input "submodules/alt_cusp161_avalon_st_input" + queue size: 314 starting:alt_avalon_st_input "submodules/alt_cusp161_avalon_st_input" alt_vip_vfb_0" instantiated alt_avalon_st_input "din"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8579,9 +8761,9 @@ - queue size: 302 starting:alt_avalon_st_output "submodules/alt_cusp161_avalon_st_output" + queue size: 309 starting:alt_avalon_st_output "submodules/alt_cusp161_avalon_st_output" alt_vip_vfb_0" instantiated alt_avalon_st_output "dout"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8646,9 +8828,9 @@ - queue size: 298 starting:alt_avalon_mm_bursting_master_fifo "submodules/alt_cusp161_avalon_mm_bursting_master_fifo" + queue size: 305 starting:alt_avalon_mm_bursting_master_fifo "submodules/alt_cusp161_avalon_mm_bursting_master_fifo" alt_vip_vfb_0" instantiated alt_avalon_mm_bursting_master_fifo "read_master"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8675,9 +8857,9 @@ - queue size: 296 starting:alt_cusp_pulling_width_adapter "submodules/alt_cusp161_pulling_width_adapter" + queue size: 303 starting:alt_cusp_pulling_width_adapter "submodules/alt_cusp161_pulling_width_adapter" alt_vip_vfb_0" instantiated alt_cusp_pulling_width_adapter "read_master_pull"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8704,9 +8886,9 @@ - queue size: 290 starting:alt_cusp_pushing_width_adapter "submodules/alt_cusp161_pushing_width_adapter" + queue size: 297 starting:alt_cusp_pushing_width_adapter "submodules/alt_cusp161_pushing_width_adapter" alt_vip_vfb_0" instantiated alt_cusp_pushing_width_adapter "write_master_push"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8741,9 +8923,9 @@ - queue size: 256 starting:alt_pc "submodules/alt_cusp161_pc" + queue size: 263 starting:alt_pc "submodules/alt_cusp161_pc" alt_vip_vfb_0" instantiated alt_pc "pc0"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8771,9 +8953,9 @@ instantiator="Qsys_alt_vip_vfb_0" as="fu_id_4494_line325_93,fu_id_4510_line325_52,fu_id_4526_line201_52,fu_id_4696_line202_58,fu_id_4890_line330_94,fu_id_4899_line331_96,fu_id_4982_line639_55,fu_id_5210_line696_38,fu_id_5669_line510_66,fu_id_5804_line563_105,fu_id_5987_line933_38,fu_id_6225_line1062_114,fu_id_6241_line1063_112,fu_id_6257_line1078_53,fu_id_6266_line1094_53,fu_id_6323_line1188_29,fu_id_6458_line1248_53,fu_id_6704_line1126_52" /> - queue size: 196 starting:alt_cmp "submodules/alt_cusp161_cmp" + queue size: 203 starting:alt_cmp "submodules/alt_cusp161_cmp" alt_vip_vfb_0" instantiated alt_cmp "fu_id_4494_line325_93"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> @@ -8796,14 +8978,14 @@ - queue size: 146 starting:alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset" + queue size: 153 starting:alt_cusp_testbench_clock "submodules/alt_cusp161_clock_reset" alt_vip_vfb_0" instantiated alt_cusp_testbench_clock "clocksource"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/alt_cusp161_package.vhd]]> - + @@ -8868,7 +9050,7 @@ + value="<address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='timer.s1' start='0x41000' end='0x41020' type='altera_avalon_timer.s1' /><slave name='TERASIC_AUTO_FOCUS_0.mm_ctrl' start='0x41020' end='0x41040' type='TERASIC_AUTO_FOCUS.mm_ctrl' /><slave name='i2c_opencores_camera.avalon_slave_0' start='0x41040' end='0x41060' type='i2c_opencores.avalon_slave_0' /><slave name='i2c_opencores_mipi.avalon_slave_0' start='0x41060' end='0x41080' type='i2c_opencores.avalon_slave_0' /><slave name='mipi_pwdn_n.s1' start='0x41080' end='0x41090' type='altera_avalon_pio.s1' /><slave name='mipi_reset_n.s1' start='0x41090' end='0x410A0' type='altera_avalon_pio.s1' /><slave name='key.s1' start='0x410A0' end='0x410B0' type='altera_avalon_pio.s1' /><slave name='sw.s1' start='0x410B0' end='0x410C0' type='altera_avalon_pio.s1' /><slave name='led.s1' start='0x410C0' end='0x410D0' type='altera_avalon_pio.s1' /><slave name='altpll_0.pll_slave' start='0x410D0' end='0x410E0' type='altpll.pll_slave' /><slave name='sysid_qsys.control_slave' start='0x410E0' end='0x410E8' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x410E8' end='0x410F0' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='EEE_IMGPROC_0.s1' start='0x42000' end='0x42020' type='EEE_IMGPROC.s1' /><slave name='uart_0.s1' start='0x42020' end='0x42040' type='altera_avalon_uart.s1' /></address-map>" /> @@ -8974,7 +9156,7 @@ + value="<address-map><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2.debug_mem_slave' start='0x40800' end='0x41000' type='altera_nios2_gen2.debug_mem_slave' /></address-map>" /> @@ -8998,97 +9180,97 @@ + path="F:/intelfpga_lite/16.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" /> - queue size: 144 starting:altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu" + queue size: 151 starting:altera_nios2_gen2_unit "submodules/Qsys_nios2_gen2_cpu" Starting RTL generation for module 'Qsys_nios2_gen2_cpu' - Generation command is [exec C:/intelFPGA_lite/16.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=Qsys_nios2_gen2_cpu --dir=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0022_cpu_gen/ --quartus_bindir=C:/intelFPGA_lite/16.1/quartus/bin64/ --verilog --config=C:/Users/ANISHG~1/AppData/Local/Temp/alt8774_3370923321813107178.dir/0022_cpu_gen//Qsys_nios2_gen2_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2021.05.27 17:51:00 (*) Starting Nios II generation - # 2021.05.27 17:51:00 (*) Checking for plaintext license. - # 2021.05.27 17:51:01 (*) Couldn't query license setup in Quartus directory C:/intelFPGA_lite/16.1/quartus/bin64/ - # 2021.05.27 17:51:01 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2021.05.27 17:51:01 (*) LM_LICENSE_FILE environment variable is empty - # 2021.05.27 17:51:01 (*) Plaintext license not found. - # 2021.05.27 17:51:01 (*) Checking for encrypted license (non-evaluation). - # 2021.05.27 17:51:01 (*) Couldn't query license setup in Quartus directory C:/intelFPGA_lite/16.1/quartus/bin64/ - # 2021.05.27 17:51:01 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2021.05.27 17:51:01 (*) LM_LICENSE_FILE environment variable is empty - # 2021.05.27 17:51:01 (*) Encrypted license not found. Defaulting to OCP evaluation license (produces a time-limited SOF) - # 2021.05.27 17:51:01 (*) Elaborating CPU configuration settings - # 2021.05.27 17:51:01 (*) Creating all objects for CPU - # 2021.05.27 17:51:01 (*) Testbench - # 2021.05.27 17:51:02 (*) Instruction decoding - # 2021.05.27 17:51:02 (*) Instruction fields - # 2021.05.27 17:51:02 (*) Instruction decodes - # 2021.05.27 17:51:02 (*) Signals for RTL simulation waveforms - # 2021.05.27 17:51:02 (*) Instruction controls - # 2021.05.27 17:51:02 (*) Pipeline frontend - # 2021.05.27 17:51:02 (*) Pipeline backend - # 2021.05.27 17:51:05 (*) Generating RTL from CPU objects - # 2021.05.27 17:51:06 (*) Creating encrypted RTL - # 2021.05.27 17:51:07 (*) Done Nios II generation + Generation command is [exec F:/intelFPGA_lite/16.1/quartus/bin64//eperlcmd.exe -I F:/intelFPGA_lite/16.1/quartus/bin64//perl/lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/europa -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin/perl_lib -I F:/intelfpga_lite/16.1/quartus/sopc_builder/bin -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- F:/intelfpga_lite/16.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=Qsys_nios2_gen2_cpu --dir=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0075_cpu_gen/ --quartus_bindir=F:/intelFPGA_lite/16.1/quartus/bin64/ --verilog --config=C:/Users/Ed/AppData/Local/Temp/alt8781_5454540036417882954.dir/0075_cpu_gen//Qsys_nios2_gen2_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2021.06.03 15:10:02 (*) Starting Nios II generation + # 2021.06.03 15:10:02 (*) Checking for plaintext license. + # 2021.06.03 15:10:03 (*) Couldn't query license setup in Quartus directory F:/intelFPGA_lite/16.1/quartus/bin64/ + # 2021.06.03 15:10:03 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2021.06.03 15:10:03 (*) LM_LICENSE_FILE environment variable is empty + # 2021.06.03 15:10:03 (*) Plaintext license not found. + # 2021.06.03 15:10:03 (*) Checking for encrypted license (non-evaluation). + # 2021.06.03 15:10:03 (*) Couldn't query license setup in Quartus directory F:/intelFPGA_lite/16.1/quartus/bin64/ + # 2021.06.03 15:10:03 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2021.06.03 15:10:03 (*) LM_LICENSE_FILE environment variable is empty + # 2021.06.03 15:10:03 (*) Encrypted license not found. Defaulting to OCP evaluation license (produces a time-limited SOF) + # 2021.06.03 15:10:03 (*) Elaborating CPU configuration settings + # 2021.06.03 15:10:03 (*) Creating all objects for CPU + # 2021.06.03 15:10:03 (*) Testbench + # 2021.06.03 15:10:03 (*) Instruction decoding + # 2021.06.03 15:10:03 (*) Instruction fields + # 2021.06.03 15:10:03 (*) Instruction decodes + # 2021.06.03 15:10:04 (*) Signals for RTL simulation waveforms + # 2021.06.03 15:10:04 (*) Instruction controls + # 2021.06.03 15:10:04 (*) Pipeline frontend + # 2021.06.03 15:10:04 (*) Pipeline backend + # 2021.06.03 15:10:06 (*) Generating RTL from CPU objects + # 2021.06.03 15:10:08 (*) Creating encrypted RTL + # 2021.06.03 15:10:08 (*) Done Nios II generation Done RTL generation for module 'Qsys_nios2_gen2_cpu' nios2_gen2" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -9103,14 +9285,14 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> - queue size: 143 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 150 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_gen2_data_master_translator"]]> @@ -9133,22 +9315,22 @@ name="altera_merlin_slave_translator"> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + as="jtag_uart_avalon_jtag_slave_translator,i2c_opencores_mipi_avalon_slave_0_translator,i2c_opencores_camera_avalon_slave_0_translator,sysid_qsys_control_slave_translator,nios2_gen2_debug_mem_slave_translator,TERASIC_AUTO_FOCUS_0_mm_ctrl_translator,altpll_0_pll_slave_translator,onchip_memory2_0_s1_translator,timer_s1_translator,led_s1_translator,sw_s1_translator,key_s1_translator,mipi_reset_n_s1_translator,mipi_pwdn_n_s1_translator,EEE_IMGPROC_0_s1_translator,uart_0_s1_translator" /> - queue size: 141 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 148 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> @@ -9261,22 +9443,29 @@ end="0x00000000000042020" responds="1" user_default="0" /> + <slave + id="15" + name="uart_0_s1_translator.avalon_universal_slave_0" + start="0x0000000000042020" + end="0x00000000000042040" + responds="1" + user_default="0" /> </address_map> -,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0),PKT_ADDR_H=54,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=72,PKT_ADDR_SIDEBAND_L=72,PKT_BEGIN_BURST=74,PKT_BURSTWRAP_H=66,PKT_BURSTWRAP_L=64,PKT_BURST_SIZE_H=69,PKT_BURST_SIZE_L=67,PKT_BURST_TYPE_H=71,PKT_BURST_TYPE_L=70,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=63,PKT_BYTE_CNT_L=61,PKT_CACHE_H=91,PKT_CACHE_L=88,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=73,PKT_DATA_SIDEBAND_L=73,PKT_DEST_ID_H=83,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=96,PKT_ORI_BURST_SIZE_L=94,PKT_PROTECTION_H=87,PKT_PROTECTION_L=85,PKT_QOS_H=75,PKT_QOS_L=75,PKT_RESPONSE_STATUS_H=93,PKT_RESPONSE_STATUS_L=92,PKT_SRC_ID_H=79,PKT_SRC_ID_L=76,PKT_THREAD_ID_H=84,PKT_THREAD_ID_L=84,PKT_TRANS_COMPRESSED_READ=55,PKT_TRANS_EXCLUSIVE=60,PKT_TRANS_LOCK=59,PKT_TRANS_POSTED=56,PKT_TRANS_READ=58,PKT_TRANS_WRITE=57,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=15,ST_DATA_W=97,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0" +,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0),PKT_ADDR_H=54,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=72,PKT_ADDR_SIDEBAND_L=72,PKT_BEGIN_BURST=74,PKT_BURSTWRAP_H=66,PKT_BURSTWRAP_L=64,PKT_BURST_SIZE_H=69,PKT_BURST_SIZE_L=67,PKT_BURST_TYPE_H=71,PKT_BURST_TYPE_L=70,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=63,PKT_BYTE_CNT_L=61,PKT_CACHE_H=91,PKT_CACHE_L=88,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=73,PKT_DATA_SIDEBAND_L=73,PKT_DEST_ID_H=83,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=96,PKT_ORI_BURST_SIZE_L=94,PKT_PROTECTION_H=87,PKT_PROTECTION_L=85,PKT_QOS_H=75,PKT_QOS_L=75,PKT_RESPONSE_STATUS_H=93,PKT_RESPONSE_STATUS_L=92,PKT_SRC_ID_H=79,PKT_SRC_ID_L=76,PKT_THREAD_ID_H=84,PKT_THREAD_ID_L=84,PKT_TRANS_COMPRESSED_READ=55,PKT_TRANS_EXCLUSIVE=60,PKT_TRANS_LOCK=59,PKT_TRANS_POSTED=56,PKT_TRANS_READ=58,PKT_TRANS_WRITE=57,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=16,ST_DATA_W=97,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0" instancePathKey="Qsys:.:mm_interconnect_0:.:nios2_gen2_data_master_agent" kind="altera_merlin_master_agent" version="16.1" name="altera_merlin_master_agent"> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> - queue size: 126 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 132 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_gen2_data_master_agent"]]> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + as="jtag_uart_avalon_jtag_slave_agent,i2c_opencores_mipi_avalon_slave_0_agent,i2c_opencores_camera_avalon_slave_0_agent,sysid_qsys_control_slave_agent,nios2_gen2_debug_mem_slave_agent,TERASIC_AUTO_FOCUS_0_mm_ctrl_agent,altpll_0_pll_slave_agent,onchip_memory2_0_s1_agent,timer_s1_agent,led_s1_agent,sw_s1_agent,key_s1_agent,mipi_reset_n_s1_agent,mipi_pwdn_n_s1_agent,EEE_IMGPROC_0_s1_agent,uart_0_s1_agent" /> - queue size: 124 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 130 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> @@ -9333,47 +9522,47 @@ + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + as="jtag_uart_avalon_jtag_slave_agent_rsp_fifo,i2c_opencores_mipi_avalon_slave_0_agent_rsp_fifo,i2c_opencores_camera_avalon_slave_0_agent_rsp_fifo,sysid_qsys_control_slave_agent_rsp_fifo,nios2_gen2_debug_mem_slave_agent_rsp_fifo,TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rsp_fifo,TERASIC_AUTO_FOCUS_0_mm_ctrl_agent_rdata_fifo,altpll_0_pll_slave_agent_rsp_fifo,onchip_memory2_0_s1_agent_rsp_fifo,timer_s1_agent_rsp_fifo,led_s1_agent_rsp_fifo,sw_s1_agent_rsp_fifo,key_s1_agent_rsp_fifo,mipi_reset_n_s1_agent_rsp_fifo,mipi_pwdn_n_s1_agent_rsp_fifo,EEE_IMGPROC_0_s1_agent_rsp_fifo,EEE_IMGPROC_0_s1_agent_rdata_fifo,uart_0_s1_agent_rsp_fifo" /> - queue size: 123 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 129 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> - + + value="0x20000,0x40800,0x41000,0x41020,0x41040,0x41060,0x41080,0x41090,0x410a0,0x410b0,0x410c0,0x410d0,0x410e0,0x410e8,0x42000,0x42020" /> + value="11:0000000010000000:0x20000:0x40000:both:1:0:0:1,10:0000000000010000:0x40800:0x41000:both:1:0:0:1,14:0000000100000000:0x41000:0x41020:both:1:0:0:1,1:0000000000100000:0x41020:0x41040:both:1:0:0:1,3:0000000000000100:0x41040:0x41060:both:1:0:0:1,4:0000000000000010:0x41060:0x41080:both:1:0:0:1,8:0010000000000000:0x41080:0x41090:both:1:0:0:1,9:0001000000000000:0x41090:0x410a0:both:1:0:0:1,6:0000100000000000:0x410a0:0x410b0:read:1:0:0:1,12:0000010000000000:0x410b0:0x410c0:read:1:0:0:1,7:0000001000000000:0x410c0:0x410d0:both:1:0:0:1,2:0000000001000000:0x410d0:0x410e0:both:1:0:0:1,13:0000000000001000:0x410e0:0x410e8:read:1:0:0:1,5:0000000000000001:0x410e8:0x410f0:both:1:0:0:1,0:0100000000000000:0x42000:0x42020:both:1:0:0:1,15:1000000000000000:0x42020:0x42040:both:1:0:0:1" /> @@ -9384,50 +9573,50 @@ value="ori_burst_size(96:94) response_status(93:92) cache(91:88) protection(87:85) thread_id(84) dest_id(83:80) src_id(79:76) qos(75) begin_burst(74) data_sideband(73) addr_sideband(72) burst_type(71:70) burst_size(69:67) burstwrap(66:64) byte_cnt(63:61) trans_exclusive(60) trans_lock(59) trans_read(58) trans_write(57) trans_posted(56) trans_compressed_read(55) addr(54:36) byteen(35:32) data(31:0)" /> + value="0000000010000000,0000000000010000,0000000100000000,0000000000100000,0000000000000100,0000000000000010,0010000000000000,0001000000000000,0000100000000000,0000010000000000,0000001000000000,0000000001000000,0000000000001000,0000000000000001,0100000000000000,1000000000000000" /> - + value="both,both,both,both,both,both,both,both,read,read,both,both,read,both,both,both" /> + - + + value="0x40000,0x41000,0x41020,0x41040,0x41060,0x41080,0x41090,0x410a0,0x410b0,0x410c0,0x410d0,0x410e0,0x410e8,0x410f0,0x42020,0x42040" /> - - + + + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> - queue size: 92 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router" + queue size: 96 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - + @@ -9460,30 +9649,30 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> - queue size: 91 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001" + queue size: 95 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_001" mm_interconnect_0" instantiated altera_merlin_router "router_001"]]> - + @@ -9514,32 +9703,32 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + as="router_002,router_003,router_004,router_005,router_007,router_008,router_010,router_011,router_012,router_013,router_014,router_015,router_016,router_017" /> - queue size: 90 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002" + queue size: 94 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_002" mm_interconnect_0" instantiated altera_merlin_router "router_002"]]> - + @@ -9572,65 +9761,65 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> - queue size: 86 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_006" + queue size: 90 starting:altera_merlin_router "submodules/Qsys_mm_interconnect_0_router_006" mm_interconnect_0" instantiated altera_merlin_router "router_006"]]> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" /> - queue size: 75 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 78 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "nios2_gen2_data_master_limiter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_sc_fifo.v]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_sc_fifo.v]]> - + - + - + + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> - queue size: 73 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux" + queue size: 76 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - + - + + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> - queue size: 72 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux_001" + queue size: 75 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_cmd_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - + @@ -9716,31 +9905,31 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + as="cmd_mux,cmd_mux_001,cmd_mux_002,cmd_mux_003,cmd_mux_005,cmd_mux_006,cmd_mux_008,cmd_mux_009,cmd_mux_010,cmd_mux_011,cmd_mux_012,cmd_mux_013,cmd_mux_014,cmd_mux_015" /> - queue size: 71 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux" + queue size: 74 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - + @@ -9758,30 +9947,30 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> - queue size: 67 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux_004" + queue size: 70 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_cmd_mux_004" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_004"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - + @@ -9797,27 +9986,27 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + as="rsp_demux,rsp_demux_001,rsp_demux_002,rsp_demux_003,rsp_demux_006,rsp_demux_008,rsp_demux_009,rsp_demux_010,rsp_demux_011,rsp_demux_012,rsp_demux_013,rsp_demux_015" /> - queue size: 56 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux" + queue size: 58 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - + @@ -9833,27 +10022,27 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> - queue size: 52 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_004" + queue size: 54 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_004" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_004"]]> - + @@ -9869,27 +10058,27 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> - queue size: 51 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005" + queue size: 53 starting:altera_merlin_demultiplexer "submodules/Qsys_mm_interconnect_0_rsp_demux_005" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_005"]]> - - - + + + @@ -9907,30 +10096,30 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> - queue size: 41 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux" + queue size: 42 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - + @@ -9948,30 +10137,30 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> - queue size: 40 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001" + queue size: 41 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> - + + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser.v" /> - queue size: 39 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + queue size: 40 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> + as="avalon_st_adapter,avalon_st_adapter_001,avalon_st_adapter_002,avalon_st_adapter_003,avalon_st_adapter_004,avalon_st_adapter_005,avalon_st_adapter_006,avalon_st_adapter_007,avalon_st_adapter_008,avalon_st_adapter_009,avalon_st_adapter_010,avalon_st_adapter_011,avalon_st_adapter_012,avalon_st_adapter_013,avalon_st_adapter_014,avalon_st_adapter_015" /> - queue size: 35 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter" + queue size: 36 starting:altera_avalon_st_adapter "submodules/Qsys_mm_interconnect_0_avalon_st_adapter" @@ -10136,14 +10325,14 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> @@ -10192,14 +10381,14 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> @@ -10221,57 +10410,57 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_burst_adapter/altera_merlin_burst_adapter_hw.tcl" /> queue size: 10 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_1" instantiated altera_merlin_burst_adapter "sdram_s1_burst_adapter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> @@ -10328,25 +10517,25 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> queue size: 7 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_1_cmd_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> @@ -10403,25 +10592,25 @@ + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> queue size: 5 starting:altera_merlin_multiplexer "submodules/Qsys_mm_interconnect_1_rsp_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_arbitrator.sv]]> + path="F:/intelfpga_lite/16.1/ip/altera/merlin/altera_merlin_width_adapter/altera_merlin_width_adapter_hw.tcl" /> queue size: 3 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_1" instantiated altera_merlin_width_adapter "sdram_s1_rsp_width_adapter"]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_address_alignment.sv]]> - C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_address_alignment.sv]]> + F:/Ed/Stuff/EEE2Rover/DE10_LITE_D8M_VIP_16/Qsys/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> @@ -10565,14 +10754,14 @@ + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> + path="F:/intelfpga_lite/16.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> ), // terasic_camera_0_conduit_end.D .terasic_camera_0_conduit_end_FVAL (), // .FVAL .terasic_camera_0_conduit_end_LVAL (), // .LVAL - .terasic_camera_0_conduit_end_PIXCLK () // .PIXCLK + .terasic_camera_0_conduit_end_PIXCLK (), // .PIXCLK + .uart_0_rx_tx_rxd (), // uart_0_rx_tx.rxd + .uart_0_rx_tx_txd () // .txd ); diff --git a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys_inst.vhd b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys_inst.vhd index 9a4f759..4e31e75 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys_inst.vhd +++ b/Vision/DE10_LITE_D8M_VIP_16/Qsys/Qsys_inst.vhd @@ -41,7 +41,9 @@ terasic_camera_0_conduit_end_D : in std_logic_vector(11 downto 0) := (others => 'X'); -- D terasic_camera_0_conduit_end_FVAL : in std_logic := 'X'; -- FVAL terasic_camera_0_conduit_end_LVAL : in std_logic := 'X'; -- LVAL - terasic_camera_0_conduit_end_PIXCLK : in std_logic := 'X' -- PIXCLK + terasic_camera_0_conduit_end_PIXCLK : in std_logic := 'X'; -- PIXCLK + uart_0_rx_tx_rxd : in std_logic := 'X'; -- rxd + uart_0_rx_tx_txd : out std_logic -- txd ); end component Qsys; @@ -88,6 +90,8 @@ terasic_camera_0_conduit_end_D => CONNECTED_TO_terasic_camera_0_conduit_end_D, -- terasic_camera_0_conduit_end.D terasic_camera_0_conduit_end_FVAL => CONNECTED_TO_terasic_camera_0_conduit_end_FVAL, -- .FVAL terasic_camera_0_conduit_end_LVAL => CONNECTED_TO_terasic_camera_0_conduit_end_LVAL, -- .LVAL - terasic_camera_0_conduit_end_PIXCLK => CONNECTED_TO_terasic_camera_0_conduit_end_PIXCLK -- .PIXCLK + terasic_camera_0_conduit_end_PIXCLK => CONNECTED_TO_terasic_camera_0_conduit_end_PIXCLK, -- .PIXCLK + uart_0_rx_tx_rxd => CONNECTED_TO_uart_0_rx_tx_rxd, -- uart_0_rx_tx.rxd + uart_0_rx_tx_txd => CONNECTED_TO_uart_0_rx_tx_txd -- .txd ); diff --git a/Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project b/Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project deleted file mode 100644 index 5447a64..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project +++ /dev/null @@ -1,12 +0,0 @@ - - - RemoteSystemsTempFiles - - - - - - - org.eclipse.rse.ui.remoteSystemsTempNature - - diff --git a/Vision/DE10_LITE_D8M_VIP_16/ip/EEE_IMGPROC/EEE_IMGPROC.v b/Vision/DE10_LITE_D8M_VIP_16/ip/EEE_IMGPROC/EEE_IMGPROC.v index 13f2d0f..5d55bda 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/ip/EEE_IMGPROC/EEE_IMGPROC.v +++ b/Vision/DE10_LITE_D8M_VIP_16/ip/EEE_IMGPROC/EEE_IMGPROC.v @@ -72,25 +72,13 @@ parameter BB_COL_DEFAULT = 24'h00ff00; wire [7:0] red, green, blue, grey; wire [7:0] red_out, green_out, blue_out; -wire [8:0] hue; -wire [7:0] saturation, value; wire sop, eop, in_valid, out_ready; //////////////////////////////////////////////////////////////////////// -// RGB --> HSV Conversion -wire [7:0] min, max, delta; -assign min = (red < green) ? ((red < blue) ? red : blue) : ((green < blue) ? green : blue); -assign max = (red > green) ? ((red > blue) ? red : blue) : ((green > blue) ? green : blue); -assign delta = max - min; -assign hue = (red == max) ? (green - blue)/delta : ((green == max) ? 8'h55+((blue - red)/delta) : 8'haa+((red - green)/delta)); -assign saturation = (max == 8'h00) ? 8'h00 : delta / max; -assign value = max; - // Detect red areas wire red_detect; -//assign red_detect = red[7] & ~green[7] & ~blue[7]; -assign red_detect = blue[7]; +assign red_detect = red[7] & ~green[7] & ~blue[7]; // Find boundary of cursor box diff --git a/Vision/DE10_LITE_D8M_VIP_16/ip/de10lite-hdl/uart.v b/Vision/DE10_LITE_D8M_VIP_16/ip/de10lite-hdl/uart.v deleted file mode 100644 index df3820e..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/ip/de10lite-hdl/uart.v +++ /dev/null @@ -1,273 +0,0 @@ -/* -Source: https://github.com/hildebrandmw/de10lite-hdl/blob/master/components/uart/hdl/uart.v -Description: Very simple UART tx/rx module. Requires a streaming interface, -provides no buffering for input or output data. -*/ - -module uart -#( parameter CLK_FREQ = 50_000_000, - parameter BAUD = 115_200 -) -( input clk, - input reset, - - // Receiving - input rx, // Received serial stream - output reg [7:0] rx_data, // Deserialized byte. - output rx_valid, // Asserted when rx_data is valid - - // Transmitting - output reg tx, // Transmitted serial stream - input [7:0] tx_data, // Deserialized byte to transmit. - input tx_transmit, // Start Signal. No effect if tx_ready = 0 - output reg tx_ready // Asserted when ready to accept data - ); - - /////////////////////////////// - // Functionality Description // - /////////////////////////////// - - /* - RECEIVING: Module receives a serial stream through the port rx. - When a byte has been successfully received, the received data will be - available on the output port rx_data and the output port rx_valid will be - asserted for 1 clock cycle. - - Validity of output data is not guaranteed if rx_valid is not 1. If this - is important for you, you may modify this design to register the output. - - TRANSMITTING: When input port tx_transmit is 1 (asserted), module will - store the data on the input port tx_data and serialize through the output - port tx. - - Module will only save and transmit the data at tx_data if the signal - tx_ready is asserted when tx_transmit is asserted. This module will not - buffer input data. While transmitting, tx_ready is deasserted and the - input port tx_transmit will have no effect. - - Once tx_ready is deasserted, data at port tx_data is not used and need - not be stable. - */ - - ///////////////////////// - // Signal Declarations // - ///////////////////////// - - // ---------------------- // - // -- Local Parameters -- // - // ---------------------- // - - // Number of synchronization stages to avoid metastability - localparam SYNC_STAGES = 2; - - // Over Sampling Factor - localparam OSF = 16; - - // Compute count to generate local clock enable - localparam CLK_DIV_COUNT = CLK_FREQ / (OSF * BAUD); - - // ---------------------------- // - // -- Clock Dividing Counter -- // - // ---------------------------- // - - reg [15:0] count; - reg enable; // Local Clock Enable - - // -- RX Synchronizer -- - reg [SYNC_STAGES-1:0] rx_sync; - reg rx_internal; - - // ---------------- // - // -- RX Signals -- // - // ---------------- // - - // State Machine Assignments - localparam RX_WAIT = 0; - localparam RX_CHECK_START = 1; - localparam RX_RECEIVING = 2; - localparam RX_WAIT_FOR_STOP = 3; - - localparam RX_INITIAL_STATE = RX_WAIT; - reg [1:0] rx_state = RX_INITIAL_STATE; - - reg [4:0] rx_count; // Counts Over-sampling clock enables - reg [2:0] rx_sampleCount; // Counts number of bits received - - // These last two signals are used to make sure the "rx_valid" signal - // is only asserted for one clock cycle. - - reg rx_validInternal, rx_validLast; - - // -----------------// - // -- TX Signals -- // - // -----------------// - - // State Machine Assignments - localparam TX_WAIT = 0; - localparam TX_TRANSMITTING = 1; - - localparam TX_INITIAL_STATE = TX_WAIT; - reg tx_state = TX_INITIAL_STATE; - - reg [9:0] tx_dataBuffer; // Capture Register for transmitted data - reg [4:0] tx_count; // Counts over-sampling clock - reg [3:0] tx_sampleCount; // Number of Bits Sent - - ///////////////////// - // Implementations // - ///////////////////// - - // ---------------------------- // - // -- Misc Synchronous Logic -- // - // ---------------------------- // - - always @(posedge clk) begin - - // Clock Divider - if (reset) begin - count <= 0; - enable <= 0; - end else if (count == CLK_DIV_COUNT - 1) begin - count <= 0; - enable <= 1; - end else begin - count <= count + 1; - enable <= 0; - end - - // RX Synchronizer - if (enable) begin - {rx_sync,rx_internal} <= {rx, rx_sync}; - end - - // Pulse Shortener for rx_valid signal - rx_validLast <= rx_validInternal; - end - - // Pulse Shortner for rx_valid signal - assign rx_valid = rx_validInternal & ~rx_validLast; - - - // ---------------------- // - // -- RX State Machine -- // - // ---------------------- // - - always @(posedge clk) begin - if (reset) begin - rx_state <= RX_INITIAL_STATE; - rx_validInternal <= 0; - end else if (enable) begin - case (rx_state) - - // Wait for the start bit. (RX = 0) - - RX_WAIT: begin - rx_validInternal <= 0; - if (rx_internal == 0) begin - rx_state <= RX_CHECK_START; - rx_count <= 1; - end - end - - // Aligh with center of transmitted bit - - RX_CHECK_START: begin - - // Check if RX is still 0 - if (rx_count == (OSF >> 1) - 1 && rx_internal == 0) begin - rx_state <= RX_RECEIVING; - rx_count <= 0; - rx_sampleCount <= 0; - - // Faulty Start Bit - end else if (rx_count == (OSF >> 1) - 1 && rx_internal == 1) begin - rx_state <= RX_WAIT; - - // Default Option: Count local clocks - end else begin - rx_count <= rx_count + 1; - end - end - - // Sample in middle of received bit. Shift data into rx_data - RX_RECEIVING: begin - if (rx_count == OSF - 1) begin - rx_count <= 0; - rx_data <= {rx_internal, rx_data[7:1]}; - rx_sampleCount <= rx_sampleCount + 1; - - // Check if this is the last bit of data - if (rx_sampleCount == 7) begin - rx_state <= RX_WAIT_FOR_STOP; - end - end else begin - rx_count <= rx_count + 1; - end - end - - // Wait until stop bit is received - // Not the best logic in the world, but it works. - RX_WAIT_FOR_STOP: begin - if (rx_internal == 1'b1) begin - rx_state <= RX_WAIT; - rx_validInternal <= 1; - end - end - - // In case something goes horribly wrong. - default: begin - rx_state <= RX_INITIAL_STATE; - end - endcase - end - end - - // ---------------------- // - // -- TX State Machine -- // - // ---------------------- // - - always @(posedge clk) begin - if (reset) begin - tx_state <= TX_INITIAL_STATE; - tx <= 1; - end else begin - case (tx_state) - // Wait for start signal. - // Register transmitted data and deassert ready. - TX_WAIT: begin - tx <= 1; - if (tx_transmit) begin - tx_dataBuffer <= {1'b1, tx_data, 1'b0}; - tx_count <= 0; - tx_sampleCount <= 0; - tx_ready <= 0; - tx_state <= TX_TRANSMITTING; - end else begin - tx_ready <= 1; - end - end - - // Shift Out Data - TX_TRANSMITTING: begin - if (enable) begin - if (tx_count == OSF - 1) begin - tx_count <= 0; - tx_sampleCount <= tx_sampleCount + 1; - tx <= tx_dataBuffer[0]; - tx_dataBuffer <= {1'b1, tx_dataBuffer[9:1]}; - if (tx_sampleCount == 9) begin - tx_state <= TX_WAIT; - end - end else begin - tx_count <= tx_count + 1; - end - end - end - - default: begin - tx_state <= TX_WAIT; - end - endcase - end - end -endmodule \ No newline at end of file diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.lock b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.lock deleted file mode 100644 index e69de29..0000000 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log deleted file mode 100644 index 201f5be..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.log +++ /dev/null @@ -1,480 +0,0 @@ -!SESSION 2021-06-01 14:03:06.351 ----------------------------------------------- -eclipse.buildId=4.3.2.M20140221-1700 -java.version=1.8.0_05 -java.vendor=Oracle Corporation -BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US -Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini -Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini - -!ENTRY org.eclipse.ui 2 0 2021-06-01 14:04:23.380 -!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. -!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 14:04:23.380 -!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' - -!ENTRY org.eclipse.ui 2 0 2021-06-01 14:04:24.498 -!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. -!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 14:04:24.498 -!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' - -!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 14:06:17.329 -!MESSAGE Indexed 'D8M_Camera_Test' (6 sources, 6 headers) in 0.60 sec: 306 declarations; 1,011 references; 24 unresolved inclusions; 0 syntax errors; 201 unresolved names (13.24%) - -!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 14:07:50.514 -!MESSAGE Indexed 'D8M_Camera_Test_bsp' (83 sources, 154 headers) in 1.78 sec: 5,266 declarations; 8,569 references; 16 unresolved inclusions; 2 syntax errors; 51 unresolved names (0.37%) - -!ENTRY org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE Keybinding conflicts occurred. They may interfere with normal accelerator operation. -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for ALT+CTRL+I: -Binding(ALT+CTRL+I, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, - Open an include browser on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@24090832, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(ALT+CTRL+I, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, - Open an include browser on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@24090832, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for CTRL+SHIFT+T: -Binding(CTRL+SHIFT+T, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, - Open an element in an Editor, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@7e38d2a2, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+SHIFT+T, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, - Open an element in an Editor, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@7e38d2a2, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for F4: -Binding(F4, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, - Open a type hierarchy on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@79408109, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(F4, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, - Open a type hierarchy on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@79408109, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for ALT+SHIFT+R: -Binding(ALT+SHIFT+R, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , - Rename the selected element, - Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@33671907, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(ALT+SHIFT+R, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , - Rename the selected element, - Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@33671907, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for F3: -Binding(F3, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, - Open an editor on the selected element's declaration(s), - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@5fc3dfc1, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(F3, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, - Open an editor on the selected element's declaration(s), - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@5fc3dfc1, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for CTRL+SHIFT+G: -Binding(CTRL+SHIFT+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, - Search for references to the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@67d6bb59, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+SHIFT+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, - Search for references to the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@67d6bb59, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for CTRL+G: -Binding(CTRL+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, - Search for declarations of the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1a632663, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, - Search for declarations of the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1a632663, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for ALT+CTRL+H: -Binding(ALT+CTRL+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, - Open the call hierarchy for the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1147ab09, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(ALT+CTRL+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, - Open the call hierarchy for the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1147ab09, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546 -!MESSAGE A conflict occurred for CTRL+SHIFT+H: -Binding(CTRL+SHIFT+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, - Open a type in the type hierarchy view, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@503b5337, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+SHIFT+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, - Open a type in the type hierarchy view, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@503b5337, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:11:37.605 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:11:39.859 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:35:55.217 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:35:58.889 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] -!SESSION 2021-06-01 15:25:18.150 ----------------------------------------------- -eclipse.buildId=4.3.2.M20140221-1700 -java.version=1.8.0_05 -java.vendor=Oracle Corporation -BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US -Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini -Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini - -!ENTRY org.eclipse.core.resources 2 10035 2021-06-01 15:25:34.463 -!MESSAGE The workspace exited with unsaved changes in the previous session; refreshing workspace to recover changes. - -!ENTRY org.eclipse.ui 2 0 2021-06-01 15:25:35.756 -!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. -!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:25:35.756 -!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' - -!ENTRY org.eclipse.ui 2 0 2021-06-01 15:25:36.679 -!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. -!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:25:36.679 -!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:01.828 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:03.222 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:04.718 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:06.290 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:06.295 -!MESSAGE Downloading ELF Process failed - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:48.922 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:50.294 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:51.067 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:52.474 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:52.478 -!MESSAGE Downloading ELF Process failed - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:31:19.409 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:20.799 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:31:21.477 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:22.936 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:22.940 -!MESSAGE Downloading ELF Process failed - -!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 15:39:40.412 -!MESSAGE Indexed 'D8M_Camera_Test' (6 sources, 68 headers) in 0.40 sec: 2,546 declarations; 4,517 references; 0 unresolved inclusions; 0 syntax errors; 0 unresolved names (0.00%) - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:41:17.879 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:19.297 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:41:19.587 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:21.001 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:21.005 -!MESSAGE Downloading ELF Process failed -!SESSION 2021-06-01 15:51:10.061 ----------------------------------------------- -eclipse.buildId=4.3.2.M20140221-1700 -java.version=1.8.0_05 -java.vendor=Oracle Corporation -BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US -Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini -Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini - -!ENTRY org.eclipse.ui 2 0 2021-06-01 15:51:21.549 -!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. -!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:51:21.549 -!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' - -!ENTRY org.eclipse.ui 2 0 2021-06-01 15:51:22.916 -!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points. -!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:51:22.916 -!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file' - -!ENTRY org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE Keybinding conflicts occurred. They may interfere with normal accelerator operation. -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for F3: -Binding(F3, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, - Open an editor on the selected element's declaration(s), - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@612bb755, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(F3, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration, - Open an editor on the selected element's declaration(s), - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@612bb755, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for ALT+CTRL+I: -Binding(ALT+CTRL+I, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, - Open an include browser on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@6ba226cd, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(ALT+CTRL+I, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser, - Open an include browser on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@6ba226cd, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for F4: -Binding(F4, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, - Open a type hierarchy on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@62e99458, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(F4, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy, - Open a type hierarchy on the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@62e99458, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for ALT+SHIFT+R: -Binding(ALT+SHIFT+R, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , - Rename the selected element, - Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1eddca25, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(ALT+SHIFT+R, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring , - Rename the selected element, - Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1eddca25, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for CTRL+SHIFT+G: -Binding(CTRL+SHIFT+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, - Search for references to the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@22f057b4, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+SHIFT+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References, - Search for references to the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@22f057b4, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for CTRL+G: -Binding(CTRL+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, - Search for declarations of the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@581e8969, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+G, - ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration, - Search for declarations of the selected element in the workspace, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@581e8969, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for ALT+CTRL+H: -Binding(ALT+CTRL+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, - Open the call hierarchy for the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@767b9d66, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(ALT+CTRL+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy, - Open the call hierarchy for the selected element, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@767b9d66, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for CTRL+SHIFT+H: -Binding(CTRL+SHIFT+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, - Open a type in the type hierarchy view, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@687fd6e, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+SHIFT+H, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy, - Open a type in the type hierarchy view, - Category(org.eclipse.ui.category.navigate,Navigate,null,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@687fd6e, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) -!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182 -!MESSAGE A conflict occurred for CTRL+SHIFT+T: -Binding(CTRL+SHIFT+T, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, - Open an element in an Editor, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@266a47fd, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cEditorScope,,,system) -Binding(CTRL+SHIFT+T, - ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element, - Open an element in an Editor, - Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true), - org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@266a47fd, - ,,true),null), - org.eclipse.ui.defaultAcceleratorConfiguration, - org.eclipse.cdt.ui.cViewScope,,,system) - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:53:32.747 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:34.132 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8 - -!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:53:34.450 -!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:36.047 -!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8 - -!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:36.052 -!MESSAGE Downloading ELF Process failed diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.mylyn/repositories.xml.zip b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.mylyn/repositories.xml.zip deleted file mode 100644 index ac170803a6ca9dae94210cbb60f422d3d7bde7ec..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 438 zcmWIWW@Zs#;Nak3*jsQghye)*0NF*U1^LC9CHX~}sl|E~xjAn`e7%_s1^#L)mT&G- zQ1az=vgPawdw==vODU__EoYXL{eFG-mVTya)ZQv-wVBh+-`if2J|i7u?DM8+`>#WD zvRn7@O#Qs|s{Z2Lo3m|Se0Mu=S-q!mZp)JiSDmNLcv@<`{QJ8tS+8nRzgk@9c4vut z>JqlU(`BD#*SfQ8-bE8HwuIE1ed#;Cbf?vmg-1+xaLBEddnTeJw%tQG;o_?18;4AF zx8CzO-X_!S8J{tG#-Tl?GdCXMOc7eGnrc%wFR`uvz#qAw(pPVa%1?ayras{+(|tvO zo{hm3JA}VhgnThjd$T~zp@vENpqdazp2d{d*z#x5wH+HQjkQ-NvN651JT7tRSlgp^ zfk2JMYn)NncOUup{7Jaw&iI!VQ<6`sSG@Gw_@DoaJwt#uJBOanF+&wb1_lQf1|Xl2 iNrV9r#>jG@Fh&LFAs*n($_7%w2!wV(Itgeh0|Nj9_Nt5k diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log deleted file mode 100644 index 18cc56b..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/.log +++ /dev/null @@ -1,2 +0,0 @@ -*** SESSION Jun 01, 2021 15:25:39.63 ------------------------------------------- -*** SESSION Jun 01, 2021 15:51:26.00 ------------------------------------------- diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.1622552776633.pdom b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.1622552776633.pdom deleted file mode 100644 index 70e1021892fe37c9a59470858c776d74ff5c55b2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 540672 zcmeFa34B$>+4z5e>>Ei)AcQQJ-~!4HBDfMlfK(t90z%bZBLqlI0wzJhT1D&n)>>?B z)v8sysIA&sYg=pWt5y5fwpz7Xm#Q_^y>&rFMMU%eKF`dYGxz2aXshq<^ZCD>`#JY4 z&oj?9GtVq%&P?!~AP5FzT+eYGSO2%efXv(fZyVr0+W*G_|FOV-Ebt!-{NJ&_!2N?@ z%H~Mlfkn~vf3E&xf&W>^W#<#3&YhB;fGJfT% z?(wZ1-7TFR&28gXuIp^!ZdJ?1@vA$V*R;2E09=J3dhaCO{mJnZef_F+?c>*XuKQfe z%I@*O?CA^Qb@8gmD11Ewv+1$^2F$n(gn;^)4*^Ad(7b5ox z9smB;5ESH|51r#U4&@lYuuaovPVE`ZdDFdVgI_!JwAE!lJ$KO6S6_e2787UShv0q6 z^0@@E-#VmzdDCI5TiaR=ny{g(b3)s?mCbDvn%hY1<_VLgjh{H7qjg=^ItnKE88}#YMIc{a#CCCapTvD zUHs7dGRd5d9JDeAHgbsk$kqEY@@$L87uFv+zhPE zBr{>o8k?P@%fDl=jxyGInm>DI@jk#d)NKHum1 zCmx?80_`vLn;(7{#p!(&hNgP-i!W(fTu*FYsVuSX4l6X7dO-|Y%+9I zujf2IuXFvbfysZ?<9lGox1%^VKQ3K3Yv~+oLQ&7y4<8%m`wt=>a+N)07x}Tri(}JX z@CQ^|xi$yEqn{3fYW(g<^j&pM5PZHq2+q1c2nucqf)R%WL97t^-^MT32EmOhgW!V^ zL6CcqQ2F;mFZtVr?5_pEpldkFk(q}H_1x=Y%U=1~+rK^TvsYh#oAqOZegZD_poHEn zl&^1ma`jw$^UAfY9W4`DTUuIHuOGj5=e*wDoeMMKwF@TCIAXwnw0PZ=je45ODIiWK$co2j&7o9qnG=uKEuJ*3Zjsz%+Z&4`fbgA*?x1Wm6Gm^e|ci{kNy`uh6#tcJPq z`h~L_Y8MU|P-36WZ)j?oUmre3iH1d!kFWwPm@-*a%ZpPVP{TFUDWrhghPuU#v*y=+ zre08yVnM^ACH1rXoB58&f(9q*f+dTlPEnB%Z(&2@lF8r%#BN+X>4=51Z3MZIOU$xp zQJsCbc>aOYLu*WYJQ21g)lqy6H+cpX&unrc9n#H%*y>b>y@IEY@eHPnl{@gc39s7a3hi6gx2|8?+_6b+G12NyqXpQjJ5RI^*REgRY%g1< z(6Tb9tuv;nV8m)|HayU`^@Pb2P1^9ht$m70!X;PATrQ_gKi<-4pKdM@wSDC@lu(L@ zC3kCAPEwtsTO}6VZWJoms9l=ya*fpOE$ih<2zE@Gh%DY68O_C5x3N`YVD$EG`>aV+ajk3OEgh>`n>z#r(bsiOQqhUlwbn-KT5s)&B;9K_v>zwWK{ye0?8O0G zU>nma6|mf_oHT9YeE-JfbcG0jB;VN8y{@x;lPOq!J8BRa&qnsWlbo6NISr@_y(JCchYau^1A2} z?%;O!}#(3xeC|cieVv5M1GG*AKUWi|JP&to|7Kie9viME?v^N9rT*P)i__b^|hbA zR=<~iY3aS=c`HLMfq$A1C8UwUAs?;>y+=7{Gq9|$+xEJZFY;{$9>$|hFo^z~3)w|| zFRXXH9>u4f9=p?0S3)0|b zU)le1n7XlmFQu7*5$LI6DZYUSa5e z-2b6H7NQ4bTV#}wUzj3&jxaFVx+Y(f55ua+fFiprhu%Esfh!?@ZA*dto!=Vqc$|KkRIhA?P%zMD?*Q_#aNO31nddg7s((i zZFWX_eG@ugf)2V#C|H_8uZs;V>$}hqzeN60`$#L-fFgR|fgXJm!Ie*a*d*KX@FLG3HkT(l2r0++(!k6%Qjr6U zI^ELIewjQC>Cpa3dolDdfR65~h<+l@5N|G~zuvZ$amI(&q((Tlho@Ke^Sw z(!dSrXb-@nL!FXFz3)O%K?*wWTRPx6I;NWt(MeB(jyDcbRM(eI`anZRa2*}fsq^UM zL#NkC69h#YQqak@bih?QJL7dzH=1Y8&gnygAU(^Yb3*`E@V)j-dWnGxuA?(0nNAEk zwhb=WMZZcxhx%JWdQ9l!FWye*_bDuti@H3$Tp#IQaYld^NpkIL;OXG1T=-|(rwsE^ z`ef+rW$@&`_~gF+B7LHz1Fq7+KWopJE=(xT!O$7!(YdK_IZvkyOGpPdfet4ockWyAJ{ql7>>gYp8O9fPm%t%{uf+FXSR+`aKD-S zgFlwKLh3K^^+S&3sN?u_5R|@ zGCzeLs|-BrPOAs)LmeOBaY$z!bm$*sbsO9v*Ys^4rng%43@ja7mp*3Hz|)I#Nk7HlDVFJ0=GCk_z^sk`j76T}` zF$KNL4J;j8)sy;9MiAs_XfNvG^h*t%{Fn6h_4n!DGw`f8eL4pu(zyvb-$ovAB$Q50 zLFb1CmUWM%L-{fTc%D4JgUD@iOYcunE*>ELR5>-YvkWIPV(P#>q$j&NaERSLPDGthK! z9UUEV<#9;ob?EdsD%kTYDd@av>459XnHdc9=)4CV^1BPe?oQ!{tPRt_RXUV=J3bf6 zlTipA+7T`cdnZM?|HwcESLsk5WTZ}>s$V`DUn_nQIvH1RT*EbWhSWb<(bc_-+J;)1x_92!tjB8(>a57 zfP^gSJEenO`nrH;p(4v=eml#jz4|ua{55OBK6>RP(2(9wp@&};TnQC_4hrP#_j@vIotzEC>E~XCg>?QKI{06~kx;oP zg?_giSO&N*z0I33PoCdG=NAS~>D-*c-oLSQz*Rcb8}eFBo`&)~2%WnOp8QuWPT{xz zXy94bMe>*zoF1Jgpz{ap1CE61xhdp%%)qip8gpz{cHz>!dWbPB)S zqo5!QJ32eNH?bj|e?aGH=zt@k`h*mAe#OAf8XL)D-&aQRybGNdp|jE8R{uGLJZ~9T z)+u5S^3kiQUYZ%4P(CTIZ$Otg5~^PcO5_~EKf*uV$UCjmIFqkp%M#xk39gJQ%R4W5 z#}r#{6F(`DyV*=J^f~J?bW-3w%h!z^A9J7VkFpcZWKrDxHX)=x1eER<6^Cs#E!Hd zLOW9TXC4`n3wQ@qD0ga~UCcMjY%+1mx7hSz+-B~=rFHQ|i|ZHHV?2p3e7F=onbg^t zM{~3*NdMA)ZTktgQl9P^J=#);#|}9pHfh?J*#0s5#7Z7J?9kZg(e2Hj8xvuSZg1@v zGse(VdA9=}%R?#e-gs5!=L~P!3NC;7@~XpBdDnm^@}9`y)!D)!{f9PxnR#!1e54v1 zYi~7zs2n?Z(%y;`@awTO&)`h{bzxAUrRU3uFOaa~ZYw9_=PTE@ZRnE2fFgQlLl57Q z`GNrqnrZvlJ(k~BIAj0Z%?8f9gnYS5u*;VX?5r}LOTMDttLaD$63YF3==>LSY}%z= z)YtE4TK`ghiItmrR_TPfj^~@PAqDvWd_n0d$`*OB>|~DBL9o{|MvhPNKh)=D^!Xb4 zoNKtHUDvms&$M;QHaxc4g?g;ByQRI$)?=DSehHl$@e3J-4sCxthUg!J^Jz0}{XLz2 ztJ0wzvwXo3CcpI%U-H^L96w{s8b2=~@4>$9n9REj>}_yeI(41cIaSMZkEL@z=R0T@ zVp9qE6H}xU^|yo_$gXr~@7JxE%UHV^k5qZ6!!z$iFMNrF{2Th#tC`f_5=NlA_1B9U zmoPu5F21C3>EgP2V4=OAh2BH7!(Y`XLDj+(`Tnm4cG)CL@9p^V#zjnD4XJtb$nTj? zLT{U;*Jkr|56`iu1SuD}D>zf;VkNd*+-hJlcP$scm6ZjYdcDs)?bk0p^||47xBc{U zS6~0L!%Unk@+{~YwBG#YCtGi>T-UL>b&b@T=)KkOb^m_;%1SqSh@HxRS zxl=oQ$afkRlwhx8Oq`X_QhqP{{Fqp~q~SC5mZ92@HdvS+S4X_$syrT)$+-`|9ur6A z%}6;2Lzd0=4!)ke{T{mC$XJ^oUFp@QlpT4GkoG0c=W^Zx{4MM6UHpyIZ|I+OK4)Yb zkY)Y2gX5E=pRtO(m+=qa4<*IlZ2AjO4l>>azSEX37ynG?(vFdkz7D_jt)%$KCGQs$ zjw}OjNrEGn$S*zXP-~+!KJm#+iIR zs30l+e-+O+DL6GvcN$|&cPWmf+Ht^mg{?7uR zXW{s-ELSgk?WHW+4$K1A`EfIG+qO@n9KOKul%XU4Su2w41dhC$lsN!tg8$_tImn-q zk2UTV=0yH9DWANF?W`U$mYehUBs;%D{BN81>7-}wG&`QsW9|4paPo@014{oLaQA-2 z!m|fL{~8lNgM5(p`@ZeZYy%Di*VQBKeES!+_s~n)oig_CLjLANJ>`9jwoi}XOq-WE zX-d}R7a5F@yYA;QF6EM$haKz*{wXkkP^XkXtQ(FFmt| z8@S-Q^we3yyciATsD>ByzY9hCB&KKf7}Bwl^n8qS4dbA9+Vt@G>|^;*KWaOOeiIE0 zss6RCEzpqP6!_5|$Oc!!kcl?^eR>lOY;u#O$GkTEV9O&I(mN1Z_;kUQFyse`VFr8{Vd6jYq8T*2Zi5< zN#y~bl{GU6ZrEmU%JxlyuLnM#bhKr2_&&D%(qrvRzbXs_wKhHkh(gRoNyUXjxWh~f_!qy=Op#B<09#j`lcoF6<A<4J4t7_qWC&%@)M+PK)hxBUB@2)%k2ba`sz54ne9AG%)lD^ru zn(JQq-uB8*t{)d~?&xZz3)f%&E&EqSevwP{-4?H1xP&j)>gLmVvvNKT&TSmuHuu@T z;rc#vE&l_HZ8_K)J(DZHot^!A6DODQX8o{!N4cbFcAd1Vvw!Q+r>~yb1=hZKVdd#YytDhEAf@mH>?%UwwO`5x%;oQd-qk*pr%T)w`8i_-7$ z=>MH->T(y-?%M-B-Y{`aCXd*9cVQzAuJK6j#ZIq!^xvgzKwftt?HTJ&`m@sqCeE3& zE&ZMGMNQVXYWnXuab4@G*nW%A;2$BIn*;Qj_UV*d|_kl z;<-z}R{E1X`UitMDa-P)vh7Hrzx~8@ zZOz>*SAbkzJ(IIMiev4wq+#yDddUJR|5A@WelBOVNB>06K0CTv*L1X~g%arV#Klqi zAH-)jHi`8uecC$C&*U5rZl_280$J?9>3I zsq=GAQ;`0pf5)T0a~J7*iivYFWkAdQTU5exmM$b0S#M+cY%+XusDE?Loy$!k4E<21o!cEhQc7xb6hk8Ee z>l{~lay;whw|65s_K5El5iOff7%r<_yuk7OrsaDrc;DstQN%Yh+w}v`|Ezdx&x3zY4kCo?R6Vm&>h?cQaT=_=19D8gw z{BwTCnevo75?l0ejZJcFJ2H~y`i{0vc#I;c zJwH%8n0wzpy&BO{ym#YuwM&{*edYU#;VThysFQL&P!jr=dADmvz<0-JDd5U5!M@u~ zoLu#b;IXcQtoLD;tWY}2hkD%ksa&4sW_f(xvh5))zcTI}TeWV(apGz<`22F|w@KU` z{Wztlc7dyp3T3 z?_JgbXsrh$nyncSe>{`|Xq2E8hVPQRTi5!w=(OW_;RC1}eT^M|_9sd>kzR}f9 zHMWvh&aE9RqJu06^;z!ZD6ZP~J+hi*q^Dte%c9jb7^OI;-bl9{+}jw_FY0?&-NTlsjfLCVr1iE2)$5H!ckL z(B;4AeP*tyVuSK~ZMyTmSo#odTuRe?1u`#U-lCOb(2%H~t?*-Ln^Mo@)25Jj*Mk;C z;}r_W*GPZFg|zovez9^=0AxI4Iymx!16Ha>N?l!qy{&6ibf z^S^_i0R8_0N6ue?mN!nI@*%sz&jbfr1yfu-;Mr-T;hrn?spNC!3+elCHI~UZ{`iK{ z-3+}ACZ7BkG(_Y73WuM(&(EiJ$UK{L{eCoFr|@%)T>11TM0Vhm(kOl9THbr-FXR0E zM7XR~qBOkr1zHWEXoMjz;1ytAw)DsIjzeDRg%MIte#$|;-lsqQR`&H@ zKj5f&6AG@r{wCTr67oLO|G=Afv7U|3=Pywl z<@37X!%7b!Bh~LQkI&z@{(Hn{&_}yp2P^lbD2~sEl`azbJn!*&gX{MqJ{b*rz-L<& z$LGVsAc=h5^!V%~ZHGmCviI2qA4xBN-qmK_-Cl;DBdtoQ&*UATen<2aw8dWN@<|3i zf94H|bkOqfib=bU-s$yxy912 zi0J2yChnw&{@@qA_rbnBeSYJjIDPr~xyJI_&*Mk^k#~5+ulS-p;CFBor!PN0_gH?@ zJ$|!^i*InD4piA$h+qQ$Ye z=I->`fm}Gckd+Lp?JKDh^1f)}P&eB!=%i%2r&}D0Ywk|3&EZ1nGOtDC6~dvs7uYzb zm^1%_ejMZ^%6q=WvAE{$^x6Sjc=AeykL117#yQ8F`A@$qS>B5+j>R>1r`Kk4;ma$8 zLwUbzPyEY~1H8b%xJhNtU1bUP7M5HFq|j_mST)aPsF5GT3>vGi)e6AW8mw3vjsR z*5>zQ`8C%^^5;)5*m)mVB0*4+lO%sWeqMsZHFq}O_mST)=-nIyC;T2i|19r@i7@5w z9Qg9F)g1W76|WmApVYtbzx;PW@LlTktL6v6x6cfMZ+(jM1JoxlU0V|bo2h%I^X$OL zmxSWvEe0<$2p(u8K0fz5=LNxiw+F%F4NUE#o@ymsF6;?{&)gLR>%D%jBM_$FLFP$5 zv}`C@mYjamEsn)Ccc+Vc4gCt)dwq9j1IZj`Vk2D6FuFKubyVc^T zzp3zLroUPCC~(pSc!^L8>3_!bH;0pcGS|8fd=+r&tb%KRGiEU01vj1-^`rBfg(9-7 zg|6t!`*h}YOxI4A?Lde8z>)Qq1Xseq{3Llh4P0RP@E$m-@B8-rbpi#B&dLwJy)=no z$Pb+SHiIj12F`Nh@#rJ=+ic(h%ZK;C6@BOV2Rj^~OtLfzhWxu?^c^s6nk;9m?P5F$y$U%J+dzWX(J@PV+R*p@q zH-RrIeq1>J`ytd98Fk$*&JxluPqOdt4P0Q%i4W3$lES_}7bx&QCfe6mSp3?-=yz~B zb5!t0!yaP&I`X;9O^w~cH=WeeznY1D-(t*Vs8IWOL#Qt@=EF~9m5}~OQu=N;aDnBc zd-|&>(wDISh3`yAUn-Eq^eq_6J8IHV=1IvML|OkWlQb_y$K8drsraC5WVoEPAI}^q zBBcE{cDk6kN_*`tHgavI9ym4#et@l~5&v%Ne9M(Va1(8*!|*$=e}}TU1=-N|+|P1N zxt8zpitjVD2R#u4FCRdfAnOmvclZ1?2yR~!1V5P^1ixP&3<#)Owg5k1zaaS1YrL07 z&iu!bm3l`)Xm4z!Yr=7skhLi>e-)sZz$GvjPu9;UkZZsHU0~Z11v%E#=tJw1Eq(02v0Sn15HWK%l^vrqI`STHu6y!7ccd zi6e1~ex9W7u?8-%0%Rz9B!#|53l#XORQe_|4*7yxKzWro5{d^T=}X-$a0vy+0~3#1qTIPDfIOV5ojS_a0}LQaF#IS@g#juHE@9yAj6P%Qs`^z<$^O(>6^$n(lb-|i;D#c{9BeE{W{6VKEse7I0Y9OT!~Y9t$k0`WApE~4P0Oa@GiYG34RTD zw+qySa9pd$#FwYizAUExkatZ(Uya@~$#-JXMl1NCi92E%XMEC~-n>MC`=Q|GV8DGr z)*u}x*xuIK-r8-xYrd7b=m_}CBakZy(vXcguIb(^-7=^$%E| zT-XHeeTIv^|Jd?zz5igVj|pp5uJrZ+IY2h32zLYN>R!bFKhqO~4@dX*emE&hCmu5C zaKL~9bIGeJUU9_d$->#QmN3aqKg9uF;@^V8+mP8j&W+Dyy99$Jt2sBw;@L8rQHd5Z z1J=Aa2_NOk+_%{cbLPaGh@PoYqpNt6tU(Do%hxZ=Eq#<6Y)S+@sa373SI4_WZEeJKwVvD}xB2Gwq?K!%>BWh_%)rGa zBBr^5>frct-HattJd5Sz>l>NPtP7BfAs5y+G5uW^yq?XDJ?lE=v~806+<1IWXG@Eq za914N9nVfbEp74;V!TG2E0-*)y|AU*BJ(^lyuH*8MBWA_p~?)Z7`;TISbd3~r*Cf6 zGD42U#Fs5lDOnXh9w+UTw15WNF?eH$_*#`T{3==RI$By*#TPD}KYu__$Qy0B^9;$# z-ulQ|GJu2Lho*E0%=G28oKoy+Ibj1^8Cj)W8lZud0=eMC8Ut~1vcAa^!7>~oMapkC z4c^a`%d_9%xx8Ot9Mut$_fCWNr5xU3%HiNi+)p>x@;}^thqN_%CRYjc@gEYWXj4Sr znOf;j@#r54F6G{Z;XicqN!$~2PM|-_#3`b`sPeyWQxa5Z-;Q`1-h0#bg!Vr8&3!)4 zaW2Q#6KQ@DcdFmvo_^H9gKfWE_zi#5%`Zvho}k}nO`M|B#je`Vm(N(O3Fm1<<#UwB zXEkx!BbviswEg-1(uw+C;uM`_?YP|)UG60xNImcJK_~a5rwhY(@{{N3a6`OzNO55FaGioS38&_2}{CDu8wg`Dd17mv>i#Cgr* z^Y|Xv@9!o~(T$eRJ6>bOl2Se|d3@d?&ifvpt+xHxpWWUwaf*HnAE{@IpKg+*QTp3F z`XAA5ERE=oNZUpE-^{m!KVki#SHW-OSk4-fH*tKGdGi-V@jt06-%l3~F)|mC@2!8Z z^{COWurSiEupHc)NUDmw-TQ~aYW8J#Hwezg?zSHAn+{4$>?mtuJ3k~33u$vps941M zR*&=@*Da*}KMR`YjIna>l*WpQqx8J`U!E4y25{$zm)P$Cgs+EJNS$AJxZzz1tx07T zPsim)>%`F5eq$!WQbU3r4`ED+r{^4C^<*4BY(qJG;Wr~uPYVhvSHSPbHa~jry9*l( zhV)1NeuBfc?+YY9GM`>rvjXYuNu*sk+u;Wl-wQGZCdj*(Hpacki#(d{?}bh2kWS$- z$V>h#YB6-ua_qcEZ+xYY`g_C!r0)}!&buKU{T@`(v~Uf_a_Agt>5R1PBcBdq03#lS z4tCId@>XJ>MPfSr3b8{W?UBkiExj3i$JGiu4D4)lu=P?Tm=s<@NRPHvA?=9Dcg%CX zna)$VFW*M;+Z)&`JCrY>$(um$H0W*MuytJ8DOSE7lRky#0VBT`{>bvXI7R+G8(!4+ zXTM_k(eF-dg?sYTcF=X@@hKAc?ut_D!LJ2QIv4(2o&tZQ?|Yd-{LZMi;hAsw@m|I> z>9qx~@p?BiR*Ma8{2k{P>3_pzDCK*v4>{w9_xdw7nhNYw9JL(N8N-l5@iB!ky|8QH zg&e;$gjv%asXcwMtJuFt@-Jzd{)Z&{V<)kH5oM~7v`T*|DL!@*dlre!NUMzOBzwYF z>{&dTGq%szHyVe~{QeuBBVRH0Da7^}b5o=*^}mF(p>ONsq`r@*&jZl813$QziIeeX zE03Q)?=!H+XotA`E)5FPH8rr%eosJ;G^|2?37M~?$nTUz36K5W(&N3E--#4hNbfo5 zJq*3E@|1DsK`F{(kAi~7psVuXAH%+}0Y&s)h2GN~TMZy9mLk9Y-N4Si6neJ*=X%ef zT*Q~X6{^US60#OX^NKZ{-Zy-Te!&?XvVN0-5B0xh^dY*2b4soLTa$-RJbL8jLdsNenWeXX-}ElZvGj&pdT%C;Qvh~$C>lmy&V(L$ zUP8{izTTUVcr@37_9 z8>=#WLwWF9MflgMW6g7XU7lQpaN#5V?F7z0;@Fbt--=f7Oyr>sRoSmkAXq?;P4uDby9p}6MGd)d~7@H)+G5i z(FfQU`nJ9q_CTT^kujXXQ;hENKkT(6`02n8kKkpc(f0|e-&w$q^5CCN;(s3SwH|zp z^)EeE|1SgY_TU?n=wAqYvj;ynDgM`ipYOphO@dzv{1OlDt%srVUjh7k9{i3Z`(F+G zW)JTAcRf~q;tr;MbD?Z&^gc%Ee;@e$4$Ambc@q5_fzzLKVR&6q`TQ|(>H!yqA7%YP zkLCYU;M*J&`s(5> zVR=AT_ErhQhy^x3`1J|(vV< zKUx~jGza&+u`0}tH++*bt ze=2@$FW~LWH8~>DuW9=e-&XlP@MC}wTtfQ9G`sZFTep6UkTd4pU#k!oI(8 z`QpzRJw!h1XLOlNMrrwUE3U%JI8gB#j)g{-;!dtNnQQsabnA>--{2trI7#tIQ5^Lf zAF%(+f+j^(`rU?p@n+7aa$M}FK>tzuU55D6o$|@Hff+jb3Adr+`KjWwA{xd|QBT-~ z5PIPu>q?bAKwtZ9+BxTO{FOd7I+iqWO+GCd&KVyz1e_t?;C?yhpF}eKJ6Edj8Ae~B zQ+zpxM?3Qk@B8%+Qm?~MOk2RkQTv(bTZH9g^^>^_-9A16OciY)Y z|3UK06Raz|gb%P6&W(*`%Eb~ESe;)xtA4&L$UR5)?H&_bDWAftJTeZbcX&i857B(v zV)B9G;q2|^7OTGZ8F?gL@uM8%Cl|8ZekYQDy>)C7=sy|7(Q?RmzkJyqH($2vz@+k# zvgpd;b6k^0UC8>9trv8hwg2zpi^Z4PxNaU<^!;^vb6eXwvk4Kzl`rE|A>X&S_Uc>a z&rzColb-KIaa2FrSrNbWEQQayccR-ik54aUPE*oonDs~ZU7)#l|GpiEq(yOh<-79d zeLkz%M7z107vr6)+t+o3-xPvrI6i3rb=F@jL4kn(Y*O2`@J_m4rNJKNc%hvP# z)C)rnisGmq%s-%9o!H#Ap+)H*=+UnQ7ys-+_NBIe*dP5lCQjK4l0Mjza<#U(E8eoP zyM+lL>pCE&eCj+tk`In_To~sm@yZqb4T*2}Z&0L!bZIhi%P3#UFBQJn?bC+ekk4{` zG{-*Vf2ll1S65>D;2i|>_(tTZ&rC}%$3<2JOmb+?L^R?lNC-*)hi=b&BdLe9Pa z0$dIMXucSDaW3lA98*aNBJ;bE9(=?Z#be}Dj35#T=OXT@?_9|HitQh0 z`b}|<6Y7&8mqu~aPd(%DSy0+?H&g1NlA8i2_$JJ{dza{l`O%08dZwbop*B-z7 ziTjv=$$$PdSFcGq+=YIBisEQG_IUc$HLkNAQhBj0*0u-<5g(r=%jn>Bqjc^Ba~l z34Q6OIQk`fb3ehO|I!}Vm-^eqaqT53FY#ryap8gcS+I!ZbY?ZoZ)lRT5I*V_EtkAE)N z7{zh^Stds>T_k%oED6`B5}K+XBObXx={G!?)`Jg*(T2LrO*?9@ptxh@%YKC zf3qr<<@ZI8A8m&c>Pr_2cLYP_y5DrXD2k)}UQW$V`X0TT8H1p|Qt~cmnt4O1OUfuq zGFB$*>1RB`@dpmtSm`~iulzhPuRau6{+*!qz0lM5Mr8R}M3HxiWpdZAzBfm4QrnkB z`*am7Yu_Jv{C-JX>T4H@4&MX&-WkPFezYh2^gOb5@j|U+A*%YxID)NbOMXXOZ0thO zvAb_il>9M@qx>izK0m4IW;fKumoHy#_>o>AKiU!{)YC37hZk?X8+$$##Zf;%d&1{8 zdj&%v@T*(tFfZFk8L%(Dj zcyDohV1b-Uz8(ycJB_zPm(s*D*!%a*y>yWi{LcFk4UH%JAvP|ot8JQO>`0jqJC+XQ zAZ}^4P&9#b)H}m(V{Fu@*d~GK{mzYJHwiPj_xx*VW<*2rc%NYSfrtN=a;W@@xGvz( zoNwrqyyV`4;6LaOSUE?-X^ip_f43{XcnqO+Ci&j+HN#Ps?-0wk8a!HGrTZA3@?RRx z3xxhhqc%E-(%D5nuyij&YgZjVlCL0S*lhGh zRT8v*`A&&wDIVjIZiQX7FaFJyi&FahrCvQ=dY&5(M9*EU%UgPQ6vx@ot$-_jRNfoQ zyXAu~W$x!goa;H`Ll6EQ2X)9N8B{;oKcRlhkoA~|W9jc~{*ipLi*{!>?!d{jPrq9XI*~IX0a{PuhJ+{0x~;OX&IjlR;}M} z?6{$4bH92TGP~0ArihmE#lJMSnWd=JzO*5PZ|UtEKj*mH<9mViFJj+k`{-%O#N&7z z%}GjsSAOo-5p5?&SIf$78PQWY{@coNKX|m6N@+{EPsS~1 zVe!vzDO&efLF!niHC{sgcp>8D#^vm&ep~DDbQB0`r@wjmgf>IzdrmsuV?SZXdQE9bK6PH zd^~DQho8?Zv9%Zv&`{z<#8yZ z9z&-_e8(MV{kQP_C)dFpS`*Q7`LAPLN5_V?Htk;&chjf1fd0cR;GM#8JoBpXg+t$D z-UV%|$`2XOIWUsto-Rx^oR-hZgE7*u5o=rdVjMfEy}#_)`(kjv9q~Uf!`8dv?;q>qUruc8YCW#Ct+ktPo=4XhqBT+z zCgiuPBAPC}vDiBH;9aHsukiR^3+~Mx|5}^g!vE3T@VE7j2#~;^`rpxX{MW5s-PO{) zZng5a_5V=%_Cu-vhkEt@fh@i#(wKg`i#1xlQ63vx-P+P-mWxMUroIyXe<###soY4IpV4M@E(u`Yj-m%2X`W)1hvT^54_1{7QN^;+yiar}FO=$>Qv94TeRGgp%)ujkNMl<{Co`JIG1T9%Zf8 z{^BPd-hSA1wJY0FO(=ft}p>j>Na#5cRJDg*&C)bZ` zc@VqawJW(aUxYI}`pX#VhxXL2)Zb1Pm7jW0m~^+cw>Sk<{`pq^W5GX?gYk724vX1( zP~`vhZsix!{br4SN`BJb$>Qv9%H>2SzRB0LCA3`9P8hb1O*=YhYOy8pk=g;5fW~_^4JVQeM{YoT*%FTC#C$%&mA4zTe z`LOe?+*g5rF~@bD+^^bsy)ON`+NGu+FLZcUHA=bTta0&3X@RWv0n7qpHDd))=tHL)5llJ%nzcVg?h4PCsPQ+>9JJ|L3> z3HHAul1=rYUIA0z88&wtnYH}gZuR*i_`l_N%+u#d>(`{-|C6WBruGwCI>oT9?d#hj z8^>y9@(fV8j}7tO6!`>itO6vbB*^(l#8c&@-WNG-b4rC#IUlleJ_r6&9RG;qoHg0{ zIg#_BJ(4p)Q02QjIbSh6%kQ)Kh<5B8K6I3=yi~pyt$c5TM|mH%Ba&~<#kL(Q?XR zxyq!i66c^U_#}haUwBEmEQ5bpjAOrux`=1Am-gnIPPr++ zig_dWmX9l_-V^{$Z|dK&&vLXy)aO3njT1gTT02Z*sK~`I34-iBf9$ z*p+-28eTiDwDK|DxTtXn6Uvu0ENNi3EyPgyE->wo$}c+dE&(B z6B!{BdeOY|vTvC<+m>5C)F;bXIWFSD^Ucg_`aSD*R&d}a@}_eXGQNlJkni~_3z&oQ zuu%SFg6eshr{~X*8K3FGifmh-NqT>H;KYd*kf7%;O`IJ+iS(53?i2LHXO-RR@wuD( zKR7O|yY9Yw6F$3`H&=FV6vxz)%m7)^7-zy$V!Hjt<3qjVhZTo<>9%w7#7UD3w718^ zk#)c2dl?rpms$NC{8=;itgM$ghKs3V%A8;I(*0=@y9``yU$f5Ztj49f8TBGISZk_Z zyd<2z?&!XNZlt#h*%R#i7zf994XJZb*7e=##>1@K)b%ni*#-7y&6n$hblPg5W#F3n z*UX1*?O55iVO5I)Lp!{OJg*si`Oi5y$qpaD?^q9ha}pf;$XuA=b*N($84E=KQm&!}KU92~s+GoKcc6G)6y_=bfh@aQBOerhbl$**C ztgp`)&i78@d^>Y+mT(*mAM(ZCzvzzW_&9>Im*_Ek5C?UQguMFbJ3#Tl@?7RD z4nF`nuub04R^J|LkG*YtIb)l=4N38-6O_K3p~Ze@g~BJ~kDV2c7a9(Y{0EcZ_-je&fm|}za`>rAJ1A&$>LRpR?r2 zlFdo_6G!0Hq6f5w4oHG`5a)|xaTA6vxAUDme?Oc&CP9WmwO-iCrim^M{l*y z4}fFKstc3sgIsE#9|Fggz!U8QUzPu6;ON>14quh;XTZ^+4_x?)eYSF-OKeb*eDGEI z9t4gqBRiAigRjc>2yk=(J~Scy;H&aI4jf(j!0)v3Jp~+HLbzWJekBxHH|H4gg|zqa z+qy;MGAcf1@az1^9-H6pfzE9loF&u@OVWq(tZ+Hs34CA@oIbF^@t%iR&wjGYFec-~E{8T=hGkI@pvi;yI z@|C9nN0)KKljMW1$XA{P99@7X$R~V7zVbZa=+XxcU9nGjA#il*1Bb5iF9D9M1- zZ#nUl{wm@l%fvGh>jNqGs^41R$TIP3iT=L43A*ARjOSavLAA*{ni7=*1!||OF43@e%-*aMIShHRlkkE zu|*#^bd~=pz>#&@%Srs9tNb?uM^@m8=?7iqeFQI(1 z!V~#JSNUHL99fB<2*2F$*Z9cV2YxO2Lj3EGIA#OC#^xWdzAXQa#0Czo>o2->Y*wy} zGgfUsb_J)m$I318T*U($4tpiBzbO1v?w^2%j)zZ9Di=Igxqc2D9S)zDl&^U%@uyY- zhu6%)r1-zI@i_~xnR_S2zsJBuymDyGJUoTocNyW!!PWLMvR@l;k0O2KFqhuXFL1bfh%FreWg_tF8d%daE$ zf?kQim;Ytw+4S(~jWlq28<{pv4MSJJ;zx5LQdrR=LNJAjxSM(nLyv0XOIkg?Ju>*m$6eGO=(3HK3Rp)T&dpmuqj zX+U!q%1$B$`Y2>69A&o>OG`-acDs_CXuA^Gp(3P(AVa*nXn&GjZawl(Z#9yGx|W}? z4sT#Bdyl9V0|sQfOMM)wmhH6UaZz|3iCO49v-_y{Xl^5woDfYnOG)15gzZG41!Q#x z4_7a%G-AsgmNuL&bdbp)nHC|{~-Qgp=(*@xMF-laJ+(pimvFS;Z=O^uG!t8?3 z1_j}DNQT{3ELip!0l{CdR<~c#h3La=Ok{fzSnET(amjABLJ{=DMVNKZ7k%XRDS@w9 zH`(nf)Bjc|{{APj(@OIGCn)7)Ln-SAsF!73u@Q%v`w{nZ{eZca|BSe;N2x>nAn!Y5 zoy{=bBV0ckVPu@(=G8{eAx4&<@(-kg!l=3k*wP*Yx}a6Xi)Ka z+Jw-&yp8c=`pu8N$C;Ay7-^M*jydFmk0Yr4;N{Z4;_L9fA);J!@h<8Q-w%A##Ho5R zw4ZGQaKD*6dL#R*(r37m{ynZB$e2KRe1e1WnNHie_zd13PbJUc_lNwNJqfU}3(_U2 zxF-nya5sBB(ef*UTQ?}t5#&*51bG#9woHvOyb*1_-?hxunx>Ya#VO56>S5=bea8B`hj4BR-?ty72w*sYDNnQ=M<~#k(P&c|yN(phus)P+8*9 z-*@-zi^?Jsr<${-%hu!;u+c;2-newB98Mh4(S=b5*?OcuJ*rHcYDNr|5A%!?x(N_d zeMWeEsPikS!(AA)WcTfa%Kc58YGhPC+x%{zp`?69dwix4=U~T$eNT?J;~xF#Gu_0g z9$@+S`OI#hrF;(X_{<~@`PhX~n|G1VB;R=DK=3Q)^30aGeTLd{0G_O)@6tu_<{RoY zpW^-6KDHeqc>5;deVS*JpvyU22RR9^avAUy9MG)!EZ^DfZvP{RKO>3HN}e4~{Nsu5 z_A~P8jml>BaIg&>Q}4Qum27^1ujp4veOF1nSb4V9Z$0bCxN+FWsjr`jzQ=PEVxwgl zxUn&o{h3w2SiV04Z<{_dkH#8W{=A^dF2my->JnQXWEjC4YT)^1#)5~?cBag{ejo>V z?)7SpH5`o`z+SK8IEpdu`#GNAc!Bq=l+A&+8J_>nzve6Scj;dFr9^6zpW8)w^!Aae zzBF{i6F$1OSU14#+ zZR6eqkM8@nl?b4>$ENL13|8eO=FIU&-_;rn0 zfva3uR<4^&jLM&zv-_{SXMj!T&$|tC51KS((u}EJ@Z_^`D!=1m1yk#S+<~F|k0J$f zRbC&$r~DU96y|{sl$ueVSN__-D#4Ay>9sSX8zTqvxFb#Y_?aN>`pW0*@k{PE2Lpm1 z2LlEk69iYE90XUu`;X}NkChxx2fYZ}PN+N@TKO%C$kkzy!T} z45ZTHn!8`uYDTk>H2o6wUL_#an|2@bPJ+t6MCoCp=`(vhg;x<#dc20up&V9iq&;{D zZArIJS&z+cZvtNq{AkKB{wK}tKjz@n*|MKltd28fI?e4T=HT!1{CME_WZ8Qx+#k%s zC0B}Ht|AR&kCSk}FVF8)eHSD`6?wziQ}!#-_5JY^vKK&_+fSg!$X!KV)_qONkh>MQ zx38C!PhGbk|64`>K-Rzy_w{n|k*DxN;4$D_`}<^ReE6!|>#;XF`uk)l9KI^wDrg|P zzfYFJ;j8j32af#yK3NKfuhb8$+o5aZ`}<@md@tb0SB>u#T{7H0Sx)}Z!0(_gxs&s6 zfV+LK9DFR#e+T>?&JWNpaQj#}_(YyR4E$csPtf0R`%*dhRGw3Qs<55x0hJZA{YD4p zx$GZR^C0I}fqVN$sr=+MiC<0MM)v*)_m6V%X9C{_d;#{y*5STT4n7@Ft$`jqF=E z?+@JVljPu}tL%GJvz7A!^i#ckk5vDok^hUtKZOJN3tjux#Xpv3(5PBV{*p54_C0d& zRnV=4{!Y$Pw!D3els@q^|KX3Tu%Ew=k;2<}CiJ^GL>9M?k)yvJx=U!^WpTzv{=P&S zpLm-8e@pyAaJ+qq6u!~Wj~xyiS&H_t?Q2ILz18pFkL5j$zb}!--wa&r^CJ%A^!FuF z_?eV*^3SM>K*Nx=N%YSJ4qX?9T$_|X&jSR?TzZ@cj}$DjNt z{Hu=vhOGX+E*k$2z@b}R4}3jvZ(kRMKY(5F8KVvn3jjYkDP121ew=|R{L&=&W5CyW z@Vlb-`bz&v;Gg&458Ls89&5j6fM4vvUr37o9B}k@dV8$glBfP*Xv+V{iFUq=Uyr|U;8oz-`6}|3 zEa}ar!Etn@&nR=8T^RYN$`B&?|AV0 z67#$C^<~_^g^@2L`U&ZyOMl;mk=v8v4+lQNLGc$wiGD!Rp>nhb9}~^fQ2nTTG`%=i zk+%B`h~_;ge18KM3PLOF?|OExw)CsPHT^nGPiuF>JGA!%=-}5}*k}JFd1g?4o<@)R zkY{=jjB)ebeSXtS>}u*K*Iu;)bo#9X}9M;Tyt!evi!`v@fI`6*~%e47j(CliC-a(hjM495_C~ z-^WSe_zG!<)I0@zCU9>bCxx%Tk7WXfpX}x2@8hKKV}O&#YMuu^54g9Flfs*!FaB%; zaD3lHZyzUxw*W`)>Jxxh0QdHBQg|!yeeq|E1r*~`!|@BJKX#RUoJP?JlRcmOeVjBt zbk+W+!-KT)_i<7W#u~$IJ!)B-~T!OJg>yhkGdw%F!}e1@#UfDH|hf7!)xm1D1Qk| zWu&fmq58r-+hLVVH&48DcR1KX{Rrc&iTFIHTvp%Cahb7& z+T(t6ebDdew|&i~w@;Vn)!;lv*>(QO7ffO+UHpZkTm2_P)BUGCXZ_4p_r#oa93{`n z|Acua>H1i$!;{`%^;3>7%PBBZ(`YXk3GttGaftD_Uzb-_Uzv;$;3s)l?md)E8oQ3|K7mHt7^CGaQh!||vdWE1(80IvXkIsEZY{Xiodl*dR?C$Mv6XvP zA4xnv#6GwC`bh9)KIh(_<&3>!Cz8(xB-KYdt~%z~$G?Bs+sm)M{+nfnL+oqdo@uUi z-@|Wwa{C^-ogUq;jeX{Icz!5GTR`wV4kIJg2U#A>W5;qm149dB*aLI zgz%+Zyoc)X;t)R!Cq(@XnJ4S$3S9P-lm$<&m3gwa(l?O+CCCWd&G^SxS>ms|b!CkCl`&D474;_KyCoRl;m1CHdV;|y;;_&(wnWx(C zzWxmU9V{b>t0TAB_oC+hE~L@#!rECvUUqrZ&bIQVpQ z$1a(7USyVy2AVrMN6Ymj>t$GQlf`Bz;!%lg@Wu3V06i)d*+V7{p4 zgYM2vahn%39moU9|0M8n7$dQP3t1(0{Q6%>2lA7nrTd?-e`hj(KP`l(%CpJJa~|=j zb4Pl0b=GXVAJxAi4`Tt2RzkVxY-ww0HXcIxf64ME%}0KNgTAT@S*QLR`SM#4t%Q7O z`TMp|ImB0spCnI>yw*uz&RIYBC-a3jKVjsL4Gn3RM8Th*V5+qyfW19dh6fDh$Q!~K z8HXj!PZ)W-#Q}G(yBwaSE9v!yp&Qne@g|)|l6MZ};tCGRZ9Ziz?++Z5nY<4ijZg0E z^m80W(zdaIWnzl-eY&Q>W7q*-5QeO%Uny`2*^TzRjPt>+emBpQ2ENsWk zYr5BVj7Gl})~Lv}ar#1go(VUn8=GRHn8oYtF={{1AWDNxxzydE|{0{nZcp z_E)73Rs$&s|IhlXGVbtx{79MqCi(26fMo`=`uU!U4?&V9Au(e9&wbAnBz(`b>%Q?n z{pC`o)k8k~`By&~O87vCk6scN$k@f#KYT}HEU4q}c^lXTcTGpjDrw*{D3|!H4_*9~ z>)SST$)TSheY_ohj2rJ_fzy_bZu!*cpZbPJ@*(Xq>0ghejp~BTyO%lstDf}UM+#m= z65gl4TSUE!{|Vn43LgFa1bWoJGKX;03*P$zuYEuABgzFhqo|KXo*K%ti(hjj_bZ%a z#Q(beE_iG7Os-T9>MIvdp2@sH38UU-J&hc1-r~MVcq@_b)8KOs$MZ!G53gn|@Z$~5 zRexvPeGlg&CdjiMKIn4L-QKu9d@7Ms@M=!xz;+TUk!97+k8z%%R}a;kVR(oi+l#v1 zgwt!YxX}K_j+4m9W-426Pm-;8uVBOe+ky=B(<=M<*o$-y0xh{377ZLC{hJybicG?@8g80LT6<^c`gGlRwU+@XLW?yBg|Ac{k;cGb#Kk z;N;($n}8#yKhC7^?*jiKaOxTvlk&%z6n-7>8NhD^PM-9~nG_CR9cQ8}$h$9poJryE z75PTxK?7a<`ArIkugEtFc`ArIc+{ABL01bHg^P3d@6mV=asssAq`16|- z-UFO|_bBRYnd9WoZ&LX4=Dp&m6M-*HoZqDIm(6>{QIt`c5+RM}|=oIRfC` zJRF7dT;}1l+z9_)0)HTy_n>g-O8k~e;=?PfPkW629fjSL|Ea){)t`r>@ectm{$(Zy zY2nYqQ8>?K9!|^u$J=+nS5=<>pM*UHR6sxpkU;i?3`QUeMOiXjAeTTAj6lGIFvI~` ztJYSn)@rrxsUx0{qbB-`8jSF~C#%aXRAroA7;o#xFuT^2b2d1=>%0{rm{U zk7z(YL-P{6ht(TKG3$X1uoJS#R(cQoh^c6ceuVb)4jlL6xWQ=ncFqT)8gJN0y2)08 ztlMMCGvYvaf)TJc>pwAgv_llH`V8O^TRZ-Us(iFV)Lzx2Eup;-{yqqfC)y!uuj)?) z{-5D}5R6AVMEfA>Yk`MMe;)+nQBG(dM14K*?}zt6F#bHqr}~6>_6U?ce;)+nzY9F| zCs%;~5#a55uG;@S;Hf;ni}nyY?6}GJhdDp(gQ))ic(jTBJ_wc%xx7!{7U0ol`1>Fj z54%WzeHv_ltp(=!4I}?rvA@0>@bJ~&2f_R(C%n%AXW9$l?}K1G^b&qSSMWoYzYl`( zx2t`>03N>h`yd#PblL~e&=K-40N&dNL3jf4uaL?Rbd8SL2f;EP6gv7p;#UWE-^s`} zoFg9n`uk3)$(XAy!2Q*epyw?de@bv4WY47|D>mJ`?T%mLKFCawp867K(WeveeUNLv z-TNTso)hca%xdjFf8Bco>I?Zn_Gvppw?XVZC*~)bS8<@NA{Y_H@ge`@e@Wlb`r4iz zl-&`ZBX;9-T)fLn^l(k`-@{?#ZxUJ(PCT6!nCCjt&iUUfjp1YZZQxPcI$foFpyT$| z$lt|g+qqDey<@%=6V7WUY%NNnD!1@S%S1*}LI+xQq%D2?;==BpmiYYx8_>&8{cYaaoJhC-g-GsYp}kf>*Uk zxiaxSPcW%UYY0=XN|yH2i#Oh=U&8T@YW+oDO^`~70`xjF=kL{$INZa35OnTfp35S> z)GLK1RcYml%8BD*fA{hWXBf@?-U?nikk2;$x2Y_oDRkKsbh6KZvA&y?Mrq~h{DB>x zoP>x7us(cW6;B8*q7>G56?D;oGG_HXAoP@`(6tk_i~77B=jVM&qqK6x^}t5OwOF5>{W{klFa^LZyu5QhTu~{%l$u|=jINKz7T|O1J(g;^re0PKYV%SeJ{Se_f#He zjXdtR63P1l@^BBqKynA&f9}iMr1C&(kJ>?5i6(w&y&&%^Pu`=t|Hkt-jXGw)XyiQ0!@Q2ZD27$> z6;1kq1uJg=##3D|7S%pJ`FS13`0@@Dd1;_!dDy2a9i27L=5HEwrnx>p=+GSWA#Q)X zm_}6ru=stIhw?HN8)|FNB~QlZ3uXgn?aP9^!5Fu1WtO0W`M!g1U%KGZKx^Ws9i}zV z%FBa16L7F~JjLx_qW&SR2=k;j^^AP(*rM@>N_(4DB6!l623eg}$Kc0-4&|4|s04BN z$$}>w$+F{1-=9jt0uNfgKl&M-12!GC^+;%}Uo%0EbzB3O>l{kILvf!b*fh|ZIB7#< zrCNEXK;E%N8rJuYPf*TIRC%B^^0a}8lShtE~j1a9qIK}o#nek1F>JM0xinKO45Uhk;5!+@)S@kdXcQCTrHQZc9Iq$zmyV^2+H zpLBb^?g0+%`|TB>DXNH|ef$F>5HV-gl*+lVW6HFdb7$3%m2?ZQAHM1}clMka)3|Yp zOqoH6eer3jlc!fqn^L7hkakE+Ge*|nPXF|y`IQlo9{Foa8U&_x1_IxQWI3~^Oh0-m zoIZvl0Xgw|lD!+2xYg;m<=@tZ+-;4mvX($=|n3xnk_ccz}2c5d&@y@qS${mJuq| zB8=_ZUu0*4G|u#a{Y1ZG{oDtcgB?XjbDziSFGgb2Y-qxI-3HME8-n1>7qQ=eCDwA^ z!f5nUw2SD6JE5=c1b%uqZ+DD)ivAV^A0d)O4`IL78BXv2`iuPH@4RUF7@30W94EEw zX5DZ24C4FBdd~Rll>F=z`FS>exL=G#643c)%Mji#Mvn0T1P1ynk8({C>Q6E z$dQo~oITyn)cs!cKE6$Aj>%4vqiYNyy=6`Y_uC))jZviPm>TR0)+}ND#iD;C`unu~ z;MIPCtiX5r2GE(y%RW4SkVxOrj)(o+8ktowy?S(MWOhwWb%ZMc>%qE$DNiG3fJggn zlApIp_wVpJLwf$&qN03SBM6wq~RfG}9KP*O1?V`o>ZGey- z^rMC+fgY|iRE|fXpUwCS4rtD3#Bmmm%W+f%LHct>crNh#TnC#sI$inWWBe>A zEE*l_=Q)li?B}$(Q|Ea3w%*h8UGQA$>8Xp+Q(s&h7tc!^PXawI-!Ag>TnV1*JU!o! z(NkAnzc|*PYaCAkJ(6!!KCbfg+=T0&dwPByqbENw{D12HZd2Z&XHl%4UkOhUT70g@pK3j>nO1{Y%Jiy}U4zd0?(_6L4&JAX zHrxj}p!?rQ-~Pfe1`{gxPY6#@Kh^h{>Z_PtHD}7S8mA3-isNrReb3_hB_kDmPl}HF zMITxifsnozgr{f_)j!x}+Q->=e>TQa)LXHh=RG~If#+=_4SFVO`zU%w8%#*gTf$Q` z9C|q3k?E1CQ)bVZt$x54+b+EaTJ*mL7XAYxaDeug+?MgR-|v0scuaZVF`?`BBwm{Ju@=sY{vPJq0k5zc`wU&0G!h@=r~aT97cc(56~Z z!|{b{5$ckv;?uN~5z5eoWecT-E)tWhSG_4I?pKQQk^Brvp#*0wU9E@U#DD02#Z)4* z>gl4u$M}jN&eT}xp|Vp#*I*1?7lw3r+|o`uYQFZk`8t-{h(=@KSsqeB-mb@xBILk9 zriJ4cz6yose^JsNuXXBd{bk*VAO0vsDD%BR7pIFD8D8l)gg?20Al91kw$V6%FZ` z@*&`iaq0nI=9EY9!nVdHJjW!}770>s6OgTFT@_1LaB2d&`sU_Xy23a*JkrxlJ3XvFPyAR~JjduxF{umODy#=|2qR_u!Pv96fLW}+8Me-mlwzejC0CI8zwbA7`& zhU?pl(1$*M6zZUX#<^ykU{d7V-h5-!t4>C!o{Rs}Wh z*S}-@Z&xq+ZzCgt-Z|5ZURpn(e*SYDn{ezjT7#hRSN{jS+nfx}?~k-y6MxO<469b^ zH+*9Kn9GgAygj{(r~e&&PUXMwJ014}j11QQNl3pPjgl6MdpgdfKfO1Oo;dnD{ih$M z&(Zxi`VVz7IPPDB^iP{IyGr!-QN2fkelQMN1W`EsXkF+1Z}eikXk@V8W*#kZ*HJ2m zUGxuC{a8;($31{_JIcoW=d;Z`+E^s#_R3p&p_9RWV_wbUO459E1r;~Zo2PmwfqpEG zV?;LnKixdH_aesEZ>OhY2A_dm$?pr6)Gl9M8=)r^@C#EAhIZ}38j{h$c5PO>sO5=F zuQ>_xbS?*#Y8N`*bXc2?_Q$~K+w^`6y(jnGgm&3Jifn4v9;_o6*=&!QM|i6cY!B?> za#;uZ2##gO8tf4$)OkcZ_L#2lYwc-tvJ=@u{R7+6p!QH*IRgjg;|7+t9GpGW-+iq; z>z!=2$J8H<56xQHp0#SvMW8<)$K}Qv#OK#qe<(ihCC)F34>~n6r~Ul;fs-97KhtKS zAk9W}wETQe?YRc@SK+wdv&WPlnm2B?_B7YGNI=>xFV{O+q5QG>Bgav{S%~s6vKZ?* zsMqOe$1{G0;}IMuVExj|D`(X=_lxu6TJ;|~)6wUrKjGvr8=>Wc=gl$xN0w%^>p%K^ zBa8jtru7&8&m4ovDS-7qruv@&{hx5W=;=Sv+@D4Kw^{uw$Fy+vx1axib+TgPKN&3? zP0d+9*3h`Vz5#ml`RP$l|Hg#=H(-2Aw|3h1A7cR{E2Q7+Nm>7!svqkC=^xRbx;G|^G)jxZLFWBV=chQX1bl49~e=v9VmMo2kOgrp!^-? z*|AICmqYFQKhsAhYT$WN;7noW_UysC=By5mk7?h%o}TJQdLN80Fvc47EBrsC$@52}qS_;p^Z;Y9~4dA#y7sVw5{e8v}IMSS^<;B{+(7O3&Hh=1u*Ei$2&c*Ab zl(yeaWuX5zGDGEL_MBOBW*mpT0#Z(?ePBECKo7?=N<2FnzqXvTtZH7hVrc}gx(Oja1%8jmaja+0-Ct|Z@eF3xtLeb1PI<5bVCFLWM2{mZ{>e);uj`Jz=T)+|=T+Kto6 zPIkzy6RU9dv@+ftwTCn@oRDiExtEM@yHSNm=O-%oMe7 zJi3B5V^I7zpl|sej%#s081YVDiv#25bhIVumEivzeGTptd|SZz_7{x{jRil%vE6A~ z@s`f(+a4b;D;`}dg8{I$n_n1b7}*>TGhX**oNNz#=6pgwn6VpuZWm|I%E8`vJ({qb z(~PfnnOfAIJ(-yQ8`+`qeRR#7YVW;OPNK&a{zUb{o5n$GYMe%`JVi-phZ7xVAXeYzX;6}zKk?3U)kDRAHgbs5`_Gk zoCp9cI6ES9x9`^K{@rmwP9^d2+O+3vwUC^9nTn-y_TK zgt2nh2wi5qrBD7O=$yp%8oB8E2{J*O_e1&3*B7hY-I2=1CD^BiL@Rf_&}FW)^c{Xc z_D0p8OGF;w^AKM^2Ry%G_v2G~<^`M{WP;YgP#`X}m+aSGzLmgf2R3&}MimQ;UfNfb ziSa=u=5LwjdiMPU*YJnZ6gu4L?aycZR|zH)v<^06cH)5b?~g1sDXi}{$h{cHWuCq} zR3Fk5Iy@94+x_{h?^eNNg4RI-eY@#%IBV)!K*ahUfNb*ddQabjst;)j9Ui0j>+=At z@0Wtf1g(Pv`u6hb9FwrVCn1}Byvx(~N7aWkg${p=ji;9NOwc+=pl@G9oC6W-qr4*@ zANBM-t@@Cr(BZkDqbpypD<1VF>mH`)@N#VVdPz7lLF<6Z*DLl?^LMRDIIy|f`2&-< zgmFz|`zWs|CeM5JVa&qi3uy`+-lY8Yo-fLb3MLb@`6CS(_&(QqwJ#D`wOrC|y+L^< z$b3uA_}{S)`EBwQ>6sWG5M(N?TqTI}E|QPCYl}6`nBQle1HS)we(c9J`GPcsjzj6W z2w&eG!DNEgL7V!PH#UjBtnQGDF+?WDF~+|v>aR(k8{2d&h|!mYc8P#!9VF1Vll?nw zMQbycqpX3DOFkxh`o@YrN>k`qMc?o8{LAVum@LpbXj9+vy4rQ3Z!&bj$E-fkw*k-P zK90671AAdU!tt8ek+oXzp1)4TH~5S6zwiW}tE<2_u+WF?#&JF70ZVYb1asld{|bVS ztAJ01ZMVSAL1E=b{uzA=+L)W434&c)z>hiDjnzR?r<=f!c4SKfWPs+QkMXUoN#MUP z%y0Ae7>ze#qR{b#*mze9CJVF<62x1|@A4IQA&=LFL!}&W) zFj=5=kU*c5r*-wUTAmg`F6Hr5PhXwtLz+Uz^|Agf6igOq9ki)$jV(_rp^JPx#nXp@ z6#IuXg^riT>O*`8vdo~1uiDhN&gwe{JmlkPp1uvL4`~V=Z;sW6vO$owflvA`fxaDF zKO0->T2^s8inbt&d|d76`@ZT!nnK54({qeoJDP>|mmmwY**)bqUtgooX>N<)c^?{x zMer7T>NP$2*YahM^&L6mf2Xpbmn|QZp7mp;QChh=e_)C{QpT6uPwb8~G^qyiCky>} z7TW);%RPN};u>~RnnI^pUcb!xekPbK&^n0GC*$e5mKBlHu$N+)8NRVz^od#5;JCrl zi$0L`B2A&w_w{>yG>6MV+eCO8U(%Q~^XZ_|yIz0Ec0DYdMC;%v{B)yIjsu?SYg)B# zWj#X8_D~Ec7HB7II{+PQ57HDmeXP&hu|0nkypfDP9IxAO<3qORS>enAt%C&i?2a_H zL>8~D1rggrv7s1Y9AfQxL+x>6lg>Yl8DD3;CR(yUTktEVG3ygM4wmsg>50lXH|w9u z^OB|S@~Sru&e{aMq#O7G$(t@8`hFrE@9|tZf?U%kB)`PjKM3iAQ!_fSc>KT~+m-X)#O5$}u^`X-+bItn5H}VmQR$ouiM`;ROzw3BD;@gSFzfKG=;91x`x`d>{P*IgEr?n zLk2mOTHf&7Mhoul5az%JydU!myf3p0&QyO!`*^>m5t+aBL>^=1if2cg{jV#jFuyXm$UI<<~#)`lB z%P?++Kl$(D*ok>B%18dWIR60g!clNJ;(+U{DAFo%U57LJ`hr!W@qhVm{YC#s`9n4- zbYJ1sANChS-OU~sPHfPgul2>k)~%Ph_trm*U0EN}6b649tM5v| zWP{d0LVX$u_3u{5hL71Ob5`GNst;)jLk7g^yIC;VpmiYcDKXbv5BA_W`C1vcuz$aV zZ1NFpg4Oqc>O-2skjZ|%qx`#1FxjAWkU-zwNJFEJC|Do*#BA~r;}NUxVbzB;g(2rr zIgCobm;EQj4@F(9V2UBX_1?F@`TL}B60HMjS6%DD{(lu&WQrx*gF2s0F?igw=M~k5 zG=(8g1s&`-j`jUbFxjAWkRX3|MV2jUkgCY~-hph2!Ly#ecU2$K6o%~c<`t|@+u3Z; zI`9UKNM!$Zi`*${h7VgcD1>_ z7L6g`z(@U(FG$*$B#UPC$-|N>I3VPAPA9OE?;m(}>`*(9rhuv8ESv8+=zpl5(RyM| z52hfz?N2E#Im$@14yd3c+eg@eJ~8EwbaQ=2ngU+m zxY*fwh-YUSe(#{yJ1*{E`G*NUwGd`yu!N(o^TuWD2gVx&IiPhwehjvk8gJ}imPYwN zbP)WYGM-v!V=k92?j;b>3CE$HKV&1~N@)s5-5L}-e_*4H`vlPS2ng@|DRchNJ9}~t zQM|5mus=m2IR~^3$e%IxQuD=rLE*sWUdc6H@!|ZK1{PvNM%#G8Cbr*XJ|4Y%H^^}I zS9tcL-sGe)-q}Ax@YFKUSSb5pdYz_we+%1xoS2ydS_cW+lUCCev%T{mlVV=u*^5}S zy+~6SIwz(*!Mp7kazN`KL4WvFq;;hdu)cNBH4VpX=-YrX%Y7J=JOzCxp`UyQ$M13c z4db+3VpGn!z@LZfkDZop>X*%*_3AHdQW$zptiNjnlLJ}@3EEHiYdU217ky(6#rO;_ zz89-Lq$v!2Fjn6Mg2@4`gYyS=@J5PSzgl$|%;Sw5^o=kB#m5Ins{uH;gt7&H_Eax-9Q@@#aGeZcMOT28a~!yuP{?fVKWlxOdH_H9x7kfwl7+`92xE)vOp z@4ZaJXW?t{W}eRN?_S{~S_c#_$EEhsYoC||_hWVuPGKm zLx%LJI?vpT`|tb~G0&fJe# z%Nadl#%ZP=5I+IcgWOYaG~uZ9?3<5k(vLKSoOj4R9VfB=lLV6sS_cX8cTc3LamAt* zqG5fcYbuUep1y^u4`~WHoBVuOsd(g5?&D06v%_l_*q+mcGZ(ZDC?3n~rN+aU1AF8? zd9LO^+efxhOzJ%Q*5jJufi#7j{S*&xy&)InmLM0j`6tM4zP`||H_)>bqp7&q@^!J$ z<+`<){C(bhhSGB{P#UF`EA;>JYD~UVzvu};y$0V^I51z$J=fERKAr5NG=+ize!h~v zD+QAq)Bh*XM~^))F~^N`U5Mico<7t=)`v8Og2GsRHwz}$)>*ptoWm9Sw~roc5L(tp zy2!`tJbibnKBOrWO!L+`b03yeZ=c7>Z+q(ll%D&5&=^{|N?5M3GpcC?;n|*NQCGKu z{~pht$8k+IAx+`rP`Q3y@u}c_AHNp{r@Zf#YqsZ4!kG)&{Xa5ds9Zm7E+jsCu+tBZ zy;5Sra*h5w_dy)L_w0KM*JK~k6i#9L%za;q$E$+L1+4?pkIqwp^Jh2d$MdvEOQ`>2 zupmY>rnlw)Q(TjNq$!;8rC0vh{|^L{3t9)HUk3t6bo!Ace4U_P?*t3Q;2lr@Zd{Xo zq$!+oAXfjEg2@G~g9PP)*8LX?1(k=qPLNAJf8q4yby0mtQ0y-;MJ1rU)hvv<{L}JvZfj6kDubd9E77>~8_| z!som}o?i58YK9_jIi zQ<(R0Fw%}+@{SWc)uV!47{|RG5Z>78Sp@nvU<}s>#~HZZi1WGVqtVCbV+=*>dIcCVkzGVfeWSO&lVg3rQ|IEAD*++PknfX|Mwc}DQFV_ht(K;aeuD6$3-pzAj$gi%+{)R=) zKlGV-l!sS&_WfS%Lz=?;i~M~TR9^2AOde<*Bq%RfZ(h9$&t`y#^*s+>ipgzKUh@7Z zziEC*dkCmqrGA{&|LL9s%}>59u=(*<^%piN%>Q$2{diU|d7yQWT;YC`e`wFxUs^&w4R{$|qWjdSx}6HFdxeJGe^-%qWtmK6p8UeXko@h+`?lpgwKvJveuwV)=6#_wN-J0L zeJ#VqdbUSen_64y>-aStg5h$qAF&$^IUhT}@()#+NK-hqIOrMDo398%E0?kPgf=M! zvN4XNbx*a!dp1qDs6C@~M7q~j&>hE-;JX6t#-m8vgEN(7^a=Ey@sE$k5fQ#`>c1&3 z`NKsb*{X2r+*rS18-byftMdmA@=B=s={>6{R21)g^wW8WdHw(|-XjH1X$q${lisMb zqxoYb)!QF>>g_>C+fGqA$kz-pw8C)|PL7N1+A8mJF^_H%9ovPuG38Z$re{|*VI@s| z1*hH>^bVJUiGs;jTDgj8pQxN~jfCC_Ky<8kCgdX~w5DkNoux97rf}-Bv3jQ~jnc~1 z#tL0V;7e{NcDVP_u)ammMZSX2>N`PYIpzf&^}YJEZz;b{@ZP+3!7#6%;P@|88A>Zx z6o1F1{a7O<_T`UrxU#schG!pgS#CFhAC=3xpl&fS zS6aDBXqW$NCX=yU=tuJ@W@xvpUhTUnO<}<$-abUmr#l3bue5S?{=lw&dqVx)&WL%| zOUlh7&_%Jh+0*x!%0imLf;+wO1nYZHX_Qv3&L23?*C+cB-LqUOjQxBXI?31jJiX7V zOr$9+_%rQ8^!lm%r<6u%r1b`|sy zngXSjs|0p!#b-CXXI+VovYr!_z}4!)~*pk zQ=qhRmB6meuq*V8tJ;OJSpi~3Vk= z6G!Py{jdML9MzNW>2a(V--SX?^Js<0o3yU#%^M0%Rhor{M6a9T z$MvI2itTr8XgpD{QfW`JybFKtjq3}LUU0h7D6L!#1;TZ{>JQxyX&^l2#pe$^%I#I) za(xz9=)kzd#`7GNjWmUY2fTG-)_<2Jbm9+ zSx8f;O^?mLiD>AP0wDNUhva?Cul;Kxd%v~tDe z#kSh4Zy&waO0cZ&Zj>m@M`$0ajpq$A52Q4O+PU6%t>9k4)4pTcV~+jfwbyv#M_$kR zxo{G#1Iq7T*h}@_?MK)9JtUWa?fV^AuEBAOXCHLZJeATEYHy}^L}h$b@PxCE@Yu6n z`&z7hzab28Pw+|qQM`f&mscJ*9?uD;0JIL0 zv5x54FxIz|o@0zOv|_QE{r?wqQ4F5)^u4V5kfyL`g11gp5EZ<+kCcSZzYzwy6LIOs8*ArTzd1#DKjv=K96UY8#h(&zW!T<}c@CT?g`efi(|Dxsyue%Lf}D#Dsw86Ypkc+d?ATFQgLcAcNTb4uUipl^0C?AP}LM5R3|oGIT!Edt#LG@nU_>U+@* zeh%n9f!vdXJ?U0`pMk+6y<3jpx)p){Uj2T9!OvHIoJm*G7cu+`6^}FNNM0F(uM<3J zD1?mU8)NXO8)-X$!9QS=cP+0^xt1p3YvnMKD?J%K*+zMr_aFL?C``sjULMW_n|-Nx#B zJfhG?HeuY!cHMw8eC~Zr0=?g7)}RpaVm~*>e)h-HhrYh>VjQ3)ICPr6&&!Y7j|I00 z{^)x++W2OWCztHI5{I_QgOAkrZ$#A(^tZ-?-ZOYiY5`~&D# zCcr~3>A&_X(7@KChQ#QHT-N_*;9&iFgGLy@<5v&#EC{!2}^ z{Cp01?<0PoB^Z&R?_-N<`Pd3PmCyY+t_6NdO!?day1{tH8!@17+@Y>UFMuBprP6O>KYbsN-dk*BnCez z2zqn~g1b?^dNcxGPNNAbm+1F9$+)5j@h2#nMCoY%QT}5-BIf)-xi1>aGo zjW_xEChAfh&PZ$dYYcv>#Dkcr?^v}z91lvzHv)tDk3k38*5_RR-|ZIFfiVC<+12=m z=PBm|UAj@cQ&6(0@1gIPfA%<00J;WAOZ0nt{4G7gqcHz8ThJ)2N$Z22%ugxI&n=wb zixvjS=OKa|moHn^wdC=G)cUnL$!E)Vy718dq9wryydqclz97EEtu1&98b8SeZnBqv z^!ZTK6ddzB?c?S7SZzy7eKUU=kH+5}`l6oI;#=|qg5X1pwTmuC{*6Yg5w8Z+(X&1a zg6%hna+~@Mz_d6bO^Ig|{DzZoS04;b3 z_7E??X5LB6VE=u3jLBgaI<-ZwyVCl2H_ zLD8>qn6ga?M`?SunJyfJ>NjFcJf(=4&bzCo&Zs&rGAF|C)1q&xS@}=k_cKmTQi;A# zmfD4F=DYSO_Cs?aJ?Ni_xAbAvvn#@%34$8Y^G8q5U-A1@Pfvrkn^f-G_>NuC%feGO zOXKxrg#DOOO`Zr~osYj2XZ=B2RP>&w=MrsC4#uCT@Rpro<3|3>vT=JGc#|(5Ivw;2 z^NwzGmV8YRH*ddq(HBGsSt#EQLf>zf?-m0|?FQ*A+9#AnpIDBht+Wo|%iW_iN-I~g z2E*k5>m4h-@7R;v(cC*g{+Cv6a+esnqtR~=7+Sg7STPAdq}__(Ms-7j`HsDb5z(Q! zGLT$N>qb%WXY?UBqnuml>iTK6>j*UU_n>T@i}BuZh%Zh=>zBXL{e^opXgTg%Bga&n zfX`H&Je%tj(??a`k+9`Zp{M`&j$&^*TYH8<-}j*JvLG1r0NR-Wu3tC)(71wt#vsWl z&R$N_a=`@nH##FoIy~qj{lR9_N|?{pL+>L&P<)B>X>})q{%1JQRwjct)V?KGo_y@H z+s73p-F(+e-xuknYd~8izyE)Huhs7XzskpVaZ0*RuEw|h=FkVa5`2!eZ=`Z|wX|yZ zAR*UPMJO%LMmoX!Dmjpo6E>C zbP=gK`Dozjv`-UhREI;9b+O#Ays@aX zn1qMF%!+TrHes(mHVm)gY)wk)qbYbNWL+awVv4>H(IaMb-CM8MEIzFkMmmBu5JT79 zB9Z1*_)-$-!|xV+Vs|n9MtJ1+>N2{7D&ETyHlC6~uH!?ul-9DcezEfsysZ(|uOD;g z@7%VMBQZqOSGgoazBSTv+KOh6tjlRD)-11WT9-ukf84lu^i5I^OV?Ao!0RFjGF|K4 z8=$=&2gXJOqtTX){-yk;|H%;@=Wcds^ed$AUg5a_^_ttS?S45GKI-2_--W~ILEk<4 zw@zlK0-b-grw3z!Vze=3$3hSFw>wc$$TPgal;xu>vhx3c-{`9eh^Nad-uva>j^Krw ztC|}Zwc_Qhk(Bgwd=Gp@9si^mbR?3Iks+*MKc9Cpr5{>(+Paq7x@OR_evBcke)RdH zKUN_)b;YJ!x)QsU4;@V?j;}i&rrUQ$t3F=O_eEFV9R#mj7X&ZAg_rd~e)q%CnLLJ1 zdvC}0X1fQ$Q1pF$YMst+@)!H}chA4i@q35U&~>4%A5p&SA-ky;rSjmF%Wc9_3Lm(f z;Jf$Xx}b4H8L)BO8w6uII6d7u={i6=5}wjqRnHdE<5gtxALV`w^2Ycx26;9HeYk;czi#6@N?zQ?3=*EwJ5&$H&2Ju5 z59U`^&v5XJHCj+sx*tT`MhQ>p)vD)#$l$shx-lnXS$~cZPggB4)?hA zAo6X2@RUBTda!O6+wpKYoagCj01xuZK#zBH{iwb2zEpThfA8WJ(_>5APV@A%faemU z1@D!bdk}G3D?Futbb4aO6j{f&=@Y?kQr5540>tj&giKh(h4a?u*hSe+|^~}nD5VYPp z&wy(Jw2sQRzj(A!5R#8JhIq=#m2UU+$<>up=(D$C|HGdACqet1krD(0Uetb<#z7z2 z_o6q{;nl}2OEXe3Qz#brK8WAmq8}$2WyMCHjkPRBzb{nTfuOpfOsm@BUZ5Px6w{Cx+sA3FU< z^w4!^UMJ8Iy<-pi`GMmVJzD6aIZZ^D7T*uW84s^bYV<3K<5Z2`rnSjQd36eH*=E^^wAcuv;^DF2>o zH$LrUpSCB7^RKwT>E!tAMtn}GnKc7uuzk6je^Wt+rlr{HUk44+dbAMsorVEAHk{Qr zwKU?zh#0W1UeQ>Wk-px1p;zX2DVQsokCmp-3@as*-V3`DA16(h%Z!y4->{-N1yUP< z%pXUbDdST}%!-B-d=qzNM#i|5tSlsF7#%4pEh|e{=664vDl_}F-;`cld+kUu`hW7N zY%-<8kL~^}Tk?YA;PwBMO7j&1gDZ4ig!~-+h{Vg_itBNWzK>w=-1v2+VvHk*rVR3> zJxVa|7Iw)G^qZ!gE4IF9Q&r^jiKU!{G`cTO-r>4|x67Gz#5I9ktgATRCVeBL9o z6~Y~eitOUsg|Zm+TcPWhX5Xff`y-`MTDg+v^QB(s>;wpEH(HUWn~-;5d}MG5cGcp>(?(uK=EZ(P`BwZ>#4=}DUH&~ zm2B2zzxMKMh?A{c?fZ*=;pE;%?=$e$e~Z!I6BH}0TzTKMKt7m-d@J{9p(@7sLZKVp zgA|tgC#6wZx$^R1Pb)95P`Z_i`3XVs6MUk7-RH&1eNk}5N-I}h9QL(hQYfib?%T@q zyqxjB`;TeAn;(a_ltyXg%8SF^RucwiFZvjQ;@9Mi|J`4VjqiU1R}9*Zmm4}y?rxn8 z0cGvorabQ&`k)7H$%gISqBNl0@^eGy-4EC!vme43xh1`oXOp21dR%GZ+g0o3KEV;~ zwmU3cP;!*M7i5>2?MVH(8smm-4X7_;5C_y9Dr;0Os4UlD3|xaYu?B6&w*l6#ej>dX z{k=lZaeCi?+Srm59Pp?APmtbnul75l*6Ya1?$Lmw>cOt5(a`7vMkI z=Ms`nM}IHQxwwWtN>k`Llj5xV_gP=2U`jyiK=RLAOM3-+(afYt%W)#euW*I(jI@2I);&8usdDIv#qK4j2Q;rR<)q?ghZdSZVj-zQ?b z76_i~+V(yCo)Gl>B-XA|gtG*+4r1)0dBZN)1(WENNNmrskVgl`8a7Vo6WAW4DfHY< z<=C(oQxGXO4wnj53Dz7G`f@)Om2pAIPoNX>=nZc(&cd@R&j)>P2s+DquS}e- z7EYqwX5&eEQ#*^^y86|z{#>s-SI8Ov`~HU7_o(VcyG!y?J3IWZ&HJ{D-rEIN0@^Ko z3?1w3D|%b??yBeS-O6*bp%41L;_F49O7c?sI{fpodbMvZ0qvIIhK}_P5xq;C-VGS* zppD-B432k$UN_z=WOGt{d39vR!`qge7|3V-|#0@+iFnteJ|GU zzYA{(Xs@};$noxH?=^R`y?DcCf|9?<8UOo@i|NNp-Vt1h(#n-rfA=n}Ul&_`HYty- zKS95nW95FLG@!la1NluGHsIw1&ZpfiGUGyujnii3vGhT|C#e2LwOnHDpak+#wfzQl zzlVda;rg&!Wq@{1hWw`b-4#Ds?;gHO!>tO9@2Ooa?V>!}4SjIvhv?VLmEYc#c9K-$ z*}UISc;mm_P0JdaaHkR|V|QskM{)nOEy4N@wQC3%&1Xun_CQdYDQEM)bi6(_ zi61@)-s?9?XNcrd&^qwO(L3#3ZdaZ#ZXhT#Q0G z8}B8`Ggr>|KX_AYdBS*spcJ&%VC_o4le+^i>sY*^3Cnn^tlSo%DqX1LK`c;CDmH_<(8x^GPPmI;yv>Uv02%PGbJbpP5w za;4js$@z%>nfKqI9i_cG$v5aaiQ&Hx_=gaaf8+c(@aQZ_ma(t&5{xC+VGTv+3!MhK zeabBVGTe)OU$l2c+lOysP;=$@!AJXX=A+LVeI$PWAqI~zz@S}_kNg<4ANPqf-F_UF ze;w99-55IPx?0yqqU!&RIBVNWdb_@$_lpevR^Y!Pj6k=v82&pTzq`TWe)5JG{}B5z z13dgIG4k&N9{sX`9)n`!KY)Ekh_8VjqhsR#F!-k%EcW`I5hEXYQuId;|Gk*_{T}@D zJp5B`A2i1gykkyt_+GL8KMlM$mgp55-{+BM&!Bv~1KVnG|LQrtUlG;#qg)lk{?fNZ zN3VBd%I7QKzX$jaaiFaB+7sjd>nK0ej_$=d3xm`CF?h)Bh;no7K;+F(;Im`OC+uSV zJ0SzM_P#DgKb32;|5F_BwJ#p7CrfSlhFw(t2};jLr`#zNU|I#v0-b=Wc;4G^tahjrU|gxB~}2JcD_NE-b=v@S*;>M5%&gTr@g+$fqxy_`Js|7Bjg)ITd+@5|8dj=2jo+LaIZPB`D` z-rATy0gp4mz&_z}M|^}QAX(^qyU=eNAB-7DK0z65q0X6pTRi&zF=$&1#Nkn%#@uMI z3HXt}RPG2$QAX`Ld{pW^t*KKvAfPg1*WaUxp9TH}jOKrX8{P9A)GpB)c@gT9fx#EJ z=LHy#F+lM$gN6KOV&Yo|{TVwKbwc^i&9LOqaO;BE2NF(r|WqY=$J!Uf{aMm7@qvHzV!CvD-=xp)d z5qTwxw~;u22d$Q8*}OU1?!I*LE(6^;LQnt4{6ypJ*N;mCUj|yfpYk8I zKf%^dYbV-(vWuMD;#t8Ux-xkBP2&rK(hG5z`w~&K_qS+Sx(@!}*;fv8K6oNt~R zS+003$7z&*eS+k3gFgIR2t7~1d3P()0sQPp&!7_?X|&IsF#Zla&(VZu9D0MOYtZQl zeeQ(!FK5Gkt!FIckf776!6D4w1??*H--q&vdVB%OJW9=w1wn87TnghKVov^7_CRn{ zx6pGbEsIa9uZw#wh53H#@jVs{%LsbPKIHBET*^ueD)|``qM~>b5T6faPX*94wu+`H(rH{X4Tw*B-ha2fg} zg8v`;m-VH6qL0San|tv_Oa4eW9=u2*JEE}ZDJJ3PmFZXEG5F^_uS{t~Q}z-45-9r* z+@<*$tuOA5)Z$hv=ZT3c(|>NL!Mll{Ptxao@Y-VRW+jFY{g)p7R(@W|%Rje=oTx&4 z`#iqg!9hL8MD~sC;P}R3>Fr>iV=}QaoMXGR@f_15LNOL?Qr)T0m5!qy$_auVDkoi6 zZU4^&hu?AU4{yHfr)!i4^SH4o^85csIiZ(Wuh1Gr^J5?U`_p67)DPIF?eX-Q6Q@q8 zr2XepW<;piV4fF@9gc%XeK_af80|?+(RR5#J?OuQXY4}Aqw=)_-z&iOZ~8bG%g+N2 z^2b);D8q4_laJ@*yVKQy`@#1(_R}=bP80dwLCQ$nxSu_H&a8}-i7ELhV{yeh&1)B* z(c0KtkCzAFb;~V{tLqIHVRZ)%+woO{y>TCk3mhjK#!`8f`}TO(hp|{}W4miWLw28n z1MRa9$*XjH^-e+1 zcHjZWqy5Zeb`WA%{{5c($ME|%p8Ul+{*RT9_NmVhkMBwRMjVEttky*_H@r;eUy1mr ztug*QgWu1AZz=c?=Z?4Ocsv2$P}pVp{)XSLVekDPg5b4V!1sZ!U&QixB~L!a7h~Tej6mlnbzd8`qkHn#=I7@Z5GK@qMIDcoKi!j$@?!1( z5Wn|}Z2I3BA1|P@@UeWf-ZAp2-Y5^#?S=ib&}b0d2Gncxk4GT?ddx)LAf5xz_79kf zH87OT!wH5gGf} zDOKiXwC+S}SDhe#(+_c6hx;4Q-RmMI{Z{^wf8*eR$v=91&NyBFAcb9UlZeh<{+05z zSN_Jqe#2w^n{^_QaXlP2%#)u5S}p;M4!Zm}R(?S~^ZD|TVtB+3l#iMjwtSDHF@)B; zaV4NF7uoc`%U@&5Utzrb@s7vIpT!x(@$u^QxGK;d>&eGUk?6|BXLP*$V;ql_Kh4#j zacEnt{WCy&f|K9%$XNNM@$%<59xK0M=1jJW^7nX8KFZiQ>Ms?#PLGjakRLC9q2sag zF-#&693L9Ln)ojPZIcSesq6JI@(UBlU*>qM{24RhmCA4Qk|CW93ixhP2`o+jEO(6d}j>pQMG1-;Rjh_5VKzoHJKPLVNe|-Ee zcRWtMG>{zsA9(Vw2JH=={7b|3BmD95f9iOw{Hd~cp5_0B<+zZC3v4p#7JV z-@BtH{{UwVBmMq;+&>+UmG6xo#{I*S|8LM@Y-pf&xi6oG4)O9oay(YPH+~rRfhYe9 z(C#qOvHY{fmtSZRA^W#G9+v-=b`GHC_;2y#?+t?S$yQ9z8z1+iE9Tpmj>jql=gS|D zB*P>2SI(V`XS|t@+Sjj~{PA5t+goJN|2~g;_U|jmFXYhy^m+Z;_@0i3&w;|DwWefyemGRANFrEeo_Nd7>_BlA!C>fZE91r`kYbx!RZ>n7``7nOCCqEms8Vgd;_iuV1j_1b-?DOM= zVqkb#&+a+XW~wXf2gZe_K8#1-J$@3q!2kNoAAR@uI+YKeet+@hC$7)q7eW5tAip9c zzh*jGJdS_FlaIE2Jlb;t;yEzc z>@*!u@_J^vyf6?8JS+iqJCx7s9WUp@9#F~W(!`Ioo}TkS`#n$3g<7vk&le#*kPv?U zYy3ry*XohaqKTdhJUy3z7JayZ!+zeT9!QAMbCu(jawTp@Ou4;6^o+j|bm;TP-)^*E z6VhhQ7xHHtJ!a+w4P-2D|60^qflwTO=43G)-e=2!gksLGn^o_V-}^%r9Z+x|QY+Lt`N_jqyM8i_1vYF&)Iw~=LyEvtws6j#(2!^?X1G&HYR$)87( zxc)``dlz)C;n?l;G*)T3`8ncxFP0mL5f3OWUa?|nV|_N3HXE9^0Ceimzv>Iq!|dj zYK3E&fwgxEa0VWoQ}UHrS-jHDcnc zG0x7G!?Zo%=Xxz4#n~CQ674PZ>sJ5DuzrjMj7*zfD_g_)Me(XsJ1{PqFbzkmv!m7Q z*9xGgi^PlXP^{5-Q6VFXD28iN%JD3sUMySGK)YxWQZ9!aI;P?W7Ex0(GO|-Bo|-sp zLdvN5O`{BbHx9VNPBfh%kXw(h6nxnvv6{ zeUW@#jJ%dxE1~?xT7Ze2&2NdfnvF(q$k7K|2Ceho$wo{!^H_V6Y)V2wg+t}+w*78{Sk-PFRdNpjU%?33yw(`bXCLDt^C=&|E*oF?@^HPCzls3ZS=Hp`+CtA7+I`$j}{7} z7yU8o{Qz_rTTIyG>AhV0MQSJUJ_2f`Sud3;)-1H``i=DiBa`WNxkA8xW8A>{w}WmA z#s*G(uoe$r(WR-6w){Xhr&IgfRzB}`vY3Ax#T(z^pj)x_JKf-?@5~YkGUNQ9e8HH7 z?V~ZnKFGv)#lYH4@%!`2VX>iMy;~&SzGm{9#y}*u9CQ3~^wZ_&*A1-eqxWAazwz8R z?9+$~MVy`9e7Ss}lg0YKF!6R4uzrlWSpNvnr{Ksm+Jj(SsoB2-{TTQCH*qgdce2>d zoei}ut0L6mayc(gQ@!IsmxrUu={?Kr@1^^xw^4r>+Z)0b(>w9T741(jgUL=o2Q^_r z3K~rsP|#!e=rp-IB&1$uWo5hORO-#XwF%!JQI7epu}%D#iVq><&9sem;s**U#gCx; z7#uU?H~l~B7urrx`8-f&5q^JGjKpyXexIy z{NKppda+sK?W%>u9X@j0F@7jN9|z)K;OsZe{&K{96Y*Q-PzJOce~bl;OtC}bZ$)YT zU#xaq1A6q`KAdCT$3^Y(N5pTLLjj44hxgn?`Hdox_CL&f)JQ)HKXOxu^5`okNqo#IEA&#k-wSll<3K-dAg=sHH4a;ag6I|c z4-5u|?ZG^`T-(7E4nbikE= zB=|VGsQ59lzu?OuSE2v9ASdj{SIBFnGemqwTqh=x9|vr*mODIf)k4_``?{xXOmaDD z{n!ECj+QOnj}5@1ES^*n1e4wff|D2F{ACbSV61)ecY>gCI`Ct0ycPtNr#U&_)Gt%+ zw2TMkuvek~1C-BEjbE;$QgNM#I#d2gK=@F7n3x8f(itIqrubzbeiKL8WQ||LGQ0wV z65ER3L^LlGvn^YE{Gw_fY$70fh5j%3_7_Vk+mGMym+<`j9kmA&Pw?=k;JPsA|7lEp zpNJA_=!_CRcR>2_Hoj}vh@hD zBOt#NuvKZai}T%*%5g@2H}MqO$}~D0=PQt|bVdlD(aub~gW~Kue<_EE^J@K$e00DC zNgI>ANN?nz_o)_1HtvYQ#Os{&xOkel6WvWXu2KFr+UbczcN>oD4}$I&pu43Fx~P^{ z#D;+6Dh&8;Q0d}m`u}S|^D>SL1H!xd{(^9k-xWXS--3U}_3smZ4?d+cO889W`B{qd zlR=6iw7#!w#)P*4X$L+!q{a`k^lq+yqc(ry)5=WrZN-h~{(|Evp^c-9YCPa00m)Su z@Ir8wi^nEO<#GjG6YmcQA1+tu8z(BA5yEHk_e1jcov^=q8d?_DHj%qu?=R}s#1EX* zI6q8zBDzm-yeG7AbW!zJ<3;_V!oZoqnbzM)og|g~oirEnUJeK!_IEFELYLH0%L{WQ zA)UTcH}R_&e{J@{-!ACysTnuwGpJPdYD>KC?iugw;etFWEMzDeD{Ho!@1(?0E2iC*D|iNTVveW{S8bcTq}C^wUG z$i9(b`}VY00}pKO!SzJCL{~p19SOE9CoRrCEiX)$588C0jiZZ7d6{&);E7)0h`WOg zVLv87j?x(-J|iC|O(j1j+GMWpyH+=t5^><8!|TKKow~B2f4A{2278TVZ?nEnB0BW{ zlPZNa&L879(V_pJbaWeZQS}>TpMc~l3@QxHbM@q8N#%O-OBDId0pV>sIjLFjL;nsL ztNFL!y>@a^9dJsgI^;z8zntR6O$4V&JGt6~4RPp+{Kw#5!ez(*lTHQOQYWp=I1t@R z96C0Rql;=B#P)#XDhzrosCRL=N>bU+pFrNp0pUaK#iWf$S2`nv&s07xCqLcbN6SZx zarD4PeYkw^$WMJGURyrS1pCEKYMdV?--+&09N!h%cImDJo%WA$bWx29d?lC!xe9~R z{PJ;+q*7dJYC*R;AbdD3KSR3G86kX5Li*1sF1Oocj?3N#S_t8^w#NOFt_Ry)mMy-% zMAd$jSpuS07<>=Sm!pb*QBt{lQyHEc5I$@_#)FfT&IsW%Nk8PApHu1 zUkFNFT(D+Gz;W3Ex?cu_569&lq${0J>wl`(A5mQ1waFS6T3F&Vsn>2sZ2f!#Z2z%r z@%d`}B)U&={99<_;t^FpVLQPj&?^k-84R(0R`ifm^0VR)(EU9ieAv%@z$u*(!e{td z(V6_*Z$K6&ru80T?(JKu31;xedXDg0} zETuCFJ|{_1)-Qcb<9SOQwj3nFgQ z-U~$((JKu3FgETRAWP{C5ueGP^I(szT~eBjdu%ya2igl9cboRyBs3MES2(f*wP$`g zxB{|nGHCBi<={Fh2S2ijY|oz7CR2mkEC-i>O~(Sn9A}>?2Sj%RjvtGPIJ&5o1LQ3M zt)(a&nHC)B%7M(exxK8we6M10KzKXutoWC3@%;Qfj7xtV99d28EA`{>0Qi*7DB*KQ zq|^Mo;z66taoCIXIQ_uQfj5R;pbH=8B@LsNyHBM9tnaC*Wh|>5LDj|Jeu@sq|y6Gn=$WeP82sI^uIVCh-!W#j|eDE3P&Cn9Ov>| z`+Uw%{KlFK;az^C3=lB>bKu{L!6S`;+KtK-;C~jzS02V_=C2$G{C|QYuZb-$yTPk; zLaqN%UMdfv@`42c1*ta;YnmHZafXD-OKPaVxJ7^P+I-sznH`L@cIp$+b;aT9O;lcp z4tZSJNeHDpCnzsO*AGW`L+5OuUnajOMg$e0S2*&vB~W3Zkjh>*C|pDd~_)K zGgDXfe5Z?lWh&TvmFY@Bn=iC&wP%&^fq>{0j{0R#;^L(l$o?(_T}nWB7q9uk zMfW2s>-e|e{di0RPU%#KoG2bAQamu>SI~H1Vb{c?AL5}!F*%i*GQRa`%3~$QF_qeP zlZZG!wOwMmQ$RaQ6vfd+rM_1#6g<%@9QApS;o>1aN_|D&iTDxT#p8V8A|t0R2EJ19 zemqtnUFnPxK6gO+ITVjGY_imchL-yJrJRQK*LoWdyc*C98EibTUQoHlvbP-%qB{%6 zDxr;whlv}}ZN#Ddb{t()h~(IK)TX75AX}) zk;)%aTw?nFy$!4Cmn~ybthc2h9JbA}@jyRa*q${0J>wmKUUb5eHBAl;#n(Ofz9WGJ0Uz|3~TJP2OTfz2ACoL}i8-m~% zl>e3egW$)o>&Nfl8u|a@uW+viDz8TUzj`9Z8Stz6IUN6%w(aT@vF-u<{|dIHgM z*sCz~M}GX?l2nc#Y^=O7AbdD}FC$&)j1WFk{9c2xm4CO%96x{mTwC#b9&G=xZ1MFW zs^u3p5mbU+Vdw+FAXk33Nh;gF6Le1pgb&+~zP?iFj1WGP{hyQln`|=M@9%qTWByr* z{Hoky+1j=rHW3iL!q8W|`DaxRNhSNMFxRepCm?*t{wlP`hRz7#GwiRT^@6I7Hd)HA zw|}xN`}cyan`LX$ezJ+667&i~zwpXW)i6nA`_n+TGa!7}{z1^CbVdlD$^IdzUa1u} zS?pgan}U&Q+KZ|~K?_$6#MQT`l$R>lKtS{gDSd;ZYhXTTf z{df=QG4-2eQ91vV{CGdye(bDmY1A8GTF!aPAMsfGF`lXVSS_OT82KSOw5?VD5!yJq zsQ6i}{bZFJC#4=1EDe`)^r42%X~r*<^Xl&8N6h{I9kne@>Ils*Xrm?PMxSciWm-G8 zLk8p+h_lm_U!v=ZLkZiZ!~DOxlMu$yMb+;^1z!cZ3aJ+btu}wFwX5WLdolW>Apzk- z`AZ97hRz7#GsT7O=U1o1B^8wFntdWDfi zK||P&7RXULL&RtD<1F%{HAqnex2L-rJU@C-e@jhj`L(FM)(`aW)n_UbPI2}bKZx#J z9BYI&jxMTxpbaIccH@STx6}TfsN!#6G_R9X--0IR^?>lIZBms0O{lsKaTWP*m~1mQ?Cj zCSweZzJ>5%Kkq@h(itIqPD1*9XWgETuCUBcOfCakpvDJ3>Qi^a`Us3KoRzLH}N@bcTq}R1V&x za_~yH9PF~)uGU-I?K=Btt>Xcp?I%dCLi*#u@=$)% zbU`V&LPl zr8^vS7()=m(M2WysP7}FhFpb=+~5LRk88AF;eN6lbj1PTL-n|3B=jhq5yEGR7p?2p zq=)0O(+;Z;m)`ZL=oJzcJFK$hmDb{Fa-GyTKQt~(R|Hy&Aqd)~D+L|K5DDp`8W;FV z;H?E_JQ_5+xST3@j>{#W8ypZm9G59bS305A{}h*#$g$&WGPiF#R@;HIu4i)vrr6Ma zECSPS@pmSVmo!e_?0)mLiAyEaoPcAx;MjDSO@t@K=^Q6&^Ok&sj-oRms249Jc`Q-o5s(-eTlf3fw*E0MEhTWV&f0U{V=&W z)kEBBE3WNwL3HbJV2nW!=f8<3(QUx7mdV^A748c&oR0{UjCLgrn;dKXW` zkbukCBcLk}2yf@9HQIl2ynh3Ht>T}-Dnu7~ZmkA=u%Q!a`k&%`AI1BpHrbX#ZMhCQ z&R0UrtyWCiaUr^&JeU~*W&)YvzCZ#%w3hqj{TFGAa9e2EV0|24XX5Jwl)xWQL~nkV=~|FZ52R@(A8S(7O)lc_xY zhVkM0j{e`!St;~`;JK-*6!+odBDbo>)Xw;>2#$DEt| zpRx;dq<;dw19u<#0HjZ@!|%R9@b4Z$@ZMfrqurZ~cHo-=n-7?45RiU_?5l$nE*}tk z0-l$ko?{M1cw5gWpCowBr-hKyQSn|qpNuxb&C}^F3ws`DYlc3$AK{hLA!M5|0lQA|3|g_A{GQBS0Se-jenzxUnr>@xAQ?aCLp|v z+qr`0xS?;KT&8$GZcG0kZ*Kx$Rdx0K9}o}`6lYXaKvA3k0TnGG2q6iCKp=sHB;14y z5M+``1gtn!ZJp~_=T?1c)vDDxwDzf5t!N!vt+o!mwpxd(rHYD*@_yIa>+Cb-BLDZb z|MlVAec8X?T6^ua$8&P-IpiD9vF%ghwo>9Y-)C#wK40#CaP&>Tw~+od&em=*QZOFE zTfwo&6}4I3$?%pH;N>kY#6m>MH#zha`JGALaNFld+=^MN$JGUbM{&E}X=&VU1aB}r z9=D6hH=bkLXGiko{=adT_-yNM{zSRZ?=5uvUFp>d$4kcPwH%i@ZYExNt0%sTh+UI` zo#pyX-tgbJT&?HB@XiVZPt1Sgm@CE^FEpa5)boC+=UqPA`bRjd@W1`vmB<`3?q07} zxSqoMHOJkKTd)x!?m8T!@DsMJXufH=5fYzZ2OdYz9{v4#%F6i zzgQk#-YHzq$58u|S1Vjk;l0f9oZ}X(C%%h}gKskMm7poA=T|OQ>RH?Y-ot_5$$Gv^ zzVSjMn#y?JAocv0&(?Z=w%lL92+s>SBMg@|<@)vQ@2LOBs~4`X@IK}E&~Y>M4d+wg z$-4A$0bbtqW2vjiIQS-m#>Uo{;yx}{G63}> zy?WvL3U36*Fvl$z7vYWOu>Hhld29!YM;5TRhCU)=lK!Nz7Q4;tnO2fs6#4t#`WDX5 za?T@CO!+2*hXgC4_G@stdVX{c`@H)D!TmZ`e5S*-eb2+reul@^v0~Q$kY{DMMA~`;_@bo)=Ke zX2e9t(dWzk4|F%ZvjgW9;jJ@Kg#9?8PZnPvYHw!V5Z+B3*Env$_zI76_u?NH;N>lD z)Kw%lCJq}Nd@qXIJr0++jPC{Syg=|MZcjU0_hD}_-cApO{4RChQSoolGoEAHXGika zO5AMmCtKt8MQd|-g`WYx#(e$;*+St}R^;r)T*caEFkJe=|tRC*+hL8&V-mCa|)czJ(E9f7w6%oG4kS}BRs}+Cl za-|(g_{yGG@Z>tMj(p>VMl==w*Ngwr3BUR;zr#AwF$?<7UZj}w|4<6~FFuLLZ!1iO z?k@KW%5&7dSmdAo6M~!au3bv({8{V8T3P&7AbQDqu@?$?p}wZntE30@D%sX&7pg}| zCuluAExV58%^zE@g>N$S@K`&P?BR0NU%pds!ToqCvGq^!3h-Tnp_5YMrKCUk#tRG4 zl<`tBNa}Nd&(?POa#1*3qf=uWdmKkJu?VPU#mVXL_}4 zJo4s0K8eV^=_W&8iT$3rpb?c{5sG- z4^Xj!^|%1_YrT5mdf=N#34D{GUj_R_?eK`p)p~pZ?+1b4$$H#DzVSjMQQA5DNrz^+ z=ORiycrInEDx21Dj}znVBhIltdMXHh_%#0~#&Z8#$=B?ucH^0X?C(E5Kk-|rsF?r9 zZ+<=a)EO%IsW&*RU(hEx-{4z`@jOeuNV`2R?e?tCcH_{$)|7T=|9253>@`Z*1BH?q zUu)RAKg9V(J@44QA_xvz6a*7)4}yukf}nhU5KIEEV7@CPM-anteOcdFFy>sxGqmV? zWsoP%LjTV}F!>^WpV;15GK11SC+tt`nZM@$1O11%vGz6tw;+*a^7>2oT?gm$SIS&M znN`@_Qt|CW9~6;znG7q9&7-B;yIkq7r8~j9F%Ue_UrRfK880-VDeYG(_Y;dOYu!a_$I?Hi2Z)Jbezl8dW?s+Qy_S< z9!HXIywHfI^ykviQjep3wwnj#cjU3j1GLcZrAr5+eymr|wuh}-${P!pIYy*leW`

    -&9phXjHr>r4L+d5&$L(r(rLlfRpn{p&jW-F&Rd;rd-#j!tc4 zCFA!RaN*$Z!vAIba_%g9kju!Me^bz*^ZKAem#aDYa>U{kS^R(d7LMD}?>Rf-i^;H8 zWt~~eK4fe6_wLda_-VY5q^b0SQ>7oQ4Eh+L^Xli#;bVKG9~VjB>^4?^A3^B}sGSm7 z%fu&af8m|MvD$Gnyu9^y+EhgBnjHQ~>^frUEiPBv|1NmrgCiEE_TQzKl5f1wh^Fl0 zN^g{UUhcECo*P={^MeR|V~qSg#m-kx>(G}8z-~(N{o#Dn?c80AWa<&tS9m|>V4nO& z-v7Y6t^hA@abYbIDaEeI5zB+IejiavEJXDB$aAbi=LCZL^On-594>L2!1+n(_k$z5 zrQ`M>`Nnf>`;`9ixWw(ZK3nIBk0fR}Vz*=|4;0Xm$?qQg`HQ~^1uY-HUt;DlZ>Dhk zg!d%JBaWMibKc@YT}4Xan;f}=oWJA^U+;1yF5~CIyFCy*IbXa&zVSjMnsy@p-%{__ ze75T^?)NH4k&%E z0FQFyd9UMlc6ce}n;dz9JRj+yXxkW4)DR{vfOm%q)M9C>f-cLL*w zyIk?Nat-6^&Oq=){~5n8 zH4)Zld~eLyUO<3MeXJiT?=ZLrs`}=6N5C6cfS0%UjBvQvH5qMg-?X@nnt?(Rf}0Z$u!tpU1~v z=y09Su3&sWXZYIKcwL5`@f_PeCEh=fc&|vtd%eWlE%m-X=J$xjo9DU}_#Mmm1(-V} zDy3i?g?9!AbB@SndFQ}8bqjds!?XQN#$MjyO$BI6&@L_WT=W9i}1gY_ zNA9!xG-vB-ZgFDWh_>fZT;4?eQdx zu{?DiGGQY5#&c}@q)$z#lX_0_*Q!DnTTh!OCJ1i^ z#}voSw0oSFgEzeZFK=;^U?XDJgxB##&rO#fNwJ5{n&Zx1nMZF@mLG*^g!@v+&t=VjR)uG6V5U` z9*=v-H=bkLr^Ms85|8_Qw$2a#ws?eZcH5GEayw>z_jBaWh^5T)&_$6IF}7_xWu{aNbsi&m-AWIkCyn$r_zts!21q7 z$}8*1-#!>4ZTIv2haA5Sg8k@Tu6o@3i5>vY)^iR)OOt>fxbop0oI*^A{p zKDfugg2R^#BmG8S$M4fWfKeV@)kd0A|mCRjC?q@ zUY8LQ5se>f8Sxf8ieHn%HGa(b#5;-G`l!$SyMVvNHX9y~FXyaf#&g!}Q{pSn{VQAK zv%~nh2O&y)chAN*dK_XD-`SWs(VHn8U*WChSn9Y1<14&VIZi6T%UgVjnMfIYlaXHs z$3*?p)=7=;-SBDy!K3&RGZBsNec-tieuv?#t24N!!m|~femuT1ml@Bo?Nj3WGl}mt zK_AP~_41Qk`1>YV8dNsDvvWIf9v#J3=DVL5nWSLcg!fa9>m7HqyxZZ~ej>xmTYQO` zh|ISp$Cd;qNAV?QA{yVn!aFMvJc{q19j@_x9sCD|$K%KPUS>SUwoi$l{GGn+(I|fI zyw!g1Bj>H(5Vv2W{$yk|Q_paI5Z<#Kk2~&Wc`v}T{X~YBx401t5m}>5j{QUIcc*2< zLPX*=u{*rG1Hq%X*?O&U<9peCNqk~gr!V-#oxxuZMwO(_f66`pGoEAHC+pS3tt77N ze0JC`?GIQ{zs&E&I_5Sib|Td7-?L;5F8j=zE8IVYw~=GL<7VO)wgmgDyYe=!GhC6vhdEr^ zV+8tt4@TXRYLAJ8e4_Cj+dk>%6XkxsiG6)`xPR2YjLCUMez(;5Xm}5?Qwi5OxzA+c z0B@#XJ1Fl^xP{h#YX{{Gfp?IV6LlHQ*p#>U5;GCun~b_M_P)D`#6(2nyBuEkK=3HO zHW6z4R)X&wjP9I{UorXNgz3z=PwCHc{eNPq&kffx_rgHtiI@9i`|)Pp8#r+k=CZi)9g*SBzcn$EX3-H#k=au&OF2~IrYdQW+pCs<(qnyrv^K(<)+M6~M zk$Rbo?jCzS%|zNzMBDpXc*6t1qxNRr64CblG583>W9!1iGs!oeW80^UmrJF+&&sR| z;pK3CS0AmX;h9!=(*b7uc#$}sA6YNhe!^o7oM`)r&GN2*ccHVH;pHuU#6(2e(S%z* zE{x_QVj`mPdkEfgxh3SnD1Hwr?Be$*_)5d$@ne0TXgtTZ&yM8ZC-J+(XX|+3y62*0 zi)XjUdDk~w_td`kORrvdo{>KKD~?|{ZozmwpT;wqlm`iZR}mkBj#h* zc_#kD;o6`61^#2hp5<=y$2&S44T z?mwA&hw+g)uQNq&+yY)Vxb%6EjJ>>zqr^r;{4n8h=FxkABsL-%$KmkamdBm*9-zGG z+q$lC90C3>!((wQCsgIeQ)A(W#8KA&^6dIg9PRp1Vm?d19?ADZT?Yn)hTEyH#ZP&x z|K;DX{wt65ru-Y$|8im?B7BqM?qvt(<3mhDG=7cndIo|=@vC>Z#!vd{&W6Y1$NFDx zJjb?AiJv_0r+mE6j`hRj^`zvwP&kgGFt-KkzpT0CtpDZTu>LEL^}oE(`k%LcL~KNa zZ^C1&r$uojHX<6wE8z{7$6QZ~;>g}qMB{i9_=psKsl#<2dky`xXE3HQ^QtlQ{3-WF zm!G0pExLSTY@Apu9=fFEP#%t$&Fv?^7FaKW1b7}aQ@Q?MsywLie zxAvn=M8vMim^nc%YCmEwqV4w{yheF+bS`SY8yv3f!*|X#k~nQDqWCS~*Q9XrM6~^7 z-fl_Z|8%(Oe+Yg#!dP?=gj%PPe^CB-$cucCZ@wQ0?*)#h3h>r|!CCe$V`~)O zzu=z#NgVw+C!9?h?QficZ~4>DxAZL$@x^4!bHSXbAJXjnFhwA1z+TRZM%c{{`FUVxW(^MJ%uM9McAJ2E)m z$6sP1qVbn??Y%&7AOA^X9Io-30RE}r@%SBxAI5WR`|L#iNQvLUK0BPJ<%KRfPrKiH z+Z=5dc-ncdi}c$`tpA}Dii`4&ggeMFH_Kx$FlpEp@J7QsssJx-DpP8&z#7Vs9pYc0UbTbzlVh?H+qw4L1F zn>U=;iD;Z<%ohiOM{&0GTH|~z_=FUGnbY4_#^H~7%>DU>$J^m7FylG4eRd@OB58+n ze0JF1<$>?o-?^VWd`}PeeY*Jqb~vw3T7&upUcGSqg?9)oVZas0v+RWM!;IXt#L7M&W87cmgg zc(EQ$`cWWw6faxPG+v*AUu$^0|2#{+@tigLlz99_;*mQ4|19TTl%(LZVTFlm`%2GcQKZUcR0@q?-7n)xgs;X zy!CTir=%@R#%&CSMscyVQseR__HGIUkK%$I5s6Dh4frjF$K&!6`FW)!KRS^Aip1qF zK41Ikry3V|fWqQJ_e)Qfe)PIm%f=yZ^}}Zo;hPj69`uju_l3*V`h5*=Z6J8!zTe6J zCf|6W5lzMaPsIO^efFk2^V0|3|9I0Xr(k_#OlOUe?C+_{}r~M$nf&6e^%hTh}bnLemqzjwWqD6 zTF)wYdj^6h>&dl@irAVP8saZRK}DH3QWW&DOl{B4a_;%0vQI2j&k?AN_m&E`r|>3m z6gzIgdg8lC1$>i|(Xsay$lM{K_53cp;qp`?-dm8jIIUFJ%`-9&Jr$JvGIgIrMKgNF zb8P$Mx>&_Bi9@t0vg@zOA5cp?xNpzSa>KJ0|6@n77Val2*gsS(h)T)SJM0I-WBsqN z{lsQ@E8s11HZ#1u#evv}Nckou4+h6Z{pB>5t8u&zUR5A?6vwL^F8hwDrNnWG;j#I; z;ym<>7Z#$a^e1_*Zv_j!iS;MRaIN&|y6uMm<_%3(ptAdy(U5S5&6HaeIG-_s0Uf zyv2o7qyoDprN0RVMg4%eLPX*+Mb^FF1cLkVFr~A@W&bjTHHmr1;cKJc^H#9_hdjr& zPvSNukhp#1vu$6O{xkkJ$B*^AVuLqRIDW$WisKWQ@WptJZJ&&{De^p@DZPER z?V}`)@ds%!!#J_$YVXbe)%mn-`+Pr&WN3elx;Hp%GiBJQ*3F~IR6k{X&`tq&Zm-ZywHfI66fzroKN@Jwm!QT z4sT|^H)SPiXM456{YiM|ah&P6nKUq7-_Vtt(8B0AA&+~|$8kZN~{ZM|=T%L>zeK_Pf zwtY(b|3TvNyP%I{X}vb&!ax7w@8AR=~6 zCe+66=by6Pn%Lyiz#n8=4N>x!F#U&FK_Xo&LUF2$%I#9`?{&Sxm=0Q)IH(7 z5D1=#&s5?N@nb3$wt(p%K?Sw1>yDNU>+4I7#HE~!qKAu zFYn?abrqQc--MqS@m$xu;iFxy#)Y+Y$_Duf(y7U~u)a?B^;h2Ea1V9d&GL?hH)IQV$HF_Z055Ox zB1R%oz6n3+h@Mj}=Yk>{PtI3~zu~LKdG3u1xIe=R=i8|hF*h?RrC=O|*Ti9ah|Timz-w?eH_Mw3 zkMSsy;pHvf#85>1G~p*0S4RDp7>a1TIS-v$BtOx(GK#mYO%m_QyTMBhkH`Bo@?&e5 zv&g56d-=Qm)H9-ZyWfBL$KG)LKYpKo__&*>Kd(al``%)G$d4;wRk#bDR zcZmJIV5+T++HOz7TM`JKoOganeryd54QabPDiyge*)E^u+MuD{6*~O5kv_1JI$_i=e1^}JUv92eoe z#qpZsX6hTpPk5}|Q~zFoM_KaxzNyqzWGZ}pHeyA3eMIFFiCcru*6VE_=b{%B+s4h_%M-hX-~M}fDyLy?wl`Nej>4PA(d@Vd z<0!lnING*=w;bMr0=&G%n;43SpC*(0$KHcoxyI#cyzhWl9ta-An{!tYeSYSB{QkC= z;qiF?06pV5wtaRc|7MBz`953Y{gK2weDSdh_@?|`H?{wKNZG*c^Flb!RGxvkOTD?m z@fM!Ui5EL=!FUVrIu7;@BAeyi2=D4G;N1f6h6232wF|Kpk@}cSzB_iGvh3MJv|auQ zZ*?Ge)GoAzi07w~{wlFIH_Ph*k3J@{S>BHDx)tE%U3*B3 zMJg%Zq~f*U4Bs9SLlJEcnOpxE2=3dXYKp@(-ZQ{IG&~mXD)t&7&#~=O;(ZM9t~$tP zhw)B705f?VfD_c@a^m;rRedmbm^W82KFT`+?m)*a6i4NK2j0*v;EjSeyZ~c2W5wsedM_jvFi|ppPOAJaa`!l6>e|gE#+Vj zAyTltg?ADMdx&r3eHY&H0=zZ6|DcldiNPEzdG^6EtT8e#pFw*MVea|~d0m2_JLPm| zt(vg|=}SRyShcga-*oiPLw6Q>mvLVHI`>thUv(V%oC{V{m#3&p-`@s7kL`JP%9}xO z$o@faT~G5TZ|zMRib$MHxO^HtZ@G##6w&r(J*%1~msg|bEm!@o!?nGi1fOAeyuGPQ z$a8G_l=gl=+WTgo9ggqxgM>Ea_l&i7c%~E$@^Js6W9D{mu5dfb*!l&>&m6a4dkc@f zK$Yz$Hp_bu-aQ5EbM2t zEWCF)-q-@(hw%PcfS0%SAjTpx&ze+rj$OyDBE}-p9@7{ztuvG$m@HJI@n+dgTJX?xKg)4KU=-yX^B2J<1$Gm2f8-5ZUO zKa$I`@-5om%k(~txg?ZAaaP{0aJO^J&GPnyx6>Bz`oQZ|fR}gilo*Rh`6iX;2ABE$ zhQwGz+k-izDd=9 z*!9F|#8yPx;dFTW2ZBfKKx{>%9jYgQAC$sRak#d_S>OW=kGI2I@{Q-%_Q`&Enmo^U z+I*iKjthT-s3D2#G_g%#xL!?C2hSNEkKe`Q8_%)rb1U+1koaBVv%`KI{oOHk zKUsTmU^{b8?O zxW5VS_Z+sr%EaRxu4DE97v3K@e&=jvcx%AuV-hdrvUW~q4>)}!hwXJEZ@&F+;W|0Z z)@$*>WZFZqea|%7LPXkaI_J65eijJs+if~?uZXnU^zPt4H$2{M>%ffX*!C&ywn^IU zBcE;8bC-uVUVLM_5w~d@yn5kw6W&)GpEz!&J;HXAcIXK2ivqm7>+cc^k!kQvrkBR{ zClU)0joT6M-UtMb;x@$L8n>gt-!eQFx9Pj#i}4)WK8fY@Ly6n;J$!b!KTqGlkv#v_ z8#vs?!!*PBR{LIGZ?0e*mB(ISx>!L9#Zh?&!`pWYc!S{$D8S2GyosTR*fp8{T&$l? zCx#*#?`C*i1Hq$sHz@4-DW|ctkHh2fE+XG}j%}Y3?^=m>iO&w#HGe~hA<6S!Cg|84 zEfgPb8RwI{xx#T2UL^;62$6#E7G4bpdx&r3&4gzgxs1KM#hVz4$b4i{T@#$;*TLz; zP(VF33M(uKy)7N%+7JJQs;8DBK79x5b_D`IrzL&yTvqZGrUP6D4;qi83 zt)Ff@$F@&tx8F;rBLcdXqK_p4aTIh(%yeuCLwMx_;O7vcSi!`8FS z@_q~NJ}ZYcDlUm~ABFee7Vw^cXM2cDxp`|p+C)UIBbn5!2(F9Tk2VpJ_N$h8=kh@C zsQqXY5oy2bv%#-Q;?)k;_N(p+ex2d*_WL`!#!Dnp-UeyEw|usr=faJYA({J)VtXo~ z`1rkox%a)f!t=irTmDq}CI}L*OY#_LAr)t(d5gA9-L&0A%Jl+oMM?#)s+b3mL?@v2a@8q-j zeO2N*g1f;b8Y*GDtGlBXZ3_yBT*~zYT3Bvt$q_6NBAbSOM_lf{U*6wJ^yB1;Cx;1sD5WS z{78mi^@aMq_WWS$#QhP~Gr){zWw=E8%|fYPozJ%OAo*c!bIZK8`Fed&y3LVqb@V^b zv+GmhlkZ1UG1KJDWb13=v08ZS1*#hyH`DHM9+w5GX;+cW@)pD68biChHEv8w|CtBj z_(Ou=j~4{NtHk>$j#oaQZ@kL)SwS%SPio>@{qXYxbFYZh-=y}k*!y3qXDg&3GWt;8yvS_`wEY10o8W>Bg4zvI3i{u)$mPf zUx@u)yPBAZNPKJ7z&kq-Jc{qr3cL6|4}PxU@ptXiN1-hga2-t z*V4GSW$|MF#u(zUO@H^C9-S_t@xy+hI<`kDSWkQtsfKS-*E`rZnlJw8a&^9V58nNO z;K})b{>~;ZD^ia)q#l3snA=CUwk&Lo>L6gjd5^zIRKMxfv+bX^_QE%jYWOB~Me-i+ zyy2T%uGFJO`see3;K_P$ZeDG?(1@l|4|$(p^#-4<_4rRKZLu)c8k?yH`-N)O+fWL% zhxjH^ZTkzp*3Aw2`g+t1P+0p_4RcTRdx|IOQL`O&G@fJIC-tb=iF(xR;IpkB+-taC zVZ22OwMWg?sPF34v+c3QUE3|DUkP_CljPWQg5a7HITi-N7vq9p!!wcoxBV?_Z;6eF z_+V0ZQ9O>LU9R>A)>YyzcruR6=QYL)jc6)yl;0uL9PP6$j_$Q_;nO2P3&wFU>c@KZ zY#j5h-`3!pNDX|Gy8GkxU@aEWdd!4(NFaE!9+Sy8o@3jm)T2u35iKHGkB{8z-eT1# zT#r)Jt0Sug`!&9a)WA2X`&-Z_>enk=uGV8UyrMwxWIfu*H(qE&Q?8fQ$o+*ibT$*K zN8r`}L#de;lss%dL#_dxH8yQqPO3`2l*yb8P!$A6D~YiO2aq+v1UUY^{Ks zUf$=-4zdu-lZyMgFX4YB75_whoX)`PWiC(i3&lxz>`|L!%JeRNLF`sSo%885HmbN73=Xkp%AM_UAMn&!R zYs|*>8kzWo{abi{tlc zm=d*{?JqR0e6P7L5Ikx(TmJ>0@mKJ_C-E7bomFYK89l)7HaxZ;t6}{Qd7%@Ub|hb( z7f|z=&kpyqOL%?6QvLnM=HHuJ^C9Z-_5U4jD)GgSGx#&u3)Fn!;7ohvUEJgxRYdHY zGN?=E!}(eqi>5YB%E_i>wH>!ikI7JQkN3z3|0&&YFGV-;4u@%ZxpIwvWq+ zvzE1LTw;GOjHX@RFXjHF8GXEZ!FgAC{o(HAxS4oZ|5e^W@cI?tw+Y&3hqS5Ro;eNPM)s}Eyu{-$N$X`DqUWK_kf0&*-az?Yc0nL`)Sk4F$A1oI zZVJEIaORKN3&Dp6v;UB8&y&eFo@3i*7xLxr>N8I9*&09I>*yMk`$O&@^_Xu@X*T(_ z)c^NpjoN`d$_(}gp%iRa;Yoe$dP2c=72c&B=Q)d^y-d3bj~LCkIL2$QU4?fY$K^?$ zZ`W`<3-3mbt3#ek!%yG-j8PGJW}(UK=Q|Yp^|7RO7|S@`-hbQx3)4YM&kFji{HBzKero71aQ+kJ4W$yH@9g3efjt#A~7?Wds?ttH4g6u@L%S%N!yi?QU{>Wi0-+J5eU(9(j5YT>7&h81uiu@vDN)iTKuT?=+RW zIyB>!1nr+;e0B0qc2Mt!U00C4Xmb4TB#yCmtnKZPTDZ0EgfyvGZ67V?U)GLo3wkw**}&h#6_%XDPCn9Tb; z*u}TEj8FBiPY4IczaIo$d_5<-{8)dVw!J5H@}-T%bDrDoFn+b9FpWqJQ>9IAiP zcG8CPV*c6ql01`n&&K0-uFH*$qj@i-JO{%y^*@O*t>9y-obQ)@SQ@{iIcP zui+A}nB0dMZe#n}y(%(h!~JRPC78L~j8JYu8Vd$37)=Zd2avD{Fu0?1&#G^LGvE{k}qCBO*TATqn5SSJdVe_SaF^JI-I0 zj^m@~8qcxqvlIF9oZZ^TeYU%flZyqClPpC4t`-JzZ!*|Fwj0miVD1@j?wjK&{1-U> z;B*ScQ+R*jcs|C9$J6$6^4|K|*E#+i@*-d4n~(dOEbs&=t}9Pk#SBgP@7AJA}POm&!m_-w?0EmgqOL#??w$uP62EX!{{QICpmIXPvDV!qs;BG?zQBYTVS)8M&PIgMM4rRV+K{ zd8YXhx3jau>U3N(Uw@p-jhi&Ns601ubagJLptr}_W!(;DjHKs_ayKgF$L&e~MC#yb ze_n^Z(z2rR(G!b8liuFJ@Q7n5I~*GHls@?D*>xPWz6qItXK@olj(Ao@q@my)4WtanTY;x!9H;I zcR4quUqL@){c2_3IQ)Vj*yZXJ&N+X#AD~Yvvde}*ccR|^8pB)QU~AW2DZD-idfguc zIa@DxJ;M4!-s;~7&N^~NAF+;paSF$7uk+FW!tm-;eA}#iQt@%ulTzgq7xiD_gRNcf zPT|ZQij&r1W7p?X_%g#!1;@s&AEoe<$fJGgNJYT4HGON$CurnN!h=_^hxU|1AKMD{!ND84vwu1j@@l{0Q;qAwS%Ll+k`b**u10SL^p1aBO98>}vTBgX3!kM^DRt3>;s3J(nsUJ+=P? zI6i>4CCW!n?LQ5UFBu#?wf{UgzU+Q%%07B(|3z?o0iT_)kDl6p4IE!GIC^UT4RCzf z{kD|-znFc}I(z|Nldz9nE&nZWY-MolYWe>G$JY#wo|gX!IKJ*NBvt;qW}mbUAHYvb zl>dRl`Qutphph}wxjH|74qn<0PPyveSK!noqmQ2WSKk4gy6o|*lz-@n{rVo@_yXP% z_fPc1emzGWzGQIxR{OhvnAs;4U%-z_l#ia4UjvS<3=UiElh)%y@A)bF#~4mp zkFDPPF)(3&wBe-n*y#PU6kcRFX+1W2|2~D68cte|joxpk@QH?#)?;I@tx|Y};iUE0 z*el(?stj)g$Hrb0Qu@SYn+;$s>_h&j_=H6L>Meex^~4zcB#vE;ZyPwaGB|cMzN^6T zaj)xA<)bI%H?pg$$5(J_5zXJSFH-xbfa603KhgG2pV7Z1KA&ax(e+EkBG+0OC%PZ} zcW%t2iIYpqO3RCL_I^XYZqf9pqcM-qhoV*5@oZ)P3)}QuSdU zrv8%FQ#bG<6Z+^W{!4IdWpL&qwJ&oLzU=*B%07EM#YyY2wNGk4QO`Mt;-vN1*k@Tv z|8m1g>#?!VB`KV}oa&Q`t$kAat@`T>C#}cEK7UH-|HN?8dTi|T?-YK!;dg;!qt6b8 z$M)~_od1iwe~-1-C%Gv_Mdg*bN#$j9F%{n)V&{LD|Lh#6&r!j)Lhv|o*82Pg9zOL+ z$Lj%W57K(t417XDA3bfahrzLx!O2(qPlMx2pKDU}ea!Sp>nQ^~u|KMR!r?Oy0moJb z$F9uZGkb%RX7J~&eA0S+?YmE^eEPBYHk<7yE4 zoIT6ASKq(b{ypAad5IYMaCLlfepxlOC|51#m_sz2dR=svQ#t?Oy44vsa?ZUU{MUkD z80YbabLM^cZhZgB+4*mL`u^~lD>p2o?t*`4ob9En#0 z*9Byb=r_Z~E3_AuGm~>UjXP;QZPV{}$+*vCzEixHYny(laU${F{Z~QI@(HhAy_RFC zoj2#r5B!sM$(@XS+Hl`o^1MpMgVZBO%w|yPVZWVI{*$l$QreL|41T=Cz}KIA)gOR9W$kx%N}qhy9}Z4g;L8&Fj0e>p0Zv){ zyQK67+qfaEXKaCAoX{U;IH`=S3{JT+u4j(oz(xkAT=lO6oVsLi^whs9aO%?k+LV7| z%|7W&d;tG$!awY4`4@p>D}z^9`8DA9>hajPn>k4=g2Q#!Kf|Xd#o9sqD|0;g+<*V% z^?{k#*Lu{$qt5#eP1S>ZjYA_iK7+T4olSY`hvch%3pi!%e{)KoeAQNAdJQWm&O0a5+QSN$`=DQiG#eVIwV>YoEnS>Va~ldt;cfm7CiU#H3^U-hp9 zrz~*1jFo?p>0bj*SqG-}$1^XrenvWzz6cI0rjK3ihhKqXD}!TK%fA5}Uo$xQ>i8Xz{U^Zj<={an`{=3tr@`?BJaK(u zCVFcBd2oEm;OMFS7s2u6;5$0mm2cMG61WQ~R%h<4XodPwl?}jxUF#&L3u? zr}p0h#~1K<3H#`&{dd9fC4-}<_CEl}mqRX3`H!C3{|`96fG5@c9Y*=!yN@HsJV@!M}3$b3MTE<HSjfY z`%dUiTPXf z)P7$VgA9(I+V2mJF9T0V*+)<99|(>w;LVBpqo?){1;>{Rj-J{-3>;quzLK(!p4vYg z9AChj686zk`$vJ}O9n?z?H>b06&@Y;Kix_^E7SBd0f-g;HQFL zkm^7D9bWp+i64QV3H~SRKe6+K+zT=yz~Sn7!spHI*ZAax`}myr_q@{dufy z89zD>{|S$}9{x$H9*hqiXYYZZpm=f~MNh_g17jd}Qad<$YG3MsFGnm-+2{U0wNIME z7jSm7G5^pLyrC;NwlX+>zZClo=;ZL_$nd&T-krzD-zVkooK2Hyj~ejflGg=9PyUX1 z`oF;&!KYd~$^B21he-kYqi%tul2N3TrPZ`t9~^&Wr43ulux;;Uk6TE-?=!YkDmIs0-QR4pPtZ1Pwig~jxQO!!R(VZ z;LC_TQ}&w;CvCvih>0nDj^U&Y*cfqg3ZG{U+rHAjt|G&m9l@f>6124 z26$D%{<((V29B)^j$OHKd({o3T(caxee$|(!zETeX#>8Fd^lD96^4^GU~A;pDg0`~ zuLsA*u_Y;-eC;1Mf>$ff>J^I*`C9*Xw^e@N+*ullcoQx4G)?=X#-_|PfgfIPwhVnj;#z% zzS@5d9A8GK&PyBCnm(zN1)jLB)$o+zqz%~0;MmpjUjWBO1}9(3&x7OZ=+u2R4KJHM zsgwnNVWNJo8omx3TNxa?(teGD!D;IZ{-%{r+JLXeZJ%ntcMKIyzFQ9txFejCBDmBGmu`;DEz@nOt1$@19(sJ^5P zlmR|1ZeR4oeq(oVY-RASW`AdJd>Jz*Wgk8LyJ#=QcZ=d!i~4tCPqR-dKA@k(v8(0x zc0Ocq>}vh?b9Ee(y04`XJuSZs9AClbB+Bn&_DRK;431qbe*iePGC28K{xEQS9Xm2r z|3gflw2?Bv*?q<8KiKfe;MmIG*wy~IhWNhP4nEwLG zPTGi#qSX4zRpPaSwK#ajbp zQ=YxVYVs;AKBSGr5d9>MU2UHRaBO98>}vUQ!0~Zh>b$QJJt@CwDLB4@C-(dDdkM8q zD!ycJ>?(c*IJPqQJS(5H5nsnWm5SdY!$}*lHEv@H$1n9?{KH0Z>b$mbh2f-)*eGsD z=@UcMmsnz>ICb5!agE_;fMcWh@svLK+W*c5AFMc5V(mk|+CLYZGD=eOUnBXde>FH| zfiF(zUugQIjg(dLV5`s_X+(Q%|2-(KJaq)r2U^7PAax|sd*B=&2Z92Z18gLBz~viq>b3%rPxXQ9>Yl+ zvBArnllc9HlQv?5mmnwc2Ms4}#0D<|PU6Hx+ixv6Hh6h%5`Wa<_a->8mY3@$;)9;X z?@96gQiaBzI!C0|MV=&Ah?;P@gh0ZZ6NPwkHa$CnI_p4uM^jxW57 zDrp}*wO;~`FW~1T?4zgl%fRs^gQKVRCxhb)FIP(1M^Eing5!(4d?{fcJ+)sAjxQM; zJ+)s4jxW5-Cutu&wciYmFY>aVgnjhX{v2?8$>0rUe;zo#@bZ|Xee7!gJsZ5M;)&}& zv#_h>F9OF`dHGDDeC%rZOTqCqgQKVQTLF%*yi6roK6+|@H8{S=%T^Nh(Np_t!0{!6 zqo?-I0LK?z{*kngp4z_<9AD(+APM{Esr^g9@g;*37qx!{IKJ?*i=_Q?EqfdeP)PlVI$|s$LkMfd; zMER7f{;dVaRtBeB_3ut_>XN}JSN*#O9LpITJ@xN?aO%QK6q5d-r}iHN#}|3YLc%}v z)c!-@_>#fVQ~QsC;|nhvNZLnF?LP&MFY+>ignjhX{&V2?lEI0K+J6BYU#2~jvX5Pj z-^<|FE1vAX*wyl11;bD-V}X)(^WAzNB%{6Z=hF!SRLXCnoHRp4#6T9AD%i+Hw1$ zr}le+<4XqbZuWbF;|tGCOWN;g?L#{2WyP;c*r!}=zdo)F%uh{}tNslG$5sZXT=j1RICaV3l&k)Y0;et+ z9J}h@Sa9kxxSMCVT|{Q6fH+tNyJ3$5sZXT=7pt>XN}JSNvQ4DL8e>;3eiC zX%ltfC+o@hl^IUjgss}kQut)UE5WhBZ+w&b4?9bikDgvX`6)QQ%EQnS<)7>9pZH^Ne8}L~)!!#yPup+c-hu0r ze>ZNr#L6da!dK?;WcgPZej}Lpn7aNZe{Yuii<=Ll|2zhMYsx<5>ffc;gY!4+rnNz@ zg#Xx8|K0?nF3sDf^r^ST=TUHcY)$v?+blk$O=k$+VQ9ksord27j;#z%e%Bdb_v7ay z?cn5V{2zov85w=@RsT6~%F5smnLcR~Wo2;crS{i?V=IG`ul0Wi{6?NN{d}r@o-%#X zCd!b96(#DAUuypaaBO98^40&B!KrHoCtvkn1*eP*PQK`iG*MOt&znAJ6J=%aHyytG z&*0d~;M80Che*@)K`{5FRR4J2;zQa*EO{tHvj47g_;T(AXu=i`2T0=UX?J`O8N&Sl z3x)?f%J)rq8{c2L{=59A=wA(9ZT&ZX-^ACVLEqgSJ>n(TRhxH#zrp1Rw$QHI%Xg9Z z@7kNUa(SX-&s|v1vb@Dz$6Ld1>%U@+_&LAn_#XXZa1gwGGxxXLLfp>g_y4C;2I{Tc zi*R&5XXo4e4C5emlX5~?Qk9Hj^IopLf;SPf<*C2J$@(&6p3@%MF$h*v2i@g=-hO|# z4xP~n{mI~ePOd}E2ikKK4rdD}rFv+sS^`O#aqusn@TF1HP_)J5~NMPJj8m;FNXpBT0Sn zWt%+Zvc`&h_t;2(8|H59Ge!LN>~V*4S52piVC$|DpB>8$F9rXD;oAnCejRMPjo{ne zV>o}g>feg*UEp*LI{h)|s`#EG4X+4-5AHMl7{1R{hF1l_%V&DLGe%Uu|8m2L?+3RU zzHQL?S62QoVygbv2f_MFBKkKV@NhPY(gpv$d--yh|!49C%2`Zd`dDrbB*KY;Y4 zwVitIbx5ywfB5m^vu56K>#xpnI?bmL>lN<%rVF{h=CTn*)s-V#dC2wAgO)8>JZRp+ zrp9@L8s{x-S=>12upg&Xf~Uh&c^=>zpZ%9 zYnwG_c2iRKxYXjk`ujgnl44%@k}Q!B@gEgF|A z=@9hH6^|LCj|UlDR$5%1tLzZ;$dwMImGq$;qSF(2Oi5{ZQIyp!H@R}k*wS(1guiX{ zAMQonhKG8D#=H6N0Ndv(YbF=v7A;-e+}7HfTiT&RcbBuIZDou6w}<=hiA{4F7t8;* z&sA$@iHhyclUAmV<;S6wLvlE_Ee|+Z+|nXh{I6>+S6p6IEML3ja^)>cEx)HcW@PM` z+_a)8Q%fh6=W<&Q8GP7bhYcyiTIi}MZI?r+xT=}?rbY9XEs;YDThP+doGY&?E0eJ0 z^nbD1^sp?ePJlh7g!Lh=meICgsniemm$aO)tcCwMpPeTE5%WtvX^I@cx(IDeNC?=j|Pk;&BgNzb5iX2VY_9f!K@jnpyvw`Q2MHHdpI z^HxR=>NSaSF6B3TmjywmZqfQCzP9WDUQK!uI4#hrZ?yg>z6&_>&Z=jL8S_b}qb#nW z{oTQj2mcc|b9|?|X#G}w)_-ZI7S`c?M}eGuVvy<1ot_ZBEgbt^4E{oN|Fh~-ZqJLrS%>$X3;t2cKIN)^=fR;ao&S*Xk8;(& zQ^BcA=eJY(l&k(p9jQx~ZBqVGuKM>HICbfAK+3<-;Nl;Bq=mY4i9P>D{VxLNI>G9I z_&x;wV#q0Ht-$5Uj$zX-jZsc`QUrv{{Z}dhdt5uDgRCYr(ISvZu-3s zJ|KnDt~(3@r*HOK7X;g{OO<~T{!9P7lH+i`Zi$?67~zKK0i5dIpsrls^aEZ?i9K>>`@>G1t-}5#6 ztHZzE;B!*;u`Bwk{)Rqf`E??U4{_1*e+iDSyWNl~|8{Wfw%o-*tarOVRe$ogXWm~u zkMijQyFHsKAG@Nziv3gzW%>0dET6b(`F{b&*FF0UzzHEk2(ESFQHFaeq9U8e*&B~i0A_<8O5@@EU&qbwFzTJrDjB zxWuR)d_<~!UZl+#f2;d|H-gus`akuS@wa+E@D}i8sq$aLzN|n0;UJcS=cnTH2KZ56 zA8?QkJ|k8Cx4@-+t|va=pT{okehH^FZN|33KBsrq4eYhugkPcXEMbvX7rb)7%( zOUhsM56Z_^zfOntKXLxAdK~;O;E$#J|J;6OPb$C3A2L4~KPgv!hrj$0^szOh+Hl!N zd=#ENG%i@uCcEHh+_!czzp>du9f$lV8JAY__gX}~AEMqjgFl%pS8PeWmp|j`K5R{- zFPPN5wWoY@((+Z%wK>>sE17ph1dmJW&Oz6Yg6>offyw~svSnX2#KaO;(Sbvmubz#Ztm|NnKq#)g%X6VBI|)8i7q{?f`7 zL#+q?X}v$v^9#1(Jn#F&*^1bTz)kvz2yO zbr4)iYaQQSJO^y-`o@m`x%k!_Zv7GCT%>gs$8`67(-riyYrn1Yx$OCG<2*T;{)RZ# zng95uaa?Cl&B=`}E1NWCbgsnWSOagg^SiZ~@9ZnRylwqE9mm-9w$?Uu7IB<{J^fvc z^G8DxVoMG*t^rGw;yxWl$i(LaO>}_EtfMlx85QaiNk-nKW@>u{<-sC{L*&%R8Ftm znAU!BEBFtczpboUa^C4>zU>dv{g8em`vkA|Am2F;ZO#+NYiXyYwl21(M<4TgzvDY= zelzRds-K|u{Q~oclt+7by(jt3K3~pRSK)Jq8*IK$mlq!|oYRTU@;^%dq(A>lo_{=l z5f?RDc`ZSXOEX;BVjvEtm+4+?9HPmtINKtiZWp3Q)(z2>4 zMb46z!@A(>MI2gL!$awGx9yK*d~Z4|%uZZ4Z+$P)iIp?8YRs6TsZ*Vbmh*P3oR9ea zxi=SddNN+lU=JkL2Vx!SXg}I8Wpw#ixkP30Vm|iu_?q#f5pt$F{oTf`)Pv{phMIBv zv$u+LTtA(7Ty9L&6e(fTnjrY};UM_z6OJm5Mvjv>9^kkk2+q2Q_w32|{V$2cZ#K5W z`psr8nZ0jRSm$fQeYERWddamVH-A>HY1!i3{Kgdnbec@G+w8v1#)>56Iftp9bcGv<)Q*{WN*T`n~a+@(z+P21&iZI{pI`G!ff%hjg$lTgS0 ze@C`mjyhsUvRzDP_Jv-z(>}r0QM)`$J@9AtNwVN{F&pnAXxVx>Haap-_lp#FIb~R#3z%j z{t@pNQr|x~WVUhL|Jtc z7u!cD%zIEf7V+{i-f6X8UvhkT?>p_-yRtj240?3jw3d0B8C}QuJD4_}W5+)`CnhG{ z$9#~>W!4*0@#TJQ<-Xx;`aH&YbR! zFq`?+@N;*WF4`!fvgs7Sk@ zKb4L%2fj&{9|!%C{!GM{@j{ZO;?E>$uXf^NYpC~U9Na09c0qsUJI);VCS9IS$0vs^ z+DeWA&wbv=r3SbCql32(IbuNpOLQ&Gu`?*$^Dw!H?fFU9P@oF?9d#nk9vd|` zr_Xse!rNrMdLxOq?c{LTU)Wy7>Br~s^|Yn&%mrdWmzY%nBq(>vQ2 zx%aDv4dtjlt{V#V{)HTlyBZm&90P4*r^S9T*(p+pBF)mn-ch-{-s)2=3b}Jnt5KZY`YMQuuJE zA3I;}+A8lcjI~$WLFgGTbV5_wt8FOl)pm%_);NB}>7(UrTDNVH45--$x$RTfPHp?5 z##|Cg82{#$1qy4Q{PU9Uec1^m@3-(W}*KK34W4w^0DgB_W zsDEGC-itZdssB?fq$hYiq6OM)6tPwgCIypUc&9&<5Y6>(@d5q(4ku zZN>{pno7SrP5Rv`pRMJ5mU9lVlFEJtho$Q!_A_nlI@{KGwXAK%@ID1SE+k}_-nGwA;D46{BV!U z)%Eiw=7+lj!IR_rX6k9Y(1@lI=Q||MKlRzxo?NJAq*BLC>$Z_N?>D-mJ2~?G;5yW9 z_iEYrg>e?%FF1bYxS2TT-MZEGCx^GeH`!)E>U^c`x7aaWNYZpG@}Jp)`Ry! zyL#|G=y!Uy#QTd?Cz^-uNBwcHUbsHOWBqUQ>wlyp-+X+8$NJyq*Z&kRZ}q0lMB3n+ zY;$dJbkx58aJkaHb8momRUo)~FK8EcKHv5R`Nj*4fQ*iPr#@W&{cOpySqm2}ZCpIt zkJGvI0g<*>T*~J*n}ThU=$!sv$;EmTJ6@y`DfQ{g>TaB#9$}iFXl2Y ziNvnAcH<|@iE%%-KV=!uvF($#oI6nbKfq_3|9)YY`Bm5TdaA%b??0JyqwRp}SL|!k z>-t>bvHtVYY8ACF``^^MK6kpqg>TaBhrxl#b{L5r$LrAL|ozWzm9BWjGqGy{=f2Y#(KtosiXM&O6K+db!yL> z@j=#flIBxin|l6C);)QP*PBvZ|1}c-dnJO$aWEHtOXq}WE^$yT{*yB$lhl77RbE(8 zZWapkU%77JzX0z2mxE0fayAw8Gdupz7dqyy`O-N_1$2392X&Vu^fvW;BN>|7`BhV@ zcHRWtIf>x0oo$p`IwwT)E|hN-J6HQ+wex-MpJAw+nCtgmF;v1(7ZmcN|9JiXP}YOq z?iR#s@?r|@adr#cWgP1bZGqh(-It)-vIBIi1?FE~gf4IWc)h{rqu-`pdnTu(e#Cc@ zbUtY(UY<<^_vaJyzh!Xs^Hb<+%;1k2Tzs;i7te3)Y4JzX>&x?5Ys|NFhT8sRzL@_r zX@?*BV%uLYtgl`?TMFA>*^T*PWhZg};H@h=xxn-OXU#GHUe8|K|3dcw$32F&sQ-oT z=N$JHq03vpGUrR054}yj%9HV_U-6Zs!CUbQc9H~7{rZuX>G$pzbVJTf77zW#96aCB z8EX5tE9LKs-(K*=>bLiZUvZqQho2jI6W6b8{1*Dp1+w?!Gl+ZHi!1I&q5B8NUkq(g zKMLI&9Ckk4F5NrOy}kqTICr1_RuQ_qZ5Lu#(tPyW)a$#+T;DFXEi~R2oDLn&ae(`F zk+zVe?RFse6B(Qsmn8jR0b~FCr!x3ZgKK{{3;bIa588DBYlsDw&QROGjK2%^rCk>c z^2MetmtHddmYDvKjze-?Z^2;CRgAp*uYhJZ(qXMv}Jkzra^yaQcoUZO6yJ*Jp6bBuPK3ka6v- z4E_&;D?jI^3m#1Ruq8(v2fwA<(iv*|SNhq@5(m%vV$;v)Vlwb&`dJCfAn9|1x|cXuV@N96-a_{ZM_$Pa=_r@`1Pkz~B)P|AQ=iFUKf@=I#QznC zKzCmvc-lVi8eILy?*)&=c*SQ^ykZ16@0nd8{?+#dbIws|f3b000b4~M!z+Ko>)Z=I z1haBe3VzWqEB2v1D(qrF_&Vr+*>;HfUwR2dMZ0lO>obu0|JP_N=69vrgDTkhce`|Z zL$~V=$lDLPJ&VxgO*=~8ktF(U>T`9_&!p`nX+QfLcKj$2+_$5&jU;JjNyJ5r(|06E zJ6B3SdpCoZ8eICBqy;~>c(8t|IDz_EIzwgucB1?wxo%zJi?ttpP`O}EeR*XKrM?|F zf36tk$%@8x#TN`s1@t!c9h(e_u18c%Lzbm;M4ES|{49y1>Au*`p9`w%Oog~Er0Y5N zsEM!NB-qaMY=!l6@hx=ca+Dd`f;h?Bc5N|u1@t!cJtNsCwqqW0ES)3Ld?(5miyaGm zvD)!orM07u`t{&Et#gUF>l66-LfFLdHWk#**&%cd9F>M}yL8Ra)fS=4TYI+{yaKs4 z^=+2x2VoqoMUJI&M4C$+tsf@l_+l4Fw%O7+YK3-_Co62Hysgh&hNc2~oBH0EjialO zW$7G|<`PF=7yn=Fi(MRPePn(p7Dr!%?K;m^SU=}~p}UEr*!Q{T-`tcV=Lk0DicR^701~#$g*^fNONh|7o=T(=Zm#n-_6+pi~AH@Z%gk} zq}R(UehHhMyM?)+ey&}GF3<6tQBgn#Ci8a%W4R=eYg50AlEeJ^s&Z#jD&u}-Pw0jx zf=BC{inqWlofD!t<40vDvGW~Y?AEz<014*pzA}#N10k2)7)~sQ9~W6aRWNV6RA7&@ zTj<(3-ZPZjqpRe6wBq9;ba~?+u~||Da&7AOm1I=vA3N`6iaO_@_E!c(=f&Cawz4l`#CtXsvs>v{`&8~_2)9djAap}^ zfbKBph83a9TR+`A z7NN^q|6sEup|`1jY1p6aJf!})9=d6X;IV&}Q*P;;5X~hH)`@>s_+pI%u8-Kj|s>`6`JLcfApKqny(m5fT%eeJ``1w1&SpEFb z!n*W1?LAg^;>i{nlH3zq@>`x4ljO$r%9{{#rzz8NeLt!2c{`a`CGce>A<1QuzXWmd;fo&Aa-!Qp(gXAJ<+`W&5^|hxZLfWxC`T zFhAKfTCY?x$5mRoR6f6?U;SI!`*mNedOoNvcQ;t&`ZSMM+V&3G_a)d^AGlPs-Gq+w z|H^+EN~Qa6E*;PEwms~8T?xHS16Ip({}!)eu9YPIuHu}x@>hxAQCwGb1G997+Wy7P zs{Ytn)x#HSJ>Iu=&K3W5Y-bf5Ree31X@?HtQ|WerwwIwTW~bC$lF-{U;J3-ashxH{ z6+3GNV&}(+;IW-U;kR^7h~{Fa-1o0Kz!#@>)?843vDn#@^{3o-TiK}uD%W4D2E%rU zXQQ0wN&a11URPbQsC-V&FlXtHgom|+B-Qh=C-cvrRLwNF$hT==_hf1mpH*+tIX%G3@m@Zoj0WBr1h+YeT8Q) zZjaEd;aFy9RZnP-onNYij`_c;&C#W@<(IdcIaQL-+cfaSbE$~O)jK@{> ze7g#In|AqqwteqJj-_)%noIjWBzD-zn!>;iqKp5_I<~|c;^#Uauit_FCn`<<82(@$ zckL#04|1@Ekfd}S#kJ6J{$KUefG&)KytVsZ2CstNrd=OT%Hp_w2050_5oz9q@>j*~ zbTYQ}(YTg3MB4MabG}!SjqF1Ee~FkEQt3tQ7rMW2{NB(OwO{D|&hcVE7uxUqDRe9c zs{ZQe(mKd5-w%jwNmbC>wA%s6MZOwE_Xxzy(zY1eeJR6QSGFlKaxp)2-YTQ%1ms=tuR zQa;Xm=2g`+$SJq?r=5?4-lpB}$-M7ay#QJ1yyfWhIm_zGVO*Unp{6F8`c;e>eS!VI zYhTs{)xkB4qV-`el_bwt+O+%2nfDc{mx5b5N2ED@v3ia8Yq>ABdZa>n?hw5nK)ro? zE`n{9XEXgd^p~?k=+<+rFti2d`+3`+i9Jd3K7dVo^h^#<$2sOwNgAiGLU(K;cpRr+ zq1@6LYWr90yg}?_L12@yv$`s0UtO`!XO`|qG;>UGKPT|K@!$dG=e9_lMAZ zgX3C5tMVBK<~CH#R=T{k^G61+hTf(jy{YmOXr9*m-;-?!Tp{O=`)%4U8?Vc_OX;Z ztxu-^zieoP-ljp9W!CN0&mzmxIU>!ao%7Pp&--H4^IpzWNY(}BIoy39kn7~Bzn+5a zPoB-hb2vV^cow>sIR0R0i^elCDybTJn+E+bxK3BYTqsH6xu!34mn4G6@%#>$r8Cs_ zFY|UySF!UwUu>^qx)W{fy8_Dko`AOY`pXNc-Ty=k>jRgF=MIyPbLeYi-SD9yE3n`B zN$9$BSZM`xdD9=nc1hLH+cfCS&`$$Rsru<`=w3|(_t%GNjx@NO$2Z)={I#dWA5E|S z)C{H`md+{BT>N+_eyriJ$@E*cGLi>+`9iO}OiS`TqsT?JSL-jFV6xc6x zQ#mFCbfNt=zG{T7jAOE+b2YL5&s#qcGm?bfrahaJoS#=}8cnIhSM65l`X+)${jf&* zsHJm4G?)0P6F-`{K+4pvk1v|NNEQwH{~io84|F1i)NUx2N`&=|V(xBlPRDRc`t z<{7-8ABA*_psOlEm$&}-lEG_`Ytx=DB|M)R_Lnx~SUN|fx!8M|*t^;ntGyqIy?WY= z{bKL+h4!|Kch=tPkz?r` zk>=7*?i71(@WrNm=ceuZO5fEqtu`=iTyTC=^A*^?<=KndEp*@EU=1OusNF)x`k>~P z9iU_WulZgPy4EClm1F!2-d*^tym!pEiixw@#YwX8nk4z+NDlh!#?svWjQ9F5r0)>L}B+JeO0q9*jP^^=n*f-6cs% zE=oFe%^NxHZwd97&lq~;FW|YE>#vM||83@aD+GU-2>)Gtn}y$7kv-|Wq|?q_9jD1% z`z+kw{J#cyHhpGxrhOLnAWkfuBhsAqSvZ*XS=h@LoBmV2!~I9%Z{YyXUbJ5*-R{u# zHMGUrN9p#04!=p-F5M951}Rw~UEaj+!p|E#=qI1KEbE`ckYni#wf&3F7oH;iVZygb z{quqN=fVbwVBWW7-;H2=vCmey@p9n-u%~ldVLk2qpmfJVOTU&>)NYY?BFE7?KquqF z@jE~_2|AtqI?$W9ezfzY)YGQV{8a8s1@qFvGmvBH9FgYYM|uBl;VfTl{3zqV4*HQb z$HH_@Ebbqno6T{KQB~BBLU%F8`8z;Y30?UP(A7dWzX)C4`f;nl7oy*$&wP;e<8tI! zI!C0r^w-P9k1Kq!8BZl1B^o6jb-pV)o-S;J-Ok-gUu2$FI_B<$t5j@pe~Y}!I2iLj zQ66iSg_@S7^OxPOH1PLA7lfbK`oeSZh&ehMA)gQTMI zEqWi~xVH#h-un9;gNxlZ?R7!s{qTjqMvkR(M4InJ`HSN3r+u;do9im`tjEoI6DRB0 zS>R{Za|@sIY{l&mI_B1etT7}NwO8o=!twhebgi7rb4}oN>ipUV9IUHeW6U4Q+||2< zEI$7=U$Vuk`N2mKu)SfE#rh#beb*(G*cHF+TtTk%KrJ@Sz zXV)7_$2zn2BtyGhy3?R5Ekc*K_SP9(3spt-`pb*E}m;=z-GsGVRrqXE_mLdigJ1GVq68sGS<`2B+1D)@O<~jN%Ezy za(tKPAc)_(AA9wm+7I9FTa8GmuT6VjEd4%MKh;vV+Exc=^R5rzCZE*K59Wx2MvtY` z>$$>^$a$hodtaXH5$oBA9!uwlG?#X`MA~7qFIGM8=By%l&a~&S2i#p-sC+xHPN-!J zb*Uhp@-|Mk8j4!GuHb)r{~*}^p!Qya>-8SS@|v?1kFNLB(squ{P}{#!|C^=$nfd<% zzSrpd#J-8te?>`8!{h6J4Q$^|r4`h_mAR!cNj~^ol3d2TQ49TTS8@)19>*e%OE~OW zAeHjz{qpVmJ0nx{+q8E++s_`MewNM=Y0k7!`)l#fFMP3WU+W;+mwg+3`=)M6+xdRj zpY-g-$5WxBpVdBLXbb$AxA9=->ssh-8r(ACN9Tw% zr#Z*&(IA-fB z`_R!)|0D5#-66i%`rlSa#=AbH{`L&@cJU#5 zqSYPY*$U&s*&%eyiFJn=+M;&gOGzTvropd6&sG?BdE1WIE=iuzw`rd*hkm-s zl&YWZg6{l8@Yqk6Qf}#-5Y46jS4jQS3E#w1RqCfVJ04f%+GyREQ)xxp`3HtZ=xy5P zp=`gt5m}bb5os>{`a4p;Z}?*CUt7UX9#`d^hPqold!e6Q{}#I2Ilg6Ri`s*aC5c>{ z_IWGp-;bM8ZI4%>Tb&3Vx5tB&TRJC1b7_yCi@gu|Vzu`}Sq1B`O8wa%jPc8jy?z|~ zF>Jr^Y=w5_Z9Fl@N($D<`;JTEeedg7bJSTnLv8;`d&qtNy61ec){p00wSsy-vwx}9 zFEi2kda~xI`;%uctfy-ap?itr4~DjAd*EY9b?I7W-&T3fBX8~fNMRjs>-U82u0-&- zJ>H?*(iv*|SK8xUd0v|zHktmR&uho?+&`gZec)0-eQ}w*$5_9cp^#^`ZQA$#WOuJ1 zJddd7{NB+!V$ErXdU^i8zK1Wi{lZpE#+}}NzLWYp|JTD&-`BGh^}o{X0&OotTVPM# z+JjFe3B67Gz8F0JUw@b>mHtrwd3^M0B6#Hg`k`Q!&I!>RuhbtXb{^o1)lS(*zoJ6R z%yVWZ`6uJNor7UJ#Is>1&kb-rE4$Bs{RBfJ`zhNrmd**$T-xJov3Hg)HtkX2H z?(#OCW*eG%=xy46V6vz0&-E>)H26Nu{)Z*q;`(!;z|lD&noE1hJ^}SLzSz~@Z6xXU zk-QWW_~+|?5o`^f&9oEGRb=;(tQR_#1LkWIZe3isk7WJTMwZaqw10L#&-%50| z^PMRFlGw4{7pooSd1CDu=6T|iiat+U-wOLBp54ctf3M8NozPvuvB}V?o(`U;Re4uI zcUeFeK2Mvs?R&q$h2Ez98#2!m*E8MM-|yfc??U;H#qRV3UF&0?Czc*(pC@)5uDJbQ zL(F$m*(#@l=ZWiu?hX#ShA3*k(A~pvyOF7Mq5aOELU$j>4;@`v2l?gZt$Moz5dAjo z{~vaU_xQg}sr2Ip8S6fu2p;!?Cn>jdPKf3bKY!YnKfm_HTHp8evHk`LAQL|mi~H|U z*q`<6#p6fl{>br+p)Kk^q5Ct(^8sDxzgFH+-3U%D&!X2uzw*5#S^gWItN4TA`CtBZ zes6H|9r|q=dT??^bX~4~8*O6g9FgY4Z^N$my}?iS_*tK4bB<4+Cp7O>O#Gzh;_LB2 zL-$mR`nRJv7P>whT@7u~b`ZLO9PYZB%$s35xHuNNJvjO~d1)Qwm-m;QSEZgd4ZS0o z@8h^(gelcH&e5)%9Dql0+;AXzES(dgIc{t?xPyJ^Kbq|~rg!6a!+y{PYm8#^XG4k6 zAoMm3J2vzFX#>-}qjN->OMQ-)=XCuvZ~Ddi4OQ+*M_->&(4LrboBk*J_Q%iZHk@N< z8lbmnSXpMD@rKFBvUH9}bLP8-GbG-o`eGYzu0m4vtbe`#Y$LT}Ts70GdFJX~%{H6FeU-QYyK~8QQtF7$Y_%b&!t)UO_{2W%BfBM9SZy(kn^8Eyt3hZ%q3tb~ejiKBw-BRf6 z8e+S2E1|QO6t+vp`k-O?4(Qzk-Krg+WA1LSONs)$c{6`E&?b@^u-m2sj+gi7@)o!A zpSItf(B%@r>lW6TfUTJ;1v0iG}-t~Xi zZbJ86j+>3DqV{5gqz341I^f#ixoLS8T9VrP0(4(a1ozKPH#~1}sb<5UxeqeX;*X~H zi5ngQvvh{q{$-wSct-s3b6;%zVLm`3{>bm1K6h^X5qL%BarDTz{}Ya1dNK6l^tb$q z=$KO*9y9oM>7Iq|$s%-l8xQzIlIXYTfak*gj!z_s{}y$D?#@K;)PFAZyy{2k$o z46I?W=pgVvTRikHwmLdXZZe6t55&K3`(is@$oyf(3*Jw4;U?cd@qT(0wscM_?oXln znByIzqQIXrzrLHZ?-R)S)%Z<(A*lg=n}+X|jPU(z5p|KIej5he3yI)yKih`gmd;Sy zzr@v|y|H^yKVSSw?=LK3jj?DqPhQksrTYxDtRW;7*lXia>7)vK6rsyoKVX|Ap|@%H z>B09Vq|GI%A5MX;OCq?BpG7AdT;gXbV|2sI77yZM(P;QAouRgWiH}8}lS+>9#cn*3 z@9W5>NAmu%kB^cxK4d?YMaOxz;(idilQ_m2+5&&&tsk&WlE}4b_~pqVX*}disrrGj zdC{;$@F*S@F*h%=bWVuoVyB$fFPh5cX}4t$(mwoXRf@4br;Xx zai4BA`@xv^FnH?5t>j$4Uvv@d!C+Eghl_8aTf$-25Zk3|g|5-mal3S@p<7ynE^p)H zI)h6+Z91@Pa%yybvgnJ*xz52sE^%DtM^GPyW z#@_!VgM?b!v8(wG%_8Q1N&oCE<&48`u=-}z`A0^4TBfy!e=NyClT{HN7Nz(1nBxyb${3!4VnfgA+hislsk~Z9b4)ay# z2K)W_ytN0pd!l0z^K$tIJX%zl^p~1={gjLT#a!E!y6$vzM*pu2f8(X#=-O#khW|Iz zk85j<*PxHFcBii-y(0O)qx=aeF{#VgL}vr9|M%4YePol*&L>HiOA~rqP`^JJeDML$ zAgjwY8T>Cv(v!Ac^e^~d2mdJ9IpY5tcqRBhq*U?GO78VjF74Cy8aZv>^}vk%$W{Kg z!O_+A1=&S6;HO;me+W)p`ABc9|6RksxHCAq>c5zL5p|W1&EkC*F;^VV1|7R>tz8G123^vC1u{gQN;v=dtzQ4uE7bAm@n8x@47C#sq z8GLjz#wnNhTYNb6|2_ESaePU+`uAjL(7{I_WB!qrpIl;8J`x%EcZ|i!MOFcRti{g; zM@IL9GWt(Ie-qdlA{G4bgceETZ!z`iLEJC?f|M$brT{<1*8fy!u$7Mq#`ULM+kZMZ zNcSt^_?Ea-`!57X7a#wN`QelJZDdqg^seGZNBXI^$ZtFXe(KWw>5P2rR{OSq;|o6K z7u$zj+CCe=u?&2#NIvya`@aZ|uL|(Fw*KUcv9ZOzro^P0vB1AXYeiPq;8F4!KJP4%fu&sm-cI%2z~~? zf0k)K+3U>5Y9jscDgGU3kOlwv2&Y`@_d{^%z(-YL{ol9zIe8eHfe{S`YFQ$(2k%y>$@M-;i1&*u& zoN|@_J8B&Vln}f&lx?7xFWU^UzjQ6%UxyWJ@>KJF7SNXewBZEz$W1MoG4-Q5D6veBg zmcD+2EI)Z8I@oME=HJ`m9AzN!ULN4P|O#LWV`{#h;D>j9U?WbJ$F(GM0muwmt)$d}wn>0rJ z_*KT6#ug4_72wEK|9=dA68QO<_HVTM$s4IFn;ypck*oHt0!P-sEg8Jk>L+icj^MOt z(7y0#{b)a_V*yUN%D)brIt+XbJ2<*_nV;dO-g3U#v@7y|1%7Kho;Ff1weJr2@dcap z#s2-Ktv`7qHp*sxk$u!l{dYGwvI_9;+4{c%j;*_n%G4jd7wsbwP1^O!q?`O|&)e|< zxmv&b;lB?4$20Z2*Xk#4q>kWau&4a+Y5g7oM^*t&xypY6oVu{tTx|cNmY=*49kLl+ zBp*JN{}ec~3UJC*{&V2eg-zXJ`OjE>@@0*sNyis)Bli`2I z;^d9UVzaNJR@`_@9sapOmYAhJxeEJ#L8oBmGkO@s0H1Js!&NQ*Z6R zZ^C~~k__58?!RKU+P4ZEUko}t9zUCgSpOUd{yuo-e7}i$$^3j3*YFw-ReWq@AADN> z8tR9wgO+CM50AuO6S>rd&8=epA7Sy);K*WAs2HbQ=O466TMT+Fp1+zXSNX>q9c-2q z^HVPT%@yG2lFgK&`k!R^$(zu{rb03Qc#D%aA&bp&Vtk^-$(xYD<}xup+2Z6)$Y3*; z7@uZw@+M@k8AyzmS)9BH8Ei%oP`V~Fu{El%Eq3^o~v@$)TC-h>QZo{#Z4 z7AJ2)1}~4t_{A0{Z$btyJI6SF*Y+WALIyAA#(0gz>%oz+*OM8Xa*eN7z=wjjXX2~T z@{>2AgO@^M`7IVFZ$cI?QO0&ere=$zE_OENe2PwWsLTb;O z`Kf7>f7AF^3ysQ=TZ?!mi6Eb*ND#odo`u{p`WbkrP zj8m@lzY!c;2j7_)A1GJ;Z-S$Pmw{q_%9a0n;OH9smkj@{mY=){UA$xy^TVg|?*K;@ zFUQ0<TK;#y(ZxgKas6Jg^V93#{gY%! z=6*;M<=TJW2d@Fo+z)Ab)9^Rr^d@u-$=nZVLa)qk&Aq{Q1<%|MX+o~r_c1uO@X&Z% zKgvaaa~E*xIwUiGh+g4urtYGPhp;1l;gk8dxjQ(v?DvCs{*`i-KNOt0?3bDUq~6Lu z8X4%?@7fGMdey#@;KvvH<>UFg8Jo3!*q0k^p9uiJS?a<156wSz0`^WMdU+w>gczkY#PwPJ(oI3Jw zY^?tXE1z8IAP>(*^6{JMp9qeu0vx^S-{Zk)i_boo@h|0CziHsu^4ZM&l;)ExKi8_7 z(e>HeGW^tA+lO;kY0J;Pk!c_5rS_G9;|m@_jqRIk>rdW{jq;FcWFPfX`(}Y7s{lu? z)}L!x&Dc8hvW$P>Q~ei%Q&%3^jP;*u<&!s42YIM7(tp0ixpvTutO9%v_CE^N%K0Jv zVAw(N{nBRi>iBUD@%cya(ed~p>n^Qd4KlEWhdATxe*%ba=_CW z`S7Xy>%gfC4`uaDNbPysKWP`0|4ne}A`fjv_;v8h_3NS*dh-?*8&{5%6|tqx`t2A*hjhOm(+Y6_~{w_$QAxJ?m{=C zOCCBB+V;G)AG<`qq-N?`fZuQJe-s>F4d0xx{~>UhAIO_qz%%Q=X806;0vuU91QW*( z<>KFe4gsew!`n0RxrQ$MZQSJ&9Xupr_~rQ(`+P+6(^Q_+46S``_~aSmb2FySoIXyT zjgaK+{XKL~nKJo%(3zR>igB*eV$3ybwbHUeS`Wx5m1#g=G zj;uqkigB@}N1pzA0?&7FAMwy%rua@+s&m#hp@NS2OKR7a^zR}5n{$D|mvk1?rU38p zb&JzNbJwEdWN5l2$=8x@-G#p|HjDfvJ&`pDTt@otNvFG#?kayT>ZCY5Xc(jL>a$~f z2)Yy>m?X#C0RDv--w)p_zB}cl)fe(7kUxhyDgGJa?Pp37;lmbLd>?XRZuKe9^h!EC zk@V8~9Yg#n|Ni8cfS(%U1E*O003&-f{}=w3EdN|q&dPtV!S!$dq|=AizjJvmPw~S8 zynE95aO)o_6+BE!MkbxlP5PPdH@`Kz>7vE+sz%h+ Pq;o%oU*xpJLyqY98e~odVJ}uvJ>Xct0+HqL_=Neh>B{S zFCKA0MLpYzj5u=4;YW<9npa&nvV2b6hzoJUMO6(WCLY!}c1&)}=)-DeA67B1s-f{P zDaAV@E}A{tkRMiFF?YmKhmVAPUe)Z1hB@Wpz&Yh}#ev&~w6||Ntb49x%8W_5iMdXl z`kJ3J%Epf`Jta4>Q_?>-VcfXfX(h9AC6gyjn35~&l=R6>8iiKR(;s-;#7R?1)1sd8 z^`G&RPB}&Bd!_%$bxL~VQsF)QZ-9Qeva_a@=4$F|=ggZsH&@@OQ*TpJH?O%|{@cg= zck%3tFQ}FO_sh*v<5RW0b2%9rr<9hB%wbHgT<#Q}AeJKj*FBe;FlFWh`Pnm#~i%K4wEv*F}FBA#bLc}-#7z{GFS(ZS=?}!~%Z#Nzx8t+W?VX`x44Qiv&+9U7?(>X1 zy-t4`2{_L8BfIzOBfrL{L~1T^Kp`_ z8P79{{TWHsKKkK{e9xs}UPb-9s$BKlxx6w|J$J}~5}St(Ij!`xlS`)`Wai;_w9FJ8 zYUH)&t&YdBe=6fn8P5s6#dC5!({-oT;YsjW;A6or1K%&5rxa(-@6UX+<}7gh-{qKe z-J|%k;0wSRKgxFlKR10&QE}Q=+vzp%2f$l0{4at_d($4}w}XG#wy&%IOW+bW{|3Jv z{H}B!SNVSj7r(y;{!j2{(s^8Q^!CTDB_Dy)7G2)X$Vac#uVpec*wXd!O#RR+^=ml^ z99z2nJ;RS)sb9-zaBSH*Sf{9d^h*6&t^voEorkA!Ab1PSCG~4r1&%E{PcZT+*5ul; zr3-Y#%9{1qQ3Bm>V?VSIHT{19z8M_3%tgILfam9b{b|cJ=qjJYbi7k+XA5(Ff99>0 zUBPF94~hLK<^8GOnybK12cHthNy{L^zvfD0psV}C8Gd}&{~fS(@Kev8yW2R=W4HKW z2>5@=H-Y~W{E&=&L%HYM4g6B@UxQCf*L%u;Aou|AE5LsXULLno%c0+?}zX z@_xgS{~z?<2L5D5KXQeCO}WwK=OL#bzp4JM;M8@<=QH|Sz#G6?IcSR^7iRoR`QG?; zZCCXF1iU#Df2$1tnhW4Zm!IF9{&nD&Q9oi+#=1kQGWKl(e+Qf~RQl>+!!!NwQto~m9hUuaN1|>LHOs-!MyG4|0eqX3Vs;)%izDv*oRy_-@BLdwSO=lcge`7 zUZQ^ueP70EKVLifcbNLGIUO8Zk9;^&|GVw^CV4aGM3A&GsfkANRtqgzw^1XCGL$}f{zo=CdS zN&1@enYSx1n0@iQs`3#RRMpMX(;1yNgi`pz)be!F{q3Z;;d_Ur--w(p{0tZK4feaV zy2|`&VO}ga4>@A)bJc%*Z+mc^W@&d*f2o(guk$Xa57Q@2nZSvateclI#tbF)%{B(S~!#9ZdxE7z$pOW9${@xLv^lQgA7`~zK-AP|N5x!S!oM!n# zd-gXxtM|6{$i61CEA`VXYR|rbe8z{RM|f+J-MZN4bo9MS;k%%&9Nx%ZTn7-I)k6aL z^B_Wu%0DEKe-yOG2lB`4fc#?(&uZ+}_KRfVaET?_+sfS4*E(#q7N*+h{jlYwujW- z4=c+nXJ1?^g|d$b-^Cib--=HA@L&73wv;2k53G!@OV1ZdlRAuZM^_H*c{@NiAG!;R z(B+L!mP%ujM88c`@IZaPOk#KGC8kv08@-%+5CT*~^#rJ{Zjx^*0_hH|@fo1t4>gf4IWf~}I4BG;x)Q|x=k z>KD$FC8=M22whDgct z!?V-B(%**P#+A_B!f}Jaw@ddu=$M0*KPxY9{erEMmLk`tPHU1A(|Gx^77Un z*eFT9BV<#jcG*vk`BeO|Y?vt(e=L*!_UAV z3=w-{E#3brYY)c#WqYLcjr6g&TQ-DtS+=JyHtnMGt!+B@F=EF^U!2-u=dU-r zV+XH+uV7(F@^-N9Sa!5$D;i(RgpT#YveAaNs2yh-Ti}SUN|fx!5sX z?D)JdPVKPs&6_=Be;<9XU5LCLC&M<)vlX{P=w@=9W@wArQDg9B(A(7cO4+9%jH?Tg zW9b}`=A9{DAa)S!HmM!D{xds1vj1tvcANv-JjYeMzb_Ly&Qq4nHMB+TSZ{Elx2f|Z z$)T|wOORvf9FgW?$11TSJO4}nu=CuTMfwN5XW7bBT0#BnxV22^)^aR2v;}l|+dmj% zBniDuoj;cTk+(SGizFS7IFDTVk-R#-b2L6L+X}y>Gt~C4*m=F!`4wNBwpX2gBGK)q z*nhl6`{=xy5R#B6)rjvPzph%~2bFZ;nT`Rs@< zRy$w_;PErZ^so$A@=)%*P^Q!0L6&gjovuoJKR#eRQ; za!cogXfE}AT>SnEU+nvPMLE$e_3AlHhFSHy{NKgR{jf3ryHvFP?S^96{f;u(>561< z9RGhnmZkGl@WA0v- zH+X?P&Tf$>^Y6<==vu*KY@l)yLn}CkkhWU^&p#MeS2BjLoK4yN8aD?(cW)wi6gSKJQZGyAglLZJFhH|@fL!cW}gf4H!spZ%zDe!lfo#gvgd5f1S ztmD}7Nzm<-2p;?8NXjjpp|*d;FDHp#M)~5vFFIgT!oDj`BQ+}TKWvm71YnQtI#!ZEHsn_ba`tBZ6HbH+SKKK z*?&E6@vWv*+lz6USnk5BM{#>?pxn|qA)1Sw@?F8@n|!g_`62U|nT~0%KBazMpv`=H zwZX=?=Tbraot;AWC5|nIvVbmc?YQ0G%b~Za%Re*gz~wg}$I>|>&BYGc2XOgKzBskR z?5tcg?pP-*|F&l<-VcTDyBs$g+M;$mX7J_E+thWxtR43v$I>|>&Bcy~#g6-YvA3hX zTDlMQ>v$cw{7%?@=GltdA#{&&+;3=$+F|E>k!w@edBHkx`9Dpm#@$=UTb^{iB^!6o zQ*P;;5Y2a@{1vhD55CyghkpLD$V4eWpR?8WUBy1#M!$*7@CUgLPF2wmRBDYikV3{q#T7VOt>2*-LqA4-RxnDwJ!ki!B#A)(5RS z7olrq&2ts&oA03KG`_8fJQ=H2KEZttxo8UAbaP@ z$&_gQ*?I_eSh`5R`2AS%`(eIV{r>TV<|03(pLG4TbtvrVa-gU`m2NDwM;KMb{H}DL zgYKvzba@kx5@V8t-lm;@WcR<5>qo5@m{N_$a(vHwJ>XG1woXauoDj{$FXxJ1ruky^ z%SRW^t~RHo*x9FCE;4quSEXItwN8L-re`be51~7oW4fU&>JOpIaoFn_1$24q4{Veq z`fb|z-!kv#Exyc@sy|jj$9qiRu|H}kw{%X3=8Vs+P2vwaqD|Hx&E>UbtsvvGcLp@x zAM;>a?6`{ew^pHR;jn9nqIObuNkVT^x8cb?shwAtQnmB9(3K~G$98U}+|oHAns=i7 zl3}vdu`gCT|I0&Ww#3`D2HG!rvch)GTfg6CXj-ASsoUgaK-@pShAd0xh%^_!e^dN^ zy)QO?pI0@fyiv=Ft;bro!uAc%R#-nf9xB}}(B5EZi~9Z71{Zppy3I}E?>V;KjT}qo zh&1m)`4eJCdhuKBkn8rd&4nJmQ@py9zoC)d1oQsB9rpWEsRi|P_6Xg>96vF%+ofYI z()!>I&^-y=BSq-)ww;MJNv-I&soOnCX&RUBm{N_)_n}*v2p-2}o^ng)glI0~(Hr8w zzxZPBKesObg!_lBtVvq!wR;g#)GtCO!)j$vZQKEBx4QCDB{3D-ea>;~Is zJzG&bl`?=Dc4DGRoa=UcQi7QSlLYKGgN9;)wxiVG1ru8 zoPM7;eLoRAj?-E2TRJC1b7|iT#4k+vHmP6U)%!r^xr-v#>sOo(Th4J6@3%@f2ikKD zZGk`X*3L$Q3%yM}yU2a(N7LsRRxCu0rE^4@GcB&D|W38brYR8oZ7r8cZMss93&T*a~N%v!BY+W=v5j>8c>(FEAl-T_d zJHI7%e%+NAzsvoeD&u(BZ&(|~_9@>ESHX6(XDe=}(A~;$qoFNoC$TR{&V6j^)s!5N z+WBWws{Q0u=uS%nkL_e`USa8+5Y1)$kafa}pZa3cPozD?Q2CDGE{q>(d%7XS+xtV< zANK6U?G?JmI36^#1@^jeM(CLTS3FXLt~E(spP3{xnCHp{a^IN>joqEHO3n#6FDe_s zUh1XfXQXxdG=H_{ZNDa_B(0#nHuZYc?*A_5Ln~+tNfLi6NAv$H6Tx-=cfnV7Gq|+d z%3k2#w|F=%ur_gYhT8t6-Bxy%c6-+s`|(Zh3(0r(`jqQ8MC{da-(FI;k33uP_L4e6 zwgNv(D%xH`*A=?|7NN@kh(-9G0P9{C(Do}UV9q})S$oJ<>@F3vTj_>EySJg-F5N-U?Y9GT z%!MltC_86? zj&e(9q}jg`cXB^}WvMULxRY_Q!rea`Xs@S*qhsLT<6-~2XD=F8dS8pn0V^jO+M@my zd5i}uPb)%~w|>J`Ng~&#euI<4{W!WZXG+yC{9ZXW5j^$VvH+)JtUM>_cUsnO%&99a zol~N@_)X5|SEdgu27a^maX!Isvth4Ir55#v&~g61vf9vYm#zi6`XY3B>o*w$NOF(S zrha9i-@a~2)o>K}fuT$u=-#??as z&h_$@-$?qiWl`+EJ1MtxPKoB7DgUYX?=D~L6bk6_)*sj)Nv_k{)c=fNy|OY`uUxSgbl*+{kL`V$@{ZOkV(%Zt-rqXe z)R*Vn^*&NLSJR~adf!h6AN{{wSIBkZmB06F#qAWj7df6av_#G-ES)3LTK_M=j84ll z^`~6*p9zj+9wLtQv(`|4=Jqyp$V0}Fe#&*9imBNDb_Y1+%0B~sbQSPZuKac2=qkXe zm-3$jj;>CRWa>}3*8d`KYR1F9as6|apS%rS@-T2z|JfENZ$nlAj$GBh02~>eKFH{& zT=iFjQ&%3YjrCJ5{Hs_~wxL5FzK!%_xAHFmM;8y1#{7$|f5_YLr95mJ@x!P7+X#-V z0(`lZPu@mdc*rxB-)3?0He~VeWQ?!1IC&c~cqlQ((W~{p92^-u>=)yg*!q#TVS_vj z7}Xy>t^W<+$ST0UY~_=;QHPyQ%*emm;^b|};-R-#|JN)|-i8bwDvNRSYW;5kM+Of! z#rVy(e&lV~AP+x9^@mUE{{wJj72w~s^2yt%0}lzs^5IkcKL$rumy`!o0>7AJ2*1`k!l{Eu7wNpNKFutJPe zF8kQu%AC2F@uBM(Nw3I%)LZTU1N`XVA%U3xS!*A88$OVS2qJ#?)czO2kyU_GuJZp4 zPF=W}9?O5p@{_lrLvFT5^8aq}_rQ@=fWKz;30lRPyZJA?H{UgVZ&k$|=#~1f>W+SF<)(C8|Br0_$lI_1e2UipIWs@5;y>aa z$=i_SaG{ap=FwGMEZq^%AsZLuHt&FTBMV&|&fww~l|*u+iZsGh4> z6Q~{JVhcA-V|-VOlZz~FHpciMi<65iZvMqM^-}rFuB(v2O|=*wV(UK<99z3xk*WVs z@T{|f-gLocTMY-xf2^rLpn^LiU{Hpw;!O8RqpJX?Udlfn99`YNn5jSITK~!5*vieLxc>M}_$94Em)u;E z-|cxDXYgr!TntWI^yriE-!vF3?IT|`R`F;YSOuTj ze?B;}=OA`UjUA*0=&Z7e;2s;>Q5Q_ z(X0KOF|LgKbN@E`ZdCjE!<3q>qoi9=PK|a;P++Zw^;sGaCC9;Kjx=g_A^_x z3H^*|y}D=gBUkmWg9cq(RFC;7SN)8!QrBMRW%MIg`7Z@W7ZjFkn~?hU zO5d;7@#_}$lxJLX30%&q&G`uD5At5>s+$eE3flHO=cljbax-U?PR~s)Ik|LlZrb$H zQzp&I5hTKwxApoid^c*5{_%1hPJMUVWji?Wq<;M|a_|8c{bIW)*M9di@Qc}FqI;%Y zeroy2SD}lGUok&?D*thCWO0!v#)(&z{~|bb>32y+K71P2PlD42`dyc4SISlXAHb;t z7fE9IPaFQP;DS}?>c4x2pLmhDyZSJ2Vw61;`iQr@f03(kMNCU<_wStHr{1ccxp5VB z<)TEapK`J9D_y|xMgR2~`N&oN*TB)m#ekTfa?$^+j0@CtKt)FX8R0Aii#hvx&%A% z1t;mTA9kgGKMKw@vF29r6XNr9>GyjbXyos8IQYhR9l3gMGd>8#D#nt5UysL!)kC-r zu&?2lzq`I;->c7?e!6-n_#r9I_ba-||Ga(ZUV3zZ&&tR@6nuPuZ_eOHu;%%j!RHXm zy9fK%sDF;7{)~%jkEA{iflspb1#z(2>R%15UQc}|mzz4RY|?3y&MvjXPFmj+@cHPp zKD!6|W{EyYJ@QE+Kbwh*A+u9|%l?mAr&HKRp>vX4gKxzfjduS>*>6zsQ^7xj42h#& zNkWtK5Puy*{S{{=x`a{mwp@(M{)&oE2j3t0Lk#|P%ij&1il2cjWM4B4yl;}+lk`>j zvj3#wXTwhvU4w7M+uMTuCl&t!IPrds_#wcD5Q~am2#(xq#>TkpKdJa!@PXjR$M~GJ z7N1W!>6(-H6Uf)SP$cH7@Xg~wK?-o$qfz8XsdqA@Ea~0D?QgRBQsf?JC{{0F{^4h| z&*G?)&pP!N?;JO()AhIfnsHCkYVy_V&2Qb`Enk=;nnC zPo1PD5)Z3uO`S$doRG#Y#-2gxbv7vzn$=&DUnZ^QlfXwL-FC9q{rtu;B2SXif7Sff z|9>Uv%qN4)zA53%)i3p6#CLbVcW1Kq7yLdtvLDXe@(b!4YRl{FzA|}3tMy}kb!qjz z$v!=jUdA8)5x%(7$?4vPFSyQYD6-yLJfmvX`PSTbs(uczI= zG&Rrf+q3p`;(8+VFLTfj3gUWn;r^krC(;_L?EkC%L*;#X*&l56FH@^!UmDp5b?pYm z>&*U=5gqfL?18fue!s7m$ZN>Rdk1+p82NtxEukBpq5A;3+bAQ??l0-|u3^lQ{cmJ% zNlAWx$v4ga-QWLzU(`;W{uleAiqOoysB9-|ivF+ei~0%siMn`^cv!>wW$7T4D$mE~qexj;lChnV6va6;+%Vg`-v)E4o<&Z!_m?T9_%No_&jjdLu;DAYrup3 zL=~?Dr=PD`3VuF#u%D>n3&D>8UjcqAc(9+S;^@_V_E@{io}J--qKcze>-Sq^U`x24 zsN(3=`uzeNTe=4Oi7Jj>t=~_;v1R9AKT*ZeEA?AD3>;hhexi9B1M9&r1MdTlEj!!& zMB5#{8Qji8EeUwAkEqIrSN0Ko_6qFl!m={hM^y1E!D*Lgw}P`~3ilCJ{3`Gl(EU~L zUBQQ>=K+dSF8hc|l08SmeMA+%-ta&BEo7i8+(%ULo4^?}*A9Sx9p@~;KB9`lC;J$# z-3^@eR=AI-;2 zBdR!j68~#E!A~CUBdYidM!rZ88^V1=6~}HJU;YVxdJ^p;s`$&`;-6PJ@MXAA5lpy z74bfziX&I}C9Of1UvK1%e({^=U%MYTbsZAyBP#r|KdAb*2RLmJ?jx!=<+6{cq?Q)& zU>{M%`xt(~MVDX4uY>*IYy72gva8x_AP-bYmN!L&L3 zPw{WX`-m!z-O~RQzb)QJRPkZR7yleX{m>KcBdYj8;9zTy0$%~XDjt7ie^6PkNou(+ zSfAys{YRqzuY!W#2p;Sss`8Pm*8xhQr~iigh$@b5(Jx8H=x`rV#Xo22uQ;}b`-m!D zV)qFpZ@D|(M^th2%08mco`-y7h5LvqKFQYaG;nMQ_YqZ`^1(G)y`<&-cpp*0C5e4k zZG<0Pqgzw|38pd_A6!~HbI0}(b+SF*xu3j`=w*yEa&6-p>a$jki#Z?ZlsV5Hd37$g z^{bcMIpq3VUjK=ae$`s)xy}6kzp;;KL)AP^FzxwXa6M>klc~4VN6)|it*75Jr%Ylu ze{*?I_m^C|2)dl9@7fjow&RnQ>|?KYzG-mxSI>9wjpt)7l=B=p=lT1)P}OXQ5BZ+& z5`J@DFXwxj*4TYzv-(22m=}ddlAd3Gd`fQW=k5QW17rN~@~`Ii*9|6rho5KfYsvFt z?MHY(pNs2C`yK-Qbt#XLKRuTJ)j&cw$H+f3me084>;D6O z+qJX^8@?ozKeA)_^jpVc{|P~K+m7~{ei*c?{GF7mR$W9+7-s*-%=ja&(JeawXFz$k$h_D6wZ>t#pcS zHtpBzP7m~)3y&Q;MCd`^3;T7)UJs8#i@I+4QM0^r<~u|8V2fx_R&{ z^wmxd`eSGhi#MAhd$063nseWsO6D4oHbC?iYt ztPb>C3eVO+&-r2djP*dI=gO2P^_%F)c}1${i-8{I?sa#0amm4(Z2y((U>Zl6dWPfQ z4JohQ-+x`>d)oA=<4R}Dm^yui#KkGrzSbmJ&%CmpF={>I$9l%zP4wsW^s!Bsb9{~C zRu1O&E$hi20edS+Hpt-lDI~S~y1?#xsr$pJ;6rBG?@MaD9OHq=zsysPr(=7kPMOS@ zB}w(&7wCHo-rpEZ{vNU-(6{YKzwnCmu`X~t+MaKVf2U34mXS7!$Wc8{1bUu@=S4wG zI^;IF69}Eywe3hhAV+#wM>rnU^QP!2IV04hdSv~;8bs2%zj82NyL5>Ae!bD-2gFFv zt0|A_(ed!K)5D=k^~n0c=^+Nz1?!JPhX&)vwj=$380mR8<%#r6n?7l3IPU&C(8K({ zKA8Uxofhfw17fIWJ@dcgQ9U|NO)r}?c9>Uq%vz}Ra{VvXHe21_lm@l^-=?BC} z5A(m{Q9WEN3 zT>Akr(t~!#leQ1{|9J$-t(?>zTG#725uOQwo^U<0?MOc`M0%LN9gphKep+_+l#6R13k0Cemb(l@nHSwDUXgn|I~guzG&8F&3@= zF=P0b7{h09oX`IsC1+0G)XeX+3jasH)Ss+jynTz19nAlSeJ|-D3aot{jz{a6{~b>n zho_ZHo5n&zPKv0%>S+x0F#oR)=KsU~7{=kqQO>9+KA6KCkLuBN2A_wUJT+bKN_+(K z|N2Y$|5hh0Ne=HGtTVQa?07t4{&zg8N5=`PCpW!h%7jv55AEdr#{9qj8-bqDVgDW3 z@p#1b1IMFr_?pB8dZtc4i>LagmX(ex<9mrJRQ>n0K;JF!-Vx|KKiN(GXWOacap`+0 zPa5~9vBr?4&9sskGx(N@6{-4e3-sLsFY~rbhi{7FzT@$Un07qs$A5`^GI>m&RK|*p zRp?aJL!5g*{v00WYnKkcI~gdytzBbXc@!6qr#$I;VtQ%GWErDavrU*%GMN~Zr26Pb zUf(nDGH<(d_+P{I#K?}vspnH3wM)k-rjU|p6Q@p>Xt#QP7wGvjJpXW7l4L~xaGV;| z@i@i&?ReC#zl;B7Oe~p>>ui`zJ^56kWQMVewV=1_4S3%V^c|noYE=^UI9( z)+E_{JqP3A<_{PT=}DWf;2^d(vu@hL+_tXKN&WP5v2z1ronJRFXK&a))idG>`}~^m zYlq{Od?Lm1r2U|THPmFTn3|=O>e)NcgIya&1bXfd`@yKu4vGADXv(8{%=HbwRudl8 zb5NiMNgF=rq!E{|hvVa@4#%wx^a{tLaqjAC@UHF`P^xf%j=lA?WXI~va+*s>55MEuzv7%t$}AtpvQgRT;pSOhvU`;))9^;jeBd5^Mmwb)(>9K z<^2DeRL_y_e!kJ;$E(PHTT>qOpN_}Ye!oKGqH!UJmpHAZl zk2S=Gf2aCJo)YQncwA#G;CMRLH?DM&ZBVt3w)6JAPrEWdyEL-a>XY|S3j7q*JGj2J zp*@vR5Xbf^mtEEv|9+h6-`E}A0Zu#jb$<}WafjpT#y%-efxa0fr^Hd`-wyRyKzvUZz%r{=HF4h z!g2hl4#(Av%-@bD(l_nQLVd0DO}w;G&aE3cI5%3)dCU5*aj?GMRLc5^b>_Mtrkw4c zMy~cA5ZKH7zcHBqM;#s6d!*ADj=LL~{~b>{|4*JefqQOlCQ&`X{J)X;f8$wBT9S;K z9nSwpbvV9nq=P#i9slydI557HZ%xh|S2lHeZcL$Y!RM5z+AlVqALwEJ-&p6QVc(C#^Ub41 zJ0#Lmlk%t@J#Q%~E1fl|%tfgBF_`x^5;GfHoiz0PJv?tYs>AVgpGi_ZT*vT!BQ7^?HkkY!y-RSNe%nzUj-wklq&%ueuY;aEE_ZUt4Ayt-yIDT&Ya>|qX4L##0ofi4+ia-zjYvav(wqk43` zgq|7WN+w%_)E?Fn-fy?UbBB|L-@Y7PM>(qF@rwD<@u(h+H_>ChrYeJ#>iJHf=N@<- z4D|dgjJGizk5inZIUXIS^g7!(xmuH(Q93!ex?$tt$AP{_;LQj6-U!#zV>%uuo=ADp zadF(#$&+(umQI>5vCOY-(bvkD$GP0P;qah$BWtCNe`lU#4B6C`vGH8~KZt{|Ytuju z=7dd$ruF$h{iXgzr*FrXksk;>YOioy9Mkc5#ah7eXglip-neNq<*dlcQaiC}ot!VQ z9^JrNVm+gSTtnT=8gUCT*Y76Y<-3La9G(%g{nJ%t<6+QV06($3ff(5I3Vi&313uc) zkK|?Lu8NF7=X>zUCwlRL(bEw*nzrFqcMRqTT@{ZsHdmb9BBQ~)Xa5QP$ zmd=_sJvW8XS-YahmG*OTH}&WLw4+N$)kSgJ;W)Wzx0EN^+;pS;L*l~g84S-b zr-k}|Ez;BBIJs&6lqYS!NmI{og9_r*9%9eyIT#)v{pfi-JYOHv;W)WzM9QP{(EBp4 zO`3KF)GD92_VOv)bV6Xqd%^QC+s1S_K5jZL1Uu`ioCWfD(VWUd3t+Q!?(9KGo*Czbb6r$*z+F%F6JossgW zT{^!^FF7+egCVSxt8UhR{P231|2JLaq@ia?IKLd-;W)X8`QP!VUAnH8s}9^?Ig|4a zdDR<@Uf=nFz6yBj0)01!=L1LkNQvxPnDV68+oqRJpet!SR0Z-Ip=}N1KOJ80I=aJg zX%q9m<59oqI%9fiZv4z?F0@roF#m5_4UZelP&cMMoG*_aQ`>65iK1y5n)+ODRtve_F|zQ;ht}1NmQt_NGAonUVaC`~TNd zp43k>rm?Jb&299smhkcQZFqhV=xK}mMDH~z@)P5{<4OC?jA>J+myD;|u#*7KYB;{< zpuIKFcQ^mP--2XEe>dzmM|V6P{3PW``^}6Qx#^|TCffke_Wx0!=V5q$8R+>#)NjT* zB(m$Vlqb-07Wadux=!P{+jY=JN+#<|Hw3#%mT`WjPMk@0;4mQ}v(v zFSQqaJ}&>ux}a;SW^68Db;bCo*ImYTI1X*@obsq&b>5K03lBNYFtSt+wm3bTv2*i) zK+nc--Wc2Ac(j>yisMQ9aoO~eappeW=H7w)-J#t#kbhU$kH>a64sG5m<)|Rt zS9(V26n$WmL0qJYJ(~vw`iAm<)>tl$eJQ-II<~`cX*1{ljz{&qqH!YjSbct(V)O;) z-<$E!=3|{U-gEDlP#fP)9gb6*>E(_`+eyzCjD7CPnA$~ceLI~9&xAnFap8JsY=`62 zX4a{WNA>7)AVv>o`zlQJlmvPv!&4UMxhQ-NWNe4y)8^Ato}fRg|D5Mk&y+w9YlqDX z13i~V{dufI!trS{YXQfT^_#q}uIE{;&=7wT6PszL&G>LL_H9P@X4d1I8|lYO$k#Xx zpKh*pqQ|$}B6KZJbsY24sNFgoA2&<=EKfEbb)Op33G^D6>cb|lZxy_o1AVWB@pw#! z2oH)jrk{-j6?qmpR*|V{ftNt2?6ifs|ME>UDvzo+nRoLx<|U zFVM@JzxipWm2=L&hwG7JIv$^&OnCx*fnPKFo(S|k2k%R%zTeuHyVn4eYsJ(v-^fR|_eml@V%|7Sx|3?2Csf=_S!rzmpjTtTBJ7%=$C$9&3-{agq zRht~QIUEO$VU#i{8t+(-I3BfA=ZQ1MgnB%m`1ONS-xk&mTlRHmk{oxB)hF>}`kD6i`kC{$FwePk{MHWoQGpcv_W#g!9q?UM z*Z+ngBP47YibfPgi!v3ch=k;aq=p2OP{3Ay1PBminSf}m3ROG)4t53*NFeN8tHo7E zYt_1~wpy!3>%djPqHOa2o^#J#zx)E)>iy*X-pf7v+4_0?mBGO{q)92KL0wL+-iD(Xbp|cfg`{BsEy4r~Bfq9L(AG z;N0DmOYZQ_r;qmTWfG9Uo^nUS{gBsd;$I+VyGlHHt3ivkR#Pt7>8;m5o=HFgdB`IS z4a?*6LfuZre^I&Jf#o5Ou=ec$?Jgq?etgS2FM~Xjhy?QPb2RKn&cn65+~Fgb8OuTb zVCCSP|DM;JoWae!JREYmfPr;|%s$ zIUj-M3r|jd*e@>>P#_2Mx1ovkr+FHO?ScQS9Qg2_Bc2?8o`*dqKm~FzZyOqx!*Q?= zo^VUeiQ+t$dI-z;+R2%pfVOMq$$7wwgOFnalrLxgNsfl)@cIDcM2BW%rE6X!XMRIZ zPBQ*K*^~2uw>|(lCO`#p+BzDR!`D$rPI~0W!iwdz^5mQXnlqi8OIv&E@Q`ByR3InC z(XbqjPko}pvUBo`D3;U3lhYkELp(W`1pUB1@Dj*5-_g{OlQSxdxY4*Gzs-kD^C53O za*6quVavldjxfI8!gfNY>4)<%SI?)8BjwV<(9U>qasH1S4cjUG5R0d{UW#{Bf)~qy zT~PMl|G`>3t*(Dp3HoB!wk3N+sQKP2Mi z8L%r}T$+!0z|gQ9o=>zKxyxP4@#g>eOF*+i+e*Jft_$LM@3?UZ^S`0-`yKj2lvb-Q zH-0Pe{DyP(`44z2HRuUp2M2(IIJC= zitSu%#$ir{@8pQv4Ki*2$GQ6aCmrh{&jj(;KKK*#|35k!e|(J^sn=)89?SnPz;pdm{}&w%`;qgRK2b9#5;wL3KC^QE4w`qJoYXUde8xWL6Uh0eqp5TMWKQQzQ2cPwt1{vB#4;!n=R*XzxC8y%^RQuFSuhFP2Bj9BgsmO6uGo5^?lWxn;rJ4x$^W)zJ0ain*9DmK7a)$BGIWsF zU+a1A!UA+ALlfGWk%gN`m=)y-Es_5=hu+O^#CuF$!#y6br`c2ZAAP1lA$Y*w3+^$J z!+j^rhi9W*n_~=qg?4D(8T-5NuAb}hJqOS=dgdjFMGjH9gUMSGBs~_ zO#ehbv2rGYW~wLWF<*`wHGv%RxzKoWGDb$CLq`qs>_K0&axmvFz}#)h(9e817nW|=qy~yrJKdl@*-XyzM$BXhdF-%=5SNeI(m6Rt(%MjyKZ+h&aSL% zOscqFS99Wa!dSC*p)W01Vx%aQmhRhSX78AGTIgt;oFAuW+Qq8Yd@}9;mVpn6jMZo}6`{*=D4`o)x~Ffff+>ZL_0cIbTK5PI{(6 z*XP1=5SOey_k!kG%TA>|?VZ2W^BnzxU5>`d3C!X1il!&yy5dZ{gL(?KIe72Z%h;ox z2L4*9&Iho+1smeR=V-@r#Qo24{9P5c6LHqs`4V(~-EmLb7uea`Kmvav#u*y6a}W8G zA7sV7+(R?y+BC~~#gp?EXg)C9luA$W^3`MZv?3f|SVu54Eayv-(+4lp8bOm9q==k% zJUO3$=1WgbrgxrH&vX0>zHl@wXD`VSDH594p3givn41^WdU9^?^3`MZv?8?Uh@)XS z|0X%9naJ_wF8B;yw_I@0$ywM4b7GPwr`liVi~k(|!e)+!X#;AmLhr$!#-1c5m27c}o%c`2Yh*OPa| ze@@q)UWD?xIhq)GxHTw_JmeTw-UXm_;Z;St2l56OP5l18u&<+W?MD7&S*e+LfR_D; zdEd&p7&H?5iDx7)Xg3?E0y#q*4coPw{7CnE;JK+0BWX^_&cw~Dlwz?w_{rbY98Pic;Tcc$zEYL^` zFSp1Eh-AU{I2R&r89a+c2f$o7uG<;(xyc~{;PaZp(SjQaXK@Yt1^s^EXlxS%2|LC+ zfbUJt!rRu1J$(=}sSv)DgqHMu@TXyK6R{UDccI`JB;GebJ$)~DVUew7IfwEmOeiRZ z6q4ICGB2UQS>_v=RzKt#3+Gre@mDmOKW)ZDa#4WybKq+8G~S_TbY}i6%mSVri!`r= z;439zr0N~o@f+|FJcGo0@+;k(v+zz^t^JxmHNR*Y*VXQ6(rsR9Q~YTZtKTm`w!o5E z{fY}FX!~oV-K#auLf}hDxLchO>Q@0?f@hF;Px`Iu#%?tATE7WXCKhVnTYXA^yV_up z|8bo&p1&T^I17OlC#6-u>jSofc&DLGy84?Vc98-A}{6{rB1S zTukHVeoJQclV%U`kh?FuSK~7OBhlz2&EAct$2EN62CHkrhpI1sFO|ml!aW)e71ZK% zJ|=kZ9p8n|IXsOmzYS6UCfWIdtu}Vfm_wtQ`e~a2ZWy++ihp--J;o1>!zZ!5%BcLq z^arES$wf0}V^~>x8Sf3?BB!BZ@F1svTG+NP@p~KF-x$sM&yI(=a5uW_l+Tr#eFpHT zKfpJ{f9SJHjd@t9kFV3T-^Z_wbMQA6k}IV_ni}fb<$$j3Uxjv=%*O=h?@d#?Eov@s zICJr}9-R8~;R#b`6c=zM`w4MvQsLBz1r*o(xP`uJ_-JnOi}ADw!#RNhsTAX13J(j9 znOg9x(c^c~sk+V!9}Nzv(}MA`s6F@!p5uTclGh>5cZ&~Bm{m|Tt&qC3m7fe;oXeWR z_8mpsmI|xS1-fDp@TD~Th3XROa}Ib3o2q#eeeh|FqEMd{;P!CT_3AT3<17Nc zl!hB)^}+1DXovxOd(!9PnD(G{K01-d2w__P^a1W*M_Z@;Yoxu#YMe#Dm(u8Tb!zCJ z%fU~Jf_m+^9%Q#WZgsu`PU|d)(~AfX`N5)j8n<3Msx_SOr8M5I284DX<}MOEgT#BX zV-?v^7S9fv4&c9f+G9S*Djc_Z?I66>*p_KrV+Z1+_EU|Fk4H3o5%8rn-WzMjcJL8A zgT#BXV;9*GJO5LAXva7^x`zDiy z8`J+{+U*^XA*V2BO;i>EUrLkyYEWoLE#`i~Gf2E|g!(q8 z<0rvZvz+~t^od9QEXYl|gTphQddy3(?S{N#G0x*mVLIevlcwfRra3miO9U?Z2o+;T zY@WJ^__e~;G{m#{nCSPy6s#lx}9!1oWo zR_f6CcuhIR^>x|UzkvELl)7vev-_WaYHM^{SUg0tA|0eO9i&DC?XtKJbVD1|Dd=Ad zDK0GTXF=L;lO{}&6NB14i9By|qKrOj{QYGMkX>xaw0{myqSIBbH?d8E|zm+IxpDs8T7{Wbijl2g2nK&!SiJ*^ohk+ zlbu)CYHO#Q7}V}=jkqx{f$ems1=e0}S9i{B`$Oz`0ptA?qVlvT({EzW3m7jSc#_XB zo}U-jNc-wsoBNe0-)w@q#QEh~UCViSIsCFu5!~kGik z483cN`UT{-8*H`K6a51QQB&8}bXaS~t?xUNs+;~oV`A~GmW}ma>^Nq;+kyLYjcfc@ z$IrwM^Z(*`AzmFn6W%@8e(B-)aazVZ%UujS$!CmQJKk%gJ>X+1gfFGpf7I2kJ!H^(hjS%@yY@gmmHudtCFI&`W8kP~_=|ueo}e^;Ml}xm`4-R#9%BSQ#B`gjVLOgY zno%VE1bJ{{ZYS`kV&lj&`v1ji^&Y>?UsmnIIPwr!3!cTI1H_TVe&a4@aYBIg5MFK~Sga2pSn$oW3Ug9vqnyQyg2`40@HT7PrUhbqVMN&vLN`q}QeP zKd0C1P%rSOV)cR#s4Tfi@A2E>E?=)aUC;hP0to-MSQo3;NYo3SqY%i zy9@n6;$y78W@wTn8J4ugHq{{*M@yn$EqE4-4ybNI?DFv_7WSM5xO4x0(5TVZ-|8cHk}`3|+K7xIR%dW7z7m-aO}RZNr4!k+YNTl%%5D~n!(Ad*7QqCET80))hVtWp4RpKUxdu( zFdnN^@-Jii{dT|v&vKyy^3T20|90AH=N}U%lDg@u2Q(VTU^C4*nxF&)*;RjP0t za4=qJY*0UGSV*3c&A)@;3ifu3WgbK-$l6;RJH(t`U>^~eMw<5TU z!|!IKgTaeMObTlQbizeO`qYvT&qkBZeBvZ#=Mq$Ka~_5M=V zvff_qT=4o6s<}-tboAFSe zPJZZb>$D%H@_8Rsp6EK-QsgU3ksp|%+qdp@G{!?-wDgA>)8NIP$1&bzz`NAL^UvdI zq+g=VsSv)DR)17!uHVWM0QHa3T;SpRvw+(;u=E;DMe|(=jrU@Kd*{nbe+oLmGeUTe zd46dD`R!_3&GsCbS~&e$UFFtU!}a^6qd+#nlIeEEIv1Tl^+C05f5MxBZJgnU_7}X~ zgjXYeSghg1S4!&+YGBy@v%yF33=;1#wwKa_v`gJ&EbR|F^a4-q?pF4^pVwPuwzGO- zZeMz{<7(P9_I!US;r#;J&or*Vt7A9e{R-Qy9v=EVpP$1)f!w=*C-b(?kNqR(0W5bR z@O~4A9}uk{*J(K6OKDxHCWd}o2|j{nka$nyx-!nVJ~F*3n|a z?`;+P@$l5*f&$%$rjzXf-V?xmP~);3wCjvX zlaQ~*;5`MrM?*Y&9eJ)&v$C;GR%-GBr9Qa;+dE1XUkV!Na`b+suIZ2ajp5^KqE4^x z>d5*XzNSJlrL_4et&`VCyU4ss?NTxfc$*Z#gFIvDZqy5&VZwWgFMChN&nLE8`^zq~ zz|XiImFjNbv3`CRWM5b^-5zn)S(XysK5U<9T;?Ay&K}Wl!k5zaD!Pw@=A*+i^KoIC ztBu_y?KM`3!N#YHm#LG(afIA(sql4Z=BRO)PkZsWBpJRG{sx=(=#eF@F#Z}iQr7Rt z%)*&wJV`t#X#(7~j#~TGTn9!!tkLaP(n;eGzLa)ns1)12C4+Ts{|~_LM@n7!Z`E$0 zY7(?>$ytC2o?*iKMyMZ%_ANQvR*OH23i2m%U2RRrJM<#;2e9i58iK9T^+42cV^0^b zIp4Ce<1cnxl@K1{XUTaQ*VsLM{Ef5t{tTZV#!pF456@o@@$m)$ub+n(=RP1>3!y^t zrL-$ompMOTY*68KlVZ%ncn>DvcAh5RQsHql2mR))82AiFbeg8 zr?KUi+Tj{%htam0{c>pLoT9>6+;eJcI(hAIR-J}0Td_an}F>n8n@nd zAUw?fCF4B2c$HI+F_}NQ#LTZ_&AOKT zbr5*Pis0e+uSUJ#8791^c(sn=Rm?iZ;i74=_YIa*0C%IKW_{zt>5@k^4&h5_e>CR4 z!4k}k2G1bzp7eQ;+Gm%o)_y4-@2)2_>!!m{m%9nc`h7dd96oE@VC^k!t81zMmt6w9az*gK-crO@gJ+oV9`=?d!`{-9Y&EynLD)M})~R;4 zj7WRE$gTFGMzwYv1$hfgZu^~(J9`PQHMV9#&v^^ZxAd}zZC_g3pi%;SDIM?d*7r+K z(Y3q|g5xD$D}vkjSSsi04DSJXc+VKaz4%yqHtGe>2yy$yE_p$v=MTNERHNIa6uhaF z!hKRYKJ2ZFlwPcBS&vHKouWGZJm!3$v_I+v&oFU&YL|ibUptP9kH3yonypDnds)&> zx5uoDlnw)H!LwL&fbm=UBa%DZR$IAtJ;sjTDnc^-p!8CZ$yxx{OZy6$Yu{YWn((D` zdeR%erIU3ntrzTVh4DK=5j^bAnBNVaVZwXTe>~|w&Q`Pjhl{5c6wKs0taHV^PrYnwCGF&&SJjBVl^UlM_)ikiOQ!o-ob|-g=QU0#@TGMAr|KH^ zvq!;8@C*{~DXu?F`aN!|jeZ>2SwHVSBgAy8A5xFfKU*?84#=n|LQjDAEN~^CVE*y! zfN!akx|HUWrrz@hrT@}!YKJoNccmhD*l+%cdco7!@=NXTHm*atZlm=*h(%nPtF6As zElS^Y)b;8sc|j@grJNF>^G$#L!2Dh+cm|30q|Y9tH?jHTq1n^Tilo)&Bj95GH-+_y zlTVh(d|3*7DX08hbqn+<13>T+8qF0f+CiT(+|{kh&>^H~IkRm*q<*UB_W|Pw#p;K= zqpXP#Q9Z@;ucJ|{GjKpO@vtmO_?hLltL(R}Q+TUj^AaZ{?nV!;18;XlGsb{P@NKMMYWXR%a0{0oXOJ464u zSj#}np>?I<@Exs-T)GeIm3QObAzABiyuSM*>%W^d@3OI0Mwd~s_T2>g1kYmCXCGWh zIF|o%O;I-6Qg*qD`1|AH*WfRB7K;v$uauS0xRA*oRl1!p%NaR)67{&i?ca13ZO6kr zkj;18>YU%~Mx!Hk&~@vwCD`uLxW~mS2j0SZ@M&T~B?BO6kk0%f6Vrq73K%WrC-%{9p3-E?c4F z(Dn9)!uqb$QqD!CY`fm$x62V)@2wHPyrt_oKSPd&HM+CDj#-~8dj|A^XSvV;^@G<* zFHHDSSg!-LZlq_n+THE+^@$IVyr%vlA(i5hcV1lf1jt`D)Y6ZTFW~;JsRh%$enWVF z!}fy4)%JV$fth|ocyD6+tA`hF{(M)%Nxqb`j;UGBFYpBwijU>V;CG24xILdNZ?54c z4~L(-Js9gZ z;T^;FwZ^U2f50oJc0KIj`TjG0CcLKDM6_;qxenOdjSKP}l``N<>Gq8JrS)?;<}xbe z=hf)mW$!A22YEnwC&&>zjV-?oP~R1PEI-9ovmQ7cI$ zMVG0ninQ>fRps7g@DQAz1d7h_yDD)IOgT#BZclk*2BN{;p+qqx-$j^mPJVz*( zEY|eDa@bs+VW{Abdd@o;FAKO>W1w7-kX_p#3PZ){iz?X8)8FW8)jfR)siT(8kgnd(a50rsp&Tj&JR&)d&b z(Rf!#)agrnkZUYUVG`A#yS(SHM*Ml7$a@5M(5XRMj6M&*pHBn!IQ)e^++dk}2g=Zc zt_gHr)=sIP-2nJbc`u)VgO2_EBzQm!1NF@RdBCAd!?R=fKO^+mmqQjFq7Lan z$NXOg9K7&Qa|lO0^M30}p|QaMZK>gMdTVllsTV-z)Uk zmqP{~z6|NV5;*qd;Dv`3Lpb=7{ACy`<=}yb00a0kbSsj-jOJ+Q+GL){_xkHHi4Dtc zbmM3la+8jj!h>2w_qDudNcs)&>$nSWtaIP~4u*N#aGaAqEEj#U96C1b5!$^B z^{n@)fWtOCBp2$9{>t>dwC+t;#?W^Jd@EoTpnm}L_l5m>8TdBp0{9!46aEhPvvl>- zvoA&Dp9LJ~iig)i`Kae{IR<;kX*N6@m*f}LzaQu!3lDjP^zaM&?-9Tux7pSh|G_Ty zANoA`y4hc1{0F{l{{X<@t7iX-u^;s;e-Pl%6%RFq@=?$9nSeu9^GPxELxdjtWsrr3 zi$eNzfn&c6yztOY2p=wR?3aN@;#o0p$YuRT0uCN{cqF7pJ?obTIBZEQiqQ}CO#f5B zAp;L-g!E&Cek$OQmH2fGJ?OaossK;HLkrn4?Ke*NW4{c#;9-l9|3rafzYM(a&_f8H zB5>@Nfd?Kw2;tC+^`8kic;F`e5RQ7b{|>-mYtrd4`rjz@Hv5b8+6g%NT+5j;{Rn(nK6osHj=0G< zl#hD$&y#>dSG)_K&ls)$!I$ap101q&Q*cO+dY1n(;Lx?zh8Xz|2tD@8APYCohV+jJ z{29Q(3pbsH@W*wWTK*Q`-2wk`Oq_z=6sMM-3wRH}i(}#x__BRO4_~ytE5^R(MLzb+ zpkwRG82PY^?SBn$@WM@#p?;_*`@ktWBoq{9JaQ3JVyTygg^GnpbKuQ3;BbN_5U1j@WM@WAsqG0e=p$BrR@VT{87*J zUjYsoxOpw4M?KLmZwxqOwfj*F{XwC}ei>xprmT?usD>|3035tIn;O3c-9`&qW65z0VN4zKoxD!T)g_Hwwqk^4_S!dB#4(mfQb| z<6bdv$Zhhbj^3U30e)Hxe4yxu{c_mSF(XF5O9YPna`3{<4x#?go9)X096WH7LI{Un z*?*$|hc7z57h@mj*#9E{Zx8sP82_W5`CkDzbimC9A%D~p{XWb}%OR`NrWkt2W%{vz zLl!RfhxDjt{cZ#twseYZ->ZZk`{j^@i}4|SzQ79s2QOTd4&eppGf}{>za8^9U9|S+ z&*h+F_-nucuR8E)!XNwP&;b{jL;lwZ9DCx0i?|_tw!pDp4j#Dp8Ny*V`)3~D;DL*g zA^c|X&r-lg0bU%_exT$2zY*{*fd5}i|Gz`{W4|0a;NoJ)|2G21emQvI;#~;8Ti^=; z2M=6y3*o4z_(NscQNXie;t%v@`zk;W8MrtV(wB>UYXOHZPTd+~ALu$CQ0hN#;N7-K zSoHodX8cqM|Cd1zU9cz~@(16mu0#KvtJJu=lsc_{O#g)6xc@x@dgyw_LoxEVihmym z{AR#kiSh4Fob&Ei>Z6C?hpB+?4%b7L-v>BgA3ciwV+vRJ!}*KmwMN*eGplkOSdg#UaKMDO{YuC48^hZ7SkLLl0tzGdxi-14)GW`dDL)KX_ z>krF8NAf><3UKIo*3KCD@H_GU==Xp_m$ROX;s2Vn&&PmQ0DdgSKG?+L4|^J;v^XE= z_m;pv2OPZWz~4p8!g=oUgYXaZ?`GboYy1bhDF0ajrj!G9TN=}UpcmP{q7mTmRkzsq z54qfbzXBY#Vo^J6pS_|V_RC=dEqVv~gO2R`>^Z=}s}3A`6aN)W0f#PUj|=&e-eljt z6u{w&v#TV(qjf2I?iZJ^E|@|Rbus;h>><1N^#UDyboL)YyGb6~-3oB{=K08)p~5KNWq#J){Z~N{6oDms+u$-``cs*{4Bt6yl(;EiAq(eM27e4A@J^~ zNBJD{HoQ(l;Nig7We?buLS(F5S{{mu>3)QV~zavBO&~Z4+TC1@bQ4}3E>leCGd2> zCjq`Mgx?eq_;A#tynX;bo_=>cFYrwG2IHQK2dDp8zmXb5zsrxRQ{Pri`MO$@1{zNF zcjSNvloHtZ*v)Wx$3*Vw`F#$vgb;|^-#pYdkOHFs|Z+yB@tKL%|yyne8 zXMK8c)#mbzOrloY0NiWzacvp;|H?s;F?oaO)mlI7IlH*1=hPV!@~8I9pNh9i=J)J< z(FMJFPA{BM+^1k-anJFE(|b;yKD+1e^KTe%QS_pI=g*vQJ}xcIzTteTWwi4PrcUaK z`>ChSo>a3%C>uxJ%i2l%v*Zsea;Y&lNgL|eA7!ggw<+P&wXkJ0_tmydSnLUT6 zW|FJO*U?!w&MYW4G3dDdTB`LSIlQjEH;T8I=SB1Ih2dQI3`36JPrYIh@aAegR#f1) zS|9Uoip0NIzxnNg_&_u-zirxuq-12_j^V0cZ#}~vuHFI<-K0d9*XgfB0a&T_8;xSK-`5qFj2fd5;KF^v} zR5*V2EQm_!-aUWnoctS$Mfkbrf-I2#2ggfaZzB1lBdM2*|B!E3`<`?%=_qkCjdbAA zcWyro2}Usm2Z9f5(>s019vu8p^R!j}IXQneQRuJDV9>zKDHPR=leB_-%fRIw|D)zJ{$3 zT>am?)RS=F`1Zbt>Mh^B8f(QndXL}c%VYU|Avk-X??Qt&FO?RAnD0T>ml<2W6uVJY ze4_XGZI1g3LVXWu*b3mj)63w^OGS6{^zGY+Ack*62aUG^bF-A@NbLi@6~q7qxCu>W z>SNpoliCc2p1#bub}r^G#D*8q<}V;Nzc?9Nxl(`q8rSoOVZ(L3)f4fGx#^gbYn56x z2IC9k=B3$&@Bg;9c6HT!Fziji=Ws%QRitQ8h2ZM{=A{n7fotE3F#CG`!dRtJaf;sK zH?dW$zc99`R0yvAZ(b_g;`@sk8+|nnXwEiADzPu=TZ1;Ai~5VPM?ABosmb5m^~Q<; z8cMkOc@&N(xg(;vIcY*@_|d-=7fK~|%`cU_Xcy{l)%DegZxv&)L2;f}{kVV0Z4Dls zH2Ifz&#T(J0dq5zipQ~y)W@}tAujyogmE7?ZgcF%9d$bW$Nj8!fCcz*tzwq0ruL|&;LYVU z{8r52_T8u5R)lv-@y8JWVfv;z-d14zQK`61@6B%oKK5BJeT~-H&{QBdk&^t9YQg=H zd|83GMS4}tF<_NEO|>|Q@8?8dp!$ltHH>gw@$a`3`wy9?U+I6)t;F^aHsqhRHQ1B9 zN^G!$>ZBxN38_IKZ@GpLt}8L}=zQlZ?J@guF(9+tt>8Z&+fq;Nc9DxZDalJzVkmc$ zhExF8l{j+uOrJe%d_fT&qK}S8j(Kgd$R%6J=4wywBO(`dQj#~SR-xPnG^7H!uEfaY z`>|OXo6B~Rjb!V+P9D*-e^DnT`H!l(Yj4c|G>&X(YQE2ndbAG}>hCm0s3iSf`u8rx z`IE8z1F+A4^Deem;>437*Y{u6{;ECmUai`^1#<TBi!+0u}$($NYP``M!@=hr=L#eClYl9Eu;A zT1+H0ohUh8?ACHB4q*Qu*zd7S{!HX>o=5oso0u{McUGocl5*48bkHM(cQ+G1ey)lu znM#$^f6Y>s3w{9+i&gAbP~5#w$->Rfx5D@p~G=6iCbS;y5N2Oq^rSS*~HQ%%~H*D zKPEWwr2d%f;8Rp$liC_>>q^N5eE6?uyc>8Q;Q{_DFJc}^rK*{}PabiP=vMa7yjK$L zgZfy}a9DYP`A4_Uk?93DNQ}q*y8P;0qc`>2mFO9~At$i%A(L%zc|y`^)yU~LOyHzn z0k*Cx>8)7(hKPQId%sbM^c!ma(fS>jSyXU+;SB!HO+5X2frPdndiv$#fATTvq$KTD zO&j?4k*~Z$LskMeC=EdOQ_>?(e~b=5?w@;Hdlyc}mlfbMyyqrzhIq_(9QX4iu=o+S zD;;+|9tx(vxyQrpVmVUOt(e5j8jMBQlYUJQBi{%rXj&WW% zTs1n=(u1dk&%^O-)q1oKr#jM~uuMff@w3ZhmYwwBh#+L!?x2MAYbCR)@jcgX$Sb0%1Yo%!KGra zok(}G1v;a~rmKs?7}FPwNCuexl=>Rh!wqpO6bMJ;CXCwQBP# z)zq~E@g)8PzaQH=)n=Iw|E<7DJ{_-AZL0ltCK{vPgE-!%+WgknkLrX!*$*As4)pZn zI-%#|->SBGKL01+N6dG&G?jU$M*RC{Sx@~N&PO_8x$-Y=J(c6{Ur@gaa$nFKT6ycW z3`hNn&49lm@Q2)W6o#Xo=&K-aC1m0CvCRq7_G6L@`sddH4q0t_hV+C>>%FVy0uEc+ zUgz{%fjW{wWz8u{t^Fqs5>?_&DzPc8cdbgn_G`$d*n0rmM{)9$p|!`Zy=VWnO~0zz zynZ8b0uAJ?{6Zh|dC#*a%u{9-6<*ILJ`)SB*E6{+d>t=eS-DT^Me_K(=X0H}q(^>i zvI$=MB26ftSh*M5+gh)cnDc{jmf~5qjy!K&WmO|hL4J8z+Dp&htD10J{tv}vYXKws z=O3$N{$F)gAX%;Ihk2aVjXt?4U~s{dANK8cVLzQm%*8c0wDV6Odvb4V6S0-zx=l7V z9Unuc-|>I7HQIMZcUr5ElC(=Daoi{0QVijKgLq=#x8U|nOMj8B<#=-gcrH^(3n|{v zckr5lmf}z!jk8K{^?#>fXR-mbC+BmV^D&s(ZPifOkZ)LddBRI_5d4?4oYu>Hc|!z8 zaP@!Q!>Fjx^Pqc?1hrD@dRTMf4-pdk~7`FlHuRR?<6VMpCaKHR4=$2SM)j0=Y;QeY5Jo3Pe zQ|l!jW}c$;tW}u*O{tSl(E2j+6ULj4{UnXactl)ilVZ<7D1X&JYGe1EYNzb+OGHJH>una!ej5ahT!n0tbFs^?-x_KqWO{`!Oyk zKV2pLbro={5w~<<|LtV|?W1w8?f1Wr$oxOh*sItPH(4F048^sChNCtpGG z{W!EocmOvj384F$;?O>qAJhE!k<$n5NpGB_g{xv*mPCAR-c8~Vt7PNP$6#~Nap$;l z%vdA+5dN$blvTi&g7vgcCJgd?LUwV?AlqQ~n!${jyh^p;_`>j}fC0|&!xF!k9&Vw+emxWW59roh#5XTbsqCb2 zDuG*hNFVe0cIB!1{P9cY#~Cx}DN^`hciRRLj^C?xnjJrvTmuG+wxx3(&>|~>sg=Sz&lg5{8Owxy8TooaI2`>b0K|F>*!u?~qti2A*R!5DcdQ()S}h_yYotHS z({Nf3uhR1jZo$Sl@cZF48mAJtRg?9x?uXO09iKWo*uQ!em=SAtw{Ji%?zMgvPuI?c zE{|eEKU@RfRBpirUso1jyp-dfi_VB;jS!c+8+qUD-r5hJP$9WeTDMf~o&TzJE&Fc+ z@UBv=&xvj4do)fZaI5kR8*1l8b^L?71d0oM|LjR>5b@kYexYx-4M!=Ld? zE#{>(oFj~V2=Dun@oS{S?u+2Z#h9tY%{bKd{lg6n8Zq7nRC~Xnn&Qhsa&15ZBc@Rhl^in?n8`&~*d`d_d=drafrhV|`F3rR@Y?AH*+)yLqkcwW?*P z&&isy3b?MYK9-903BG3xea^!MA62#T^Z^;kqdF;V@1XXm(fy~Yhv+lVfK}UjV)f~+ zVT8Lz`m0_SakHS-$IPPQpFxldA60er^yww~piW9#eBZ+9Gg$Q5V!*2H&e-<)p@vlf z7ok-O`^Qr0_A=2!^vQxQXyLI7yX{mHP zF|)pIFPe+kcYnbDbMf9Rj9qHGRXrf{ZOpfKVQuhVr~~}_E@nKC93H1mX8ZH}cvb`b z)_B@@>t8&M-^bbqeEj@s%>Kw-l4rZ?5d^1FF^+et;z>ML_o>|XP=oqQB}RRw;cqm> zzO_oaPqpUtFIpdC8R&B_f1+`)K9=-~tdH^f8}-9|fd538(q`D z`dM-^t)J2TSKs`8i3#}=rWBYpFOo#6_!rg7pIUMFd+ z*MnA{ruh;+k2|@KI4w18c*MJpcs2aGx|Qa#`fQ&Yj#JEi5MjN)?z6fl=wVBp(g5}J zU4hkouqdnx>%rH%3%N~jvOBwY3XQMkxZcgLdgX-LtoP7)-|GI3Gmp!o{HiRj4Dc|w zuI?vt_<9093rrW&QEF=dgN>3?`{$F**Wr}i!qM(jIR74pvGs~-{$$L1u2zo#AHic} z^F#KICVNN5v3KG(vln^5>QROv`33zvp1p*3CAJ)m%Xo+5*gHE2W+iCcVof39oU z-nrm6R3+jS62beZR$q&H!81&FPxj6sduKX(>HeL6(K>){Z*=;MD9`cZ^Yv*|{p~#w z>}ESD_1aH(n3Go*Y2144pR3_?Pp*^}_tW=1Yd}l=VZN?q`#;A2<5Y{-?=`Hx)8QE= zZr>Oq7voJT-1QZ|e@FADPSprj?n?dt>f417*Rgugbq{Ynb@ejH6+DY&59*T}_`R;& z--+DCmQm6zv2roDt`D<95MyEmO45Xr5XdSmfI*)65 zljeSis`VZwW|_ZZo`KeU(U32m?L zTNDprFSXcdpoB1M@8=*t;<(mpFYwk-yC2lJ_1Q}{P+1LpDJ^Gtann??y;Z>bShZXx z>ogQs=z1Z|tG1Z?*=tZwaNM21*A=m+Ymm)eLuP|w`VSowyc*+_c(r`U!6%`Pc?kd4 zYk-W4o|*F8+={sxj#|#G=-yONH_Mmnj%x;k?{Yj>)(E^Yx30k)Tj&1wi%f|#yHHs(Nbl`$ zefdg_rdgA#G5LDWG|caC?f=nvj2Cy;WB^z2EMGc6zgd$7!D}*YHS5XaQeRi26(hQ3 z1lKvb>9y`Rg7?AF8uywjEV;xz?>>YYz)3`*#vyzuEz4-U`FdXM@C*{~NzaMIWW24` z*Ka9)Xg&FQ3@IuY?!GjA1<;JEHKW01vSp+7^{=I2P*`(23AstNlS=kGU-zPOG;I`t=)Os_jQ)ni2we{@Nnn9B zi8b?t3F9MQ*^8HX&zezG9Gx{IDyMwbe!~A1wp%m}#|z*7xj1*~g$=x)hwab3imf%a z9oQn+&cz0t=V0^m$XA~0;;*u5p8v})#S8W?1tJu(PfDvYS$AeQVift6{g3lJv{x%U zhs*2A3}36^#O8VGKTTAtcO{-N95I0Cy>r{v%@ppx^!p=hrm{xjh5iq}6lb2K_PaN1 zKfXSw*M7KH0u}52?sD84a*>GZrTsR7%>$OR?vMVuisTn`4S&rau*q5kx7&f@q6vDr z$Ics!hx}m8Lz*Y!`OjcJ zao2wF)~}3T2=7yD$RVgO-u{X7{HtD9^YQioZ?}gR&(Fl~D{P;8c=7xU-%(iud@1b* zQh$FPb#Os7!QdbAb9FZyzo6RBgr5zv88_8uXgqH{xcz%7?AO&iGlLIsg92{Vr;@Pb z(BC{CgjaHL{nK8?eZ#wqYvK%DkmUnwVJzTx#h_9x;P+0XvWfzJ-BlmF`VTUrMvxOtum*N{*Cz=vmPIIVq4 z=`dX3zTu}au90ie{VUZ2G^851K_Ne9kP(BNpXvS)zTT|YwDqjU&jB&1{!W3+!1Tca zVQBr*8|>WNT5lYwCcMkAU8)(>7atf8X+d?mhZoP!@EsMxm(sDfTu)^`{}?dX&wj?d zgLdgS!}sHD8cu8VhW-(i82T|^L#lxrl*XXFh5T3$$B$kd_ODCtZnxH6wnscR5!w3j zO0c`uNvSVR(EU`^GqFw4xVpbi%%3H?2K;dTUp-Cm_<1(Mv!7;VK!AS}@Z|)I$IU+d zJeYgxj>eOoKUj}>z`w!MBVIef_f!a9$|+O)cDfTV_?Oy=N_CDpWu4bfTn9K^yO45f zA+=Kt#ttkfdnJeTtS8oh4II;_DtKvUv`(pt3~BI~xa=F0H(8pOXXRm-bn-ImWiU_(#<$17#{ zK69$4d(Yo!0o@+Q_PaRe7sE0y82INojhc1F^R?pZwa)?fLCv-HC5-PkPk3Kr;f!x^ zUwQSTS`XsS{SNPo&&bvbpfq1pKa6d&mRtR29LpTeHJ#)=0GPY{!u<*3iR0F@nugYN zx&Q6S9h;jM84(>iDmOYhBRy|8>PgPio}9np7(Ozkd68;G$E@Em{R}(*PyN=&As#}* z`TR$0M_OuTS~N91JtvZz8%-aZl{z9LP0M>t%d7qf`**Q1={!RS7_7tas#%F&I@YLr1u(qSt0EWKI9EzXQGD`TxS<-$?I1 zP6y-f(K&Vd$y%&AP(N9VxpnOiv5jzgw-_P*-hqDdp!0X}tZ&^<&}Gt7^HRNj{)OMq z3DzU%=WBoDbn*1B+g~md{eKF4%>Qc(JpG@jPyZ?3*6rV3e=H9eT};1$|LgXfsOUcn z_}5^Qb78U~slW7_I{qL3{pxPA_)Vz!zM*v5>SvyDUxC_Uao7Yym zc1U_rVRV@Of82Q39dALvixJLEIWMJqY@qKs0C5tjvYrR_asSci7;{woIJtI_)}gix z^?T5NkI=Xkyt#m(->-%5*H2bz-9T)}an|j_CUcd>{Coq^k<#FtL zsz=`N&IZk)`B=#lgq~_C58Q&hfO5f>c)o<{YHO6eo=yML8t@B)aFD2)H<^Ex!*suo9*DJb@ePB&>vvAJLBDQ`IsH7WxwOHWM;nZ>@4N8$f}FKA zTGHAhun}v6rZgKY?=ScAs&yS54*TI>8QGNg(aXVNoI#zCS&^J|l&92SL!Pw`Hm*Zl zF{RmT#ZsByJN3oS?(DdfUgrk!Yu$N{7t?>hdB<_Iq4opKIa;4}{c+q6n^u)oYW6(d z_=aNU)0}iCo9ih~`t9iZ2mRadGWuXXJFz~9t>m9|n9tW?ePUf6Nz$cx8_|c>8$Qp- z9-U+K>5)Qbr4;L_aR2(6-9*(r{5ZcZ+wo+*-mbshI77xecGypuqSw`+AB$~@mPNnK zuTp49@+b5gM!qfh*HjL`@4Bex^w_I0;nyPHMvPxC{fPi#B({ub@{YsXXjz20`r$hiV9P|Cer z_xC$QFU0qCi?CHWy%Hnp4ED!2X~#Y%XvYs zFPfkEJWl#QbYZ=Z*N)p9FQZS~c8t}Ba{U_6K8$S_Hn+yB65liTpT&&FKHs7pA9p-Y z(2kMx%kVLy)RBC@7@zlj0^JaY*N*}Z#Ibebu)T*3K3q@dVaP$gkHYcb58{^^$a@nT zbTOsH`QM@+^*up9`oQrv?S}LBx^dKcNBR-`!0m?IVBHtkFsGT);*NUy5p#fB%Q2M=vep56U@i`j^B>!PjkGD-{Q8TXqU@!K|}qx2Kygi z>yGV0(ny!2Y4x-tbfI#*c3h8~((p3+#BIk|ea;ts27~^G*fO*(^qchCdfKtyw`j*S z$CK9`->SDA(=sEeIn?pGe$@jqlKf%5mHC8pq4%6SqBM^}+cp>vIF>XJNyfXiD;G z(I<9XUidBA^Jd3W>s2>&>9sK=T6hud$WeJ5-w#}=)B&{LfqB@V*MUh&{R6S=!{ONA z!;6n%e+IS-uw864{%-c%PRO6gFI3heS6E-AkLkDNQ1J`Rx3w5ZQv5t~J?4Ky!*;)Y zJiD_;<-u^4EAv0GT#xzRFD++Cp2>3E1&i7mr|a5%j-pv7Id1`+&tI`O<-Mjj!oz5j zR3m=>@|K5iuQk>;w*C%sKg9L`&OdLq z0Cz0^{@!m*FHXRxvZveY3rk~%a4pQp;n!#*=3Z)`L(^5aNmLPE;`lo?4# zI4PQjcV^}_#`ofjXElkAOwCChk=tx$eo;O?+FCp-5ofM=D_t}@D|2i@LQ)h!PtiQBAc zOGpsEdFM^*|E2v;e%1MUpac_eKdAxU4o$!QQygP#*z&flWA3pvd=T_czzmI!E9_Z~ zM{WAK#~*Y429H&PiF6f2^xN0{yl9kZyUX%Xa9+9|X=X`r`$=!x@+PclG$g{tbOC8`TIeK*0YJN5lM&Ml!Mmll7+@!uTKSts5@4Y*eGYsv-TS^>>L+z#sXCp%MMF z#)t&wKg{Dl61bxs|HiF+{V%eBfIsFMLnHkA49&QVahX3{W%d6laEmP))i~AX-`@fP z{`roE`5&bIGbVyGi08qL=${+lqs?Dpe@v-On2R=|%{TrMb&uhH%#q($Vf(K3?7IOv z;9TC6#@Eo@BBp)NIiv*kVg5HX;=iG(>1Y%b=8t*S`tNq&-YHD5YrMqw-#`lp`2WVy zF#ki5wBZ?Cf)FKbBQw|2*OGe-5~RWf1?I^t#u7j_G&j6CmLKvZE3GBV+JRUdE&O z;RTOB{r?WL=AR}_0{_?n9`Jw5(TM(I@U|wVXZ`=-@qZtEx z(UblZUoHQ3_}`oVn>-uvk2}6Lc5*bv|HCpeBbL86|8GnIuI(kze_y~q?)ci+&Cv+| z5tjd4!2XPRfOHs-x!^qPzs9}@c{voIw)s8_`_IciHV%S(nz*DiJuk2??)cuA>S&Dr zvh(tIfHVKWUi%>J*=Ujn$Y?q~;BONIzyEGTBsDb5|6n9Pe?ues8~5n=vB>ie=KqcNdi;MK=pR3RVE#8W%>PIvJ)38L#HYC!+lUIA-@urr zxdE~^ug4xf+jJ%NnESR&z#cYk#<;!#E&1(I2z%P{3-V`;==kPKHBl|5^(?S z@i*UH)cVJdAFnwYY5$CL@BDG&D<1!U0{35ze`3#|{o}`vcO4D$KNv~JYx*;(1GD~b zd;E6;_Y23r#eP3N^^33n=Z?nuCo|2Bk54@Q`+$4c@lWdH`@dg&{SP`C%Rg72K(hW{ zJN}zmq2G3J{F8SH|GB6iivElIZ8PNq=o1^!$7u~>BjV2n%rRTgyEdH$_-q_^Mm^&8 zmR|$*56ADnJz~9&T@*LIY-;axmi9q@rLX9deVf{N_MM9V&vaTOzvZ{jMRDWHrZXH3 z^FJKP%NW7KgWI2MHvM-~ci{GP{9F3xug5Nm8(%h^?`VX7R(5U{^DpxF{~WltIsWY~_4yANU?BeduxXy75&z@-A~Iqm zQb6W^i^m`1ebWNN1@Aw(&-eep_~Z9(N5kun`y#k0JCc{3jeC`(FS4Bf^W-cA4d!xF z+PyFLRdPEIjNgxzIU2U(a3nJueTWM4FZK9W0e6Gpj_;Fq@yFS~_~Uq;qhbDEN3uu4 zQ@Nu?j%5C8JpNmOd!NVO|32WcffpJ`&( zczBooWBrZ|j5}X!LjGWA#15>;XW@SN2w(r$^svVtbN42kKbzA2&+_5n|@#HakaFjc7hL^!fFe6COXJ)Dw3)+5XX>k3rV}@4#=2>uvz-$Jlrb2kX!tYnOm_8)ye% zd%&l1$80BLTRUsv>ysS(i>;^BjuqhXVu@@ITeja65ek{%k?^ zNEuFAPA5;!S)l3R$@yCDAC>-Y0(2nfTt_4QL64_lqjDqZnit!1wkHP>Z1Zp@r^CXa zJ$jn}7sx>)85(I1y1fF|xpE_Pp0e?6!0*L=CGxU!lzIeX=#gu&-QeVWUq@^ovJ=}M zMnIQQPFe?iT#Wvcan-wzfCBq+9F6D4)S-xefgh21SUH%xH;?z^90>ggmAC|QkUtn2 z(=Q@7ddG`{o2NP&)4wBm>Di-^&#=D`11&{R4(otlU3JwQN# z9Tkp7+8Z}H(?w_T_W?6}|2h`-V!xp@==aw1n~UhJ=XJvn~>&6A#-9f7~>f^8t@kB&y{ zK(d^haV0WZ=Kq+-|1ZFO#pC~3Xh+;}{-UE{JND}H2|v|gInQ}={tlXVoSe>`%zM~4 z{`cuCpumoQIvSSqAGAd}?j*>=ZSonJOu=&A@Z`J?nom7BJI!@`Ehp|c#9VD?WSnG0 z@;IH+{`%14zX!PcJ^mjB5lfc54`&AvIU*P&mj5q-dynA`KiwDPasA@O_btc;3=P}yRb+JbsLb@CnVi~deW*YE+LN;w|8I73 zPWR{ALlJkq-@ET;OPQn5<2o9R;G58R_$MbjNAsfi)%p>o`u-4k@Y%p$s#NDk@q80( zYl4q*>n5+8Q*zpEqmCuCZG?g%-bKHG~cNBZ>YB^|?m56Bke33P8@{L+{| zet}a(F5I36<^C?z)YfR(Tb|bNEx*-!{5H5vHA&$26(jP{bNgG6Cm1}7r5bjn@1*F` z0N3p?5AKWNHbA=fEBtOI*|_CZjkyIrmeTN4)gqMhio-KV_`ZCCJBA#}v-Y!`=@4Y? zFgRO~8%Sw5L^b30?y>xT!rmGK!oEu8Z`3gydwM=_>rZeVjXtREmptCUG5_~LU))-) z)b$&1@8v*w4%WbTqaG8|7VK~P6!2T!dn%a!XBxh>EpWgq;l&vE9;L2A9{AbsK>sZK zo*g59ANpZKr4E&%&m!J8xKrY)k$(W!EQdfpg==S106!-4pMf92H5-ck*w48hecaq1 zA#kE2IF&8n)v&$9)f(-et&KGQ&xZjHT^jZc`EP9r|2+-){qa0yJHT@!9(dz&Ya+8( zTM=*hIph!PzZ=u^Px9B+rr1u`v?txFI@6JbcM!i~Prh%qDfH{sQv{AZ@oJtH0|#Gf zx2@UOz@z#5G4L}{Px5IG8xzOG$j98xaN2`c(tsE^#t*}34<0Qi#lU+Coc7?+Dklcs zSKzb;4LnYb#K5z)exF|nIBYp}U5tLY(mvQzTXwlJrhUMd+iwHl z;MHYc4E!gkhkPnqq2p;Egz~AL#{KW7qyPL9Up4u=8+QZ$qW8j?dAuD!)ETMm-8!){v+ik&s67oQXTXVlLiE1`pCmUO1z;54zj&`@wTs58`k7TUJJPZl9R%ByN2Mc)PUxt*_$vO=Ai6 z-=x32;b?R|tEFV&IZE!&fAjd);CQ#=-~3#OLzHjS^PZutA2=Ge<3E}|E$u`jdCZLE zVBC`(Tff5gxl-Gl7}fk<$wMB4oaZObNVzE`8qF`BmeRc|K7^gptyhnff>~Wtewfnr zlA^9D-3w-&n{w{ODL1FgnNm2lAf-E=G}ndgJ6bTShxy;1cag^$nXKoRTF=or8F>*= zl3{u5M>YhSrF8pQFR}!-6phff z)HTJAOWQEgjjTHU%^k@~kbc{ewSL>qz;P#R13mrjI-!4yXFC5D&pfVwi)Y63?>3x2 z7@4f+SK7Zr(Gf8HX+7uSTF-BA-REMZ`k^m>h;cY+AFg>dQwa%2@cuDiy_brupT_^L zf7wrGil5S>H{-A=(=ST zYZPghN9~!o)`j&%j!ENX8*+keh?U#MdwO0a?-_U+dcGD%&+c7W&6K_|iVBP4>Wj&a zWIg|&#HBBcz8KHYHg8le&rjQaD*8)w?rFhzDAuxG!1&{S_HTb2YX#YVGetkl727apZ9~5^B`Hm{p<|Bce;5B5 z#pV>TVm(su{?{1oIKCV~y$parFXRG7hOd{7gPvZuiC&mvx7~xS!f1uOd5P$CLcjHn z(MekTfwDy-+DqUXz?dluU#o}LTj`^FS!;`cv1 zS^h2iALenA@dEm$uOIh6+%KDzo?&h$;rlkXBQ9;n7}xuo?|J&<%mXlRyypGJ{i%F~>@)4THw)e$`zPWvnr{6o@bi5Au z_WBKQvi$L&^F2{eRAD_Y6g@8k9deNE*-p<^eNQ-E2YlP{x;@j$VE_5^Y+mNj)HMBG zP8z4|zn=g<51X6^k#?={{Z!hYxP1qHd;g7dvi$bd{?iJw->wq&0;nYK_3vKh{g;|Lwm3KIZ@Jcao=cX??EO?_TBkzn=KgJ8pt< zym@x}ubiy9{Z14VJy_4%#eXHBTYzn)(Gu%iw|M=|S$_MFKCuiw?HhYi=yd@2$Uk;8a(dw-!7k1nzrV#z?2hNR9S~z=v3_2DZ#1Yojz^3fMX&1=1okV| z7dTEI1;1w4+8b(IPb)g{IL0ez>h?!({bC2sSPW0UeYyXMI;6)AFXPP9Je^&9`Za0ljZBco3L*KXl_eCvDg zM+^7{=QrChMt2}Dr?%XVcBHkP@3XL9AdmYE=I|Z)*w99%w43^k$6wrp_qhEA_W~GM z?4Nx)-<72XR)O`qM(alvJ7#0M*=UFBIY+$f#E0-*!&$SDB_J>I$Is6k4a<>rO1ejk z)-)KO#?Ks24swSb|HmNyY2Vqq-{~NhCqz&n2lKz7@#V-Vwv&UtPyVK|V?H*VcbkHb zlO)kG`|aTP_;Qvw8efioCdz(W=*d|Dnrb5j_rs6zreRq z1tSgjp>~$@tKHzUuY0c>aEAgFd2MCrN8|#AmgRox_j8tu$4-&pqp+P%d2%Tpyy?k} zD4t!|pGUp*Z@=A0ccEc_$~u|YIf|@gIj?zgYC!XeCug$6!x;PIb&jEZn7<9JKVMpT z);h88U!L4AKzqQGyGZ6simOMv8+V5C_Bon3@*=}sGirY#r?BmaIeKRkPu}lDUW}dc znz}&l&W4UQPXB>iXDIoXhJlg06Y+g#H&5<6(tos_#;u{e){Z8Qof(0hbAgN4xD&Bu z=XPv=!1n*ly$N_#Me;s;K#?_JQCyJa54M+c?G< z_ifZsN5^HveOLCK1Og!e5(3ELHpUH6Q4vH?5dLpfZ*}gy=LUbDd7iJI=iGbF>8^Ua zs=KSI`)u&9Gtdv-MLXAbVtFyrt-PlKyT3y{p+Rgt59+SU$ZP{wUL^1`W69ZXytz>2lXIk_jV0%Z zNI5SMxEF&qEO2l2$!WT;zdv2>Xky@{WM(-*xjn}SJeboN1p@Dd81`@c*xoVa{EMSy zT)+JzT-%_G3;$sI5xn_~zY5$MVgC+v!z5kh`Sf~&qlqDBMwFZwHx}~wVUFTv#&9PzV^iWgQ1CmmzgCTH}@ad zKZ~~rv|@dr-IE&6+516(axQbUjQfqxZ!@kDlyPBmE$(X2!grh0uG~A8_StVA=+@U5 zBA=Y=98HY&%!=x_u1jy8sV3D=0p{*-)*#r+Dj@UJGF zc)YJY`xyuBlXHio;p?KGDZSbyZhA*Q-lmMlx75qA!JPH`wZQ$^^JX?OsJQRy60aj2 zalGT=u`|ZT7&o+>WoUIAWxAbdA6IXB-*%k(8O3n@ei8LM01V9AO*-*DQ$P3pqnU{G zO&Qk1r?K>ag}I^Ga6$BzaHWTt%^c3m z^sKK0aBsUfBb_@r^FPD!pP2&M5srU*bN?U3ZHJuVW&fTz+|k6tqb6m%RDp+BV&>%n zZ?e8$kMQ>OzMTsUG z`-S8~LZ%N7v4Ejrysx9=8)jOP#^bEhu#qi!1KR`GzXV$v_EC?SkbUMMY(HYdyz7rn ztY2W-e)Jk}W;&D(*Lbi{kWcj^t6-nJnExA^KzXJ8gPay`9%xGi-hBcOQmX*r2~X5epcaKs+hmDuIU>VkT^?NgcKbJjh2+ zM$oenK7CT1HBA8CB|iBuKeYP%6tv$MG~6$YTcYVmw_j{K0Q+xf0`a7L=!X{X2hi>l zcqa)w$fttP2>CGoH#7lwnLhc@_bndg|Fez~cq0VfLHoF*PoJ|II+{Q{DIdn0!JE|_ zv|R+=B!LI{lzaBeH>;JS3BXIS@)biD=r7bqXT62(zt}3V!4J>E+^N13jeoK|R$jzg zvj#iVj!y`A5B9Yy0gi|#(M%;n^GZTGw7W~ibv$0P8E3O}0fo;3zRgA&= zCE7at$h{fJoB1eckHPjo&|e9DsPn2BpgrI7_Iv>P3nHo9G0O{kYvmmVJ{c~*<02vN zUSofH`}-5(2}8s3ZjZ9>X~w`aUYfug4O-OMB;3z`Jnif8Il!Fv>Gv{66O5-6%y{r0 zRzAcUv+@mBJRi_h;E{jMWdqdPhllG3h9&}!F441t9-=!isQCm zQeIpJXK`6h*dQw>eD$o^0&lN~X9MErS?@ZUcz7fxS%)S@Q|jH54P3f!S>Fo5qK#AFW|lAv(`GAcz9O6N`bctwBHN7YXlzT8>F**^1-GV znjpN4G~Is1u!&Q#!3NAiznO(TJ8KWNEjV{Nwoce!kLoLNdjiYqcQ^0^Sm>WZ-b1D} zBgV--M-xw8)-R1W#Njlr>J1!aN5%V$w<`HU8jvjiU6 znd7ZU`Ob4Rj2FYcU6OpM9sd+_SUmXu*%?Bgw}}23@LuWJ@c)J;9-bNhG~O)UXwVi2 zycYx>;z?echW>o*2mfzqg7lec`eQN5qQ6j|d}kxJH-Wtu_aT%ZPCCiq*4G~QAJ`r% zFMRdvzdE$e>qL77#FMjca5Qn`b^QnS&f>w|&AwOQ{Uq=L;Cb> zp=x+=95DMI0`H%oeZt`-4H5B2K)f^iQAZPu$KolxhXo$||Lj);-UNXM|Itrp`T7t1 zzoC(FhmbEVB|F)KtjvMiQN#hW(T`@&6}b0{xFaAAm_5VM#Kl#jI^4H~yztetR|?#j z0vGZkyj674-jzCt`)fN zgU9C%7en?$I%2s`Isk^{EG|*=FaKCdj zadD-*#i%R#GmW7+1F)TfHRuhnP1oa*(($;i@U?}immTic-#EGFG)5g@FHJgWl#mK)GY|uQ^Qx?y=wzGN{n^4FdPjAe$leJqJC((8R@+`bNK=bG*RqisPP^ zy?4^H0(U?4FD0-K_f$tC?Xj>Qm`b?#f!fsA<2f)$bIuWX6~Z0|d>3U7#5Xi?@K{P} zPwGb&FB!)d3cT+G9^}JnG-vwc8|r9+^qQSAvUjAtn3E!KGr$9W+N3URg+6D4nsz(ou*=LUg0LEs*= zuMPCei&((W#Kn!2ce22}1w8H&xDN_k$V*onDAyfed0#?oh#M>=C;9c2ZwWVN8OJiXNqOfU?`Y%V>udTJ zzpayVZW4I(5co2#`K_B#v#%e|h2J(bjQfRJ*N%{TOo|Yk<;L|9qu;rGz(e@^ldsp` z35}3D)_sF>&v3Ny@txp|-(TRv|IfWZ$o-neSN+1=w&Rx@{@>7W|I+sr>qj%fLn7rB zet+&L&|WI=ev7zoM=h_%ysvmJ{J)`%jT<4S@cVPG1nmTedrB{jtK>Adefi}a>u4BH z&vT8OY3V~!$7oquuU84Z=7V;cz#9|OPW8*}uFClObD^UR##OR1?j(VGGiV_jZ-yVTJJ;%ZSDcagwd1=<>c8y469e(M%n zPQ+k_hVk@$g<4MXe@0B@7Z6j}cHIQpPXyjS^nHbgBPZtThBgS-v?$BDRp5RBTFlo? z>h`X-Ju(`>K2QjoE)O<_v92Tq7jd zvz&Vz?z{$g7g{@q+uhu^uI!@z+POb|n|G9>iJ@2ermt(yV$==eWG;NbT-f8eS7C#_ zs`?pq*o^z??#K2N?8w!w4u9kx$vf`|A#W!fYa2i~-MdEgC*^c9I>0r5nwqM28Kf7msfqCfZO3hCadXd&?M+wsZID z9eZD%{>F=EQEAVc4?WJiUG1~q`y}vvZ~1uz*X9=1@m|4s_b7bgtDbA)_kCWNnu!;^ zDHygt^X^1B9UINVoPQq1ze)H`X>;0-_ui&?k2@N!_h-o?vcn@r(OcNYK+cr3>=BpJ z$K|s#M~$GDG7~@QH~$j2&tV@v+oZ;o`hMk|=8XFH^%uk!hDOB+qtfw#@+tI|w&B{nl*YzfO zX9!&Q{CVX9H&5X1Kl98p2M*#)pS)#`hTHQ8ewV#ZNS3cu;9#ykZ==9@R6kEk<6p_g z3r;?q8b=cYhlOICDuF}tiFJS`a|KSo`}^j7>}Ytre@p$w-i4nP#si?E`I!HkG6L@s`ZS-#1K;_L9N+n^ zaD0Lju;~MaZ{70EKi1JQ-j5_-cIudHgQnVV{?P)jBWMN*y!rZlnunp!`IxU8TJASr z6JGKNyiXohW=Lunm&Vjr9)MfydCy=SXFhx)*_$Q%J#Q)Ww-j|=dXndr!gkkZqJA{L zvyl5-9E&)h*$@-2`)eV`<9zskL!MbCI7tG4I!FZAhn<_%-!c-X8qcXqtJiYuZ>FDn%29)_1mhZzfqH+xU6{r zWwpbp!>{4}m;2kEa2TK6!qa*QzC_b9?_y;KJw6hYvTYNveL1n(TeR z_5Aq{I+_R^yyD}+uzA1C{QCqBeE$6Z8WhNPwZOrBnF!na_a4;OcVFgw#1)2y_4$>N z$LKVyCTN*`ls=yjcrRlAO@Vi}xi03;(VkC#uQ?i)i|ssN(KeL7&BOY8S>V7&&tK?x zHhEp!dD7qB0eUrTsvl>rqhYzelXBt4HuZ@fX3BW81YQaDD+FGZo@a7<^|2W~xmGwD zf4?NTfQN6mkCy#%nZSe3o)6z?QWNu>9^t8WV;OyT8ypSeZS%=DGGj#Q$kcSa%L1Vm z*<0=>)OMs-l9lk+Vf)UY*55ztm#{8U81uiyK76-HO^+7$EB%@@KBs634?Ul}I~@(n z%l?IQ%N~?h-Y!WSl06(u84q$*%JbnJbToeb4oSh6 z&r-vKFU?5K!o$(}e$)BzVFqtOW6;9(nuK_u9qse|7HCiN_?^UC(8AF$-geWT8V}#7 zP1T*2-J*{{_belkNsrz?fbsmf?Ea7y`Xti;7k|qDcWcJ7~~Z5h6Vq0 zH2(fHJUMg7CCQno;UQ@kry;=3pzt~!-9Yu@`vvZk;DNZzq-G_D(Vw1mG%7wc@>yK| z?sNuL5ezc7BgGSFOG*n~#Wqvz({Hn{z0UN{c2It&K+5};qw(7%i95z(GC#71Sf{YK z^Ke{BIVv@8EA3KmbK0-x#g4{rCx$1}q<8RWJbgY&MV2fl)+a39O3+qYwqEmdg`EhJ zbG4%h!o%ksF$#5it`c|~K`Z=r^D7OWs{_+Xy^@YJ4ePbmwPzOQ_t}}rZk8WTOCJgw zL~+9c^rHo6Q_}AO$h-jcSg;A(PkyZWIAT2m%j$WT=ZP@TYkrFtBHVcEU<>v-8ufl} zDR&x{zJ?`_fV^4RnWIxESaMpR{t+{Ay&%7>7v}#96I{{eFMIHEsF%?H!X~Z^>;DT` zj|)a-q{&JsUWBu-k;7To2FE7~oULYk%AKPhm@V-BV)W|H(Vha3R)L7T)IYTA1&*@^#RpsENH#zqoE-Xu03=dO6K6(nr%nd*Q6% z$@rKrt?P#I>30g-RJ%e>i+?A0z+aoxVuM*P$2=n-Zdr)=yP@&-+fgZLVSLLl%f8-Q z;oU9p9tG{w2F>$Y>=*qu!0#-4($VT8et53Pe4IhO*r+@U2r zB-R;%+ON#f_~f*!G`gRZ3LMD1@I8U^hQJ9}r&(CzXw>-E_fesT(#n@xrO|lMrIqV_ z(0(fL)|vUQJ4buI_WPfsVY&2s9^ER9#zA{ooNb`_-tkQMLA>WNV4Y^+w~mH!^n1_s zstH?dZYT5!i?bUv`yEbVD{);TsNe5%G>oI)f2eVIb%t@!?+nf&KrF(%$fU#`QT;w@ zU1kydwV_dd!L?JGZ&gO=Z&5>mmk3(;Ym*W)jbDKI2v`?cbhM*kJpCTE=v5Johjj@n zUwhEPUz?P8lYCE8>~)bvogIyoFM3r(<8>5xh$R;FGiaWd_>7P*U|nPpVgW2DW z=v5Jo*F)eThFFv-@G4E541ETyi!4GcU})G6=;yd2@m%x*++xr`uZtk-BH%AN3)`iz zYsX_l|C#*_HjI<{O4M%&)3)m+I1W3s#Bas@#sTXxi>`1qavaK48ODKJ7H1r2COVv! zt;IMDSdUqh=V-V+_5B*rt1-Gg#|ykd(85of)Uvm^Zi4mmGzjn4BwK}13pA)!mfyX?7yY?{jJJZoH zu6|FN#f@5>(75ji+{NIrS>XO8*F_M}>f~$JWsZh%wSADd{?!TK7USNnX4u++7X4}w z+ISK4zUVn@s84+-?pI5N+^|K9zHq3m%zfl>))yDmIvP1{qgO|?oj^s}=*0W~NdDNX zC%Rt0h2t%{@H|F<~7mErY@_m$lsocOC13U4v`nbpHV@akmH@Lv5_yi@4N zC-;f24C`4x=T3N$s}j_Y7Q?3*{)@5xzxZ^)e~Y=!;m$?jb$2w3r~P^4>HyOb-pK;5 zFOJV~yj%TRw?AKehNF?=H4@L~&k47`z)i+6{GLgz+t=++7Y}tb(x1xJ2(k-{FisYs z?=C_=p|&IalFWp^IK?2=&p)>(Fl>8X2~7A)lUfg!{yg?N$l|e%#@C)vt03ri#dsDM zaxQ``diP=6<64RB7TCos+!GI*NZ$~gVZdYj&xQ?IR1Ul}&|uA>>NDIQ0iDgg1N=sS z9`ne>Z^t0ir&!*rguH)6dC;2FI>+=Ub4LC9#tUKrL&NgwcsqI(Mvuq83A}qj`>5mH zy14Fmdok7%h^D#)@k5-WpPva{mC(5N3*0BcW4ge7!K@pCU%_4SBw4=VCNUV;b<7get!+CEc(hE_Z!TOX290ad$wm>fDQh024s47zCo+M zf0h$*ksT*xsPkHf*Lqvj{5@(tWO0R~;ePW~7!*`q1=25erWzML7AKq?9!+6^w@o*OsZojw)e~brT zZt>ucmo##`+q_%1|60<((WvnsiI<%=GBuN#GTuRlw*Ogx^z9lUk zO@uyGU@$B-9d3~m;VprkF!C*dFIgh|f14lc*5{HAj)t$(?PK{eMvPGXV+mqd%OC!K zNe>N2by6F!9Pd$*Y`h0#(z>CRN2sQ z`|JqgF3Zg1thAJH^62bQ;i02a^yg$0-uVI#I$d(Hz#CC_oVw&9N2A6yT}K)@YRKpj zso@mdVt{besWZ%zMAm1zz`YziFn2fU=*LVP3U{zakm~}z{+Od^4^e-hb@lH>dEDGh zcXcz~l>#phG}jq4^pgs)j)wc4`;)aqwhyPk(J;;rejI$RT4-1@ch5tEt zI(m^xKAf8!jk-P)&c@6FN`gqTK<2Gy$c;0Ip@U8m` zvHu@~R)7Dj=Np9lk3*KX9o{ib^mRy@*9FP{l%t8&o^-Y6P%+9p;d!sl#nuz@B93|W zS^WPE^1=^~N8A-Jy)nr1_Cr5Qu)R7KbXB0kOytcYun)r9_r;^u;}rPT9?Sx-C64Bp zb9H~>@AoA28kak*m#-BOD^l{c`$ESfmfUnhJ?nR#z+DcS)ruGW9y3w&d(rM_83f%}nQ;JEF}uxqpR^Mz#3ofmfQ56799fgA^ziXI*S*ilg!4v)8B_|9pX; z4qn#?{2dbCx-Y-{ki^jNIOO{{N&Z+~i^XQYRf-^d3GhlWw_Mf=8~ot%Zk|_`^RsC+?@{fxU)q63>pXDIU1I8x7DW^21>8r2pn9qUkcx6(s7rX`61eIuWdMgyZ!2D zcpUJ3;pmspXT&g2cvzP(a+Mt6c_r|>CLMQU-Rp)Why@G{%k_hkOWr?Qg7pZC1G`*u zyg@;KdBXTZcaHYtI4EiBXd?TG9tJE=NgIKKIe!UzI>q<6nYx{R$2chI;&}M%@u<<+ z)Gta9Ls+>^!*PEn!*QPw>>UFEMRDS zdL$fSk4w%LI72}b7C5Jf{vOZ|OE6b7G(LMwI1wF@$2a;R^$}`6(kaPFbtoC`aO-Q2 z+YvZcuj7ELV?V+?et6yfpd`=HuwIQnAbEv9C>bwst^*BxxJk!fQ@1}TndWGs`ibxd zB}D?~Z=ku`pg^8~{Ca);v~aMhEL`qoL-BjORO#|J?3gutC6`sc4n7vTX+L?sd9 z{1HdPap#9*Pkie@(aTV3IY^Cv#3vRXF+>Ucv`Hs4_PWx(YM*E}K6~?mqWu#7iTm$Y z(dG5BkivaV;JyjkIR@49P8e?1wQZaf^_+hR{F$L)yzMdZXn9ECy)E!C=PxN2c!lEr zqk#31k`hOAr~>t>3BrpRaMLo8ss~~XtM4`7`JSl9<6dt%V!Ihs59rs>#L+YNFz#Q% z50|_T+Ajs(2CZkBw|}YP-&j&{r=xm__0Ez{9j`d`uU!qT-)%)bLWjr3sFPacG@E;c%ZOUevy&%>)j7erbquRBAUv ztZxMLr_y$ghV92k5+}GX69VHOC-7k{N>A6|_-j|`AsRrrIO~q3r#hMlecBt$8Rry% z(-$=Gbtbj@MeCF1aX+eljEA@<=jVrMxyrBCL5?;8&%O|Z@dgOI^Fbs0>WQ61`vtt8 zwKUbyMBte4OX+X0z!?b|p5ZCUP8=j~_R%~9B0(TuKgo17YFtFwf1?V8H%j1L4%!Ju zi~OC;6X=NB>sLj_$70-aeHm%QPSxYj zq8^AHO5uM^I`Mgrdr`dgzf!~khQ`I2SfUIM9m4HbAo$;avc-lwGc*xvk88N+iwraiHnE0xPnlP6XV<@aP9!j13D9bCw_0&nq zeGH{59F2+-g7AWEE9-lis0Vz0DSWp{?LQZBLco0+rJEd096j4Op>%`5gRd@yk2a}8 z$LKi0*UGxHkgcWU>v!86uQ>IvaYE_mq8{-1%iudr>Trc(6^S2 z7WJ6mVK$F)ajrKkyU5W5>RHS)sZU$H%RsAr1mSdiPU~5%^YC@k=+5F_?_37gV0Z-L z>xhcw#dxy#e*vxV_Z>eF?Hn+!mrZgsfp}s*Ph;2O!T&G&J4vci$KN#G;f$-lIap1p~z)r6!(qm2OSTNLw4Dfc{7Yu zf4WcLJ`S2^1@1#){V8C*b=gynM#ZZR$4od_z9$6E3!qslaNZH|YQT4UmcjoU8Wo55 zaN-RczFxHqaxOz1mLV=!wi4S$Y@cF-uc+_D@&niQt1{q<_@L7&9S6l5w-t^ivOUd& zhjAdA#ex4{_JNbG(_Y=4)PL)^Ua)Mlqvig)!|5B3CJw#tup8phimftNiTH(d=MHDZXyL)%%r??Agp;QdL)TZ3$d4{w*F ziPWc=(6LGhIKyzfjjB;hY_;pAO@JdWXKO$xnf?l%l>$K@Ry4dZ+-V{?IBz*V}HBv!qiK(Wj$1kJm_&bWLtg)wk&K{W4jI;{6c*vmJ_(9 z-!6yGUw*km?L64rX9+o#UC)V(kCtETXw-dzEFWH$o-!geIWsLCFRL3eES29=insJ- z5_iUhtQPkw@PN-Ysq^LL{$Jn*#7WC@9S!4tVsPO*(}rG3z*y?fz-m9e2bQ537y7lh zlfdJ8gX(#mZ?F5gx8+kD4Uf+~gqxC_;a&%*4yNNHSUeAE4}KhCAA6j93o~Sl3vNSisP@aYg(wiZv^i1A4PKUx5aG*QBJ0hcT{p zI2u1rc4nHyLEBiIpFp$Upg^8~90txWj)wL13+YQmx-19U-S9`4=an@R{Gaq1(-G4J ztgDwbax`rJKGpo=M7V@o)==QW=a(HPaNiWqr3T?1<7ilaKN(y*oOnEywH7$-L35J8 z*&?nR1dOLL#1n?bjVBesvK$=+fB5RM^9BFiqCfwv*6ZQiljL|U!&-o$VL5&zIn=vJ z%quj>Ka(6~z$!Zx+c0eKTV<26{ng>s*B;9WT-#4lfh*#WlUj=Fw*mIA>;gyQ^r#|N z<`0=HfB65hF-{tMM-!2y>4$v=njD`V;r|VdoKB2&CA^626Gz%Emb!0dZV@F3M11{2U?QM9@xEdGveI?S~)7l@&Q!B`02HZ{j!_ zSLhQ~k2m31`1_M)2|cPfia*Wilj~MTqv9y$UuPUe{m|mx10Mep@~y2qjw*xyH#91a zGPv>Ms4@`;lsy3+&k5W;b;nU<&o~-ohY2@2jw<_)kPrU9O!)sUo$I#4Wv@FL#@R(U z(Q#DSD*^}pzf8=#yPP57sDST&mcjoU8Wl$|PV6|U7-bC`nj%2iW{{t=7?M>=3rS3Sc>~lxM?YNup zqT@Kyqs93aG`kE6zAq}TiwF6gosPzhbN9YzY9q^kujj3B?7c4Uig6wgN0l9LG|c~J zl0(N)k?T5SSI{3U-a*hdcYs}1oBP?^eFQ6Du}v8_uA<|p6^I`U-xaMubG+dDi5ypb zY=*Q~E8035KaM|+TG2+}V9vjyi)H6^*&}g!+YBELoPeQWef>iEa&gp(PJ(}Tlufa0 zyspjbj-yuebTlfC(){DaQN^HvT!g*iG;Hv(D=xt{7TaWpUtfDPehJsM-*6mfIJB-E z>yG1AjC3^Iem@zx{c#-0W^qP?<_dw6R(Bk?;xb3$#*>TVNN<)ueD#Vv!9Q1wCmqMZ zx%=X{72_QZ%kd-05fR6czR)%nrvS$y4(NJY-Eq_k_1!o8pq$oQ7eR>UvVeOJ|g(Pqxt`iIBLZMjtBR9eov_Hx&vLo3s1g&TtOK6 zqQw2~ULohd!Q(m3;-9Vu_4EFRu`ad({-0P^QYUR(kHZ)o^>zz05@I^(MqA}&}l2fS(o{>AdS8A4Xc zhriI#F#eWE{5Ww|G455o)bsX12m4;ehFERiOwT*-JkL7^c5wneyWw4h4dcH)66=4S zXzxvs<$H&8@?GM&&w%;IiVqwO#|hs_`6J@JV)(oJP#(UC`ujG_HCJr$yp<62z);UC zhi@xKza4-&&FznObYLz!C)3rZ3{6nB2sHh$l|ol2w=x6UI?zLp<HtFO==DGIZb;%X`91Y9+jg&V!?p%TT7`&AYP*>sKPkvus zFOKbpS03ePcpTUI@M6cGu!k#;5V);y+|Eepc_;tsh3JUKRY1G0Z0l&0{qW%i#(^v0 zH?6$zpDVjL+*7*N{l1Wuh#w3M>-SrU8yyd>>@4t32kiiXH$q&e2#5z)_H{JTcFM(t zD|-o?vp|EsWzs3v2|E=K7q0x1qhXxyrCe^EZRI%vXBcR%GAN#R%D>G0>cRbUCDsoN zP4sw-h!cy^m(f3|uTZ;@E=f+R!^$*=TVH!L9tp?xms}icUqqOvyer3J!1(x!qlqoA zKVBtUWAWhgSKjQTJEdCU#kTh=Z*Vj!9+ByAi#e;m2;5rOwCXkQk1 zXNmb=&^Ua-(L|3!7f-HyPT;%&nmGdJN<9uK-uPav3yL7e7gw&F;b>XDucds^@#V_5 z1@1!7E*H4>iu+H3>}iRkiI&gBnJX6yoK>LtMBuzB>`XwMxpJ+eiN?|KW--d3e^MWz zc3b%zHpoZVD=Xnkvm9o9?D4n3OT~@w~N&QI^64EIl7vhUaa#3hxN}8rxpf8+lu= z7Zv_L?y??$Y4lJIzU7IIS@)2Be)%xs?Q+BwM0*Ifo7?>d?$4?t>wuf^D8{mQN8`Ao zz#Aj(16J#pXOb0^@oxF?jz-ueixV&4X8g7S|0MA0De!L+b}3*Sm3MPAjKA40C-4IU zZpQB_@cV%m^kq`_H@#D7KVDo^j=o}Ogk3c9`vP9Z8zAtKL3@Eg!}oeW6?QR5-l2|0 z*eQeO3pg1sMc|DBE#hL6Alz}ZujGsEPs_6%4UeNweEJ;{E8t|@iv;eK;Bk#X#rJcQ z>RvA{AM0q;`1Rw)3OE_}DuFu@Jnj^@>3aM`uDgl(V|k&YVg0Ij-ssmCaFV?!NB=EH zA1X(?mP6O&kh>gpD4*nT>uZnY1&$rRh$+hd<1iE z#(P!ZVXeG;iNO0~{?vcS;<;Mu^F@#YFV#17@F1>OOPM;2ElpWQ_)U}%J2H~Qqj zlX0+MZQE@fXg)C9JnysKli^2@X6Nf4#s*>{7m6;Gf~adTaX= z5cif-ETCyvuWJ4$7h|H^kcni%7O15Y0J=%3~2Gv#Q< za_G1ma+U+T{0Gp(@2+Z(tvl8({%g?xxPO)tIHp}!H3JsxkV&UKq{pL*m-zl`j(c^m zlcWpE{U@E7(5KI+8vu>yK7F3;cqqRab^qa{ zg0a`+O%6}Yoj9rRMts1W*IOy}wDm{@kI}9kJucUNli%0suZEwQqVd&dq~mp{6!W3g z{`Yj^c~kXKWxS_Ce_vO9S)Jcahws1P+FKevE=ZhNUx-_5Jud_A@uHs3djq*#96!4% z>}dG8hw6a(jLb|P$v2A8JMvHu+#r;WZ^I2u8^P~4TXlt~$F<-&MbzVSuWz08nCxhj zeTk}v{h&O{jhMp9eIsc9;qXom3HyS0nP1k5Y+pP4&Czhb_$&&~3aY-AruBWZz`Ywh z9u~Mm#dZIH_gSri|28x%=e8)^A*1n9D|+h~%XzQB! zN8t?{off9X9Xw)G%7xB~Yk3i4SUto4uUaPLeN23}@z*4J9VQP!pPu3W4NU-k8eS!B zdUqCgC=EgOeHGey6?DD|dRT?Jtb(mywHn*|t{(NZ$NC4JmA@Rg8ywo{6?_cwK z9K!zbAN`#2sw&4r*`uiTV0~cF8=a_e4w-E|uvWebKF*|`Ewnv~*AG5&G>rR23|u^# zinsgmI}M08%MU$U+-=|ie`iw9L1NuFAg*2Yt)t=oz9Sl!-sM1v@wN-RpD_PdS^V2` zoQTH);*?dtI2yKR@5RCkj+JaZes=Y!K+gcjwZmKsl_wm z13zBTSm0s)U(wm1d0x-O+McO?#&JYl{j379fZ?H@XN%GU?x)4W1w)fZ^Ml?PgJjF` zipS)^xRlHCQN1uTHSJ$THjHKymW&2aUo zuRWF@cvkO-Ju0qpXuU4e>oK(cv?pl@95U|U%2YQ;qnNb66_-0&)_-l39O9pneoR6{9N#uB=8^iLUa^wJ*MI>j)rlg{VRR@E37}`LTXpI69g_|hzj^=lX@)^ z{x#r!<_g3DhK6y!j3F;@&Aq0?o8_G*a1l#X+;31luh%!?dl0|&;ctR5omj7^xZBYL zjCZw|Z1C<7c!(h?{^Rg^w-xbA5FTOyLlc1Kn~zmsJ;L@U%!Ml8mrd$@md2xa_Opoh z;`t3tlz*>y#qr{Ld=;f{8e3#jl%8J{^>`Pw3rsCMulM!xd7?fx!`GkZI2y*|?|}N& zlZ&p`l-#Z}1>RE7!iSsGd$C@>IE;1N3d}7HE%*ChvMwRF?ZCSCQ=YdSZMynNY%gPj+^b8lt#$SI zqxV>D#4}dzZy*DFwn=>&*1gVGfq25uDEp-44qPqP;}tSnT=@J-1B(AXryhoVI_PM) zJ%0wSUL|I^_B;NSjZikh0rVLypR1sjR7tjHCH%jk;rZ@v@b|3>D>*8K->+-~niCB- z$nh8P{7b<7ua)pmhK9$(*Mt*mHCW>vBjgH!7V~YB`usz#LkGy!)zPT&M|iPTfi)g1 zhH0ltTvMnVXwV?vOLeawR^nQKpb_sD@_a{Q$G5u8 zfbCZ0xq|;Nl)X~$|3r-MfOXf(5srrW?}Z$Cwe?Uj@}Q?m$Ur!iY1neHU5D)!hf`mB z)ZYoy>JMxFmGIvt_1UN2W6JZ3*mk+{8b@QtlV06r{*cG=M=VhZe``|T7KbsO5DOR@ zAAhXoGJojG^1m5n|8BT@Uf<4#!N1tiF#liCKI*ms)&6J)%l|HveOT}x=p98zOcyX8 zsJ!3NsCY>6k2e!xx$Y6T@cEU`3f$o$9ty&J%F*!n*`sl7bfCx269VT2(7Y~i3dQ}x z0rR}dR~-%Of&8PM;jkPp3I6Y(>^#B$0Wp5|s`~?quJ^x}qH?yQVL5hzzi%~E%P~XX zEC$U+f%A%Zo*>}5UM2jvp|Nu4$c@J-^i&C1DuGkE6x#>b;D1)bj@Nf$Jp$AALmIcA zIMlwSLXQFKu$3P>8Y`df3(Oz#SpHw2>`uXdqvo&Hv;7O70nZIpe(QLscsy$T6|cX{ z8yCI~e;SJGv0c<-5A^G*;q?pYc%167-CqsK%;%2}I3Db`estdrGI5-NV0^Tx(ZlLS zpcVeV-=D>OLMooiC2uM5R>S`r8twn>cZ$pc3*k_|u{iMmtJ|4ccwWC-g#QodudCtz z4UHZb0!OW^Fplv1t2=?_RDtuD7#9I{WOWxu!}IA6g#O}QeNy9cb!Q=GFYr1;;J+j8 z=MBQ|?`T*~*?z*#tnMRl&H+uT!1+|S8^`PU1KN#95JYx^2{r)8IF2FH- zr%C;ri+&RDeuUMd91Zu&9YU}6b#aO#*&os)$w;`X5mT)Gi|4&q;d$Si!DGPd;h*~v zFl~Q@&tHu?nbg0lSfBg3&%nNY2Mp*lsP6#ZxR~f@qV#I)5O{u;j(e>UXWfE+Qi;A> z2|u_R|KD#hXu_X#BXzry z{n-{VUv>t_cVF9T_Kg)#p zi1mrpPdgs0_nrLSLR@URBpEM1%p46JF)rqhR&LDIS7T0TQvWUDI(3jedd<+|=@a7iH%g?w;3wmx$ApU5)x~)N0KCiDuIRk`Ma-Uhz*EHJZNS#2;E_Ic5r+ zC7@a9a0VoZ`*j1}OSO8rqmej6)5hRAmyFcRv{C#%N}6L^c~*mFy}&t5-~{PwouiTZ zqNxYVu~zWkjI!|GCJh)a^c67gSpA`+Vg7rSz9_V1{;*-T{-2@j4#OSS%*V;Nzn{(U z^{cNO4g2>`EdRjJmT?hVSll1M1OC>e0XNirJ!AFHjz;$95u@<#BXNCv^=^T4(DT-` zH7JnpMbV%4_dgSfGo^fMj&L-xeQ4d1?J?vaj5VNK(;gda&zgbQQXEcw?U5b{*XVH# zVuv;G4<-#L6a8a<@1DJT!*L+_UqO1e5Co)IQ&WZQ?@@z zs)P@xhoj~8`bqT@HIj6Bk_Y;;c<}ja;KNNCa6ruC1J-}npa~6)9JdN5JQ~*;`8$!+ zMiwU-G-(C}?O#_fTmiR$g_5qcZyXe5rBlBhS}u>Q~wEDmh!nu`TaKYo&kmxJbNfs-NPyCA(?+FmzBRyHb3L}lyao+nC(c1%-wJ=TeI(vl0NYq!iRA^Z?I-_2 z9sc9c20mB!b^bL^I2zf06jCw&#{_>7OAyMymAd^Xp7=H*o)H83P_5%JKgZRt@!$0N z0P^Qeb7gFaCSK!OuSt_b^Kt+73Ha7A#`XKhqrMxl&Gx*84e&tSjjNtb9ZvrhN484q zy>Q)2CChefp8m$dA&N`Y<0!jG?W^mV=UkF?Uauj8aG9LnXdKSYEt(R(x@dB*;mIR< z;>4Nn8_V-*dJpS2jvD&2;W zqxKSzD5K8nGb9=##2n8hLUH za;{ddma%y7*ObJqQr5k9;TeBN7&veBs%2s6~=7@5ld8TrQL6_J499P^n) z*r~>iI-;CuFDv)Oiu2l3i_j*)JG!CGzYH`~SG4n5>?5`Q+-rG+%}3pBKKjtwi@i2I zWd2`uKI9~wtxfjY4EIj-%LyN8(%KrcXa3{s_4-1s*J96`h8W__nYg#5i|38W!uF`= z?SNce5N~#R&+x0Cf2)s&wY-Rx);`HtZLab9`{lh$)nP5l`VvOo+WVVK^|UW@WNop7<&qJeK*&C_LcSyNE`H|k9P)^5=K3!fYPkDWX< ztlJf|X!Oh*3g**mZ$dfp4y!Kd#)ocCvJ-LS#Gzpxqp{W~sNFF#g(J$}}{ zYhg|}fcoE zTWp`JvTSGf5U}gxlwZnz@5FsxV{2E9zvO)MA@FP8N#?`G zQ4;>K{SdE(uN|w70i7MZL8`_Ns7Y^j%FBL70AWat4I|NiTy*FK5})te5YFUbJhiQ!l;2=6uU0ST9sA72CP0Y+!$aER?EH zUZ)OIy*5rSqtsc}3-+secpdJRdVxQr#QE5-y3p(JZ<${p@-aB7MtL36BJ~DeU!}{b z()yS5mPdNav8SW-rux&kL)(u|jWh;SC)=Mc0UP*nlY+)!6_v}!riPu;Z>;{L2RfD4 zspCabZ`0IS)(iHlvb>Jtq+ae6`S&8f(Cb(fsh8VSnJQgYrAF z&G+b=@01em(%);Z)%;&pbHJ*Zo;MxmTABMcOg?=6)5K>keCr0}pJ<-f(e!V7-rH9o ze<7G7A&72G|F-_%`|fD}zf)gNs@3%1OLNewa%`w;YxBJ)lMlX(zZ&_dYwO(+ z{Ff?x;$4NhBDnSA1NZI8&<_&4+emC^ z&-zY>YPJ3jK%S2r+OhY>kmnbbUxl(hc`}4Nnjf`u)z5<8YyS42cI5s*z8QT7L30}_ zZR$hq$n6ckO?~o&P5$<#cI5V^m;im_gfAobqg|-IDOI5|?GTjHzU5E2)P5oSL;vlr zjG#YW)sJXM76ga>`hgquS4uRdD0rlO%Ri*XJDsb7Z8)*1f4o< z`D?HyLAnaHkC4BIlE0<{@}a9xuLyedqqjFI{`3oDb~OE)`q4hwt978Sf^7@UaQ5mg ztU-{?svbn8bZYE1U+Oh(O7w5d*ZJp|O#CB%gV(qT+4y9o8x$CqJifB9>y~t;1F$h^P7x4(oA; zT8GWREv8tIP0k!?mt%Qdt-1i^uTb@=zDfPtUwc1-J;OV^?uGtH_Ox2Z1FR3du0@5a zA8nxQ#~z9&x#r;_&4${aFstuYC#wIdGvG3tdCl41bN=Hf13f?681ew`xevUAc3#sX z4%NV(V~vgIsvprjP}Zf6*d;2JRGgWgkGUG3ApKRtzg2&L4fey<2V;cr=$uXoUA#uF zKCh~LG6L0aVoW{gC8Sb(A?x#^qO3+)m#98Or4rZY09_a`$KbaR+oRZEM{Iq7!S%s8 zof1ZRN4okfR{30?FR=d~FX5iZ`oK?8szzCtFmS5TiFM-o>>G=fmaB8dUJLx4%^Koe zoK$5sZzX+IU?ZKqE9wWE%=N=Lof7`#HEHP62kbhf>RSHOKg`yiV0^i5LwM9Sq?1yC z2P4gRIH&m~yy!J{`uIrYKm8tT>H=n;IM+MM-#%LvY&FWdM8Xo4N=hGvlk)Q?O{2*@ z_ZMm#(gEzbZJ%0&N9S}(Ttf2G`75wdn^1o$Rk_uxy_V^+zCWt-+y4 zJ-)ujd0lSZc2yoDPYu;L+l4_kB|If>?6u*-oGIM1Y(2o6QnjV`T2*?9JfEU^ zt~*lY6UTKAqudu>>zR@DIONLJsN(oVKU&vNAL)AR;d&HMMeKOr*?5Sov8fl0X-d_< zsDJ#nUg$M<^=hlm(@3uQ1m*7bTJJIAU+ZUG8&pA;vs_p|X8ardI6GtvfvJa~Ti3$u zd94pg{d52}?4(UiTwKli>#Y4O{%LN|BH#I0%BMtrxCZqkU)<(6m;ZDzXmpwCb5Q0? z6owzYi~Q((ln>+F09@~DkNsq9ePj5UtOFE{3iH(e=J{-Bmr-4ORd4H)O6u*)zN&udA#>j7*92 zu}Aw}6^y&lQsZb8|ps;sh;!f(iO ze@D5SM7dv`N$}y`g>tuwa(ky-L)UT;>9Cz;eLbM^316qgAzn+i6Pyp5MTzvS`$*zw zvJQtAG?_{t+SwEr0#s}8vC-F)Ab(Z!<8o-vSbEU?e;vx})bc;tPO%&% z>a6K^>mKl0zD|0Oa$r1AT8FY<6w{teB*zkWJ%Hly9mcH`g(v40hKq8s_B>9}#9GJU zdO(Mi*3DG^_-%EPcZ}=*s}w%_n_BEY=Cx`{`lfwqua8td`KxtbFppLjc!?+ZPA*Yc9D^dzkfJqPG2V4agCHn8f{D_TO6MQ$6udX&uVz z)Vi%+ui|{von&D-v5vm3%xitJl#}E`KFdk_tGw3dlbp5KC;od~KJkYxT95G(Q+#^Z zt&pkg7Z0g@_e*-%WjKX`P}5bKvT3 z=hDXf zyyggHIew`j+-;zHAJ~^-yC6pVGG<%Kqq~mG9Cj(@$=@kX>o=q9MQZChZg89hI?Uo}+>uU9xf&N6x2V+f8_vHEWzhaL zQ!T$1C0^6UKHPhdk8(R_d)}^{ww$+fg~mNlG$n_E86=BKh6kzUcJ+_n79V&=Ht^}; zQI%JNvg_gVRU+S8^SH9-q=)h2=)A8!PpOhM)78KEt(m3kvro~{Vur1cE?a}xQ>TP$ zyrbCPu^wImD(XRTsmbr^H95-C&p{q|kRI2+#U&E@lAqA)3Pe})j-sqVS(jknYi8I( zmg_gwwsaEqouduYKGaWZ;CpK}VS7!~e*umOALn#RINLkI)xT2Z(+v3o*rMucFJZ8l zS9ATe&#ysQm#BU#?IG9i04-;!Q>K1q=FRn^y3NA2MAUDK!l!dOC0t1BAW}aYRZb1c zx}@&!GRKU+Z~VBhzSPcmghe{35_mKQNFO+-Q^FN`UeE3Mi8?F#b;2t;zUTb!Knor; z16{uwb`#f;=9qbFQ{+v>_O<4LvMy2oH1k$!Ka9Km{&lOGU%->*IG>C9?pJtpPN#(B zv~FeeLwNARl-3`k{?%{8F3I9hwNC+V2URCYKkJ);_FHT}I=uCmC$pWvIn6I&w`>pK zt#71wP+6DIAJ#)DIw`zKxG<9%^ zeS^-Soy{8}uvB9Y*j)cJKmuK^KVH-ybs~H^r&Hokz23<6J5$$>>VGb_R$gMd9CxH= ztOrn9kFqXN{rcNOrRT!j9JY4A-`=ueuB~4~Xjv8IdX4}obiKZZs3)+wo;asd;#jHY zbWzVt(020@uZ^tdFh#i@WnH3rrrATK$LkC8)bbVT*-F-vx&xQxdgg$Xbc%Mg?FnqI zC(h}V_^8w;`C=N|q|XW1&h-+Xj;!YuigG>5x7?p8-a61j+uJlu zb?wg1@OG5tdXi2^rx3~NlYBSzPbDUCP24Z*SuE=L7|Kn+O>mBL*8uj0M`8=0Zd-O|k+;0z+9C?$}-H)iBum3`z&Z;QMLAGi==^U809;h43 zLBguk@>D6uYr3A$0j2eS^;-5NImEnq{c|{va#5)P&c8_YecsLg?L2FI5#1w(_4KYr z?PTiAJahe%AbZ7eWI3h(u0_2_k8i0mR9>gnuX)XQe#808)me@o5cAc%E^8QhRR1MZ|3z+|Nb_aBZbR`N1ki;8<(L8TQp*wj3G}h#(DCScl-H^C$NG8? z%K=|W^)vAbA5=>I!LaeuCf*9MocEIpm2{AUm8 z{1#rDbG!tb&-0@!mB+v0{txE=KRu8740Y_|pC@uY&eJ@NQcZU(W>>p;8s$@>dDw>O zs0Zd^ZLW3mFuGpKJibxo-Y0*B>!mm9>!mbL_9%|aaiKv>#5J8ZU%!m zOvhM2gEoKFJBH_B8+6~<^fB<^hoGwH>y?)+zV*U~AG~AkE7RY2Wgu}uxo1#U#21uk ze96T97=E6v18!)n`Jz0}*QyVDz4`i_!UEhF)bkoM54$8eGo8MJZl4?3iaPv;ZI?pd z&=Oe3iJBb7JZuAE0Yk$${5->mwDb$Z8V>aa4n2!OI2%&1!DelM?KY{|f7Eje2P^Q} z!uP`AoT7>0aJQtMdE*Pl<&F=9!@1KYU7H)u&nvh#tc40Eg-$BEapKjJ@wS+>M-Oo6p%v@vM`=#yuAQ>7M-Pr@ zr=hx?;FdRF>}$+xKAdWC~ znw=URIyyZiI}InK_&n}Cfnwja|t4z-|@i=+_E0hLMH2d0xS^ocz3TJ*dBRKbxD&m(i^|*YkkuNYdYJcpSLSUlN>1 z5$lTN@38(OP`T`(S|x)a+@RwF95=h!OJM)YGLk)qeX>dT4bFc_+kO16hmUCbj)&9pODjA&M zVH>vHq8@9^IxXt4Jz5SnR4_7e>+yr)xe2ne{b`8%GEguU4KCeERL^N+^yT{vEZV~nef#!(RHACOoN;A`}-@dRLWb&Q**>gR=P z&@Lav)`O62#D%Pl2z?|up4?CQ`mDbGH08$0xqzd3ko>kDr{cJ;sK@)}`Z((G3t6YB z{V3a~_g;!-b26PrIlh0N1}>(36DNlWigBPri-URdMwB?Sj7n;S|Q_)qoNK(83AwH>z%AzRiAT)HLP`7U53v;gv zofhhbtF(EO#)VD~_37TdmpbSkSyw}M779h?#XDAXXN9`aO9KuU3=D}5R7@6!Qa6R_ z$0Sb=RsSBU4SfCnLDzO%ewVhNuzKc02i*Ve)9sB|U?XCJjniFwAKgU9AvA9GXg^?x zQ7oR_-T18I#rV6Goe=P9d_Se}Uq|_uvB7_vbaWr{d;#$FeVSyosS3vSQ`xxnc*pVN zdhoo)*ncGq>)}mR58}6R9=7GQr_#}5b-Ya1`?vYz@Y#Hy{+Bu)%I`mq*X)@|CPym6;?4+$sNBdBsXAJiU} zMDwAsl1A^1%N(7dzCn{YYQzY18z95gYXd+tX-QZE51|nD&hKUW@~ZXF=8tW#GHxGPU?HDG$8X|Y-ib~w-7xgGxi!k&>?OVtS+6H>UYE06 zBA=&eXM)i)Wp1hSj&YrSRjgY?iVrz^`rbvkR>V~xU}ADQdB}y(|OY0=5vt`{hcJ9zxwNU zx(=JD6y8tt1%8@%O@H;8@N>>j7wi1@as3=?m7CL$-`s14OY3}Hovx{K8+?rOCM9^y zPWBQwpRezr{d}pl*X&PTYrgKjIYIG!KZLsN$M%i8eq-O)IC{sKAO7~*_q__Izkwwp zPMcAeO}o@SU%y!!c>N~weT~-stGl4d{%EH9Pp)rl+N>TK;0)V{<20FTP&rs}~oJ z^*jaR1C!F`w(1|hEqd$ghnzn^ou~T!Zwkt__FCXMQGfk#t#7j~XSvuNs$V~U{UWXd zkl6~VpJB7PyV>(v;MI0)SGj&PuF&o_HF575;QVAg{!&p6W3~ArXTLZf=jAmVQaxh)aW(*^cw~Z z3=iyo`sA^vv>bTrqMN`J*6yk0e z&pY@ku0y=r=#sSb^y~%=T85L;v(my@*+Y^u>E4(I4O)Z;XVJ^LaeG4+3N#L9rHl#> z$!gG`sV&u@K@(S?so4c>E4zR z`t%*qk}@*WQnHn7DB3h^c@juC+;7l8#w0#X@Q%r%ae10$L2m9i6quHSJ8p`ma1D#F zY=s*(blIY5h5cz4Ro8{+NZGMY@TZ$EQti9>N$fwR_UZS?;bvXfoufUnf1UOSy63R} zyeo4gUJAnDcI3bG`n$NEy7_fgM)5=c+XoS}@uPZdens)y zJO}^q|C_MDN4K@UYK{laG4J79QnxnDtz+j*ET z;o}+t$+LMSwq@AXDjxLPsEVRMjSqif-V3TYbem?(4D&sR3wk>F@Sz*S)8b=XFkix7 zg^loG6E?5M_L1QN{M`coTOU3+80qVf{{zR9@qa<>$4;7jBVbtnTaZuqUt)v(*}TKy zA9aWL&JXT~5b_g;ZsU3su?m>)0UX8BKjH+#lgsVpPRuo6{I8Ht_|zYN#CAa7&)5Bz zuIKz;@qbl3w>+fvwAYNwu#z8f1L0HJf-$v)dz?B>ye?q;i2l6=J%nhsK+i1SKEq$> zcgqoiKjMWgZ540&O?*oC@5pf``NP8y%@*9Jp{|P={`AdWGI$yXBi72%4&{>s&RRV# zJ2Ub+^qW0R-Uf`U9<6aJ@WdrkGes_-cMuGf!D)+gKXCpgaAs+HDf!&1`Jk+^e>yyId>#Uyy9A$#h<2fP4|d9= z<_|{XKY?d)E5W1O^X_~AVdYB^@=izoM&!d6-T5%`OU(TO2(=p_j>LV>TmGxKZ>iSx z+y{HlF^Z7)C6s#_8_G~>{-N>DTCcC+Uhxx=zX3QikpH8Le`)+|nTdLQjDJkH?tBLE zF&AB@?hu47E($ucCA*+2|aIteIgr1DPc&2oX|712c<1&yM&)3+5`Hd_TYT9 zT_T=`qJ1kL^hbK8v;}RK*dwBT&>!iU^U)@WgCp=E7wMVv(I$zPN902}y#n$!R^xqZXUK{+h@I5>g~?+*@N+a1a;J>FCZ|wmP=BC0Y&}Dr*xJrec+J0{ z_a8~TUap)iMLP{}zAqjt;uRB>*akQ*Y$pzlwI^)7;14NLd7YZ? zjjY#TpHdQqn`)kepRH#l*xpZVyk53xkazH+y-5y zvR{kxCtGh))LT(jjc2}jCv{}n@KIUWcsd8!mLK?Rz22gEEzCT)*43BRcfLbA(i%^T zYG+qFJ*CIOJ&JxD*)2Tx^rl{)W&ao1)+T>G_`QeiBhQ2B^|n5b?eS>4*z)T(H#K|W z`FEatZTcJ2kxyysR%}nIeU|TgTF5D)mvpOjn4ES1r?y#|dDM=<{b*_or!Hz+axIf6f8z^(A* zltAM(X-0R4X}W;#V{Ki5vhRRDTZEZr$@N z^k*uwwHEI;?V(FF_8L8_-=8}EUpoH__`KtEeiN_J4BfBkx&+g2hwoeO@;B-H#MwH3 z2W(w$j2*No#zmvAb^eLx>3p>5)BTY@&!68Ju?F-13Hj$BA8jV-$K9p#_aXm$Dk>%_ng}Q=?jSP=IV>@wplJ`t zo@}uwniZy|mX#$YB^esIq?VZ_l_jP9q_VW60*2)Q1{h$Fff-;}bpG$}_ndR@x%U~g z*Xy5WUU%+!&gZ+I_3jp5P4VLX;J;1ciz^&X%Vp`CTdZsjF$e*mPA~-Y2VgHxIIJYnrcj*L~!jIf{1=@b;!fGiSe?_g1_| zfOj@6ZZe%!zK+x4f6oAi|BH@ao(C0vN%H=jM%n@KZ?VXI2W<5y>(eIUyP8<7W?s*G z|Ljelu4=aP!c(sN{1eapV5~@jX9~1#-C@U(q2~?a#MbPIA3ol#rVWW6;kWAy;Tth% zRB3XYTYWKf=U824?b+W(5Xb?54(h`UEhY|?sd5Wnp=ZB%~!jM4Jqhq8$?CeNjf zNy?|Q>)175tLYD`w=0>YJ2a~F>g?4clrIM+adfefxcgM+-j}ENB3r6)Bv0Hk+`ypE zgDXxOl%zO$CUaVdGiOTDdiWjqOq1!Cu7~F+hi)zNR?&-=UjNhH zR^KJ{5HA!oPIayxcqGDqIOwSDjX8C-%o{G{#WM#kal&>rKj1?AAKL(aO(RGj@Me!eJv{xvF8KWhgLx+Xh^r;how z*Z3(D$I~Z{>gRgc--+1s+f5E!{KR%itBH$CnX#sWUguO?8Wu_Y+zZ{WP3H5VpYK^* z>vKQ&?@Z?Iw4Yljhi)DEHq5p3`d|F~oA|jcDzxL9_-P$Hnt$5fzZ$Vw{|?V888>&F z7DnR+HcMJfJY32=U|PohVY4LhZw>Po@yI+J__yYCi;I72WE@{(GCvmoFFTPFV#OWfN;?6y7+Y5VUlrBR%SjU;K0E)|^M?qkq6W4k1c;{(v0WeR$Qe%@e1QT>B{cH9ugz$a<}EP+&(>?Vq}kB>mB) zg3@5UvxYV)N$c$`=th}>PlxsPZHKP}BfsVXQ!p;X9|>^s$Sb%l#HnjZ+WwhGkWujU z5NGa^r0rrY_;se>g><`EN;!1vUuF%#dOb?gE*40;cseT5xYgNqQ5FoSAJ#5*B*s6t z4$2|+XOY;CY!_>U{+DdeTb`q}3#I!FbT2u&j~W+6?sB$Q0{Rad7lr=+*na2ek2Wq+ z*OH_ixm56rU|q7t&H2(UDjCDqJYWj`5Y`**L6X+%D)2{4!9PNrGQ~N+RLML*D%j@k zbJg*Jx|SsTm7U?|{?@|*e`RZnOS`C)D*m1+JSp8SnC^vcLs#0xuPnX(mv-^GFvTag zv|YG)wk#VD82^2{ka>FCyty+OQpeBZ43^cW^AY;wdes_Ywdr)WEp8mH8+dKSi0t4I zgRYIvSmmAXm+`{!ul^>yXB)HS8OI--kMZ7x`YH>d?+yJJN8cdp^g$J)$~?7~<0i4V zm~lS%LDrA+QrD8Cow`(bS}K9zjr$nhDK5xEwfwOTI`wpBBdkiYZ89pVi=a=-{6F&H~rG{K5&ZU`7 zdS7Jazv!0^tmN3D@{5cQ{0_fg)P8HHv-)>=>|Z_q{KRuPM=a-MD!*(Qb^h2Noj)3% z*I$Eiqw*g3&?8Ct4hWx~+tKqnm3M*9Wn-?d{5so0ODq4I9rEXOWc{o>J?FD#RyiNc zkGxEbJKwP~Ha0lA{pDq)r6cu?Byv8x@>^`^lT^w4U-^X1%m3zAx%ak7dw>7D3;H-H zA^&m9lUsy*Y43YwJsax~7S%(&M0%bg&$#JR^Q^mmoz{bWt9}{qy+HnFlrbkuYX0S; zoNpJtKLmU)TY7)4zWFzgavpsJRnK9V%ny~nvpmv1HUxEG7{@E$mT_shCE&lEuk?WM z{PVKW;V9$ue|KJ}lKHUm%}AWB&m(~xH@+y{ThP8?X>Gh`PMS1lB9FVqhiZPDQ~G~G z|L1_dUd}az)YzYchBi>i7%fToT;e=1-(Sx;T>3Wg(D8gb`BO~uM`b+s_(qHCI3W2O zP4j16KUew8wZgBsF~8@lHX{W|0~1~SX}h4Wh}zp=2hwWq~4p(eZ%y% zWNKzkr9k?(B#j@}=ly+&bLQSQb*7Z(kS+0$u?TxAccE_y08OsmoLQ*t8GNlY1F?4{ z`BL%|EUuLN3y=TT(yk;4&$@SQUjNgc)*esc+E!7a+O<#CJ&ERoT_-egp3zQk`{4HV zF3YvG9V2nJJss5J#Q42AZJ`mmW7*nS+K*~aO5Y87x2{n74{1+QN0Nlkr52xZ@41xt zN*zl)v_8)#e%noplAt}Ur5_3|?M3pHTTF}Vg7&bscYsTtkD%)Nc5~2*d}K!a%$>++ z@s*H&pv6`HDDtP77LTOb)7mS%>9i+gCew$vr)d+C?Md2**t3>(&e~-viOYaJqW&Z2 z+SOiZPYdzku(7!7|1$rtEsHJCaX(xyacWT?#$C$5 zHSV7!-`f;73*#nbo_{|1QKq9p~TZy0lv1IRwxqz;zc;SPj;QV;;e@we*+*>O) zVw1+}e)2b%;(vtxF0i=9OY%3H;;o@Sj6=e&xa99N#Ro!MWP1ET@(cJlK!{73*T0JV zeADuTQ2#>#zvS;REqjOj3j>_axR(1i^}Y2u*IpJmd?kCymztIpA^+k4m;6H0GSSbL z1o%?&i%iS=LVhX3ejP`d_bU&WR+G#ral5BX{TKbuvn@{HQs((zB)`P8`WaslZS?j_ z8MuzaFOy%I!llgf*N}h4wC)-5FAMlzCI5U1mooUZ-!5lA&~OW7$5_9Vfvf&E$iJMz zrOflMAivDCxjN*RGSB}O`Bzi8lzIMo^2<$|{UQH50e?05H&XaYi(e_cM~o!ii*K** z=s3Ab%fjm(?Yf$_ar<8jA2PK4=g8l0+I0@wpOk@X`>rDYkZE^DC|}AP|GFabt4zB~ zLVmH?@vkc;zt*%H5%No&3C^~*mW}(8`L0A9e<=f#_O-5#{EMdD17ZEvTU_}Y$XBOu zDHGX@%j>$bUt!w)CX6pJ6I}S$bt7M!#90<8F7aJ=3;B0U`&$G1#Aivt^_KR3HXWk) ziq9fL;=8U5{5jM9^&mb{Cb-0RT?g} zFFp(ZqX*e{C&TTZCxr6xS#XU{5BBw@!@wXu!lU-BWZx6KA%(*e$$y1>7t`UnP(D0f z{`xZVT}_8Iq5N|!uJQj2`EDs3pCtv?dpdsBeTPE*6Q7Zx@$FB(jeI{UUbn83GH{LW zX!0FQ$FP0Lb-IYZoO~bC@k=592#W{x%O}Mva-qaE;6B2zU;j}LIkf5vnw3-QSTzL|X1bQ&At^d-?R$0O@zst28RnKn_s zmomY%-^rTbi0Rxtrt`N#{@VinFOa{*boSSiwB1M<@}*s^`|yGvt>t&;Ka-FPmfT3;Dkl z@IOrcZgb4fLw+fPU+sUI{B7pgQ$qVirq}-%`LCN}uL$|2%d{ z@H%tyr_6DM8Z`bj<{X{0UFgKl^)goTq_)p;2B)HmtKX^COy*jiXnS!hRL%_S6Q^Vu4^~j9$SAd^m zPW_iTKeoRl&_5EqPYOq-@XI)|evy&%iLUZqhMa3ZWr6+&q3LfvQ4+=vnZhsYv-L~J z_b{KR4EeE1aBY8Mpc#O*{PfZs`en(5Ix#KmXi|G@C=Q1ZMRqsN8rI+xlfJmA_t7LcznJ+2Acr<8$feD5JY zD}_s$=YNR&eADBHVSJ^{dH#ju?@ZxR=iu5tSCQ{$dK?Jbr^p1?_PLn+5Oeymp?@ON z>wkv)0&{v**gh9oT<{+<*rGU1o@DfhIjFEMAF8Mdz_7FYdi$vL3VG5TraEbp0sf&lqnZ3jKy%_K>C%-g>zie@7pBuW6ztNmI zE3|K!(G*-?KXX@b{3~sa`x$he+t7{t1as!LV4hnqI>DuVZs<;aiaFC?AJ_KthQn8~ zU%~dg>6vJMQYQRdXWh^fKaVp#PYv7OTLHi1mz$m!g!aD^;~RRAUtxOQ9^#@G`MM4w zzwT$|tkPf|w0>29=g7Zf&iZADOP#{6?XQ~r{pReG!}cdU;9CDRFAw!sSzPr? zex>nI z@>QnS-$8BYeR_zCOs~I$e1qxzXs90^@BfA&Onw;+B-=)U}$C1+JxvxX}$NS%ef0?S{A`@Ko zPat1nt}F`m3lF&JpF)0!xiV3IV=b=wB|p+!`JYg}$n^TBk-yOl2>bsAk?Hl%C0}6% zJR9m49 z3NHGq`jek;O2X?Y8$>3!%&%3%k~Lt-pF)1|8C>*lzL@-i6u!vf5{q%#VM`{tZF(B5INB8*}st!Jh%Y zjs43~s`#0*kMQTYuVV%HGU)I@F2W}87a4m*{;N{T!)s@{`vP)KK5~EjE%0iE<)T_r zzsME+q61syqMJ}g`jM;p-vYHo-ptKOO@cDWzOIIW>MChqRv@cB%aps(nkr z@kK7qB1NzU1K(oPF$b_|ma&%DyQMXP-k>M}L1-&b5ze4riZ3M(6Sr|15{I z&mp7p&J<1^Xnfe`kkRF~6h7bK>~qLC=7to0r^DIjka5hG6u!XW>~qLCc6JKC$KmXA z$T;q6Dg1tiv(F);>s2ZIL5H)?A){+*e9ArK@WtTBIN|ve|3cy@_1n#8I$=-zJyva> zi{PjKZtM8@*W$+uDz~NK*uYzL>Ct;KF;nViJ92{HZByB=IcB}k1 zz_BF{N3OP?mEeoPH>CVSuIgU_j;)+rP3lLk>VFFyTjk_yLO*<}{~d5_&BNhS`K!RO z<&@O?nuAZ}uLZ{z@T7m(t@3l=*pi1MSN*F6Cl;qHPx*&j)n5gUt(=@q`iETAUk#3} za`HCeAAG961{_=SaQIYyJvg>-ayBU+K9%19jxBQXHX$FoRsIohY{|osEBkl z$v?;Xn1^?FeO2ucs!`W{{)>%7J_?-@CzY!T{ zr{?#K3mndVBQieuK#Kn!hqK>^j8AS%;rBb7y~sLeY6^eQ;p{gequ049{2_<4--wJ} zbt!zI!`W{{#<^7~e38T1Z$w7#>r?n*hqK>^jNbcF_!5Ud1CETokEC$wMb{q<;8Va~ zOVuBIT7O5trx|lzL8|_qckfMmXiOX!`W{{*5&^CCgig!}@a)-0uh>R;or|>r%&VC~@2ArJ2S2&#gMq~__m%`t2IQxyr z7;q$ozvFQB8<8>a-4wpk;p{geVLU#r7dr^jAF(j;(okgVnzUnvK|c^^lbQBewmD%#BOHXC&LNluP^Fk^^4~{?*vN zRo}2N+EipREwEoxC(~?#JbwiJ>T?`kY%*m!cAm3g zPr*I=hureSbC11c>E*f0HN;&~<0|rd;qPXDSGFX7w$1DRd2-jcqdOGSt$Ck(?||+R z_r7c7RZ<+uYfk=>fR2}{$*)GaPrR9Z-e=QAmZl1y-18SapJ^Wl9qm}f&Q1Mdxtp#e ze{~@D4gX#ro`Y&UF9H&JN-R$maY*YK66zTk=qV##5s9Obg?hBBDVWehI}n~N)102& z^1P|_XACc!A2_N)$yJE^O-=; zB=TSM(r7CuhI%fDfP|h~EYB8d&OY}b{*(_INNl7ZY(oATX&Y169%8%4m{*pw{mq#B zeqb_&I9yiFmW{;!&obIBM6YYVo4!Jx`!RjW^mpw|<9MMjPPV`MEYB8XsDJt%p9*af zDj$C$`CMb$^hhMeWZrh|Uggt}T}s67Vau~+rIWv3<5w}T;@WbRFER7>f8R^6`^-K! z-l=>)NFiqh{ilWNKR<$>v0(G(cuq#G4rMeuHTBvgu_@x48y8X#Y_vz_x9iHsAD0RAirD zvUZG~I%C4j+bq;r+q(2*vWs`zrLPrD#PRpWY;9{YMej%)BT<@Bd3D2vjvT6Qh#6Q? zGRl^x>2jDM{%o}Tn_jSc{ui7r&u@ou{kx^BlJ`^P$5mbf6D8HZ*ZMPx&yDh+-vj}r ze+;F~Y(58B#CFr0HEI2>XK#kKiZ*SN(_d?YqjBG-uVTpFHg$r3 ztyydbvL#77bSYEs?laXmxG6#HpsqF_X9|u<+u^3$&Cpi$^J1JGF9&wiTRWyqoH}{R zTuT?#$Hn%y^b?l~PIC7-s2!!YRIj5BW&C-_6fBYJpuzXvHV?8io1xw8uBW>8u<}zy z7PI4@wPVisanmCG$dn{~)1`uEOslv(TxUyF{|(UbUY_^T`kCV-ZH9L9Z)`r&@7k*E z2eJMv^RcAJ4rEJ`@xi46UO^t);po+l+0YF&g?e9Z7>5a#b2GG?|Idrj`dIlX*H1JK z4b~266p?=B5J@sNxm0+CDUS8eu%*E~QFwhAC$R|`dOiMD>gi5X_;d3~y`HW0NSWYj z{~hFSNa0fE`I*Z%&oD(DO#hhwO9B5^$58A)rv3`*Wzuu4WZ`$`F)7;KZ8@h%qE{w(?Ln&MBJ7BN3O!td81$Sa-{;>_!jeDYOoO!4ece)kwRq^ho_ z_`4zA!{O(EN&Y9M_+`^3)=wW-`GWUh>u!p-hdAYm%XlF9r%dsY&^~xPuKIhLmK{U9 zUm%}6Hne13kM;MDapmtL&qoKi)LD(Y|7sTf?S9kJKc^$@PwNa^>$im8+~V_Xb4g)T z|C9;8*6$E-ZmvtPzDA2)~R6Rb$Bi+qCLo>~nf*A3Tx# z8=)yNtu6@qtMYjJ#)1z?;qXNA7lD_VRue+`@Ob&}zYRXpw3-vjpI~wIe;Rm23ZG(e z`cI~C82KZn)stcWfk))){cZOU{|8O0Kbf=R_);f=tNiJhZVDY!Hq3S`E(E2&kDz*=s zg6!x*$DiWT? zoE@L5mKyUKG*vH4sp6lMeS|+l+voR|F;dzD{=7TC`zrE@u`K3mfa)N)Evu_3X62(?V@4$~Qc{qC2zLntkl82*L z?OO$oFDwR=_PyooTMLdavRD*b8gnkb=-o6>N^Hu*(W~djtKi3%JRH4hUp4shCUEqs zeZ*d3$YL*PU(VS_?5pra7K36*WFLCvJmZ#WQrZNLUbU|QetgN}N3Ys<1RP)TaP*3O zvZScO7Z!_2`|7QITPUr<7gUc6j?lubkKPDGx`l+SeU^e96PntM>H($Co@D zy=q@iY(NQ%&7^(ZoPFnjgUDi3Y>Dhcubgl7DGx`l+Sd<$e96PntM>H=$Co@Dy=vcO z;P}E~HEAE#X#56%zaCSM9qI9AENq^s0Sh!SN*zN3X_j0yw^~ z_)OY2#@ROo9A9K{ny?SOGCoU^u{;kS>+G8aKfdJQ=vDjXg5ygbj$XBIJ~+OxI7-?# z&DnP+IKIf@NoT?_+IK(r*d}oFs(lZF;|q(gqA9}U@Jp*3S1dd*{?|E>1$>T?_+V>(jzU1NP75f^C!SRK~VNpUqdbNKn z1IHIxJSK4TihYu{;7cBkUbSyIIKJfJFFX6*0LPa+9KCvd`7PED)!@PPWm(^BdDYqX z4tac$#i!WPn4?|=N3UFSl4~oH@^JL3eXF3smppuhvu`apzU1N9#r;K@7E7?Np8L~- z`+HSCc8UFsL*U0(7Kh3BVwc2M(iVJ`#iQgS`>{*xmn6RC;n*eiH+BGD-UObr_BVC` z$JaE!$mRNYrp03HKf?XzUykj&9n)>a z|E(uPRNRo@uA|8HyCZ$(UpUBO3A?!#08geUhdgbaBy%bOZ04&I(GJK<#O8A zGXp&n3@rqHr>Ad!izW2*hHoX^ z_X)A{P@nmI`u6D~ZlH%%_10h=ZI%Z9SUuA522Q9X64r(#s;z+v|5a8A#qfu6zexcN%``BP9o=sCYnU$r9P4=YyBc5iW(-HMdSh&peLzOSw+F+*7d6Ptm#pqd++mg{rg{dfxCra*vwle zX6MedB#pJjr=NNUy3ZvCb$7d2a7uxJNCEu?^34Rbj(D!8^c8W zR`ONzO=hAypP_y}Ww`|Zpg%OgRjbPkF1UceJs# zMKh^3=O*K!v;Vi2b?f&d5t#+1Q{uY#)}N!|x9I-{Hoh3q**HHS`d^L;Z99(Qe6xITOB|i}xy#f-3xWDf2sbU0(gzYfJU~(|+2^{U-C4oF5AAQ`ov0en;np=)QpR zUE;@>%MX0D5G}IoWFHv#O~&oo2QL;Lra3L7TRh{Yv&>8 zban{*#Ro}SWi4S-Cg;8nr2e|Krus{sb8Z>`-Y3DgF~3U^{QY;q_nXZAR6MpdQ@Tu1 z2{dv0u#6^kKa9RNdl>74LAp$kdt!vp*NG>R?^|v!m?gZJokMUlWh+`dy?bL(QayV9dq;Ssq&?o)H;Tkhbd@( zX4@y6UgQPqovTI-ExEe1iS>@$qO+}om9~xfXj{KP^1aTl57S3o!;{JU(dnzP{l)5& zcdbXa<>>sstyiFr`9G1CnlAF&wxd4t`wp^b*zUJo1%D~}nA6{XGQrJ{qt)|O8pg1m z#pi8fE&n#w3O?y~+Jb(zZKTp=GVRcLtEXaL!0(n4JSKgY?6@oK%IX_6ak9RoJnnBh zf>{$k-SxR`vk-H=m*V{&$P318nH`7b&6zfF;;f$Y&t~=2=PW@qPKV2-SP7;5s$Xtg z+cs2-9UMS>$fBBJ%DF%OPIs z7uzIBd|k>6ar3g^K1m#oR6#dQz8`0=Gk9G3N0cVV{anhn{gv+ax9yd)s3*5W!h3EF^J!9(3IpRrm2Ip6ByD3&kVstQlizuIa+YV?E0#avDR%T8XC2bw1!L-#8}pb< z!xzQxH4vTKUgKKB0n7aubmo!xyA+BupBB2c%wuBP3vy(OJvpcUP4G9Qg#C8@{UIq| z%8M9->uDR0{h2!K5c3P>{g%9>ZEs7z;r)H~yCb*H={@)MSrenr-x#@HyBeE!J&nu< zq2J9`*+e_(*Z=F2&U@=eC(e1|xmUVc*)_LA%N!!9aS`og$;a1D{;T$}%i1q?>A2IN zpR~|JXKfd<=K80#cUwJqH|J}~=luP+7We;!uS2(h?=bNKyzg#Hk@=iIuJIgpFLP^C zN#!x8M0PMoSGP9it5;g;%mUY5f_7hBY-ws3E3_VKvxCY;47#Q~JF;{XhpmIMlw)Ug zvsi95?W4M9Y(a+i@5(PbZ^`g%v2eVqJ|^Z-J$sXClz#!wx(FTo)t%UgLp5_w^+Rk^ zt;~<}zxs(@AHV8e=q-&k7Cd6w$*-fvDU9p+F|Wqu;GnW=vK51_5&i|nyzw$&_ix64 z^B&-v^Dl5@dOvOaSvHOlKF*|m4h#I8jIG4nr*?M)_pu+$MusQaE%U$U(R#1bcw|e5 z54@_Zv_wUz9@=LVPgc{_cX};6zki|c$Bbh~`A&N^9CtNR!7e5I`D)A)`ZKVsY{cl$ANpRThw~8C%ZUpCvI*7&p#`mC58SHme@`Rpiez@C0-BalZ-rb$!qA zEJ1FWIw3pvtnhe`@lVcIRsS^Rweb@zqp}09D);KFzUKmczw(i@`>t1p@rw^Qu(LpB z3f1#FODpr|&QHYr)ql;{e)hrK>AtivXLVKo&G9Vrh$(Dk3JUao;g;AGsT$ z>XWhXuWbJa^d024=%dUfzCV}Jx5XmW(8j3=eFx`G&rX>+Z#G1tZ#(rXK2~qw_X3t) z$C^w5gY{>|@a-<*|Br#}Z{b;1+GwBSV#yyz&c}Cq3+FF3xm4KKaKFCX_w4F(d!a>y zHcm(Jclv~Jw?m}&$aff$`cARPc4*_&gg&Xy+b52T>a#cc@NxU`fj;`P_$Os972cHg zua`x(LmQ`~=({b5=jF&1AI}W*UFq~u=2GFbw7yF%vK`tu9Yx>mfj-*icJc9oK;JN@ zk204EzmV29)FRuVjZ+i)8i?oAITPkEmudZ7k1p|XP@wNKP9J416@E?bn-AOPXp3xz zHcn0GJCNn;_H(&r)z|8qj4tu<(}BLJP9J416}~CoT?q9}w8(a7h1R-I+(K~$^y#2EqWh_itO>sgsGDz$&nELSGOf|M3h2($-#I&vZ2!LevT|+C6jhi$ z_I!=R`Egr%VU5jS)Jbu@pHb`K$p9yhJmHUV)}NA;|236S^wre))9o)%?&vJF`=6ow zC5hM1qGIdE^jmDSBwp=Kw{g;MqscU@DT)K<47UF=Vz+T<(0I+7%YYTt>u)X1cIaIy z;?=`(9I#!IDA>-NMG`#rbETG9KUYEXq$&D!+RwjG?&vJF`!9aVcLuk=6&0(WN4%GL zeo8nsMSi{xJN4yLP~UhN_=#^wI-dUrPn`eXzL|1wfR`eB z@k35pZFdU+iqwvS-D|dPto~EF=3l7rmY1F`q17cJ9rxAf&J{=h~}{ zz1a@EOU(++Ig$N4SW8F}**m1a@cswzr2U7%9G#_h|FM6Eyl!!OJPE5EhrRV;Z)|^5 z39v_g)FY!XmTLL6y=kHMLt-mwJM=C!yEtfXV!I@*hclpCWdx7f+YZ)6lGM+$!D~&k zsiq*|=Z>z};^-{3`!9Z;gr7T3h>F$DLzAcb7S~wa;dIxdY-KrTy`MWe!rnb%56728 zd)tBSl7!x+X46g2xW2GmlKMFax|T-p*v~61u71t~Z)=)8p7xVDcZZ|1)b79dd5QRW zQBgq@Oc`oeCy*}AEX?-UB#CAzLpm(X+lcAqi+fw!OMd;2jg2#SNw|EB* zYj-fWJh{v?dp9+H>=*^+=)4l$a}Hw16!EhnDpori=FFY#=ap3_->cI~hmx;k21@Uf zOpk$VzAGwkRKLvsJ8q1`+4}t;JJ**a=*B_I{4GiK9?4Fc?kE#0G=v`{I&fFWfE82=AiKnC;R~y0Oc)K}N z+ZD&lJI;3a3hZcMj{|ospgfpsRgnBEp!{nR@2^Bfwq3b#E<2m?pnYjU99?2=Nuzk& z4m;;!e3Erum=bi~fc9RC=F#QsxVQr!B<(=1OUAc0AD-_$%|%ib%}S<05o_WBZe(J!)L=RniXCqS@y4 zW=I?te3hhek@@dMdA0J8I4+eI*SL`1@p1}35a5mA|1m8}({bBMxudhx?!Uxsuf%P8 zRIKN3_C@2cwjlxk>-cRzT+o*C;v(lsWjqnOeQdj|>O8ufYgfcXlF+-bvlW=5v()ZC>xG^2UErNw7{6!P z8M(2#^F$p_WK3ZbF`~=&pPeG3Q^bDM_M~*jLEGNa=EcLsP3gKp*X1a5IU6^BeoW|H zDsFEkM{(QP+m_naPh1*};E8c(XD{?PIxi&Nr>?8(aoXHF_zbp^H0GQggDl5Rx8C4a z@!jUw#BtfqOVH!!EVcX3I$`G(693DhVjF+XOUw7RrC-;!JpEMd*k?0a1#v$gHaE6w zdC(^Hch1@8=Kr10yHxy?IW3OUXKbmJZp>Hu8NucI(*<&+QqD{69BFBGLR&-3Y?79+ zv)rB!&0_HEdDFOEj*Ec`hvzkSj*m>UaBdsH0_)F^8-@8bE?IJHcmnCDAc%rFn)^1rG4&XPTN_> zHa@WD3;f0=DRZf~J~-d1`fjtxPH5xQ#QBKE*dW!%@%v8JAUj!OME*bE^tp8h@`^v; zG-blSd##q8(8j4LeR^t6NmSn>$QB>J9O!%6>7&dgz7w3%_pn8FLK~;1^i7Ch)%Ocz zi;v$x-vZrd%@4uYC*yK{n z4rzaXZjqhP#;GZP=R~mjy9L=2lJ5u=gyXGuLTGEEVM_d~DO5e2H|4_R%-OuqBp!dK&X_c_>G8LQ>r0Yq z+}vw_@%#92{8j$E@z?Y0Jm|Sh%fAQXZ_SCw5j$y%F15Tf7=NXF=LZ%M+Bl{A4>HbV zyOgbS5U;cNjonh_QcFG!9*ut@zvgs{)Ib}jw0!2728o-!U?BCQx{hamN}#V7zeOKq zF17rzdoPU4H#L`AJe-eyZrVoeQ|-CPatdvn5Lk0@^i%6m?U8vC{k1Lc?yB>=m8|xO zZ4#5dfqk?GwU08FTD}(A_i0-i*4KKmFIW%Olp({>c_Hy$>>LsOwCm+;cJjozbMKHQ z;t=i!Zf4E34a4`}nwu;^O=%>eV;`5fnSAipglU7Om9lkk{1!Mo% z9>r;C0sl&ev#&vxob*cI$W{KeRz@C9xyH8&92+@Fl+>Sd{OoJcAt#X%^5IkY#I^=m zd3d#x&%Op*I60G)51;C<2S*ksDUx`tlh3{e8{{NLLO*<}zX2Rsc{t@Fe^&=^Y~Ub0 zDgTJ$XJ3O3IfxJC@8XBZ-_->iS$TL7{Svz*-FpT2qw)k}TtB;tDZ3N?>v&F*e%<0H z$@VAx{l?zH!Tnm}z*i;vz1S_|f+QJ3TGXd->{9=FfD;Q2wiEvC>gw!gUxSZwFfOth zbGH5O>gI6v;$t4(-QxB5DYEi#^tNJ5-PO~WJ63=P@7I=jTguhGKIHMG`0kW_=Qw`$ zyU+!GEat`b!Kd>3TUo`A$!Hhg{hWOEyRe0W=%oMfiT=9n;K*W9OyXBr`66={Hprx@ z@`G`8*OgKN4y}%>^+N_;SDL-Lw4!8SMXAN2cn*f{GFvA9TlEU=JC=BS3L6L5{R`l2 zS0v||UBeyDeiyRZR;Tb$78ltft6hr}PCKg}Ca6vAZb|ujgKLk>rMsxpc7I8=#|hxn zW8JIFWAudf1+G1Z@t~bb+6Ap!2ag<4K6H%Ur8}xzu_zuaTy}j{i}YXnq3(XHP~I&T z-vzBcN3tVp<=NZV?6adoM-Y*q-o^eYmQVh7em2!^n9sG}{Rlc@(&f4Q`EA!7mVX!X zm-?~S>m7Nmzrif><9_7a#>U(#sq5GLeQfdkyXzi{?fRkfW2ci>F@#&>O0t7uv2pu; z7@3Rp{yW|4&$$iVr@k zKe8uQC;rs=lXK$&_6ROXeD3zS6fSYaZpDdBH~;)j&hksS#J}!m&{0<>`Sk^O%O{S6TIhSxPrudS@ZL)wm>_G;*2a9>v~DT>UHpc_e?c3~8gt3~B;M|2 zhrhy@Ta9m*fb$M^UX7;wCo)d!*z{ZQ8stlV3GnWWQ;NR^e#DsbE0XxBTOIy7c&#y~ z&raeK?sNE?;Pu9QK}NJd{=I*5_+Kd}Egr(Z0RIAGit2wG{sv<3xg@U7AIMnpu5i1= zGeiGp+Npp27{`?VKgjMud>2B~+7xVa@w*p$6|c0s^^1~tivovlfPW^W*4}5R?fd4 z?9=xmo;2tqe8IT%-X~%nm468NB|}Gv43&RMApb0AMSx4q#=3dQ%J0*!Df#EdJdylC zLt^<-hb|uPT>$N6UOMaG`;+p|Yf3)jg6E0kUsZOENKyMQ3gi!j);9`p&YLz@I%ofd zP01e|^F;D_HG3p~P#~W%=sjCC0-I)43HkD%M}GW?gy)Immkp2Y9}&pE9@?=gTK_d~ zm6YFPe3-XAkIFwt{g(5<3KBFvp9$nogmzjW|3dd%gKIxc)-U6l=ZWkeIvA^+{K4|4Phb<5Qj;T7K=&5^w*P1Np4o-+L&K|FcAV`e+9bzOa9NGv_II$#;(;CG3AH=85FXO32HX zHH7cKFF?z9?^BCgQ}QK1!WYKprI<(MHxU2PqlU_wT>OQ%bkh>nOX4`EKI0`)0PUvY}&lIrEllE3vl!eNO#j{Sx{2FKk^K z>uFJyjvo~yc8Bp>6Z3fgN{8DSLgoJ_kiQYyU@k1~k&@q3``Q}wsQg3JkDGv0{^mgb zE@TvQ?;+ZhPvYa}tx!JmzvqeN+X+bJ2lM}K=KtLT0{eHTa4E-HbWA%VI5D zye*brHZGCbiT<~n<9E*!$IniHDj$C$`JaY%OdvlTf2Dr>ycx#tx|k=DKWg-tYsD^U zUyN0e{G0eaIhNmQo~xf#%zvAE68KTqmXGRwXLlK5qudyBRIJDg^|BW5vSPiE3Z3X> zyo~g+X4pMPMd-g)KMvZ(L7CL^$Nk-`1w2nAUySndX9V)+L(4quQ!DP%k-X&-`T67i zZq@>xCz3C&3ZK}2Mvqdl%j7Vr^}D=e3Iw6+>LRh;n1d zHPJ3AV_C7^kRo3765B}cCTO<@db4?P?IX*dM7!7)^F;DT2l9z)B>z2V_XYAF$(Mg# zT7F&36Ui4>g-+T9^%TiJ#QLl-kpEV`{Jz4Mi_h!9p5PH~d%j@cph2bON^tat7R6b?c_jqZBKQ_>G8PAfNbkYhJYwHBEOz$JH)co&judvb;?C=ZQDTaar{0b z(EC|8A1z}%|C5a8a-d?hm2*hpaxDHM3qHiEWc}8j7VENcDJdO1cxYLvy!y|Xp!RhS z>|^e%y*RM%6&IJtzNY4zQFL}|pA0L`QYzY&k+V@t*>_Q_D{3F*@j6S^>(V}IuVUle zVC~S@u68H5_95>{+TOEg&*^8K!E8IM;;inMU*4S@VnnCP{b2ezo-=I!wG8ZDW~@J6 zN7j}&{Y)^m!`ZI)QaJ5a`VsmO^O5>T$1-F6_C$@=ONG0t zKRK4E`ehwgZdWX-e}dCL8#>0;+S>#DkGXbxwD_~``AGe@#WG|6<8^TDT&Mpl(0z&R zfk6M8AK^b@K2raEvCLS1yiTsY$LaqLbl+rqHqgKKBlIKYBlSNT%Z%f1PvpqFR!jZY zQU~v`juBfV9<>Yk&ALg>0eqZE>mOa(|6W4xPm|i(pA^)8brbzh+#mqzSJ?k@PUbQz z?;ZSdDf6Bw()-?2=fBbUGS8qB`#t@XBGbTK0RFx=;j8_l<*bD^PA8KUE}K;Up*eH2 z6DHm&C34=mmgC<&GOn;LkT_Sr&-M?V-D=JLb@penUBGs{mGN=@XFjtrUSs_gn_S8? z%6)JiueSI_^F3?|ctk51k1C7RLK`QIN5mDyW9p2VLZk6$K=vDK>-n8?_DFn)zm&OD z&>^%(=4+{+dSmuN@NkJKI3c(%QR`>F<*bD^PHGQaHmN-ash{aHCvbS8_Uz&KTk5Em zx{B=i!0MASmkLgm=el#&-aTzB-ru8KN;pTJLw5H`iamRn^CbywoW!1(OYPx#t?Ufm zs&$LAryH^x;46sj*&}m4{!5ul1>7ng+k;q*4{K{lkCvN)0jc`hbAlkq5EG&#KQ3}y zqM!Lq+V8xn6NE(SYtOlC#Ar{Kz@ASydnj|M;Od~h_VjV~ylBzyR+@rOr|mh%VnQ1y zwa0M<_Dl}!8GtRsXbmuD08V`YS?}%oIQ72^t-p2g1KpXMp|qSv~f~<9G8oa z*kd23v-L&I?HRzvd4x&#%-%{}ncg(T&)%06kLnAX@<&@ew=s+`;yJw%@Q-v2`)#?~gM+ zZt!~kH~T0a^iS{;sU zq0FVCW77UFvB(~1m1@}>Fd;7aZ_COn_CiLy6z1=+R7U}Zp-+FY3k1GOwIj4^@mx{*9_cO!! zX{|-}p|kpzny&N101SUlo0F9*X1NZ%ZEv&L7x$E$gR!dtSoR9fEeRmE!4UjgzmH z#`+wra;5y+zccOMHRtPn!_t5E7D=gFpA=(TjpF*8pyHHCdYI!~-48GwI=JV=74K@x zb$v5b*EoVF?i+uYsjj#Xb&u+khPlbfJaRza!b~qzUeK&W`_k zyV>7D?^1^=Oz+q~cK|Q#bT8XK78t=3_U&aIyVoD+dnvLP`}$ztUO7UsN$og1{gw$+ zXKO)YZJW}&G6g;K5p{wP+20*D=2oA4{kY{@lJ0zHU3{(ltgl9$cX;P+#{7$WPiC{bjGvjb$5SX+50}bmS+t zOA>mQIy`6k#eOcZrRwMX&_;Ne^(}c zW>(s*q^Mne4));ug7;(2&GXdq1l^aQz18u%xa9fkmT}? z;PLpd*y0+GCE$}x#|vcsTS2Y1plWH?dmmG+IJi!c$+FxCJTk88cbClr8_#Gy;j?N3weIezo zBz~NjammI{=O-7xb`ulL&(rz1NWCG)r=$8Sbj56*|7h(`=-RS1vxIr_ayBl~RwV6( z-lfj3xc4GS-0IG=rP{7o1MFR41W&}Jjv>L*c_F%&xbz|}b={+4+b`{WV*BNX$EEH# z*w2XAkJ>Mljxnz8G)tT3uZy$N^?|PEQRs3mF8C@*=w0f(-Smpv%MG?vhZLn4K zp>*ZYUGrbije&0DQRs3me#AtQ*y&Q2uLkQ~Ifo)i;&+H;UEPI7@Mu1(`>MmgWA}Ud zIXsxp>ZZfz=q#1{FY&!g;;W|&wNT@GV4j>*m@$Wj$9plN_`0{x9FQ3yiti-Y=fzTw z8aJW4gUv2Ok6sT#_hq)*tjrIUcMo)T9)&LF;`=p=*CE%XW6m`tar^v{ExqsnvYsZ+ zBaPt6_W1~yqw_*^&-$qDaf#ofQL*i}lUx9$y|rb1B-5$1%L@-gar-80!5ZVJehS?a zY~Qmg^5}BTPi&V|2fa(j%y;h@l<~wcx2rVd&g2X}9Gmfv2^tLXlPrPKd^_tzVop5^Fa4zFWQ+jRrabv_V3 z-=*X18{jNo>X?G%n*#+8#m_$~4sVOsto;Ab-U{cW=K z|LWehcpbEMyvb(g%$t$Ljo1(L*Tr+BDSX^tH*$WgwszKcfo?g^LB5o-lll6D2C$7h zXGq<)80((X$vJ+?i`+V)h_aUb-TiqUCqBY|=oVz4t8MEP|8`^&i~6qM#Jv58R2+A? z=d{@G>Icrt&Z3oU*!1Oz=v!W_er_M?)f?P@~oXK{zJd&;gjbJ>r2>>m4|n5^3MT> zk;QdVei!QHB#}t_dlUSYWW7tgrF}}0Hrnya$$GEHCasqq;M8HqN0RkY-`$w+(UhylH-PNO4?VAQocb*e#m$DDNYTu3E_|myw%0Bd} zePhA#rSq_qeI?GmGH`rh@tL%5h^t@r^~H)4#UOt0N&QQbHXw^v$v5U~zpH1yR{z;c z3|Pb^<>R;F6Tp$hVkU`?aq*b~PAt3hNW}-gyFMlwslQ&}7o_m9E_AbV^)^rjH=9(2<}pX1VJtZK09A(7$|+Gm*N zig#ipQT~Or{qFGp6`Iz@EO5_x^*hJmi`c)*b8gpL{L$b!Fy&ti{vY^do`K)v{8IU{ z_o?oElX+a8^IB$11LKLyVo{BP=@Vi9k{rR^Y*Y~*VrGZa8_woWO<&cbb z@JnjEpYu)M`FNkB;+p)Var36l%}yFWW3E13hTbvg9ovzwlnom>w2ZHLW`~!KE*pAP zCetE2a^R?e!^&H7VQ1X*X;bISZR;N6EFW?0s6nNfOdEYntm68SrRCWn8M?1LHJ0U` zr-9d&Rb&Sb8eUPxw`ww(w%P1elQY^v#pMKjv}krory<5G%hfkk2WAeMN!%58OPe z=lxW^9#Mz(?_<5~c~pKwcJRQF1gHc*B|eR@{CzE+3@g^-t6&j-xt^z zH~0OP?MKi{o1A3c%%*Ft+^ApfS6e0v*u(teI-JddxRL3F?h#Atk| zlSuwJXqn4>D)7e_zJ1x-=#%m%#XMeq#i(m-{Y(2AAIP81Z{~8J3jUdjzkLiKDW79^ z&!h4gpGwCJDiaxMKW!c9xs3RRYONa@@boq{ClBg z9`&j4%A|bvcwACG=K?&Bm+!iV_K)_o^OJh=&N}M(Y})6EjyQ&x!HZJ^q#S?qe?~$FT4KE5!unBTxL|s=uu}S;w62~$*}%-HsZCwm=%-RZe^n_uXvbkDc`+( z4<78;&;0dqCiR!~WE9Wc=w<%)soA+fJdg5ys(tk_kN3|;!?lM!f&9bx#Qg13vx-oD zUtgSPZ(@$;QTc}?exqf&wC#;Ggtvcx3uu|YeQNfFU_59%&le}L8Ne z8CvFVpPD@#*dMM#g7&<>Ys{na501!&-pk@B{so5Wb@%SK%Tt82Q@_WQQ zUVb>A44Cu%h-Cb$wd7>O49#CZxp{QznQ;%YQ7*CkB>55?w=6zX#5() zzj(Y9-y-?9Ks(z@=e=EzC);nt7shW^%wyYEwA|MIx1TjcB>y&OnZJE%IX-A#N9!MV z#yl$ja8!*}{_TPMyP;+N_NnC;gZE_|t^V(id2IU{?3cqV>o~8UlQ|JJ9IkN1(CL&9|ZEB zhW4j{{BZm@TKjoE=JE2~bZ+f`Hjw`dXnzySZ`CYWztK7%jL))|$Hm7VZd&=j4CKEC z?f(VxA56x_GbZBmM$Dt~4@HNYR{kFX`G1A>pMiY;UO-vzFuq2|siFPv#5~S^e>iC6 z|1FUJA86MH@_&=G-!mrcUmNo{`~BgdmA^WWzZu$KJ<{r(Wc&1t3HjAAkIFwd*dH!g z`C9_{%>Vm?`M*_lvVJ{dLO%1q=W+7=;gXfVCy>wlf1pzwpVoS}jq6{G51uiUe*kjN z-7EfgZ+%%moZ=Or%hePx^O?f?PvTraC)rsf0@(%hfY6!95@bL zC&wCEk4o0RH$35Ax0uJ(zn|tceg}>Z79r`C&-@$rla`2%7em4A@&&rWYvK6M}2 ze>Jomzx&ksr^)u~858o$Vjh*he=skND;puN9Fh7xFf@=~&hKFT(dNuxem=@~Zx4)# zd7S;(l50l}F2P8Ze{CS2`TsyL|F;bH}>fOGAa8FRs(jH$fKnAH~>v;41A8u7(1iS& zm?x6&0;KY{2lDHnJru}4l#m|{APM;mF^|eWG&nnG_;nR(2WzVX`(pVG9k{-ATr9tR zUpxQ!lEwwlV^0HXhy#o}2k1KosJ{cm_yFy&fhN|#{L;W2d6;&7n6`Mur#$!n|6c9? zpl>vgg?=_1gI;I5(j6F_9i?#`t@R`}`*zmQ4O-@MpW5Hz&MQef_@HkzkR{}w8uO_9 zgUBx_i{1;@z`7%n&z#@DT<%kcNnt;UswvS98hXV%UjFD&fqY^b$!92PU@rHmgTH@9 z+i?`0q72{08Q7pE_2C{iIhEphW%N z6!WP3{m3u1@48U=tUDt4%=rzpf1f%X|IzD^hN&@+UdP;p{POFEaT)d+P8bXxAs?-G z0#zUDkVxNbc<=DqxZmSa(^-D0okuyZ)^JL~ScPt2ow>d`Zbw_sdX>fVa!>WBF~^5;Q#9uD+;*}X4X^)x-sH+(zhQNIt$8TD-W z5Q&4-|2G5qi}=l4?o+4rLHj+zbxn+JEW6YNK zjoHMU{s#WM@if~jv7V1Br~bVZ`1e*)Q|CD;|6CJ*uRwaP+z;6BuH}*YFT&KYk^B0k z-5j3ezM_l2IjgH-v!!hKd&JS@bki()E>OxFHaHqbYk%D}upnYVp2$BmE&AY%-2d6Y zvHHP_*sqTD9nA0>`=rdJET4*#Ugr7nL>wV#7$TI3+KacZJI(KpLJ)^Giz?Ht5MslQ0y#ZDh( zE*;Z6t?vSh9E3JbP3xOKf4-7vd!_9h6d!vB`bwNW%3M08ujmW<-9Z_kNC%+})4`Fh z-yND5b-c)rQI_)HG8lph@KXdJEm)r23Zes}P*@cxmFwjJpkYweRVmyY>o zTHlQpIXKpn$i4}E>3%m2*(2Gm3-r-!Bpy=c(y`5gcCY?@&LRhG6LVxuw8Mj`c7F$Q z#Yg&ZZ8o1V=oEXz3Q`7Z4N>krzZ5J+x;SBi;s*YIcC6{8LAKog8~9dlcEs8U^GSzD%M~` z1B!~Vp`Rvb?3ycBL!t&-oG1#hVz9u}`#fv!bIy9t4EMW##Q*O8{m#5=_On;r_3YDr z*H2{qr+A+4OOac?k9?Hh(0Z@s^EKtB`W|%1ew2+zURC?a*4!;9KkM)k38(8ouOzIVModd#a$zC;O(Xvs`tfnDA64{2 zAG3pfMd5RH>PciD$LcR)X$|b-^%45Za$n&<-(>n9%k4)w^xsCy?Qs599qn++^{L$~ z$#X$Q2FHhw(BD20kPJBK_>0+rFyxhF>jG zzb9Xxd-B~RYX4O~0$cxB)&c!wxj{Jky0Q(*Rr+>wn!_pAr*`kqPt)J4MnR4*7Z%cA z`Z1Ayj84>7w5&jV9l(#_U>hGxYha)6Csiyr8OIn`wn4c{=SL`s<$Y>@h^?>bDED#* z;kPjUzKquAEPvlS>WR;2NtgJ(cg4^4_wAntd?Bv?zw7r6DxLnS1^#&={PLNk!Zz`9 z0m_e2SD!b+FP}MTHadYruHxqdhm7X9X`R+a?GgF<+`zAZ!`2orCG`K?^Ir=bI$FM- z;J?T}xA1G&I~&i%lq7J-)#ny|2YfE@iSctMYCrf?|5cC=TMs%vp&$8tuBGZG9I&O; z?Fsp?OZjgD4qdIEP4NH5*-xLV;OqC!Pw+!-lQgh9@m)O34Q-?M4Ep%PZq@()PzJWP zJ0!smz4TAzGZx1r{DWMz?@!>TFXajQ?)LieTLoL&&G+ZMm0th-9>*_j#9)w5T~YnP zF17!Uz#*gE`w1NRw4aGF#3xsC>mPQJUvcPY-zmZWq_baf_}YG0!v1I7b05UNT7_75 zjGy~p{W9^n4<g*Jw8)!Wj3vJ|=R@O;Cs&$y5G z@Hr1AKI2hcjH4;!euRAp%!IkmdEB{s=qocW8+Yx7yKh9il&3Yy`te-9mvhs1`<#b) zyrc2?ALq*4KdHj}Pd}<*pYGG`)h9T@2RGFA`c=4Yzd>XrczPiQc&<(EtOV~jsH#Kp z&8O{J>^u9zeIn|r^6SFtgA%Gb`u2>zYg2t?%tQVt6(rh!a=qCGPXkMv!l=Gc*Y&>c zs*gh4ASk!K&pc`Bu9Kw6Fw{3<6Q=4Oj;ddaeWKrecl90Cbz}1vV^)ni%1P)yMeHA_ z%No=xk+U+(pPc?K`|HRSyx7vRnj&gQ)?J~B@fB`*vr10;dtuTc|BiRJ@AwK^zU?|XD;X^>Y4rt ze&Xj5-T(HDiF`Jm=Qy5v#76!3x7DMc$8mbF-w^q;9Q4&j&l3MVJJr)O0&?_R;CSjc zdp%!RJwt{J9X(Rd10MgQ$m7qU?D;sTlm4*|5zkGpM54bFLgPujVSB*|M|FHIEx{G` zx&=D5PdR?x?cI=dJOclp>+81p}GDB`NRE}lXLud+VRxCmLp$%Q299bi{x(wU1{Xk z{x9TXT}__)DLC}`^YGciktGvLMyjy-Rk;7RExr$U7w#3o8ol}jynC*b$i;g_rlLs2 zcC@{*vEKhQrG7$ZdOQXul%W%bPL`8Y&e*%V{2O$&(Q}*`m-IQn<9id$sjqKi9vy!_wR(o(O-bFM$;niGU&Z=rkX=*gF#b)A zkv9Bw<3HQ^@qP7wGd|T|+z)vg*ViLQUkxVLFpf3N!HaqgQ)+1geS;h5YaR16uCHgV zzLrK`2k;&pYJ-0_HqbY;fxaVRp2qbR=jy{gLge2M!5a^^l6t&>zLEy|y2U&V+sXJj z^M=h`&U&jk*68Vj{|6es{+Vniy}LKiGr;lGz2p1cm$sdZTY_O-$ETVTj2?{hHLgpl zvUJAGU)mmftGBj2)|5G(x=OF-^GMIoab?i6Wa(n{hy5CUFx8B~F~`yTn?AaMKfRcr zqi15wqjCGf>M2>YsARs*Up3gns<|A;Lg-^(L;Gi&tU)`eLEX_`#`e2N>gPD)C+5$Z zb7M{EQZs+KcsA(YHH#fj-OdK_95rm@xaITVs_LPCVLUNs)?8%toYf$n4g2*f$5Xev zfu7-u=0VBO`Abv};u-0=6g+E;o-2}i8b0?~bA{un`?P@`_+#{-<b6$2v%*Hz)O+ z(4F~qAF9T$6Sy9(!#I$evgqY;()P1w-kgPVDyGk!dHSM?nTr-JSOj^M&W4)XUD=x7 zL_F#3(o)}3P8(}5Pf-uwzeyS?{js#t_x&h-ufa**E}0)#`~icLzDqK*Esi-ql27_x z$=qr2M+{E-0mxCmybNH zceHWhd^c+iXr#QAa!`!#F*s?wocw-^bF2#aNq;JNA6R^!!Ab9te7piAmR}R&wVX%4 zlKhhmUfaas10lP%g~-n9Bp*Oc4O1g=-PKrJ@rvR_Go$`QT}-v^hkpy2 zOZzCUw3YhQuC}nTMhc2)SEY+dULjLKX$4Sv7%g?-!e-`Ldr3kOaiQ~h(kpFDZr%BQE zmY;bcKdz(IR!GsqmLGGQ=MRFhpr=dGtK>K1S*>3u)S_%%LEKRsbvT%oMVanAP}e_Y z^XK3_f_w6_SlY-AjI~VltMHD@PVRl0bJ2GA{$hRSWIvI)n6tj?6!qIHohH7M+NYwu z{(bozFKe&C0e@*X>GwKF5x!>=$Nh!~57O)-S4xwt#TjexzquIKE60eubEY)uB+X-f ztOVJTQ9dd{5SjYdk4nDwbJAPizAQxc;|MQn&7+ivHaf~{s%$dB2C`0 z{P#H=@8}Lw(`zk1c_5$f?yj4mMVj7a@h6S^_dwslOGAnA8uL3-Ey^}b+3*sfLfUR> zxXv%}pp ze;4S#C2;b9U)#YapdXaxE3JLx3FUtQ`tQO zk`8M-tVgvi)YBbu_PTNrj&UjSqaEl^q=lWQRX6xee{V5S3Zr_cYw7saPSW2>i!)7} z>)IH+tNPO728&ZC8UES8 z+eypTmLE1L|Lf$|)Uu1TiRB;baP@B*_*+WLnU1lJ((}+yZje^^5*Wp4OJ`vY;I0>3GhkMZX53lsr2zf9&z=5 z8Sp6y9C;x>^+R9#-3{fe&xzCzl6ZE?sX z&T*Eh_6s@WLOC_ozuMujKL`#1|FQ(W#^HloJAV82p{;?UyFzN82?H|aC_#XnkQ4Y(q{KyOWRsSYAY^CMjV)!2e|2=ZpEtVg7%6|s1 zrzk->PP5|^@*@4uq0B?l@oLMDypW&Fbz9`{Qp=Av?fHY?6yT4^;rO(DRR825j`kk} zX91rrhuif~-Cr=~VIQ!rk|nWeQtS71Dt-HZ4fsOfI~A79fCRn+ILD^l9zQ>UzXSYo z;GcT@(F6`X-2V$x-c|8^9$e>8FYROE_0hbi6a3Ii`xJ*Sd0!`R=%sy%!kkU>Bg%fhJPRAynz3wIK1f=e_f)|%dZjn)f*1u z-)0Z_=Wje-k32rx7p7*<#P^BNf48^+PV7&rz9(6&H~?@*!9C4+4LuMsUbg{lkF6)&~6WOZDFa9JV%pDxn{8=|59F zVg)?cKgd=6bzfm|)jGHT@EU9NTB0tsx+j6d zFFyYordF@|>z|d#XaAY&{a*$evBKge!?KZou=^R7Tj3;d@soqYZuM^!${-dEaLCpA zzZm$WMsUd0_+JVfwqo&WypNY0|ainymw;ys<{~F-1wE+&fs{cCRu(bic+Us8n z9JXR{ldB(cc^^TTxcDJmU+MKjuIgWpyj9BINsuBOa#jCE4<$VqHTfBdpAs^!-6CZoW z;wjfY=;eJEVdCP7bYvg&(mu^wul%|AW6nOs;Y$Plr*fa$#^Nbo%T{{(p2K}&XEVno zF0M#L`nTfUjom$np9c6#kaIiAd{(Xdff`3nX|JIFncnG~o0`mVV1-MT# zy8dy-qB%2W&8(QdVE&nNX1Tk)5X&vN|KfHZGw9~1P+t?>M|Mj|DKdEncfnc8^z&)XX=MB(n z0@pKLPSC=yL-hV82F|4%`G+V^5MU2WukU?5Z1DKOc*pbDQTh&8a;ToRM$eI;f8d4T zC+P3*Yt`pMc|Syh_Y4P^vB*Qeoge$lM~0FO=U8^k5_Z1tY(%I9loE#A%@N1Oe6|S`TXf@Ui0{M&rZx z@rCk-gC1q%|I5g4{JlJZoUA-Sa9=2Y)X<0 z`1~pG-w1w;kz27x^lCMs9y@I4=n1EcclC3rk&iZRQ##+&Pc7au>p|F+;#i35zJb6$Bpt70dnl_TbJl6U z-#hLn716CB7!;9|A7i2NBeqC^+@-(apFkeLbFUmHKRRD8XeI{Aez*g0WqqaU3wZ;% zE@a1fl{Dk^O!gh_tvUPF#rRg7E=KsDTt4;z0}S9&hxz19?!&q7+QJj$|53{X@+XW1 zs6&_Fr|urQ|J;85;2AR(h5v)^MSna1ze9VV11S*Xh3TJef8W`Qw#KCP>_fSG(PonN zY||ovybOCTQ5t_5vxoPfIUMr=;_8oQjXm4FEaZi0kF}>#b8URnM{pm6@yYY`__i+t zAA?^&ho-|p{6l`IbW1l6>>Y*sJcj7BH+JSMMR2_=tu4maUlgaW6xQ#nDupeIZmie% zI$a>x>qiRk0h=Hg;!C-lK)#^<&!S8>zRn+a>frJoy^$M!zjEEjE^az5Gy@CPEAsD% z&5a9OK>HNgw@))zK1_Kx@_FH~o@>-DSC6Ehl)S6m{;t+D{?Km4mEI?L8!XN_I>cLn zu8};v>MiZ<-r9N4NdP$@b(uplY?UWG5-hO_x6)MSPJlJPwtmF z{+>xWB*S9|8VDjYBK1aQrOk3QMj2i4Ny|A567pOX0b0KiAp+6T^Qw=u@Q7UsrQD=6}d%e-47FDx#>+ z*6$exC;bz_7c`r7j_T)3gU?qPMdK}gmd78!?*&f&Ov%Lj?~`G0}ur~F^wm@h?tvhtb7 z__%o?2+owEm#q9tB7Q2TjYWU6{ERvI-8dcui==30%-2r@Bh=Tt$zrG9Q{~q{UgNNVQ2=V)XW4+6@FjKZoLjQw`6AEx{AlV}m z_#c2bA?#9iT0;M$z}to>`0M@oOQ`<|w2dD@_A{(jhPh)-d_L~*zXE>; zIP1AcWJP>F?(jE&!`^H!;FvG2@#o_>-zFX<%sqNOzM1FSIG;`7JV$lX_FXtWXykl$ z%F)fXH7WeOrgp>KA6%iLg3K29{BtMPoHtKDecsGPrzg*wcc3i%4Bo==Dd^Mii);B& z`j>eRYuz$NK$FgsSetV_8hoidLAI-(Pxp63g_|Q39_9aU!PmSr;sW}<0Yp< z$Cj15K|}Sh|GcFlf>cz)PGoSu-~UoQY``voFFKz|IrZ9JQ!7JR9U1lBZXW~ZGebw8 zGQ4a=h106_6TUwyNcC5lL276y-Tyx>_k1SNfcy3A+gHzL*mgZ{kUB1s5loRLI*%)k zwE_E6s&|Zc^5-*(PjLCX?wdLrK49I^gX6qEp8;QhXHA%b)C37KYh8JN{_tA(pIax6 zU%t>SCsD5`KNH7!I8KJ&l^UM_YY8UJ^SnV{fBvBMxMjYsb5j_jgC9#Ukn0X>&vY+i z7VO#Rr8IgzlY4%pwv2=BpBj7Io~h=A_KdLhEO)sYAIvE!#PgJ7e3p89mcyQVa_mXO zC-?j+MOzr71;(D0UKa9v3MN>4R(ssk_w#sy+@4>he&x%ohCQ3YcFB%(c_ZgnLv?se zo?nISDXOzK^8m4Z)NubAu6_Ph7->l+MZd_=je!_A0iAZLTE98)P+@jA|KQR7joV%jFdTg@sf8XQOMfy?c@dq3Kj||Q_ z{D<^-$>Pj|e4IZr1wlvYInAG6u>SB}E~g*z)0pp|qv!f${J(a*EStud5+%exEsX!Z zC`;#qFLUBQcsS5F?yjMS14a~gtRYf$kr)^E+PRC*@L9H8I*qc`4$!#Q&Wp?WegR*Y z_->#u^?X5EL~&1}uHldN3(~2NrRQ5FZs~&zPP$Nf>ivqLe9YYue|yl)q}bmlqwPA) zJji#|uO<}tFzr5#c{}3A8VmZ07bN1IcIO=d$~KY`&pB}Z|F<1yH0;rQls-m9@SRCv zD!$I22Wva%1{NHHD_iSa%T$9>X49(3Sd>Ear9 zAGC`H&aEi_?arZk>DAudx8v{V)Ny1(@PzKz#U9j@_y6LdG} zeT~IWSKPMWKE0(yY#-)R%IA4|rZ0RxOZrT-{Bs=s=0^NprlIJASJK4%^L%_(x?lY5 z^S<8~()Mr;_~!WgG;!`-*H`eWC=;Kh4%hfx4|xygqGJ`WnuH!9@3(}M2 zq@4MKJ_BcbGT5Il`@alPL%Nv<$>2IAi}mQ{1%= z(znaNKDK>)X>ij2l7Z)1{A+`g{!9kmYVrLBC;g=ie8u1y`ivN|eJcI646Gu~J(5OJ zIId?hhL7}q8PvtfEigD}$R1Q~ah&r38fanPJ+mST0Dv zD79a0$?N^}FA4&->QAPbKGs)nj%Voqd(k;g66XYxZ4AA3c z#8l}R!G3X^y zdX2@YllGmAUuR?KZGOLA`N`w_*ZRrKmC~H{bE)U2eXDUSlTyrUoul!Cd6bWP9Q+2@oBjmOD<9gd5o^kd7*8fJ2Q~o9#*Gbt-E1!Af|Ebmu|CF6;<=+$WJN@gWY=z}VpHhCeFB4=g zm9i@=Kid@h$3~SOpud*=F0Owk6FAzpqi4vEQ_?+dACJMd??J|6iVOBsv7;WZ+cQsj z9KYP>PVGtH&p8}>sw$()>;%3Q_z`YTlybYbi|+5!b$JHo>+fT2lKBf!;FlzDT$TVClR$DBkHB}p6l`2DuJ4W}nI{T2X z`gb}Tim{o)l9B$M%FnDI16{?hC-^acD*tZa(ADd>1plWV{}ec69sha)-{bK;z#*&8 zWeFVhs`9@A4q1JtCh&b8-v=DBPWUE)*Lb`JIAry^G8fOnG0Mkpkb$iJuO#q{$1}ho zYrwe)yujlHDnm~ELjrH=@ut8b>!b%0cuSAB1P)n4v1nwl!}#O+n6vLF;E+{vdjfBV zb202?WZRKTIQT<~;YYhwyerB;76$)Zypy(XvIOW0QhIl8`_4iy+PA}>L+4KCwm)8@ zLHrcY!k4mpbL`W6=yy15MCT0k>-8{>vAE8q_onVZ`{DJl@#cD%;=O>sf_{Mb(YjgY zdYIyUf&W#4{D*+!de};TJq-7kY8&Uhsr6_(uM7eX?Kk=ROFu-LQhw$m)$ha~#OQv1 ze=6=jC9aA0aejz{_guZo`%kyP?lTn%@=1?IKf!f7eQ)OS=i~cB7f#+^aYeUD6%#hx zebaDPKJQ`7tBzyfNdzu2Y zFUVgL7Q*&pke#agWnVdc(wR$V z9yhyRac_S<&-MzN1J4uS1j|wWx{2Z|T>j0pb`|3EF#0^t zgJ50Z3j z@0Ct~+H(zf=cA1$?YZ2`xDxhU=gPb5I0<{I&s?-%{t_*~>p0n4aQqC%#m1g%ye#B} zX$;?&AI5jH%T;?G0`Dr3DM@?QX_+9q8TPCVr8E+s8eAYiESS`uXCRL;x&i;MLO+A4 zv+fi*t(V9K9NUj|*oM0h>Fbs4JNpy)`;e+on?hwX{B;{`FVDGLjR)r9EaHb(4d=F( zC%la35RYY{6zn5sp9=qR{jg?+xlga~qIP=i%hjRAhi!*-w#C%fRxcZQVZ!<+uCE;~ zm-gkI4_^3lQPRG@YMCJG%Q_C)_gW~@*;h4hd1zt%9@v+P>s0MyTVfr)WbEUZ%D#lW zF#Vd}LkQcG8%Nk*gFKyiL>?AgFEQ6cvb(^K_L}#0D8c^*$a{l!{wo5s-+k-Gms4h* z2fK@orkPN1VSrNMzIqm8Z`REV%By@;3&(I=VMb_Q-uDy^vX%O)e{f5RecyVJt%e;~ z)Jut;*xm_ zmn>HXT$j=7k2_vA!PfHbdRX2-i0Pq4U3p#)Yph-LQ8+;Tgehnu&0>H1J6zlK1juYJ zL3``(7{{jmP6mFM1l^PV4o5!L5czN|e`Kfzzx4Mw`db!d+ICg8c=*z}a~<^m^%w0X z4{L`oxqiT2Ufh#;QTy6zmpxV{^H(%Jk;?J>#y5i;Cp3){1(7b$CH!( z&Oko)_i)2$Zo+l9{66&8EqDm3o!_|nEnm8LcKz-)B^-^Sdi6t7)Q{Lld`u#KQ?G(` zY~Cg!_&o>59HsGMe$aQ5PK*oZDb9WuCfv?g6xTocAChbDYas(|V2+K4zn@9R<)5P* z=8m6pT&@I-bxhuMPORde;D3zWYlz$aaJiNX@@KfR(ORp<*U6$E zSJ96<-2eEi?fh$3?{TkYd&nI>QJ+jf9@cxV{`7y=r_09*l0;;u+y4*p)+w%Ko{%P? zA7Q5R<2}ekoxTv)r{Y*Q$Em=tlUL!qp@TjL#_30Rj~v(ZT>Ix?&mMKH&$-2n|5=LX z379wCxrq}U!rayO{rbIATjx#RaQDq_x(f2y2K*90>wmvnc5``-`93Fw z{S5PDkoRT`xpp^S=haiN8fLudciwwiCTCv7E68+Rp!f$U1G{xRA&vUMc%%J8ajXd< zoHXPuv^e`r$o~cC4+M{?7=GqqJ;DB!UkV!iaf|PNDt~XxpPvT33*Y3j{NFnMv)nwO z&V<*il)u{HE8mS{@o&GcsCd1XzXF)v> zF2LG!iHB!>C{R2Es`*|LC zsHCRQ{@s2Zfq6B*ANWQ(`x_fS)s8`m+j%5)wG>7DAfL8lKE%Aq6y$f76kcHv*CX04 zaOdY-&|M_8&ep?7$FJ>tHthLCaLbXKXHP5@X*ib&kk^E(918zrg_tPu? zB#$$Wq>qtwOB=^21}8mU(m#^y(5M|Cwkn_e*Ws8X>60w~43D!OF2&JJ(xYuX%yw}x z^G|x28AtLN6U>k5|3c8ElE!yX;`lKSI)+T7Y}f+-dSRYtsaD(~ f)aTRPI&K>?O~U>n?wcq`buxMXNB&;~5)t@sPLB6$ diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml deleted file mode 100644 index 11c03e3..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test.language.settings.xml +++ /dev/null @@ -1,1469 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.1622552868714.pdom b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.1622552868714.pdom deleted file mode 100644 index 515f33ac4fb7340d08b28b24b6f6f4b17b9e57ec..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1159168 zcmeEv2Xr0974|&2srO!=if!2#7a3frmL=JKSPc~y+QZngQLtsla)VGrfDl3n5C|oq zm(T))5CViy0~nG30YWbVj4{}N0o%Ci|L(mryR)wd{uBJ4^Pj^U&A!#{%>CxxxpU{v z?Ch+%N&Ogpl)#S?_)!A;Rst~xe7tV~ex&}R1b&pj|Fr~svoBP>17=FT@*bsP(*Cb$ z{FvcK3H&I5A0_ak1b&pjj}rL*N&{~U z&09Qr{?g?g_-{eSn$ZiF&s(&lqYF0|fWyAWk?*R z9o;LJba44gQQi?!)V|HgziZ*V#oZlzq3;csg_yBOS0(>Xe@m%5mndJVzRmgiYMjJj z|3B#5@-<9QF$JSQ>rh0WQofU)y*2>a_`PTE&+7x5zj=Psx3}JK=gkLko|u9QknV8F z&vdsh?(90LWBKS4Mg9(Dob+u#bqqrK{Sa#zhx7Ms0AE{!!TLbs%(|A~jG)d$^>s(K z^5qubcbHP63zTpA<%qW`-?ZEC>qAVp5q{Wn$Tz0oV&$7#By?jIqTH9b82hhP<@6u( zy?4Bpeh8oQKx4e*>3aiwepp@K7OZcot_{}BX|4~{1lsE725Xuc+gh6H>+5Qn9;N%V z?8xquyMs%+=AYQPEV!g&$|l^gvT%aB6jHoe)5NAL@s^bro~A%WD`8>EZSR$4Us{_YB}xYkI^-RNU6) z>P9Qw`(fn^GzQwJxGbHz#x{|2pB5&vdicEvxHAkN!2PnNuC=bs!z8trn3Yz2uEGBg zOCCCoPE=@Zx<31&$n|%?X`)nwABMwBdE|JPi@|c-S=QLpSjW}K|L;0rGJ>XOwU66? zGm9^)zFy#LB)zGdQ&ZR6hFWV4*4E7m)JVlesoy|jYg=_=O-RC`YiU<8 z2fQ01$G-yiIE_pEZ6;iirGaEQUTewm2JpB}^3ZYgBvnYird_wrZEZs}y6xJw15X1F z?aE32CF3>KR<~6f9zF7W&BbE*?cINz#TatPNB*dfLI4z&l-Xvfg}3>8tDOn`WaO)z$^8b!{>|r~JN; z2D+GGa^-$!?C7y$MbsX=J-|E1!rMf6Y~N~{n&$>vShD&)@%fF#=Qid0$gP1&I=8wuZ_A90S zg8pIUCgt1x8Ryw&hrS1yH1(anm^08fkt~OTSJa{JmK(nbY2D&r-gOEg}MuuVvpz-rL#& z4d{HQwbUJH*YAMI%2x+}f&%Lk*DvW`bqo5Fwz`_O_7>BBP`mlOY|Mg=Rb!TPF6#`! zjpvOK47o+e_g4nb6|V&mG8((WXW6z9E1selRtyRI6aj0*{KB)mGgU7{0UGrhv2TOm+oAKz+CBQT7%jwSuiy~<| z=)un$2cJ^=c`;dNy9SH!_B%;sxwuxlvHGzA=ntnIMyWJkpWA!|YJ;tTqjWP7e{7>i zj)9gVgKcx0O$tqi`5HH&s_MW4CrubT*2C9bz%zab$_i7_DNuVV=aD@P=z!nqLgY>a@}_UaEzM zerFd;Ad=w2APQ-K>QZat6i=Vj__}eXh zA5$6hUw`^LFdev0fL}^S_3N}x&9A3@LZAE=9{Qhwu@>I%Eql7VY)QB7;5>XH|FpLy z5957i_;h@DwyBhY`9oh}>`x|q@`2eF-YUia(R_d%Jb0sl_qE*R@xTWLZ(4nI>x^J^ zO-)^WT}yRaQwxo=>spbNaA)LMxc>oeg^MtspB z*0uy@)iokfgJrHHty~Y7(1!T z`Mf^7+^#Qw;8U))q2>#3Ob2oT?P4d#Qa;@dJeQV|$ zS9;p*pd{s+xJ&Z52INPg9cc*cUibm{HH;Mn2&fz<9hrF&1Z-| zG2?s-uLgMO7T&KcyD0j^9+Yh-2Emflo?_v-a>X>Mr<)V~y~4rs&44`|et7X8a%&mw-L6YawvmN&+IPCLm!8Roix!(Xud?s3iy zPraTAe(#oB-uWAuQ|5UE>PMfnaE1T}eVYlx@3nBGeZUp;j)w=KPh0(ng|k_v5!_i) zs%PLl@8V?a1P=T%6NdjrajWca95D#}?dsg||!|Tobgq95YM8_4J;_&okiXF3Cg3;Y0QF1#V|i z^zJ3#oGW=m?>sm>JHq^|V?Vihb}c5ef)R%w!cR22{4Q{MFm^Zq{7^k@uy8!HA|yY= zZxD}=a;-^~UvrFKqLS&H^>1g{!g<{*cx36Rr;WfnS#mJmM!^f8714MfTX-1F4f?>K zDHT7{j4S)G%R7L#+``lRh0lrr$7^@1#u~fZ1KbUW+msrdg;qQ#HYaaaG}dr+OrDGR6XKy%q+Uj|PFPK(@Pd2SN^!e>J?U+9}1zN&!-f5e2i z8!Ufmch%Sf^gWL}n}Ih|=5Cf!l6Sm5N*V-G0U3F5qfkh~@jK!3~`S(R?nl@QwqX);&UvYfwq_ z+h0F8_*CHOs%CxtNbsD|a@gzu==A^xZL04m{KAF}z7p{dhz}tC0}=h!z6>O1KjgXv zHj%>gnaVfd4xA*YZ`^2)KTYOLkDV+wj>5!P_!NRI6B!1sYZ*mlCj zGqV#KPuJxn?kRy?0kLjWu<&jWyeRzr7kKD(N5S9X`uxz;XSCS~7kBVKp-(?mYW$;0 zt@@c#$tx8`>u%^*kJbTqh@|CpVQ;#){m)KV_-}#VtuCI56+hWr=@)+s@O46#^LiIQ zbasOD*R+{f_~qXk0Hv}8ZE5tsfhQMbT zGD@kux%i!oINhM_yI;fa;O!W1f#0p09jvTWl{~=w*Dt?*@cXr-wEOc=enb0nlJgME z_7m>5jRr|&zONE2IY;l$hhUvV8S;&GE{K3KzAu@X;Ya`t3-=G z<%l>m;A2KEq}sTzwq^pO5*1KIxEouS)aswflgvlP74pmOnP+2;gB(i~{3n zzc+j~!or)P@t)^wbjZ9w4Yu%{>wIGO<3r{E?=|?R@LRe5)=B$_K09FHHfmfw9wpok z6=&f>tWoAchAaZ^i&8GT{_NQSr~Z!BIDd5b$UG!ePonfELskH1t%ak<%kHS$;^!xV zv-MUNr%Yvq=t*SzI^;~?JfU%@-G36qYNR$ii3(O@5QcfzBigE`2ivP#u)eQHDO$5w zupc7YeL)KPEZF6orTB$RaxkZ>4#hcaZqCtIGXndY10Bpc%PH6YbmGVrZIAWzYKL5A zMyOn)%tH*h4*WhW`J_Jy<=4Bej8Zzdmuoq@`kJxBBWI^PeB#m9yMg;>xzFw6^HAL8 zK$Fhy;N7hG{G~%T<8u{j>8W#_Pds>!0Pilj&v@%Y@v!O-1NtNJP?3YyMVAJ{&Xt`F;B$zjum@bCF81>M5jrz3%#+SS))hbM;B+65dzAV4$id z*wlvAHVR8hkT^eux9vV30P0H+bP2je&X;8ABx-i zR0ksCobM^u*dp+YF(U=mlTAT9X>F-)4b%jy+uNFg)0%49iCMa@^)fa?%lAaNj$_72 z9{D=g`NVE_vHJlJ_KAYn7kaMbd0t~xYY;O67H+BLldsYpl`-FL4`%*mA&2?S{EgKQ z#~uv)Q#r5r7hibbg1r99@Ch8&qjpJ8c!BTP9%5$zXPJfbr56Vard)X*ruiy%ajI>; zHapk(#3N6vfiCORI4?m@Uk}5DE8v+eICiPq6g#02jQ>%T4fAWac;Zpm35T^v>ulkgAX^R zTBZ3sxYYlH9tHL@LU3szyMwFsbSv7U4(VU3B+Krg-1fRITVJUEH~JreKU(zW;Ap+Z zdQjg0^u5)iRQg)12Q{EvPFi2opML%tc(9oi#DAjpAuHE|)2bT+^>f{NJ6qCi1;RHl zTw8vk((hAAE*{UDdDfRZ@2|xE9yoQ%R}a~6JA99D>KbRziu+niWvcO{S1(j>_`Fo( zx_X&@qe>2uXXN)uV($d*A(CI@LAbPh(0Y#p?T^O8G#00@rb~X!G3k97AM?0)ds##E z9RE0avBaYv4+7^v$>HK)Nmh^+)9CAjgSkM1^Yu>X=iLTHsiZ6QbBFI^SDpdhXbX?m zay|^fxYx#rpp;Gmk>$;`bXI94HF%_n;je zgt$NE^>dY)+^f{s-{8H5k%+jD_Z!sYO^Dm^EaELh_@fowg#5z<@X3Desz;UDxmLvt zCAog%(vIKXnxEU<*iJqI1yB8L2A_VuY_$W2PhM-vIL(kJa478j8|J1Q?N=&wyh^0& ztS5W0&Vl|x@Zezme_4*cPWJOH(nlI4VQoiUOCv9`2sfW>5f~q17=yq4BcWrC8SBk+ zE70BDwQ})djbquDxFq1GM#5*S{hj!MV(U56VHoT0GU!STJ=2i^^P zb!R;z@gJ$zV7AHr_yT1XE*#0Y3_~5b9hk{M=x?4ZZWM4~G3qcU!s)h=+*{jf(Ni(~ zkuLr8&p_WPJ?IB?y_$9@&m+cnnCCS%f0I>Asg?=b0e*i)aE!@eJgh%7&xzCD1DD}GGu61h_vgg+pCW??Pn zr)>KZcOh``?0ew#$VdJ4w_0xkjUvCP79RShIPI$wg_D<-Fx9ymI^laQ-EExLn^j{Fr7S zd>vuooC2I{ES!6d9=g})%p@M4bBr( z!j0eaxk=oUzmVV4bfyz`Yyo;P=Q!?ZDWBruH_27l-`xyyEb6paGKp z8$zB}c>9B~lOnZ)UBLN+l!y5<{Q+>C{($gpJE8fFgRLff`ptV%sggTF@!ffZ_*mdv zZ{Zj@5{~H)G+pKeawPr^E`(bCHAIeV7b3T}_$=UDrEzF}(%56d326vMj#O78{v&z# z7%S^w&6WQA&-ilST?%^){#ky;9uuBxj~)GphrCAo3O=?Ub#{g-9qt*Q7)1HC+jsm} z;GA!+gD<d2>#(Sg-+tB z%Ks0Q?#ex+JsuFDJz~vJOzA3J4xV3+1nZ8U821E8Kjn7$#nFGSN{=!BG2eFkwfX)T z@RmwS?l(3>#G~mMmruwz{vOd|(zUYtm4B>9kD;I6Mroht0q;bOw-)JMiiQ`_;pTVW zo%%jQmjikpkGd~=Q2Fz1J%?SggQq=F|36QcBf6aBeMn=MZW6r1%Ou}nepT{syM9f& zizQt}4}PH&2?15|m92-i{4xG7MH&h$|4$;yL-rvs^skllQ*^t;eA3QUj6nlcYPJqXLIQ)_+J>Y4ZDt_Ib_v@8@4gDC0vRwJav0$Cb@XS-i zKL`A&a-Z!9`9qi$$Nm-0gojhVe;3>-T0fDi_{B)`aO1+?BZ_|wI0sue^j?qPurAXb z2M7Kc^LH8e!~6$AaffIA7J3?GJ}mwt;6V2%u-$ma#)+=OoZi&un}Sbvc*-+4FHyyZ z+Vf=8-$ld!2Kb{GUiu$bp3XvRhtE$npQy)p$QGe!qg{_7_9@c)ehEI{;W;yfkot2r z0CDi(2a#N-z;@_R^nn@@WBy^g6lEP-LNaiQC1nVXal4%QOBkqe(BJ4#bWmhHSuhp} z?DmjQ030*l!FC|b?$2AiV%g%wu=R$ogfzjU`idu+{zDb*_dV+?VFd8-?&ZK2P!6(x zuMl3Kv8KKq?_OE2kYJ^HeKp>4bKheLV(O9EbIMVo`5tH3R)yz#=E2!QA!8mB#;ABa#YVfa`B7@rj3rcnL*!kFGq) zSAd>W^gy1lv59_FSYz8uD&O`psRt^rWj_;IRg8ZZ=55z&93F?zvqNl_($LIk4nHD3 zb$F{Ou__#?GQIk^Q(!2C2j_U;nE8yCP@ZuAptEXzaOtv+F51wlJ2;>BuVNtbk7D5; zBpi#l1N^PTTxu!S7afJATW4{SeR^>Chl~@eVaL(`PJus`@H#z`x7NRewEsxsW(=HS z{PujuC!VK(!5Tc@z*+#dx3Jev zJ4is?Bz&au=XlzIa-It%VEpfYUg-5x4v&A9@Ulf8WkSfi*QQVQY?rmZ!IuB$z>_-b zk8h8EhVlzPuSz)y&$Euev}?0aeT1a5^$bli#{ZDM-mCpNlp_&3UV1a;>v~|bd@6sW zx@G|TIs9I@XME(ffAp52kaOF?SGt_=adgOwvHKHC4vFah{r5>KmiwpP`scSiw8v=l zEpf1>|DYkSa-a6JGst~+*V3*IP1nXN1m2w%-e(c;wCCvH!M2e-t>}Rc!4~CBQn?R@ z_FFRUI)F@#N8TfWceBPLKj7uac+p!K=m9q|4{!{m8^Mz}4ktT;31G(|(-+yTj)ajSGh@{scbH`Gq~+?G-tb zji6BaqWt2RH%ldY#(jyu1U`I+)Gw(WKs;YN>ja&FpM!g<&>=rXhny~(Uy^T>aYN$e zz&S8ylbB037xQJvjX} zTd{}VSom-#60dYikiF`=-&;()5BQ6LUm7k?Gw@@1qHl2c%mmJD7S2@7r_rDO`iIAX zXY3}8lQy~h;#J97%;7fk<~<J)anKh!IHsa>}1|y461Ws^}62+pZ^N18=In&g1$}JhuWF@7ESy0rb?e30X(kcw`AQ zUCVz+ssP@>`Z~9duz7@&I!@O2Nne&*c<8^A@-1B43cpX>i7VgBE*`*m5L$n>$3YfXJ! zHElZ+tgD?~NAJ}Kuq$W_(O}$J7H$)84>Z&r!&I|Pel5PT?&LaK>;c=5N=2UQg zW8t7pCSB#?ByBg(_kk0|Z%n#Z#guH9JUm~=?cCY#Q2EimvTv6ANxd#@IvcUY(uZjD zvHl+qDLBY{An`$O=>>S*sDGGCKl}G6|9z5{jw7D^9`x6OKKd&Pu3i&;v|_o;enZkj zp#3x=`n4qVIZ3-v@9~H^I?+D-q4w*~b@{~0oGR&27jVQ)7N2`6&2nn5z5Ez_!snvk z<+H6tcYmx8RF|f{2J8XO+meTlBUX8E%ru-A2kZY!-jO_9UpuJ2#KR>%NY;ja(hkty zV9{@}-h19tX{Px-^wDHvO8#rn-&1*@?tV~ysObk9uOCmB%K^SjIR-VN99yh%3=Mxz zKRF4wm>Z|S^4nr?oaQ9*`?pIsX)p8xzNHBxd{%$Gr*eW*Zp%(2=L6?i$>Fvq@tnEb zsCTE_sGnr`=q6OY`aS3m1O3M(2lMe2@gZ+x&C*+WGS0m&PBQFqvbOz{v+`a~Jw@gN z{XZW5ad7z%kCEwPd}8>Bg^fry!XWx*dFV&fvt;!DCAzJ<^rbGSzfFcgOg;p$6LBRX z`rBmKy5#G*Nc;4_`~l1GmyEFx`Uodf2KBxIy=d3%a=BFZ4fG$@HYCum?RO*}3x3fD z@%6}l+~N+{Z@y3M4E!4W*z;)OUW4jm%wgQ5I>ITf2SW;0=YT-Jr3M1Cc%f{fA-*xH>%~~ z3;ln|<&sDIID^}dA4hVX?&2oH*GWFj!u^%CKSebA^;_Uxpm9n5TM0MZk1Cnu;>5u} zPS$xS=kPfmoXG71{eKDkFAChwzb2e8KT4I1ba9fqfpeAQq2ur|9(y0LUrDC^|8&VC z<59+m>PM9nxOj1w0q<59Z`d9S4}Q&jQcB$R)Z3%Lga1r{@isADR6nW&<0Ydf$v+3) z{gRiC!)~|qWbZggT!IJhU%*>xuA{!bA-oVjst0uchWH%fdc?00Vf$0iic@km(S7(s z_NI8Z%V*qH;6LdC4qI*U3Av7sB-c;D=ZTWXZRf5ZR}7!Sk>e$xub;(Gj$us-wTk*6 ze{^r;=dQ_HL0{VjPPdKZ==yQR@4EEk7lHmpq9|clu|nR1#|4WXN^y1$b z`j#I@a(c|gNxl|1-%1`j4&AQu=vVV`0G(6t;9&m0MB7p?j@OSWw))TbUC@JpLW_<= zpR;hl57h@<_u%09e@TF@>hkO$eq2AQ_(qEl^b09zl9rA`Z?^2i-f>mtj)(qm(4Q)I z#Bb8{zr$}Tw)(Y%p8>bXPzUZR3wIaS6W{dUP5|y?jm!Oy!S(u4#a9273_l`el!Y_i z(ucj{Sax*RgHr<>&AF@3+K=i1J=oNgDTv1)b|S7+>gT)heBv?0KjL|=?i+~i4;{F@ z0?%nr@b^-*ObBaeqQxKN2>sw9c=!u~zx||S;>VF3!~MA8g%-{_;IvB~I#%>rI8nyQ zDb)X0XdE8j5{~P~70w^sx`Yd|gu>KB)gM45hFAIBKt$w{YYH8<%4B z^A#6q`n}>uC1Y;Kzre-E=rx7@^Wgmgc$t!h^?qI0d>HRTx@`4=uAs)^`a=Dfa-_te z9H+QA6^nE^Tz_i(Lb9+xpr%?Z&WUj+rZnpP@lLv>4mv7-^1Mhqb?+{C_eG{A; z9zLo6AE0qw0?u0=obU9niW4mSGT>k3z^IBW3m^45K2p8@8Thdl{_COm{rg!(7~44V z9tZr}UHtOTE&JK$m}zf4Kc!-*Po%(p!sj-AxW83|F};I#81P_oOekM#;o<$X`TB-O z-v0sKR=L4=pV@dJepe6ZKaThU;wD7&52>i{)JntyHSvA;L;6+JYw>#&$^aW=Lix2; z{YII`PWcl2ejz!y9rb$njq3l=K75Xx(a)!RY*4W#W{rglIm1MAu;rYZ3S9VD6xgoY z^F}?O2U~R?#+E7fLYC;?QlC^RZ4M&l3=f76P5ttqx4=KH7tLfc&LR0lFc1^-I3%q4$#v@kgnC|7_8RpOczp(H~*S`CIIhOSTGqj7QFR|GyY? zC~*Jcu~V*JSah?6b2o4>)-|CV>ul*<{HZFZp?Yv80S7w4>BxS5Vaw0;4~s6ha2^HD zXvsszvbQXp?Rafl*zxjH2b||6Pq;k@neQX{t+Md`1-yeTy!$M?z5J;FzUkqs6?jke zhv)Sdi_jJveZU-9YQVy~z`}$7&JSI1+k-a`c#mj2lH*sl9JSxr1G>$K$05RZOGTfO z3cH^A2gJX)c>C&%?ao|_&mF*p|6oGdBFlf=J8rVM?%@;f{}t=9^7HLCL-_m-|CQRj zBj>%qUtv(7N3|9{&8u^(2$Azez=wZGf%RxpD8AQ^EjrZ2OC1Khvn4Mb@g8a({p#_? zBs!bL{=)ssBD8lS&(tZv)p;p@=}ju1ewjZScVa&Uauq!MJqWxjDHUB0<&QL)y$al4%6;x1_M3m<)V+7N>d{kq@MZ^++tq_+IA-X^Aana1b&VGq8?;bpvgEWF2omt*1m z+QN(C|D|F7zv4~{Pwa%@*W>Uq9(*#V{le!>8*1U5XyJiBJ#6>biL^t3H&5fybDr<> z-5IJ^=%m3-O9U=#qY3^R3pdI-z_fbc9%bQvpYI3}?n(={5V%tfD(bV)!Uew=-f-~L zC)WQJAE~cXead*k$k*fWv3wU4 z!kGk|pyXkk7cD;p`_?V&U`NdJy^^$5z^TzV#FvcA3}52#X_90Y(iS3iBVK}tz9kKP zZ`#9%+LzNw_sI|Q3;W~f&vf7}cA-jcxA@&#b)dQ9smIH}?;&!>@)vA=oe4_DJJ`aT z1-xGws{BkRm;U4aG>YGzhV_5Nx+GEX9LJOK_P6jb-buUC!kcILLwh6p?`fF-F9rVt!h!!Ti;BE{LK@cp7gtbfT&MYrH$3(YIME$H#!s{G z;RmF_#+p$4v4y|4%CQihdi*!=^;{>*wV&@QGTtBy?;7AeWzaBo{F}9}IQW%^Zz24? z4ZLj0!*ccZ+wAxePJ!jGq}>Ue|5!LzdY?0<{#)*u_hj&2LMrwt_3-%_a8oocwa*P6 zIZM6j2gQt!e$r_l4+8%^3xBD_=iVw~*1dLpJMhdrQMlb<2as`|vvA-GrNLJ)p}1b- z^x!(mlLncjrz^GM1&rCRM8tan2d_tb6A@|lRp54s`s9y>z|pnknvVDX;nr}7P@n98 zGN14_9eKV6UUs>qEiU)gXWB<{kKSX^A%1I!|Cx^Ue?{BmF8iPFhs}3}e)^r)F%-bo znsTJ4LY|`y1jfd%>vE9(!5*7*Y2P4SuA2`0vRC1e1Lpr}u8l(9~8QH_H4-`fBj|mgHf*{4k7PN6_-MHtl>8DzF`4(OjzbYO5e-XwO6u94dHwuP0% zmgFMu{Ve>)fq%8WiJ!vXYy4gr52x$?(f_)WCjRx1{w4hZlm}xS3Sy7K%e za)7oYhZj+fJA?)u3zu2`ZIpf{9pnEZv=Iu7yDkDQUd_d>ioBPDq=UYtSh(*37xvkN z!kHE>+BMB@ESw*rZy5g<-6J`~9}CB&JuE12edE{Rb1(2LKes@CZ-D!mDC4s94}k}J zK!NSqtC8@c`%0Xz2e|N$(lJM!{vX8m@lGmkWS}3&D5VtpHjo_hH@k93K>wAFYbF#t zVD0m^yK=l)0AuTY#sJ9SddU&Rp33AIk}w`@uaSF33Gj9pH0Z$j3SAk}^=duW_38hY zlP3P*kbXBK1Nc|TeXfU>!|I_Co08YoO{>OdMo}MVgVdK`YtQPbc*IIMxm=LHDOV!w zY{n1=Qx(kC<)Z#A%6g*=>i;j*<>2*^VdV(3J&gO5i<^PIKO^75&9&BxN0UF+|DoUG zAoD@txcqufJFnH3S1m9@QimLjf4_^L_%QITem#GqUMEEUqP3q|qIkSBa~!*o0>H~#wSMZ8I8c7EHvnt|BSUhad%~vSzzYTKg|CZ>AoUL|3+VK z4C>Fg5Zl5x`g4PugmF~{`X3YWuky&f$}Eue;GO{7Zpp#8A4lL9xMr;c(ZJU#TWt6WUcC{3U0_Z!gn%t~uMfaSEekAP$K`?>F1eC(RPY-Q&HCTQzL zCe|Yq4b?a_p7@k-A})VmyLS)t;+`!^-HR;u<|5W3PQkU85HSwDw*oOy=>5<~mg{pC zzt5sw4t7y;@3-`2dndMxSGQc#h`E3o$0h?!~@*C&OmkAY~d1TQLOXD?wl3$E6{#g}Lx4^6o?4 z3CN3nqX2R%gdHo22VL}G?Z8U^RPr;wusu%wehq#hUlVdKwfNo9+0ixcgvA}W>ERdi z|3x{HgXe9wfM3t-yVj#?T%4>n;7m0rDDxugz1|%sbg!7VVkLFa9vm9~r)nJX=XL-` zmRYdf&il1XKVvWGYa2{Ca_cO+y`v*C{SMHNv*>>d`g*pV<<#TS&)Ng}M@w2d=3?_Y z`sH@I(;@FIKlT4HluDLD`$^sW9j>k9q81M$6U0T_^m>ygiCDyDE( z1p1iGX8NdihyDoACjsk_wc2_gaCi6e`Ho$1-zUvH5A@M4DF~k^zbu;&`J?Z0=uZdz zGbAk?v*uX)q(>`1-v2AqHcb3Mq2J#~jQY>a{SX6)=;Jd_K!lH!3Ew627cTz3I%B!Q zJ~@1oUZee)kTu!jJDObY2jA-@2e+TCns3KDg1pJzA8z3s51cy;3gnw_;Y5?~6To?2 ztz`n@s^&PbGd{wJI?@xKe1@5$T(JS_tmkA%%Xcc0wd zxghvc{Ae;<4)n#$C-#}DxEkd^-)KU{a&5PJr5u^MUHKm{DOCLFA?3&#gmOG0_qqPQ za=#m8`*HOBFUG}trxm{euH_G86n}U9;obF^!oOHJ-&i>KmJ0>u4`pGTwZOR$Ivy)| z=$QVm@26;)~mOqeY??VyA&SWhD&ZQcM{J2e)T%BzS^?kAn=z|zbXCqBzGKITy>Fej0l+ z`)wyT00(O;QnPisZGuA&jfj5E4ox5H2`gGa{|cfgA?1Cm{-Wv2A3=X9zE874^YMky zuW4$huU7E~rWt3Q#<>kRGl6rHLBSg5^DI9y8qUMOIZ^Vkyfn_Vz#P1H(p=XPXySc9 zbI|Xxp8N$kwZOU8!kK98YZDFUS>Virywbh$BA1)_z&M5JKMDGWg8tuK`pF+!^%2c( zyaM`Y%c&P@`rnGY$facZuxU*H1<;=e`p;SPFSh7MEC2hTk2%KF%RrykLpk)Lx|EE2 zmd1SxxMP9)Ukmq;@1X}@02e+X1zVr%o3PiCwJw0ZrOEu^y}}Ck<5|!P6Y$PIq^{)> zjlW&MsgoS6_gbH6zLaq-J8C8v$Y0L-E8;&8p&wcBU9vVJZbwnj&0=`zi?WuLNh!RKL;#^#f{7N$2t=w`%a2hm@Zoh&P zY{zpB{!S;&0g!%Vlbt8qS83rKYptt_q956K|GyA=MZvZc;*!e_JS;!-2#nJPoLHP6 zD0%3Zm}SPD?ln5|$c_5{GVlXAFwWN^x7Nn$W^A*C5fQFKZrR&3&hfy(wQQ^ZPq@I0 zUnsR3JUAHt7Z%7pTMwwqXZpQNKc;*yzNftv=T?885NnNZ_jE5Cr6D}@G5#;)UIgXk zc^l!QEl@MFmF#M;wXVkGBYytLcq+RKc%S1OdTm1dpAA3gPxo}_(Rzs7x`C(12aLDg z;5F2tc7o0A^{sV!rzC2(*<%n7K_ow87UBtr%MdTZ{xsN^8q+(zIDCI64(oXEjTc`W z*7n4GZA$dN{jz)?0~Yj=LiPoSopMgc_z~9kbfWB&mVF8M9VB_|`Xkqd>7#9G`Y{C~ zael5#A8%(vOQ~KY4f!(JNbiJLb06}KVE0Tq%8w6fDF0973atw&LBzLQissYzGafW4 zF<(Z07REQ(f5dYbAB21Iv)9(a*5LP^y}!8blS9uRwd3U@Z@BZR+a*u-l}LN9oO3() zYDRVan9i>GW4bz*c2|u)5x4sL9!d6JG#==1{;k2F{t|o;ZWafU(?O7nv(FOz+N4|c zP5dOiVbw#F=ik{+0_R-iOUR}2KwjJQ_q5URGX0-f^nZ@D4_fpewCRs^Zg|?!3&_){ zeB*01AKwUl-fOUq%>mnkgV6sud|ZM&|8U5uq^tG5O1;WA>K5#?@F_nF;RLm3V2pOA zw(I(S_G|e57j!FUKkUyA`DOa7{xizDlkAUxc{IuiyDD}|$|(<8rJyVi^arM#6a2{Y zAFG@zv>yc-mBAMuWBGCu;J?Hmsiil6y7vAnj;y@@rkAhTbi7>@yM0@({T>*J{RXK0?GKF~Nb zIJ>%~5s!HNmBAqPP!D!?t(v#EbHOP8lI}$#4)z}t@ArFdRF2?s+WS~p{dErYRa2F! z?bYSnDsr6)1(>Oe!sSHYi{6t=-;?uBm2w+hch z%T($JKBkI3Z$D5LRYN^(`Q?-X<3Pv{`XTcyMlWe46F%5iO%Jzc)wN8kZ<85p4Ion^jkFJZA9or8^xRXg%Uz#0tb9|g@@;a2_j{suC(P^a7`0&Dig}|v<@*K7w+_#ljNaMu z3&`Rd@<(!D-}2Ba=R%)z(dKi|H|C$mb5o2Xl2J-a);xpJ?m77LbtP_Br_I6A|1q#$Zs@mskD`3f!GAg4TRztR06zMT4+~D$ zq*#s*xaFHzkMiB^;v`Pi{ade64>U+$obxtx400Vu`Zz?z{Y?#tV?Ev+x~>BFgLp7*W^Hxwi#T` zYprskP2`}gCM4|C^}N>V&weR)cfzjheAm>o?$7v^HexvEa&C`ePhhLf91`oJ-Cmp9 zu!O9(#gv1~d8u2@3Hzg*8_iw3?C_4ZD|R`rllwd2ukD0frQeOIrmIKrt&nnVi)>eN z(NCE>ZLRG4z2-&noZ$=P4K$sLOF z={jM3wENq(`l;15HKLEFSmi25xw0JcD#6%gOMU~Nf!ttADeQULl#3K_n6{K5@{3}3 za?y;;T&vx0h`%ZYB)QRkc@r_mLm?0LhQ1Ms-YQ|O{e4hlZ(f3Koxw@fR`g4IQ55Z0 zRmaL@K1w^DqBkP&sL?k>r=#g#Nq>ds*Cr zn<*;cGL_<%)0W@wB=gn$G&qelipn5YpPtQ9J_5&CFM1Ga{X$IfvXG!n>D_6H3k9tGRihN)%`gG&L z?SAdWosdfv#wBZ!j@D1vc6VxZE!kaDu3YFy|;oI!lsMlis&;ysae9`R1ddwf} z5QK1h(a_xPyk!JBmflg0Nsyfmc~>axxTk}>@19Bn?$$s9mQZ7=4{Mu!zJwq>iwM%! zoPzOV*G%LWauzP+@

    3z%bAH?RsTw(qcZ(ovsEC zkgLc~&$Hjbqd@^!ywN zljamCnEJtYkvL5H7skII@M(4#z7Hc;wY;O~X&(Advqs6r(L-D&;o#H>#fl#A0?dgQ zDm?aybM=7dDI@!pdB*_fe93R)*ds9qr$y3Dg0Ab3Szy;I;Y8D)PT-s&xfthbsULet z<=_M*-ALHf2{qv9WR;m|>vexPtAL~D8W=~rBb$;GHc0Px`%~s4^a)uZ!ux<)!bn z22onGI1~3gxR(O=Xvx618*M#j+@@)*ZJdB`$)BA7+fHH3d+4JM!ASzW9-n|-TS9;K zJnwqoK^G}-`E)<6`D`aH31`C#fF=yZ>){yVZKztk7()ZxuVyH6s z9BJ>!xY}U%*B|Bm3;b$(6oKEm8Lk?!T_HUB=KRD;Lmha3gH){mc^WzUru-ao{*B!9 z$oXyH4%XMh^%uCVpbejRe?v`7vVipdm*z^p{o1F>S^FM=&p;$TiEe%YFpo9}^!oK6 zSOf_+pN+SI&(oai&UXy+wgdNbeLb8{;97i!+Lh4%b$#c79+ykEi~K~Dp0DD{b+J6{ zH6Om3d3PZa-}MpdHy?xyeL6=-Px8`t>K7*2*P{DdyMFU?fcv6kW?Zj*3e?+tYren- zhl#7@7ss?sDk;YN=b;a7%{wR&=-0Hgx{Mk9A)Y8$^_li>HOR7mmfrqC`Hs9!(tB{x z*3COMjO(?3gzHpjUk~J%iFgX)d1yEIpVqnKf-w>LG6VJjA#H$) zbLGnY3uNO zrTbl?ggfwVie%+u!HsHvrbGG^#jni28hCRgQv|%0R?Bfgefnl&?cv-aNuK~aoPV*L z(-H3n=g}|tarm6F5PTj+SNXbQhx2a-Za`nB`uxn}w=k|@l=SGp%@%GWaIclTbS#*J znHz-u`kQ?C=;oc;2=&?OX*a+-WCr47JhwRu^V`P~bvMMV)aze1@be%=&mn8kZ|Cok zG@kmzc-y>796`Q>YuKdz+}FOz$$^c$XD7n~LcN4|0&VLnn=p@E(f;?!Q-iIPr zXNsLZ6NVSx+OZm@p;|mr#@+7V`r0>xm*)*C)^xP%eFtb>W@~5n^5FcXT`M})tk6k3 z{H_E35a4h4ZhXTy;m;aq;qM0in=XFw9&3M(XncQzPH~6iVZJ|z#5X1Yr?sJr6~-C2 z)WKDWp8)q$3->LJYt?i9dzfz;qjBguJS}>jUN8Xo&>IThiLV)F{QWI_@LZ5BH0W5o zNR6gn*6S$y02ZVJSJxHm*LvYwKLOy{p4HsQN(Q_b%ypXf>zg$c{B9=%3B}i2a*i_I zE${>HZ<4-0JQ6YUhcyDmUL^hz{KaZ8{NTH0%q{a5#Xc240%q?Gmg`61{57}HB$Brs z%|d_Y;9dhHg*`8r>e4Pc)7q~l8oxgQzwjd{^usU9nDOR1cq$QnPr>n= zl@CS7Sa?y^YZa^k-V({fc%O#J7avrV5i{5O$7N$MhMr$v> z03Q523Q_S~dlWOq`p#eh9$Uqzc(ZN( zW;a`Suy+M$FD4ZJ){fB`-|RNvp>3Lg_4z1)uD>YrNCogC z%pPn}@LC-HdO)Ar9Qv(-=MZ6+X@4}@OO5s^+t-2GkYMhY`QAAJD@roSP~ zz6FedL;b0$bMSuN96V3M`srcN8$0AK`R?|Jwd&5^meKKqA;vpd@Caw@$7u7qZPEXk z|J38be#XC8>+kI48G=WT#<_#6)fLG0yH}of)Xxl(lMwM)M}PL4-jy(Wlt#z1_&d?% zukZlyH;g!wka5L#`#bcmi`j!!`X8tM9R9F+9#6f@tS;)A>T#}%JFXJAQw=Ko@%h&K zNwz-QdWo@|*=x36T&YX4QQB;fkQ z<6mZ%@g`|J^n{~f;|hzqT#Ipo-Sj5c*G{*joU7GW15v31?)nrKTf^UY9CSj z^Fs9hW-sPwc(qey_N}i6^fC4?ya5ruTj9Zo`=j57FG~CE7tTS1z28@X_#M-!)czY` z58yZEjqoBB$H??TzwPB_@T+~^{`fWW9n-4uc0#^n546us$k@-!Prv=y^TPXp$Gxhy zcM8aK}0MYdI1>g;l9E|6V2ON7aTaSIH{wi+~JbxCRXOcYsVbDP5-S2_-j=L9qKX_~f z*iNj&+6DN+L4GYGxTvG6D%iRFr&#sgxuR0*57%E5f4mU=zuEgg8eWSw=!~~<+49a+ zV`#y|;*Lf0=ATSx{5FWor`FGi`yLf;2j1HL|l^`O2mCrICfpzkrzw=U>A6!fhG`d&cpBZ%iPseN)F{TWaw z@AMSz7KpO*@Qe3>cB|M#18Vp+8zI^AKSda4n{Q?G$FFH0^gNQ}TZDC{vtiRYV13=k z;m;!{8*}8r!~C-O21qphsk7P#y%WWF=p$>P3mk^1gqPJ2FMoR~&Gvqt=Ql-DfOn~6 z>j%%#A9@bTc-q!r4={QTn((Oo9F+W>J(cFQQZHU3@XogIv_B_H*|>XbndbOAf<>um z{ZaW*usP#!{-==jM}lXn&x>~q@K$^m9<#^&Kl9dtIWeu{jJ;Iss8G@NJBmFmLjRvS zTjSAu<;UXhSo{TQ+NzDq$_YtN8TWYbb{d|sz61T)hVwBp-tgc?v8zQV12^zJaD`RD z?E%gn#4jOR_}R3F%wWX){~&&VxEVe_QVwnqx6{3-{aAT6~QL?2Sku}l=oYT{s7$3-vif{x2b2$YsKPu z$sk~sV7yTfr*L$Gu}2Z@FUo4Z5f+8t+Nk<<7wWi2aEdQL{f<;9i5nP~_RBH*Nm%}L z(bK?1A55WN+(`VQE#du-n95YtZwBM03ne)UF81*sH?{-AA#eMA)%~_xRNQFw`@Ga| z5x6Xdzngztr1~X92_8HA5pdyeQ0Nyo62GX|A?ODv6bFI3((@Qg{J$LwyMiZn%v*po z9^9{i`z&Xb{#E-&mOf)BA=>P;pAFF6)1bp>N5x&JtHrGrPd3GKBA?WY*HdH;>jUblA#7cX5+a9+G*;N7nIi)(9W-M@&EjcJXAyg@~~6^o`x( zl}K|l;^l~|5EmiN)dlz;e~i4T&6J~mHzE7>(E5!VO7-afP}Y^(`b6JOG2cForpJ)? zxQOzu0xl8OA^TUMxai+@(e^LhoWg@U6S${H#(r^ad29PvT&>$J+7X59j!3wxmv^q{ z;QJn2_z313xBcK&MdTMYg4(V5o+F>Uo{UpRnv>aVKz3;NnEJ7m#z6nOmil zPW{40aQj8OD*h|hKYtp5-<>PF7k6|l<9s&m8sKVM(+|JnBk~JdLG75@Rq<=UeKSHk z-np`Cac9>_VYuf47j1#BM{mdDBl1f&qX%+EzkqMYsPq%n0YXWR(*MyuiTZz=-`@gv zl)g^S1GN7rOT*=Pl6eyB$QOMb*$rw(6oBWo8}uCbOPWp_g@?(F?G2-7qtj7d`*90! zVM8deos5VplUNQe*(l9f@mAo@h)}P)yH8lo(haebusi0P)^qz zk{(eXP=Y$e_e9d9#B!ANmo}f~y8GO>_(|X*FNJ<^nbE!;U_FNTF5>5i7-N+r;`cX* zH=>SV76w6XgTTw6#}WTcRDZbO@QpUZdJNv_+tg`#p4uRZe-T`c4N8}g#qijRUiTaJ zcKfm9jIOY*F`^!$&E)lfSLhdo)L+Q{O!6P$K(DrZhrBlff1~8+7e8cvl>Ap}JEULq z4mbsjJG}Kx*Zln}n>)L4u=@`f(6oGopy{hd;W?vXnleoq9x(KG4?wH}A#TlL!`epSh% z!2CDj*@&>2B{v}6i}*I;XNcP&i(JHEhzFWd{qMgtGzD?2><0Fvp81tXeuT^ug zkIf}Yt^B*DZ}tBr=>L|#Y6llQQeZw&hLYPx?^O#A=h@e*qF>s0WdFaSDg3Ub!H(t2moE47qXG}^=Xf1=OaHir zRl@aOh}f=i8|2p<6YkXS;S--x_Ae^g1-uO!kL;4$&r$m{j|2=!{_X35EISYj5Jw_T zLPY;VW6x~(d1g+-lj#Tihwb+V*i2}}ishXrtX$C%4EpI^($(`$?#2Tc3`08j1yb>= zsJ+tIbLmR6ftoFEKX^!bTMEbRHjIBGZP6?8XB>G$A4|S+8B6%7_&3~swgq)jfNxqxa_m zjo6us_r=4vVEEYqQ7U;;6VyM6-x@pNz3$Q59?&lVeZS`8OQNrL*A*DCyU-IFb5MYD zru@H@b2{R?TJYwP{|%%$$W2$W4e3_V9SMmS>UA5IJ@#KLZEeP}n!oLYKbtoLV|sp< zp8sc{cb1`s-(tNUqgT? zrcip8?=I2<-&EM<{VzfUulq7kyCa$VuMia4pHzqJ z0V+Ml!`I*B4%(=%9=u9;VoEGdn6I70*Ggv0p$mHcPl0hWY=~$hO~rWSrQ4y|BVOp9 zSv}Z8cN}{#4&A?Ny08J{WBa$z)JK7r?tg@m*#qCujW=|6aCw=qLwBvF+lpVv#Q&a3 z9~D}^?+B&zdzAVXHbKIf)zsy?au{Dl*B!dASaflYfKS7Q=*=g5UEv#zzS(hduGE>; zbjoz4yiC}k`<|pLJ=dhC_uMkP_4c*gGvA_>|IVzQf)lsA6Aqy34qeolzYp~W`C_a@ z0Ym?MuYC9Lbw%GS-r-{RrE4ptv70 zB%Jbff>Zh^_^U%4CVA+XP-%U~aOcm)j`EL%Hxq($C~$sBS2aJI4Nh&KRev#&ab6P~ zN>_ddaK_V_gt$}9`Y>}vem(r4|A)=@C1e7J_-1=fUO4_IKCVNA&8JX`Yo1`*4Ek@P*I64pODKVFuuW z7Yv@TvUBl@&aU9{1@l*cyo-04##^g=qi-XUD&=Qth!+q2A_ep-zM1Rg+&z|{5-xQn zNx6M~Zt816XE%L63VVzaCWXGuLk3Y(Huk~c`${PX+10)9{l<5xlv`BB0O$Vi<^FK= zX*)+Tsg$=>ynFw8&Bv{vjdO&QJ!)W$lmBk#zHjtEr1`x{-Khqad*pg2=c9vf$~xy< zpY$)+)yqTV`jp0d3s^WuNUIF3$A^S8{2SlQFXWt$;4!;|d3te zmni=*>>)bgbGd(+N}lhLE9_6=nJOj+@8xFM{*_O~-9n1mHxWU>x z$N}}=2wxO7XY2y(dDf11E#E*o(5o&)ezbwZVY|292YD}0zQmt9*_;!$&-U~3Ua99Y z;Bq}*hI*3JX?LkXo_Z=vkQ<5E7e40$hon9k#FgaOF`9q)$mk=!0~pjg*@?F2T(a!Y{2;v!y1ZjiXE%zbQ}p%b-{L#dN2bXCp?fS1#!#;STs@yh z^KPY7U>)r1WvGj?;c|oZ)|!X2(yKDq6-3|QIp!+aLGm9uQ8rXGg5=lobd%|H^XIMX z#w3nO$MaIuAD%d`Yr$gXN&G+S|6Zwg%Jaz`kXa3#;d$j`tn5Redg1*~(5B1qy@AR~ z>@T+nH_ZN?xIe5~>&{GEhfN#+elaSHdr_stQhpl8P#=sCQ#lFxc^A&G>BDC#Db`bO z@Ec>7Aph~`ub{_HKqr+`L-jV-xx{k#lH~x|fJIR&$S0-FTV0Yx*N5!KI}ht6b^j#t zGz#_wM;P1rg<{j4+biy(q7Q>V&?uO zlD`b;hL^$*en#hC3zr6(OXK~r>oEq!JayG`AYcdRQ`tz8+fB~ZFu7@$t8YU_o!jh< z3DE8EE8|DcsBWySuWJFdFnMeM%vg@T!Ho`Fc)DKAH)Js~!A%FbVKgM|(8#Ug*LZI5 zE7-U*Ae(@~))Pr=A6e%2L$ByQe2MsrnGQbH<@H0$aE}6>|M=o~v>^Y>k>v7>*Pn3g zi1S%flwbTa@~0`7dM$fU@GE+-Zw2aR#5XYB*sqK3)0`*iya^R#FR?!QXILLi_su=h zi=nXUW$W<1&re;wp!;Pnfey-Cu?^?%KyUOqS(Cr)RneP@owyI0=_Rh-aQ-(WKc%BJ zb6C#~>m=pp`=CjBSB7-7#x3>Eko=%Y<)d-8D39Fl zK^-5B^TSkni`Ea~uRIX|2;_6=kY24)J@X9ZIef=QyL?GMpx0RkYJ$!55u3&~)<>oX zS(Z-|c{BECmA0DXVa-F9!+ubpwP!ZHhKBZVda!3q5B0;m9;DKaCH`%Cusuw#Duf<( zJ_{>fg;hSHU8T}y+wvN1-KX;jDs6^+9~cgQI7djEXw^5;yX6HI-7m81>mYr<2fvVK z`BZ8T(q379hgtXOyiws(+1CB3Oq2a&IiqPO)K{m)24w^n~0;9-5bM z0@@3mQ~3>j`oH`xI&w(L#y*F1pY~LlbfsK8_yqaIG5%M?Kluy07sHj5>y(~+pz>w% z|FBc#7sq6J4({AXdLAboi}CA?7$5voC7bra`H_$AlikG}hHut|Dw&=yoBXBJrf{Ez zd0R18G=70fevRfc4gMN8Kc`)xlFy_3J@D($r%t#NYaO76|E~kh`<06feKD(1{(Afm zpE~Th&Ux`0fB(v9=Y2KchC6S4SV~!b9MWDR=j_j~51seJ{2#x?HTuK|{j;<0Njc=r zxS%KgfXw^h>yEr@Cr$qGydUg4wG9g8u#puha?ZyRv#*VH4X3t0SN><_H74g3j47vj z(_y8YwnlQD%fa(bh6jDUSISThop#y}+6qF_6}tVH=V;tttpg_7NV56PBJ$IH>chBw z;FsD;+%;DFp!?LWUSqm^h&x)(1ERkCNAe|K4EoX1DMKT-)jh9pbGLF=EuRYbub88bNqryb={f8AXz~fPG44FA%OB!56VZZB-lXe; ze@+W2U#aegiJaa3D&V+4cYRaPXXkuuf$?cb`{VLQ z)JwJ~eZ9Ku4+x!#a~*nEDwyE(bKFM9Agy)(M3pea|&{ufRTfbiXpuxuzhC!sYGF2=KiWIS833VCo!?}&4Gc>F2lfzR7Am(l}gDAEmGB(y6|mtW_I!62Q7 z*#ql2OT{^o8hYiMm}YVoRd{Tej>`}c4VRWl+-_$?J?BTX~5rs>tA3{nyJU-Yu)*r3iR6)XiV|#pX8j6 zF~~EB%JHsu-eR+>Z=GG;9m`h$Z$oNbsje}*;!MBBbNwH=w8cKy&u`H_Bdzyif8Sb1 z+N))bI;Q!AN|3Dh8hrWO`2^1a*^o2PP%qk7}}kOk$hKsuV!NZk^WA2fMB z1nFo_BlZ8#b|&yy6<5QbCxDQZBwBvi@^inUpozV6J{g8S=yQTHU!$dDcCuB?}e~{A)_!IbO zWG`^J%a};kh7NGjh&_rzA7_7paveRuLK*i+Ovay%LV`ReDc8}1yDit&mZLUpZxKC9 zXM!lMiM~9GlHfpoK4^LF;q!%K?HiG^&!@a$e#(cuc9Wz1Jk2L;NGNQ>_8dx@FPpsg zou94nQ2+@=)NdD`%MW(m<56T}lK;fn zO;23buV*)f`CsPJpBtwyl=X=o|A7`>*v6f*Vyq*ZbFJh2ec7gyxi}ZHGlKSKByyED zNZOw=WK?*L;n-mNU0BJNv@6=a;Ma`a+=c)3gIf>SJmA^uN(y)E+jC^hW=H-#0;< zGh3@{-Oq0{nWG~3#TG7lS8*55kDB}}DR<{LC_mR(4n}jX^tk)%vn)`w+HQYayCaj* z{x`Vp<+_Lqosa1K)WT)`f(!XYCPVdDe_*B>&^0dl6 zQ)7sHxBp?~^Wkb%1{}6(^^qd4jI?kgvC8XVl8DT91^nI0Z%2Q$JU?&pW}2K)DfItj z$zUh^S`l8@{mGsIl}9iSs`R96L?+a=NFKkn^t9e1o6#?uydqccRUVI8j73S0+2jq7 z@wBjf9BgzAzC5&5ZbwyuZdJaCjr+&XfEn zt^Ohpg)6`CRy54yric8#tZ7Wq{q`>DN16VXU)oCXqep+hCBAnr?#S2%t55UXFW2u9 z2S@q}&8@5v7f{3pJW7x+$uWj#idf8dt=KzVm!}`|jXN2{i_+nLNhqS+UFdtEv_m3K z&)=u;H3t4zhgFU}v;qAp%5dMI68ZI>71n_EHe093cVgwctfOS^a%GZl3Z2SYh101i zf3UTs;6v_FsW{YMz|H5+v#aGpSriqRoLxcrP+l4YF3+^JIkMj)%zsfS?^!op^;NF1 zo;k^FVH+R~wtS>`tR3_t!4V$?HlCEvXe;xa6|Ox}J6Z1FC)hjxb7t|~s3?6U{7oe- z@^c}(#*HUvdS{pYmjsEEJ;#kF2wZ$g@!JZ24URP2K0klD%i@)>gwmscoyc#LuG2W| znTM}$eCwr^Ro`Je;cUyn=>H+^BKzF+ctX^iY5j71>n-f(7D-zUUBPGjxwbtEn=)y~ z#;oDOhm}x7`(1dreb)beeK_?r4BC!m*?LxVn1vw6L{){4CFMB!RJ8}%&sCnaA2$*o zMAmt5M!J3%ws(U`^v0K%6V*|V8XBBsz zIAuF#A-{jh;J^5BfxlgJ3h&V$<_Qw@$T|u=S!ab}o1$+;udMuIJ!UhCbfd5r`<=$R z81=Y|zG`cF+<>HwNGVVJrhUK3+`<;I3lVC4Q2cM$@@-hL?BM2Q3!0jiG#}7qymy3; z!?tZXt~ovrp%cD%yrk-nmX>@+9eXsI4@BxWBIT`@XVI}%US+Wyaz1f>qssH*Se_EM z=vtHWnDdJjPMaj4{tP8qSY3R8Gmn4UIA%M<)X;38zdEAT)LWBTZO@i`OaHjaIv z|B=I(j93nmj}N3gty|G;`QbY#-JKDt?0cP_$#{gUnUWx5bQSmVz<9)^!TJz^kIB_2 z`VCfkE19QtST8jO*6`~SRee}5`>Kr_|L-*-ewK2A?+?8m@;e#xAnl{9O&T*A;f{>Q zHIxUi2wc`4jhW22$1xq?q$!EpZdw1-Pw0mD<#%Bl@!sV+)a39iPS^-#~RAM8Nu_e;ro)3e}RvgOP-}2gU7Om(7|I(-wA>KO4}vyGN%tD z>02s#vWv7$7H8>t(qU)De60)r>W%Elj4Pu#=ov-&45`Tn+_ z?>J^v>&EpP52wT3$xa6S?Jz6L#>*-NPTl|w^bVt5zJp5$nUdA2~ z*H;k^5JryNKa&m(Ej~?R3NltYx^IKsfAc*#-U}U?Yo&7u^KSP*>j=SYL*7Z2&fW*w z+xI^H^@9IX_)3N(bCYo>@Lfr71@^gYG5wdJUS((#^-r7E?WB(kKpxM4IRqq7+X#<7d2)R7r%YTJIv|BF6`00@ji?VYmQmJ zsi2sT!7k=YHwzl|Jd37f%bI5$yr_QR+(tG@%P|)vV>^ClH^~~;YGWFyBeQ0ED%|_X zY>nt0bhDen5HOdF>;98{uGhQrc}M5*q#iXbUAlOwU{wCUV#`N<7mHmjkS7;>e2#-uvB={Z#mv7l8lSCkDR5jmvlRSHt%91LS6HTVf(Ql^SfjC32GB z?T+a9`i-2?;n#;JZ5c?LBzn9Z9h13*zEd3i?)*ZZ?jzD2O}lp%>0TvWaXeojWm^nw zZFj&gZAj(?QNFe8rM(e7h=N~FMVS{)Bn|C)G3mxUz+&kIpp!|x*Nesp>96gl!jYqdlH2T^|JgS=|4z$^d`Kw9kJQl5opOO^BqrELY#6H_`Zm$QM?DkHk-@F2JDyP6+7dJb)LHjKh zVOdi=P%ipVvKV?v+V6+BUux<4|M_RTb=hJ^KiROQrR7KkrRbfMV*$1fJZi5#a#VwCfcJK{O&(+Q~9mLwCMUpvTQ1ex5 z^Hsc&`%PTVClYx6ydYm6TmCd%N4pi${SI_pTc-6(>55yxg4~d9nWIbHD8^4I#yU?;8vKB~6QFSsF?|)6vJK7N5g~PwzwCy`Mv0_ zp5qQLXlh=5fP8+w$%(`A@uuZN=oRA=7ynY8Ta`ZGVVBO`-TTQ?PWfg3ix~IZY%vvMD~eyY z&zk>#b+Ajay~r7+&$LVLIJ)4o^~jEk&0fHn;cf;`^l99=jOqUi`*aB3xq<93^w5Wa zhuZV$KGROLLkF3cN_nY%NqH%iIZKyVOiE{srBikV-?KpG#qWD6_%oYi$cpq*KR)IB zW(V@WC-~oio|e$#@j4gliL+0s+YYrVhm`VW> zLVH}YKlEMQi|IQ%)fN5ffPOmk^DR#K&$(}d(wFiE@h0-6{FLg-QOW zB2ycvj7ZVypYN#$^Yy{H!jkiC3h#3|1g7Lh)*Hw;@~dA@K`?IJw26}@Pf`t+auHpT zv80lJ@ccuc+GKyltxr)nc0u8%#qjyTcxlNc7S7ycqW;(DMV-lRknt)v&n)^-a=lF} zI#eCWN%C3PW+758@cT)SPs*v}SMEY*B7SM3m7k-1O2hnKk7!<7>D_5zqH|N7-l0*2 z^-&T>Z;$wo_NC}W$&K#1EueR^qlZ;5#77}yPmp=f2PnthU@Zgw5W0_{m5-OacZS8M z|I>JP&u}9ADSD~pqT~CKYSK@&Yw#pe`^$Ok)79ytlJ9n0x7Ewh`(NU0B~Nx+_hj%J;)#)~(;rQY;?@iR4L{l{`)PFN#>q9&P$)z18wZHzde-qzl>W z%s$$mQ8;NO$h@0`qc@qXCrr<1JhS9E@Y7b6M*3~Cer?iqeYd86$)=O_LoQ@J=+;lE zjYPH*#=c?i{7-+;43F@S$pSw*T-b*FctC9vL%MdnSnapxMuXu(XLQmLq z^1sg`X0Y5v&qWU2Uy^qkhM8gg%&H*Bw7PKeKj? zEGBDjW9%JYg7qnhv3y^4?0f8S`;hZ5s1vdWKiG%o(R`tIk+Y1Cs5~0kv%lD(&ob#Z znJgV&k@*pYw=gFB7YENX>1Uffh0FR2h4cN`1zR1Q^Ae7cc`VnSh@C1u#==T&rkwuj z;>kL$(&PLOt0$iZ{;pe(?(K}!$5Ptg(w}hAmI(i+{@&5&tc9^BnM4|LWmGu*Fw-Yp z;9t#h@Dtf1gJXXv=_7c^-x<6o&^9J|Uu>UsomuIb{)gpnkN7F}74w2>?fn`bDpY1xq!Z!a)`R(8zl->hQbfI)-%x~#c^aF>oR};FWzk%Mqq8PwKdcU?< zWqx-zw$O&p_YX?RXX($lei6{S&C)C7UY5&v!82XZ`=g`B89Dvo*#^j}AHwt5 z`=vz-NlNFy+&5WP0$tJDSGmAbdVjzNc`e=(p)s~7O;1P`Z0DL`&G^~=4UnFdyU`6et!D)q$?j}NyU-*WrCk`%k zNAy~BT0+*vo%O5?nMo*m%p@kjhYbk3Y?%My^ep`TLEp5NsC?4UG8WwfDa<&<#@Ia5s##Vj_S^>H69sU= z*7xvxHn}06QXMp=@;R5JlDs6~V>Eo6( zU!wQ%KCZ}ntN-Ehv3`?1Ee`%(PL;P`O)dElTU{nH-w@}+BNAIQh4!`VEIF6K?&nZG zoeNQZjgKiuofIU({$APXv{lGw_Z3kb`+!RB-YEQjHv*_0>-wLyTV%qW_2i?y*rm&( z{{CB0{{w%ZrB%8hnlAKTl2#wePPC|n|1x+jyVvBN6Y_tSh09sIF66d$?(kpoRpI}#c>R)&7kPi147liB=A)}|CGpq8{z%g010SR84s@!`Aw;G0+-wiO z*1?fQIX0P)*}#`leZ45V!NCtvn8K&ZI6%_jzs17E-BK;~r+nT!=-IoxaEa*mw~855-(l8!&xI|-Ve zxUB2R=ePem_t9zSo$hLrmg&*7AOpdifsn_JVf$Z(}WQ^V&694|h=~-UR1-WK_*1)#Ky1|39~aYIEHEH|{;}mDy*P zP8?ghdB@J14zyIu(A}}=_F3#v7{Y$}Sn8nSCBBYJJZJm=omLdhp5>=I)Ngw4eRQLa zHuNaUaS3aj3QVHcrzX*JFg{eQ*CE+2?3wBrCgq5JVMTk=#+68#R^Z25ILzIqWvMkJND;XqvXkktku>p+1tkY zt~2fVmIcMz_+s^zu>~WyZ5dfoP*?Do!LF4m?wItb&r9l8c6CbmISGBb0+ueVZEZQa zpsb*n)xYaEttluks4OWNZ-10@WZI{jMx>6g&-!iCO)Ds_tf;8?cZq>e(veD&eMU-G z4%Bxu9-md(;F*26Hu3v5{A}vcC*1$rLFm7C6Fxfna>bu{#?DB;>4OUV{n$4D*Vxef zW5cDLyY>~+bS7KQ!Whc?ZJyzjX4xqVnP z{l)KKzIE&`u~qZ1JHHS+g561ew%wUa8zuIpoPJ?s?`U_%PW0IEcWd3b=6%4|ue&xy z*MAn+|I<5HPN`b|(Na_y_Z>B=SwFAW8GScztRd)L1heZ`FigCB?!u66vx?cLoMIWuQ|M=prG2CAO-%U>^QW7Gcs)2T^$!@3u_(0* zT8?rKPM2-D^ml2{iQE2iPFLMss~_l*`293XQLujas@BNwb@QYZvx(Q6%e6UH-?l_t zs$c)6KXYC7PqLANwTPYKCBZ?RE`Q1PpGq&mR?>$`e>(0zDI9wt`sV}vr+wu)lvnvs z(*BOI!Ij8sijT>ibKC3ZA+Pd+=%v;B?&PKYZWS2)t3X~+w>y%T%AqJi>-sI^+r3gb zyjNUtEKNm4HJ21Rp;Ng_KSScpCP>^U9`D2NIVG*U6bb*z*O52Mfd0UMS6%-=<%Nxt zApE&7;E!flA{>`5ud@*P0g0+de6;;}D4(VBd7(S`1mnuVd~Eq#hwl!L%ihZmW4vDM zjGXt}rGA3X?Fh%-S$(MD{xtkoN4WC)xp_Cu-y#QBEzmf5PlWKL4vyZqz>+HF?cBJ5 zp0RzXg_PZ5()!~+*&zA%qvTRf@E!I;sjuayyXz3(NuEW2S8@rz4hy%u%Vd5(nC~t> z$^y%uV|?f11e?0kf zA@7Wi^7@vAlwFIy{L@EM{@3|mDz8rhennn~xvMRZ*9nduzJUvQTSZ>MymI*u(QC#f z>jzPvu}e`%aK`!d!$ELi^y;(gvyR8w`W%cu%prA3{j8{%Jv*#-;Xd>v-ff} zb0N3bt#4KM4hN5YJNXo8YQAnFUnep*fh~>I7i`$6hM!Bm;>4ewFC7PRb}F#z46uxF z%!TcKIrDmrt4yM)K=j&0h~lB=@7ZrO>bI(zEueEe6V2O}-v_?0gRfBVk^aNR1L~JH zH>kgmBpV_x#)wp}u8QdOeP7Di!|%6n*_}9QGvgE=nZ7T%`D;!8dzT(vaG~#wZhl&$Q+CsF#; z841{7AM!Vt{i6KISa!v!9>h574!165m&IFp7V~UJ(v;)3mA}_Tzxsa;Z~l2s$>NHy z?bx}i*y1VACGN-eS^JIu_&56VZeG7rc_!oX90y9}1N=VdJo$^=^vB)$_{tZIsXU1O zDEw76vhI+&?L*)1+wY}YyP&R*g2M6{NB==t~$! zyCL7_@F9zjUCQ%k(#M3+cTUVd(CNoB-O+DYF}ry|6HSk$i*9+prxOCe36`An;Eb7h~?{CdSzbq z=z>_j-rHz1(^Vv2(k19Z`WSBudfa!=aaEWubcfM0a}Rx5jbz=YUH2V#B0- zE5~wShQ?tNeaQH|+ovP@!0rk6fvwy2f7uAu-U$z1`1wCuA4jmm|cl|pjm=yV+&)Dhv^lQ;i)t{$6PyU=U+J;U39T#>! zBgyp^*Iow0GtvFmy!8Kjchx%#Z^b8+H~s8!_)1~O{IZ=V`lN#8fm8c5*DqQ&mqE}( zBF8>1TRsb;*nB`D(YLu_*{tRz%a_iYJ9~EXa-wAEo24rjvD%)W{llLm;%kw!qF7S7 zf>`cn94lKBAE*tyARCbKJC2vzJcm!oF04O@sylbiqUPnosl199OC&P&g(V?e3fN&z zB(j_5POPqq^O*~>#slCrewC4mEW!+1{bCSH{~9^ts%cgt(OYeYux+1&t3LWpvz+T6 z;}m`4S1PAOB8cQAm);ypKO=gEO17FPCqpe7`2=Y5=B=%3)~{XLyj3!-Z?>#IzD0g! z+n>j*Ubm`MerLd!%a({M`k^_%08&_z1N+2Fc~l7|66yX?Uq@n1_Y&rHUi2JiI5&9b zavVnUSXL&jQUWQd z_?L*ixd-1A0-0AhSh0@^%-Xhb%lgANwyY^27Fb=WK@>ccZ)5YyrlredTWfRg2^E!< zl@k__HDB<;T<_-Ql?ye`>FoEmHAJ-DKSw;krUOedA~ZLn>Y4|6fe=^W|q+|RM;Q=_)5;s|2t!>^t<;CV}9-~$8Umnw~kI5!6`D{1^g_(Wc&vB zy%2tX<@kNRdw!)aB|-Vkvi!>L@h5V9S)N=NYsd}pWE}D_zN5V5D87`veba_jTUqj4 zP&{%yQ$K4~6%>!IC>dEWZCb&|){zCp>q|;%Z2B(x1^OMM@uwKGcoTb5ehKY&X&29o z*G50!G_X>Vzp6AwG`EeHdP2Yf5ciLz9E~_e(`>FIka^DK)o#cP~ zja=X7qOa-cNp#7d1j%FH>S$eAqVWLB)1+@GI8D~yptZeOKb{_qGfDohSGXzXjI(^dJd;r;>qkR*-ziY~h*yw* zCyOrg|3UnLET#7cXo(EOe@Ze61`eS&{$|0(dNlN&^*I?uvOXuI_n?AJ`3~rj-i8kH zmU{f|x=mYTTl%WiTUqC!(Uk71(2%^+r)Y0uUg~UUu?E)g9irNejFl{7zUp)%-$_c; zVl@7nLGmr-Ff;GP% zl2?&6V_!n=738h-p0XHJpIF!WaYsG+z{1Pt_2^FNx-0S+Q0se}73ui1fG5<3A%qbNq8B}?G41Zz%MuL+(%ABI7S3zaZT*8>b zbc?4;dIgRi=X%ehJZv~+a9eJ@{}Idcux)GQrOIpa=qe4lgMRUH}^MO ze`Rix@qj@;Lx-vJwMDG&d`wb*@gd6ZK;(;^lu*&ujeKV)*pyR`=54jl#&nfm8S(xPsqYoYPwJo43kjXz$MUX``ttj3;Ed^sepOKJ5~B1X zr(UkSo&L#OUOe8iVp&tOj;l7$;@n|;X-yyT-3HzZ^p*aL@FsaLe4l|F=TOJ69~Hl& zZ+?iI7Yym1XVc4?)@U6~Fpfq)bn#NorHii%0+W3HHAa>)DbCTFKx|EBO8Y%0+` zpZ?on`djQ131r|VVwQP5PnAh=Xvx`P4*cMF72a?S4faE*Tze` zMf=#(okQkfx^F^k$DaWIJ)X}MxRhn(9QL_ft!Tt=%#bIMkHR0a{=Iup2jDQ_|N#xiKdM~d=G!q z`Lp=464-2`+(qe0r}TD%;~C_*E!F?7;Jx4)ld-4O@FMWK+&MS_0Y3Ku0YH7Lp_C)vd5A+DvuW3G-o1K7= z_A?A&Kd!Q`<)Z@p+8(@+GVj2!@7!6Ff5hwhiLePXv{p8Dz3dL0msI*{5Q!(Iqo36=O6mHq9r{vYG_0fFyDJC40zzOGD3 z8N;^iRM+z&m6h5jO{Ae4%9otC6|4_T;mdUtg|A7^#Qo}wH`wogQOp;>}vpQJUI zkJxkM`VAW#pP}53BMmw!ZN42x=`3fZ!#+tr4wLZ+X|N|g3^~By$qK*hxt_`x&7BHK zRN?1n$Tbi4;P1*w=Cs-2l ze@JgLTJFNfm_Gu0M}D#X_ZRlg|Nd9XcNw(*67G)(`1mn=;E#z}lSY2(j>587iN2CsAW zRr+!Js2`X%v{<2O`@W0cpD<}darNzf#`0;U3d&8@587lumudZZX?$riA~&pU+A9Ps05~fu6k#9nQ?AKWOcChw(jI(W9nBQ48Iwo-tkd-pvG0 zl>5FPNiPL_Nvr=8aHA{FW}oL2;_+O2tLgu!$y%-Y|KZw=eD{27D>X4C|DPC{n@`K# z=J3nB#@%ByY*A$eN6c-nZj%}+hEzx5#W&L;Y(kA8`7M2v078GL?mUCVgCyzHDICs|a z1IAaE5XiR9oBk&5Z+3eQ=ORuyTiFCw#ZTTGc00{!bl&vN7*DtJrrqL65tr5Hw>!*C z2)}{dnNUOdZ^Y+*Ro+ux?)!YceV0;IJq+wwCPumPb%EHh?}Kh1p{95Bl)AkU?->fxfBW7X`^VA_OrVxW z^+2BAF#`tqdJvui8uIZK`1lg_;4h93JwMmC15#eR7d{xj@%AQjAhZ?*e4LY79|hw1 zkZ0=TfUgQ4Azj-4VeHjeKwECx{|HjsLpAJqdQURNNb6vYEd_EAzoAh(y zHER?bZ?cOQ@gtO!16L$$niZ``!8MO{2=uU;5Ao)wwn#n)WMQGN9*meX-w+(>1R zVIU2fZtwcB?q_N_pAP=P$QOGXwX=cW>g}Jd6Klg(p35a=H;!wlNi05<>s+qoT#Pj? zX1(9y%eijl`YqRE#QV@w{?G0z=YPiXn{X2H3;mx*WB+GFo?C~16Z0YP*lRJgvrXn( zCR@Lk*MoLa*6B~!fgj2@WqQp4&N%MArjM@UcWeC3pi^R=`wepKXVRsmo$vD_o+ons zo&Mat?%XyH$M%k*4P$=FOuQZVHEvyqgA3*f53(2PIMQ<;zQ{mgxa=FWyxnHuj0+@s zBEt#4GWnm{e!Yd`Pf2Q#^Nz@>rBH0gZTDJnlnKLNS#7TnlNDZg>H{A6#QP5)2Y z+wH*XfcG}(LrrfjUr&3VcHR>vyuv>9<-Q;2(!L;vf&t?$A+xjtoHV_uRTG7ew;A{N zOI*In8@5>B6Sz-!ANVaD;G|JFcL^DM7hdinU(esfgvu|`V>38DHCgqpd=&pj(6(?h zf#*+uA^tt*J-PJ6*C6{%TwvTszw4gpaVlxC@e*n-)tmfFzfZnnlw_YCdno0MWET=u z$e4|`8SSQjB!7WVOsDJ_PoMB4@IEHvRVRNbKZP@nn@JmiNtW`JZ*s#zh>lFZ|(~TKq#y!oY*fy-~k2PL}$;%OuJh zxz6I94T*BfAdP1^pW2O=+} zYlAC?H9T)NIVpOKEG3Ap_QXDR(qoY|`i-t8dWp=v9?ST}v$V@yuCr(VvLA;@G7OKs z(lVnSOhBh6NZEAMccDW)nD7iUF&_dC_1*C(`X)hiHp73PQ}jjBHadOgE;^h3QlO76 z{ls2&|B}UG(%VgLT;Cr7hp+GQ%=Z=Z1A9XK*D$ixrRM04_Q0NqE$MM6GGv`{rj63~ z6_XR;pUAi3fU7+LSE9!n)A!GU|8aO)!tYx&5ew>V;^=>A)Go;WEi>^5;vS3Lh1XHX zLJ!S4SnQVgLwxsi{ifFS(leIw*ZBBh5?}1>M9$jjvDM_9>hh&<_V_BkZ-bMzu*Y(f zbG7NGd@FprgI`Yivw3baInSEH7=FBi!~e)!o=-4+GfjRBr=24tdR`snDE~M!K<%*N zKN-A?_bGq!e1yqw4*C050GH>JO#Tl-IDP&oy*!_6^4)iNZT`O(z~%W{CjYrG{n-Kh zVxGTi^7n@D^DKOX=`mbr+VqLul)=QGn*L9QaBPFXm4A6Y&-C9N@F!e3 zcw*-BJYQ=DRGP9FKj#hh931g3^|rtKiuCIaBYuLJaI-P{VaGB~itC-0?_K0=4s&yb z#x%fR1|L;UNq;Z!qoMl{?bQ>;WbKH?=WY4@4)}?{|76dS&$_7te$oxP3izXz$Lyn` z^LTA~(t$rs&V`={FFAud;3pmU)8vfziSUv$CIx?5F=)Y`CVS;4!VAjZPx+Vn_Gz&H ziv7>+r|+|9R#U@@If=yJ=K7}khPlm47B5}SeZk!22eY7iWfKdf`lf&uu)vOGQ1#1} zHL(&~K5gI^q&2bz%5=LnEOjZCEf3lMIqLy@c5J8*`IF=QpSnNvW#HI1AM$&4fWJn5 z(E}gy+$WQ)A6{PR-`n5vg=HJs@1}|Bt>ZFg60aBwP0W9Xahc!v7~Cm?imwc(Bf&$5 zVEuRL=eYv{bfB|&%WCcON&W_%mKc#XVt>*VJRAPEh=Tr4VkPHbt-!Zkc^c_DjyLQ2 z5TO%|$0!2L&z=qIHy-KnQJx*fZDe(r_9x$yzS~&0Za9elQBUdMBog+O!`t~gQqrHC zG{Ts|Rrq)B*vNbgJV&0WS32%EX|ypbW)Y8j75#+1SBvxlc!`5^7hHWG>Hx2HaPESm z@9Pd8kDr-I6Df~dkkeku>HC6Rg5AUNA!#hEz>WP_AK@;yg(fMn>*ckcDFBd zJTzfndF^v~GzAGq`{W8;)Qx;qm8 zXZF7op22rN6@Mu|6^KWpKxHc#INIR0!^+@G;<($-vL%mLGZ z;}0*5`!fpXt*I@ueH!tnk8u8U(yl&+bJA&c{v`1;;;_DL zs^nknY*;Uo>QCDE-@z{96IQ=y<1dQ+cMCrg-QEWLRp6PX_hF9Ts&89*yfw#E$bZ5B z!%;}=gWqL;hW{tgex@1t(BL7bv|N1ABR}83_aUyR^Y6$5ZJ-1@?j?3c)~bf%Ru=C6 ztKE}x`g4^>37itaF)kO)6{>y4GpDTjaGnI$cHEY1U074m^|em(Wfx zO2wh{<(z9v-wsLzQQGk%qx3hD-s*4^L({)Dvhxs*t0xM7sWX_o%F1+mUZBt4eT?A` zjZnLXZzke5ltMHf7)+WIA%)L7;WN-RN&gvqEL&2)$c`&zQ~xOIi66uiRsTL-yMDu( z7OfpAbp8Mx>Qop$gAU(IBEO+L|4w@9Qy2nx;-HTcp<*B+8q&JhWBjFsI zU<%h6k9g9Ue@(Rb%bfqDztVU=ZaDwOSfHfSdDt%Ls?Ma)eGj_Wv@mG;H#(>Haf0-T z6-YXq+mbk%UeBv{L*^G}ZOEc(GBU)!d=}>~SnccvKYms? zHx=Q3L&jNIvM=eEENxoWv}n1ygC$5wUqL-Z24PVC-?HPmfmov`Vfu^%Ngvc|iKOX2 zuY7#|=Ca>Mj7Jbc{F7&~-}#LI=<&bl%7^qFiYHaR3rJ7h4ukTi>wYL7(swAH)bz_q zzbj1b@~7Vuu<1J#Pip$Fkp5R)q!04np?Ff$uOa>AU8Mgv%P0K_(x2T$`p+vLTR)f2 z;;UvI3n-<0js^co9r4?7yE)chmodAvvOMB2Za2w|+p!NiA@Ip7Jj)& z8~h{Sl@>nU!SS;!{Azr(eSuH5_=j5j3geA=J`3JyJ0ukO?{dSR@_v&sFZTofN;mLZ zjk%HYG3Q;4&zDR3Ya>6|rl(%qG!r;u+-d#0fd7)ct@!E^rc(z-+>ZnaA|IuHx2{7= zD_ddrij3GV@*^$$x4I53t?Xdn#O(y9e>`g`{J)PL(HAb*Zq%!e@Kf)bSW8g)5xziI z@P|!e_SL{Y1^!wW=^rEgcw~!xt4ekOf1G^$kPHzfKi>uXN$|fy`d*~B@Ir|j@h5U1 z{bbs12?ev=cW}IXNJIY2Wb#q`AoGR$$KA! zKkp|_ehCli@det3R_yXO6Pjh+BK_=bMz$M zC(SL#x`pXF&H=vd=y&ZJ=G}e}##_63<5n_BP<(IG_mFcJWv|@&O}a4B_NUfvYCY1W zOOm&}cD)t*eA0%b+xKGE9(Hz3_5;a!tg0#W&yejL@sBxA{$D0f?V|J_1z!10?DF5D z^cj6z`r7l{J8m`fAzVnm%gxs)Zu+efL{2VbZIkauBpvNJ(5Igz=Xg$~+=gs0SwC>+ zaBBMb7B1_wj>WzavhOmPqoVZK6it6L_hkytX)`@yIPVmmFGB~`s&Rl(Yueu|AL(#u(FlDKkzBXaOauOcbGe$MDi!W z!>dVO)ol769>A+8Pg$$=!E?yyGMbg?(R`o9Pd{ZU<>-S5SDqvJkVeO4MlhfLF2cJ# z9v_kZvV@}V+PnVu-_=aQi-XI1=|8#9>lTxv>&%%i)wSjFKAg|H(&Q@K=Whx|qq+mp9ZSWEqXG>>@rglQ8K_?AAB=O`Y)Ti<=J6`hDZxU!+}h=|7IS z^3!QMc3#qC<5z7VPiNa_yY98qca09)w&l3yEgM=|Hv4l;9;b}ktZz)Zynh3_$ft_C1W^vTt37&I`1bZF zV0krg8V%z^=my*bL}C2;N6YhmD(Fujx|S->Qdg`zriRe-QXQqb*Iu z_hXLldw~DB3pnk+@(q8}Cw2iRFZd5;GI^f<_b%Yb2mioKP6DSsLqCN-@o7YEli^d= z&c^M(^`G!*l>TJ+lzH(8uI)^)p4FGbYbwXYf=R?DzfpV-f5*y8%1&ehJcS>TqxvK8 zRRztcoOV%fW@E>x>s91;SQh%-yNh~z*R4n2@Y2-xr=3lE48IbFJWbq8`>cBTim$i6 zy;Jpa?VXM1{|EB~W=gK5EBI`CY1b{&ec{u*cJ05+&raFH^>d41%8xuIdmnI)n=cai zu`ff8-jk3*hki!Y5AmDt6+I&!=N$1B^%V!n1|j(fFkeXJxQ)e&*xax5-U{gL;JIH+ zbHEnoPZK8$DfF;)8iqe;F}CS)($K^#Npd5 z)m(YkeTl``->Kg+=9%ZgCAO`;(5O*C)qgr4rQ+tGE5CBMmu>7K^cG++3G_!r1l=ar;?Fopa~fnF#7>(}3V{hIfV*>wBIJ9hr; zR?EiVzY-T-C8XN%=(~~(ytjP59j9Fqx`I#j%B?q^J(utQx-DEHC)sx?K5PwqPN?Sh zcJvu*S8@W^BgV}92t3)ecSkVC#eE8P<%1V?qKqU|?GL}j_F4XCp5*O1`twoC z#_j7{HxWJ64!;Obr)0sltDfkpVWPa7zceOLY_k)6UyHnmJ z%?RkAJ60cK1y=mn$p}B=tW#d`*vZEX=aCZCel`oq_|E~wM7%VvU@!=qeTLaOfhxjjB?KkAZ_V>L_ba!rGea!D&dF-{{ zu#?n2-|{T^D!EFYG-U2?dad^GYJ4o|Kh)xnNgqOlBC$NY7Wnh$0_QH{9T~UEE*s#1 zeXpJdj?<9KCggIaKwU_Xm+y;QaI{^B?YqiF>ajxF-^v^v%LV*_-o3Y$d}7Dmqh56C z7qn4V%Q@fRcw_3R2Mud@p3k+6=UOg&%le18LuKYQyr-_$S8yNc82S%yA}6t@)!?0q zKUe(+WbhrHN0`1R%li9JPR+>ao9GwkOZ2}mAdH+oOcyz=ieB3K<=ervYr6j4i-XIt zT`pxO`FQ>|SpI6`x|N%2*W+3KDSHWWcEB8L-;g|$zg60ukbmmV6xJ(_eG&ejvhnqQ z!Xzr^jUEwtEY{u%f2}PWS~yn69{=t2JTYmVNle5p`_L`l=$?dX>Z}X-U+-|9Z8f%A z{Hz1!GKPY#L;<-Tdxgj~R!ZgTeXW;knm24(eWZ&V%9kd?^J&D7cn16LLw9`2-U|uR zZXde?Sr0J%FLC2g(*9Oo&p0Ez$$CC{F8h|!Jti^AuzQAO;_1Ef0RNscZ!CurnXX9r4GPbjt zPulH$29WLn3uph#KzR!N-RfVH&t}?L){V}L+91i_y|F(netA?*>$hyR=&1kcrwlHj zkyJ^8H;HW$`9iPu0PaqwIFB?(l0rs;^e}*{UvvpX~(=+8N zY%A4g(F^G>i2lf#;Ts~xJRON9d`PH97Fl18`H*kxD6iC?i9g_ZD3O?_(e?X5f1n!K z4k-lwOKb(a+Rz0bRz6z-HZ;GitG0ArHM(}D7SYPMnBPYr=O-v1*!)S@s@hS&{rc^Y zkN5CJJ|!RQ;qSTHv0>L+{q4I6l#&qJsiRgMOC1+E$he;1CnT!J>RsoY_1RAalU8cp`A&JIXBA#H>N=^wm89jLw9^kqp|k0|{J3zvPBF7#b$ zvUI##+nMDS2knfh=9$oUqqH+g-n;rMeo++q#Pw7B9RHp3lHWuz()YOWc7<0Grv!h& zmB)JpCL`ZuD}M@~Y~h7%(b8ADTMbKF8yY^6jWiZW@EFx~n#9kPboTat`zXzd-4N zPxZ-tr<;`{jhwr!T7Vy$n9JDJ!LFRLkyG}GmbUyKaZ}(Yhv&10dUp`*(fgD=jf?7u zj*B!dSj@&g#eYMDKe6au+Kcru{*l-D?=~?eyoY?B%DP*8nG`)-1O8WN?@n|1P=CK^ z(aO0?7cX+Cblm!x`ArAw_oX?!l3|$@OY|$#%a<-*uz;QZb7v9PfA<#qtRaQY(a^y@ zZ^x3_dM^Hc(?Ly*E1NVQw*>jP4qgv&#JRD*s<-^I^<-7+>gIKP14Q@@$^*F$##U@c z4{SV(U-#unIouK8{|5NC1o%(!<-mLDk;sbku2S(+?*|V+zS!Eh9A-BzTE4)R180x8 za!xGT3?69sF!CsmAA1mf|03l7hu|l{2W=t$vllN#&s08q3&iWuAoO?Y86G?GIVkAY zeJtNAuxqAM=-p*`XnoOEz|i`dIrK*H>(e6nSAU22rz!J7 z9gmdt1JX9vY=j0jeCowq*K*xX^M^f_{RUHi>nVS+cg-hz9wT`^2(4enG)6qFs$|RS z6TZW;sziY)`FoE1v3@=C=V5uxT~@z*`N81Vu?oH)sA2kt*e!HA3cf$A{d@3>ktd|! ze-r%MOd<=vB(9J1=gKDug#UT8)4smU>&cuidhWxBey*H6{^~C9e**qh@bC5cL(w-z zyrsT~-cY_ZZOm)Yc1-)L#jJGMZ|dcieKK-;+f${?{@8hc@ia7l&?1xQH^=2e^Y)hlyunzbqcY%K__*s)Q z(U*hP`=w2?xI+2AFu*?-{38SY>pJMEEaoSKdNi$?vBy2||A&zOWesW<+t`CglJqLB zMy^A-sE_Gi=W;%W;QMa{$=}MK1o@-zr;UrL6%6m7#}m8AAAZ+BeE3Oal5cOVu@mK98%T4LgDKYZ=_V zg|-f!dn{nB8F8kC${Qfke6nuM*VmP_CDZ1`5r*$}dgANdVT^qq7{gP_vz7cl!rHWl zQp!`BA=USl*GF_r_HgJN9^)B)zdJX_>-&T*hb3X|9$F@$T9{VujRHGibZAbYI z*>(#_k;nG{ z;6DTTi(f4KD}HIDmkE9y7neSrglYUv2?eYOB4|4K?o#Vk1-B<@YiUbsk8u5N>bUg1 zGp}>~ZpuT}t+1~p3e$+6#xL2=?AB-8eDR$F|8(Zk-<@*jc{_Gq)5}J!LcgXxV4t-= z@Orq;LC3D`VIV|N_)7z&q3L`uAAQ(xd~4cYjQQbg#@ zzAZ|hb-URw!e5gXq$e(CSZ4k{NDn>@HHSs%QBAwso>G~f@ zmv$Js0=$O%;2%TtuN~l|k@>4Au*goHrQcme+gdZ2zArMLb`#H)UG%%}U*7M|gTJ-> zJ9*TznHEb;A#t6(O`V7H>nT>RTD|V`=izFmIlADp<2dddxcbHe{6w7E8L<)6g_;I@ zOJ`>-C**gVJ10}+8=U*{04Ae1`JpYYnQ!wd=STQ+AT&L?F!oj&rkX__oolysJV`Ur zymrI3E$iU#t&FB*Y(I!=zjHzZ+%6NRudg7s{jMpZr(Tga6uVkv^cSH2b z`YAeY`S0e})M5{7rc)-g8lCftp1&E|UB*MZTIZUC|GU9+f@MYKV_cB@=(v``FCY$i z7I+QM1c9f_pVWLC7rYMdu%ieun2hC2js|zZK_i`aqsfaQOqSOjeFZEOW3$3rOb<}SbB|DX9PeKnHCiP81ExIaa)`%W<9H*_h9lF?#D$W$j z{F_D({&h!pO`vC~bY&b<#z+}wH>KqHIL1tC`HsT#hIz>_zxP3xvAF6!0Xcc3et$Yq z${PpS1?vY$ez$E@!fTq3BD0%TdpO@+Oq-?K#A(u`UQ;CrEmZMf}j-=WC zR#p@I`;GS2E@qQ2_H<05{CwzaC$wLmnDiF$tZS%IwVnKkk$mU!#`ek5wPi>-C0#yy z+I&i4nR{Z;IZvKFT%KiqXBz&y%mqd3oI^Q$3j8Vhz1aDbkjA?_yA>0H@96e!;W!2Em= zaGl2yI5t7{GRq$7PV+bdr|!yLX4!)s*$vWb{RZxX*q5k&CmnvVJERjHCLIGjm?!dW z)bs3HN_+r~@yPW7H~-&-oh!k%NSc~MD2q!O<2(X<{bj#9=PT{9^NNyB3H#m&&4w=g z{kv~oe97a_tUNfA{nIboxT4p!v+c9i^A}UvoxskF+v)i>QY zH+#W~Wd}6N7vLm~^aoe6A1WFjLd&KFdOQ3^H||NinXOU0#IjP8c_txP z&WRHIDmOlciYt0=RKkLt8 zUx91CY$N5wC2hNe%s={b$Q_*bQlBJ9oyz)syl=p_8IoRt^zpLZiqorJqgSG@GRHaL z86pLGt@QC}B-FI&P5xyTxbK!K{AAM7&WrzF%QJK4nG2l%?df}XBQ%(+&f4YR4R`bV zyB4pk{cs_3yXhDC8`Cq0Lz^t?M&x-WhsPi8_FYK(B;SCMAoFZ4WO4LkG!I96rSg?| zI*~OY>t30ML(gd^?cAUh4}aCFE}EpLTiXYtCsqs&oG*4)nA35G@et&5q{t6#XsHf^y%MwYRAqi zDLVlPPW|8yF+)G1_IaCDd?Z;{B=XVFgMD4{-Ras_Os;zsx7V^BLHtnb;Hv%LdW@Wvz{YqL?0FY zF=;38d;@8*1Cls|%k%S_jhQiwI=BY-P}i=Ie&(wdhxCgY4waY$_RHSs_RC8DNodG< zB+}M22=m~7%=MpSovy$oOxnaV`XTT)gZ|ZYxQ*(+Jb%|@?r`;Aap%Wy!Gn#+{B;N) zXyMfqM8m}r!({&1WX1A%!@_-khdxc_^QNEL2aO&4tNEVjtF#jmJYd#AChf6758p9yLGXfx}lPIBUpc zACBz7+4j3G;N(&E;m97GXZv&k{}Sn6p$&K*c$UH~e}Nx5{ZP$x^iS4gYoLBl-$?zQ zzWVd)_se}-@F!J>b6EW1hbFy0G5uib#(5Em$bXgh(>HLfwXp8|5sgP%G<|&#FLiyX z)xQ3!pKkWP~U*2D5{)6^? z`fs={1HRO)kMMBTCe5C0(`x#j9q4mz((Ks%GJ~Fo&hfYWe;fG9IQ^ibJMedg(tr%Q+=8X{N66$=kVQ?XW$*5Q$nZo=UaW3y=dG1(FOd+@P}-DApHn=j^ulZ zlg~G(O}EjfsP7=3%SeBLPt9>g<)%0#{Z*7-qlHiZ8SZ+AedE&^{}uZoc0xkG-5uo1 zIjNGKcozPrR5S~5@4v5uOS==ElkdwD+b#Mq`EGDh_WO-@`XKSc^X`3o z^alM%%)5yA$Y9v@e*Fyb_oVD+w&ndBTb{D#Y&te#Sfi;E&a@tU66}-q_#d?8D|-#6 zQ}0vb2m7ym{6E|B7M#;5+bF(=qX%L?s*=FJ)CHXLRA&#D)HaYlwY)hidd~eGiameS zkPG{LXJ%JkmZ-f7d>D;djb9M{y)Lt!hh) zZe|yHZ`t_%zqdOxPlXTb4=bppCld{J43A~zQTO_ zp8tcU+jCYeoEI~&$91+rkw^pIGW~l~Pb|EryaGPb-uXYMm!?Ng{w03gtcCT>OAq3h z9wyq^sOpT09Y^Z|zR2QHc$9wm=cEtdQF>z=}Ws7jE_Qz%fmEQoZu@(4Fkn7XG>ACiD)A z>E%5Qz4{n;;g^DS5FZ}1j(rTpGFX>FkG3{@RzUBy&(I_6i`a!()vP$a1V0J+gdyir zx9`5Idgt?ZIP{!MQuEh^UJE_SSLxBOnt)!-_-afsr}5vQw+(verUcs$@%dvdgv@m} zHCMN{fp;4Hy&s`_t8AYj?Fz;sNvHdsj@RV>wSO|NB<-{2^HuUmo@X2xlgs{dP>!Fj zISLjvq?F^?&2zS!*`UR<@kChdU|xc!iC-=j@}sPp$jttzmGwQY>lVw?S__(hi_e{ze9RAU|;sg z+ivMqO|oEJ4>0Q&mfpDU(e`5RXI$=p+_Dx1^!BXb*i#Ejkth0=^`?6-@{H<5q&Jna z4^M*1^QV?x!zk$C6U?~9!sLJE3xPblE5C=J_pD80$Cgb%|=-^e4YlY6; zHjVtxJkjSXekV^U`T84lyuFlhd_DKgwJVDkEjYM&@gmL7R!0Y0J?3WU{4vIvIndEb z8a+Ss-6gE^ZDRe;3$zV6#AAF`&kqgD??vd|W^ttI3-#X8ja+1<-|Xnq1`WO+`cK64 zGwz7a71#0Srb8@jMLE3lc6YvY=#S03?g=nL<9?KT7jnY(Wdg5#P@sgT6(5}tsX`khP z-QBE<(R!)#rzz>1x7c`M*JW?i`|HrlbGT=6^|1RFchg6HpE~#cP_8q%I<#39!+-YQ zmy^h;jx5U>3@IzhiBEY_PD%2F+!Wpz(8@EJ$I17~Lpf5%B+TH-z;CfZ<+vJ^WBRo+iFy-FCF#e&;`i`8*1Q1o0&sF0{{JBEe?ce!r7i-V(#_5Ac3-#1C~@ z_^CUT>s07*-k!!!I)33%{8<^>tGkYV&bBW2`G%x`ANZ2-I2(kYlRNNZ2dt` z?os}bnU)uFth<%Y(+P3^L-mg`)cg~#4%rQ0|DtderAH>3o_KW+GmcQ=(#tp?evtIb z>h7}n$jT4%BlWDX&A+kzaP4pEE9pPhi9O8YzAK;ykID~p>ynI1{NC{s())wOnzfI| zE_VjYf}eJrJXm)r^q%6no9lN0J@TyigRX@9m%GT{6Bd^FHvI0e&$fO&>3_I!hAo>{ zt+tL4>rc{HS*XSaQ>y*yy7!<>p6eb9=p$3jFMQNJZ%m%gZxUK!1Gy`ldpddOyR!>< zya541=A)!>WnuXvfeR{+5AlPWx3p}e?zSiQOS^)FkCwr-!V&UN@T?9z)}4-ClT^#* zDR_7};1?dnp4DNu(w~LquRGW?Y@D=*QRw6SE9&7VDd!DEZQ;y8q={`gN8H(S*d+fm zK_qaQ&y%^onZwQS4KiLI>cd`3E%OTCKlGHbH!~ySgB{38V_?;0mjPBxg9g>ZyTMP{ z%EAy1~(4M)_3}5W#)s(M(HofdicVYN}Zoi$vB~RsCn>^U;e?yor+E9T@ zzLZw8*?&fuuVuV1v1v1FBOE+P77E+=r@`uZFdro4HkC{8;#d26jNCNH-gy`HXB;6d zlB3X@Vj-CoK8oBwzh2G6X3s3+sto8!-dA&dDZ({uzUe(G;-|*K>&~_CjK7Hs9 z#+R$`GuOg0u@N&PG?ITQ6&tKwS<|xFc7L><&7{ApGC-#ydf08@M^etD>}LMX3_VNA zGo*K*#VOwhn+bn5h#np6f7p8d(dO2c&8u3arne`D#r5cTzl_FKqjS+Rtw&N8A|qrG z@w1TM@T27~Bn~%2d%Jlk)t_cdvLT{u_I=X~)Ok~di)@c{>4`hD%?$oUnEr5^P|i+p zVen-g%X^!JWMEHcqK`HRGspNJHosxL4eHVH9qN(lfXE)5kMaqR66znecm5B$!mTIP ze4Jq4s~q4t<5yesn8pU+bT`{&i= zQGY+1q}Onr6XR8V3irJwfr}k#GxJgIo)JaW*qHNxI1#1`2&&D z&$^M5^IbZ~36;fe8r{DoXKT#3FUBi+9fqj9vB47Rzh&?I@ApKQPx3fZ+Q641c>ejO zPizN137ofL3NJBd9efvT|30;U)VyhP%SI1peW|oKb?a<9 zsQG$-^`?zmwzh6ty>(M-6wf{Q-))egt~XX%U6A<`(K)FX2kdJSG>>M=;?y)>lIa(fgDjcH=gYS50g;x*9)-x64p&>7m;j+Yib6%ow|t z@5c;Ytg#0Ld4B81+BnF_hy50s0$r~p`Kfme;+zJP=zTlCPcnlZknh)p`JtVaAa)=n z^pgIys>SNb0yV?TzR}{VFck=Gb___lu-H z`FLxI_ce>NJA5LJdfM3fJA-(8xN6esOFeZ{!U6Us{|D_b zMX~&y!izphS>YS=eQ-Q9XyoFPK#?k8kBm4b9)mO?>!yM+< zd*7vx{f{vHQ_J^E8%Ns1lz_h0A1vj2R%-d`dU@&lIDa7Srgg8cQcmZ^*sc88C+J>9 z`g*c`G3VAOZvRFzGM3i`;JK8s#$^#af1Meq^Oq`*i(LBH2g(12v?rlH@3e8mzeou^ zz`a`Xd*f&4H|}`6`m6dz`PEZLqj(+m zPiX!xweUGx?4AGl6{bM_T!r85;D^QVUT%Mh!hh@Fv3&Dhb$+tKAApBv(d}cS^ttz$ z0lib?`#1FT1p1fM;grDomoc*cr2Ze#>(utb`AuE57svbd!q(?)x3F$!V1x#_#n18- z>607Vkow^QpSxy#Yp4&eTZs7oDM9rAX5shkZuG(N+qJ#cn(6gH%kP62yOwiT_8Qqr zm=pU$xu=-1aru4d;05;1|J+4pSW12xdRv(Ehf)Iey8bBg(~y~(pI5!TcKM0BW5{u6 zWUssEjz#ub`oRtPF||(h+v~f;8^qPu#4T`8#(McTRNM5kuZ!^X%{9d;ADM4D_8xq| zezd2oZ%q7#IDAL1(0yM<`Wv!FMAx|j62G0c->!!Z<>%_TjDw{F>U+anL9pqw95rHjIpcy_H0>ye2aZ2`IYs#$}g5e*6_}G16YUkxqi(HYj_ih z`z_qB&(*XiTYQCW^lhl`oHdm(S@u6B9d2iX#cy^&;3`i||6Q^R4uLoDOc40$C_O%Z z1pg_|-|7HA+hPs+XPJEBH^xt!FZ~AX$MZ~IJ9D+`pDFzd1Gqe&Z8Con!Y_{DLaBjC zt2;xu@C5$qT>5id)AMEjvRz-S_^-5ZpMUb0nUwX#Nh=@4NqSkoy`3_veZyoig&E85 z2KtVxz)iiCZ;c|H97*HT%erh?zrCHdNY;8wVh@-4EG6KkMF8IxCIq1 zGKrpV*|`04jn-u&vbn>e4TWhh?q&h2FC^fzWGsQJ=G~F`2gf1a9A% z#&aBEEW&N>oNMLR*=bF`oA^(e>_19+*Ir4#sloXNfG}!VVtp%_%(5IO-65%tMFr9uyEcc7PPtae3y(~u)^icl1X2;-%pV- zm`U?t@274YCTV$ZJj14weo*7Z)U}gM#!J#q+6CQ{xDIuAxiymCPI~|R6KQ{&I%@s> zKS%FRwQ(D+#YQLXbJu$B`ZwZ_dc7yU)XTZQWk0b!*kS zYhC+VtJd1qT5GMweOFXeR4l*mIWu$b+$WDf`@;V}pWNL0+;iq^GjnF2d!&Jh|D1M} z!`o3lxBOD_x$?7h{q#AGPSC4sa6s5@$UfSfaJ+wzh# znRv9r#BYnwmASd@`=%4LLYUk7f)1UIf1;7#+b+uyFFd4ZThXhIG*U z{Iq*z?%Cv@cKP}3v1>kF@!80MH*x;sI}v^s{z`)S&v#;eyfrD~Yb))3IB&i`Yp{tk z4ZhRxQ{s={s2kSBzliV1{-MFi!|pb8|1j83^-=g`7H;|T3qMQ0(=QJ{h5joBZyNs0 z1QIy=XZD%>Ewa9Van9`W;!=)0D=SYX6~=moj{EZD{9`B=|Rr?bjq5Y$9sCqxwDU=_k)u1-;$~_N#aH z5o#ibOE+xLyH~KEmP5(sN`vzeXT50ob_%-P6Ld0u89%3R&YA6uAJD))<;TTuZ-g%S zM9L~S9S+{Yz6^ZK>NjZ%kq6eywD^1ICgmhMJ5D?s-W_-qYwx^w`oss1{r=yV@?EBD zsfS$1e%CyuEhi0LIoa%DSbVSCWYcbvAaZ1jk5e1kawF;W86Q2i!`hM_>c@}MD8Y2< zC>wfS9_$?q?8UOCU9O&9wA&|grVa)#PSQF&5*(v_za zxpHi~BR5Jz_GyoZ^^0?8M|XW3-g78VSA_D}hi@uRn%G*NBz zd6)SuYxA-n4T7oL!OJ2)CsO8{-YYots7Kd!>Nbq;jGbxX91O1jA*mo zT}zZx-F35sR(}a4R)v9k@(YP|%+_ape)?oV$iQsMedNvOWASUNp zX|8Ww-NNTCm(?vynz4$Q z+~*?qA3b~{_xK}HB2SO{hvQ)GIFsJ;wEZsh{@;ey%ng~zWZlZ<%r&jc z>Q=EkBy;45`sU@!R;_NzTrqBpUL5keuzuo*QAcN&ZA^5r&XM#SXC zKF2<&=qCSdQF~AJ#Mb<<_7NlZvD3jP8FGGP;>iD={arcz59OY)1Gzt4nOt7KvJQ0{ zUDC$nz7IKW_2kChRi*9o$=chE+=6*5WgzF5CXW2?@lw!V?%T;HUHbrQ}aSaLxE%)5;a{gP?Z*z)7EMX>4p@-&@nFnEcNm&($8jk-uGj zS@RjP`~;s_$=&)i6N^Uqxq*6q;aZE$Yt!Z^DNHDm%5(uqW5k6!FeD?Ki*F~4*zikaLxmHKIodE_WyF+x+5oz z$BSC~f85g4x^hC8hs^uPujh6`{qDVvLihPL9sbjDJN}#UKXUdYui*!eDsx=o_Rrl1`IjR9B;HH=W-_??|kH~j^wQ>weiKi*BJZCn>CA(-DO635$$VGf1dLl#`;DH zz=Zx+MeB$=?i1$qMCTdgqpjd%1l>CY8Gb&glbD*HvGY3g$a79c-nSXsU_IH*Gi#}`V-rF`13*Y;pw1fOZ%=0@dqubYD55xmI4*SY8c%j2T>IU_Cn_aVH; zVrg&P1y5`zZLDm{XzspT^=aBe@BjPkm9Gq4`O}gsZ@6=Wp^?=E+$H8&>%Z4rd&up_ zY+P5*3dbf^o!DhKJ8U22HXHszSIg0R_B)r=*|~hDRlYmvmgAUm^c!1_mJtuz=VpYu zF+yR>k@_RPQ)WRrzti2c$8t$~38H`2ljd1}|A;c6!M+=0<#|`;??RoD^>wTHj!>f_ zNjkp0KeT>K`scIveFl9C{5#*?=`l3myG7EU=?I+k$vwx=mGilyAPnL29JiN>@{7wR z$XEG{-pTANIV`rQxI9@Rt&1Yg+usbGD;NhnhC6v831`d?~lNKjI>J@C0tdCxqD zdOu?HmhUocB`*_ttPQ&FAG7S2&uPQvJ`(ic(;#uayqDm7f%(OM@@0Cy<)v9A$^0TzR(+|baz*E7J-#0qzN7K6 zxjggD@*WQad~e*(@9!8+uFn_prQX#1{$i@W6-D`lB?Y;KDWxm8~i^P*vmnW$5Z)#Y5DgEIh%*h(2;b1EtNl?7|5NGRbW()MN&EX!bfcJsV4_z zP353(Go7@bu}{!*aF9{&+hMwGFVVM8bXnz*6@MpkypSqKAsU#;KaBhZWs&+s0!~P+ACqIOfn{$o_wYY{T=T^%srJapugou_B9M>z z7MBXX@-Kt8*kUBVH=4Vw>zI+rGd^`jZ|*j|B+i};q5c)@&pCj3oC6Fu_WP1bqE}Q8 zOfNLB?BmQm{ND{c$q#=|)xWHwB)1e3FlMX!Sfyy1OrdsYKu<}FJmR;R`e^zh=1pJa z9sSl0HT19cxBYuxjs;eZqeBVI1NCJ3e3?!T6Gi1%4L?bfIguO!9f_aZdXT{*Q?}0! ztp=7omh!ROJnMhT&92!aDkLh$CS(ws9B<^1eXLSPPd|h6SteQfwEOQ3>^@ffpw!RP zHyRjoPl?Vyw|H_xV)c`6rKU@H!L%f06`hr@_yDoN2E$j*{}R3gU0X2yE1p zNSJKf06gw>b{ZGBBa{MEm9P&Mo6d{PDa-jcovB{;L9Qa7S zE2!R74g=(i;j_=%w%xKBypzZ-t4pIB=M;U^Mwr=BbWtsUC6t0WyXKREVU9;6R516$0<^!OiZ_{)6bm*~0foS@HBvYy)WmopBx z{I`0%<#7;n9wQ$-lgrx}R7;0ZF z9bLf_xn#^xf{a_a(5ot5f6t)qn?aeCkj1xiy4W^_c>^73&-^PjJxX)SD@tXgoVv3j z*KclXzC0P4axFA+$l8|~)R8id=|ZngY2;XEpji((EPEGy#Xq!tgB)eE@@;p=i0wM#vh%|bCI?m5{G{3 zXz#we=sBGFMAx5oWz3ko{t9iFaD6FoXNNre{aSErAS(=x?YEe5FM07|Y;d(hv3Jfj z==hKQQ0&1FVEUmlzQd_V3X{lNkEDAUF%Xr#wj; z?0wR?6S2M_41W<{@iWe{e=rCR!Vl$M6(ok876i`_|H2D{;MpX!Y@L>lgzz7JYY<#? zGdU_?#I8m*S7#qc3w7Y4Z4wg2cYO+gXtG zmNg8ruJhm%*dKU)(4p@yaC?`8^KQC+SJpbP7FpLE&-fUsy@T#Y*mZTXzE^0>z%Q`2 zH<9?8!fDsjzJ~t66&C&_;}a9%aUJl!LAPgvz6zIhb#5I+-fC=4JxJTG+b6QFF5DMp zb~gCy!}HKHi@DS;OThO7`K!yhlD`nl2K9f>ryD<9+}P5%qHY!QIt%L>l64IYD#z#)0;X294|K73BRIlE{-kKdoLy z?(yJ|HySVHet3}JT&BH_lJ=0(i##V`HF?m6y=u(4=o(+%O#vb{@&)nb)_Gr^vGs_IlCw^V@>pjzZo0 znn?VHG@_mPnbg;`Xa6MqP2f6Sj6anie$|E^J!Bp4U8KX_T#vff$X-eP&d=Doo6SR} z_J8l}%jV^>P9dTZ(d(Brx#1r(&Z~Da}qLtVD9q2`|)<4tG5m%54%c` zwWHY&n`cwsWWFLMm7sFG9MAueB(ZhAiK*n_wWPU(ORXL}c6m%CQYP zZ{_btX=A>NaxLqmTwu+tmg{@%dRc+x(Y}zd8sA|<_a6tHbzVi`r<%8(5AM!UNFiLH zLc@=LkKO<7c*{A1E=Xi~Htnuhy0mbvX>0vbyKb-zpM?GMeq>^<8D;KojSaf53c4mF z|0w)I^WN>r9X37aevVzg>C#VVxcFdqzrwEPH8DBY?K${R4)d-ee^J&G<#SJIqs>h| z`}G$GeEU0#hTMKu$1AVA;kNTk3@NX9hnVN>7t@})?3mo@@?+(rzEcicy>i82s8P4< zFcKTbcG&nM4jp%xPM|h6tUPRCQ_EqCTUH;IH+J3RBa%l<8oRuH?6Rho)$7K}TSXh& zxNMQ^;$F78q4BVm#1KcQ<+;T!;QqDogd`g?eU?G&ZB;IA#pA>5<&Cf7b7MdI^oc9 zBjmR?Z<+UpD30>^AX$}FR4!|P3W_;|U;Zf{%6zELpLza=<3jqZwe7tf>GNz9$FxV1 zh0~G+xkbsstZGY2`TW)6LpvhRD=VGOvH5gId|r*>XnuY-nN^-!&37g3>YpTEF)z+m zj>@miEwOq_M6h_BaM?7GhOUTa(*+Lm{!2|%s2AAhckm< z7LKxna##FC5LBKJ1e<89-@Y^mO7B4iSlAVrG>K^XKY-sU@lU(WVIX z%OY;R$8VEe=d*)ykx%|~ajYF#eV3aoF3p#zTMf#Gq7m}J$>dYNyO8nRF4(awiet+| zMbWg1>EW5tCHh_VAiu=p6V<26s@ueG$d7GN9PzI%B=)m%-R0g;#$G}W>QB}$n)Zob ze;hPs$lE{uz+s~sMU$p1yk7m9I+0@c_SW{zTmH z@|L15$*jT&N6ktkIwi9wuG8BjZe3U;htI|uy zACX-mKf2i;7DoW#V}6-DLL-~=P7RHm@#8Ap<3#faxxC2}$Bko2Ln5)4{ZXb797yTF zN$~_tpAR25PM-U+$S5~Am+$!U`Ie%b{H&rxVt{>DkY8TT8cO#XCGtxq%(4O$PMn~s z^+-}5P{ZYCE2I}c^Rr8frxj$)%@tInU_E15?lk{Lfg@6w??f#uE15J=MM69?vXV>$ z#4au!KTB5ds}<#kJYtrTl5G33wBX3e^4KLQN1YpJ8DqvgL90AJd$Q8)$#Y@Z#0lfF zk8oI3M;vak=1v|rZoKzAL7uT^UEPZMB}Yt>U-0Bd_|z;P+c%oUCDjMUAI%jHQ3wv3l=y?MXL zXf8(Ux+aZ*(VJJv)FB6)8Zs2?lo~ttI@f2#dsB+Xe*c4XdFmk?206- zmaJ}GDDOd7gF5!%a2~LYY3&JEe$-q-Kxv=$zDGIS{Z~e=2_?i#7XbVWl|H|CuKQw~OQLg@o-piBE&-P&wgQ9jvYSGhi zQsFVPD?GjqfiTP@bjGp_gm+UJKR13UYg_8~Gq~ z#Re3~br$s2K@VIBJr7Ki558+)#q?`jK8T#Tfra+E3VNqO4_pa7Yt!U|9~xM3Mmu`c z1_mbnppY?u!u4EJnfjt1Ur2ua&hNPXihmdp1RLr9B)<%TkMK*oJB0e&h(70_53)+= zxjv0P*BMxGk9PV{of%k2?{?^213hph^yK?}a*z3!-^d%v<}UwShscma(LglNo!%U(P;}=c5>p7!U*t z`xqL#`+ulMrWqS?^!udKV_yTk3Hw_;v|l7|LpsPiYwsWs90`4{Nn?+L4eabCmJWW} zG@^|?B>$d-Elzrt>owXlSKzB=W9L~6lanU14&b6bFIbBoTTi{W8~sG zY)fWOT4&ycdaZ#D`NxI6H`?y9%R;;209B|m5chx_GzPZJ0HAFjtWbdPHgWl zXPsy1fU9)ym)bL@3l++9DRh3|(Yd+3pPThlO9$K-9eVg79rEC;i#$4Sq@i<_r30?g zp*$4ocmTiKY<|26I+sUu`VUK!{x?`U;5s@vIy&OfxdS@C^yn0%p>vyo7vVFM4((GN zAK-N;&jZl8g?iv-gWLa__U+SIzqNGkvvf!=JGv0jc@8@FKnENN{l7?)UibnDMGwa5 zNTY50M&{RN2EiHl&ojnAi#&AANnB?z*M;3{dj-MVYqk%WP&>p}#H@V01?@RIqJaAq5m{ALUx7l?53iu0(rvErZGD99>blx)XS>U>Mh>TF^ zn1lhTU8FrMZAfYN6_jzIf5GR__wu7f-|aUYkFA4W7x=v%ksq+yw1eCmU#|kjF(niq z7}rZjUJNL-Q$cTN^RDPg0~l~q`}S3VdJyoyReRG8k&zUM;p|;71bBBtL;erg-oD-~ z7-ZnZV|_Y@$LUbt74%1Ta3l0oqeEVP=kSK`k@6Q4e%!N zv@4%F1}JpfOg@smhXvTNc)Y^g$0K~4_pa@UTg24 z3ywCh0&tyNI-bSrkj`}IOg4D(fAGF(UNCyKIWEnj9Klq1f=oA`w z0l3cIW>C_jQw<&bhYN!rX@JV%A~$|KX{qa_AjOnvC=qa&<7ot4mOB)x2%Iixy`eQf<*0It#zz07E4 zBoDr{pv9Bt>@<4Wdb*@7f=+N$Tf%Vtz{93{323kBS(o3fecpcj3Tn)cK7I90UfVC z8TvvRI+s~G;3^&38*VH=)ayFvTx#&-|Im-xw-XAkHShv(ojhh9!jtD#=+KUEVc0=w zcH`X4?a|d*8a#Z-<(m7V1HtSy=pkA@QZstVb54ImqD6sW^@q$QRGj{LE z`xtzv{{=_F-Y2Ay_fZ2Y0N3fAT`IFe9-Wt=L;dT*-Vdgs^CwFOTt~-DM)7+i?FanL zcgO{&4d%j!okl*a?L=Q{U=UPMF85>z?fwpW*g8%4?fq#QJ>D{s6oBi}&&>yfbUuO3 z>ygC!j82oEKC*PcRXViubk2jxLm^E2=2E^+Ur-?Qy}1h>(blI zka_Y90p8u<$^UQN+TPxUgABY7T&08GmgzNl8_F{rI{giv{NJ~^HBy-!8QE zf6;rE4t91kvLT&gpmQj6z)?CUq_Oi91N*o~B#)i9jO3XCog<-R`xg8CEsZ?chECC4 z(9!P;q?%zaR7L)w>Z1RUe@3lnEkrcE!#Pi7QVWXquiY@JtP%7^g-;ZsPRT}Yi> zc)!QzA=^&B3qSh1ks~dIWacr)WR5>#ROTTZW27{#$bsUtV>XD(_w3iM+q(^6G4%-}zTIe@Xd&H>NLAjmd0o zGJ+UC%$w#Wq<~+WrAdC0{Odx;K8~J+|Cut5FDk-cOXxV&_8Z$Q{CV!!bM})84mz!G z-_I!|Z6&N7;q2k8>dArsF8mvG?l!ocE=c3YUpBB!BdxutH~q8_XsFlw(0dVj&sciD zOjB>ZtFXi&u706jSh1?Hd8Mrv)aIW-hw^83do!PVSQBWvsu+PL>r{ zlxF7wc6KlN7W8_u-VvW6Vc#N~pS*F&qG1MhS%anbNwT`Qgyo?jHIE+ou88*Krc+Iv zeL3t{o*X}Umi#$e%078HbAZjCcL@Z&UIO+4G;+A8m)q4x2ma)gSBGZbbNlgE-f+jU zCQi}S;2vY1wLZFJ&(=qL6=_k^Vsk=GyLIrs-LkA6$mjg1R7lyyMblY?l_&W`{6!IZ z6dh=F$>*6mKMcd29}VB=gT#|{Az{1{o~wCB{+H0rMH*{3KQjgDkp2-I;40QYQ#m{eB*4nbz#`Ep4kT^}G?f2nh+q>WzS+t_dCjdZDK14< zm18+@mkuZLZ1b?lGQ`b~?8u(qF>&6aZa4J^>*xwS`m`HD`e*R`Lse7%4Vi5H!H(!- zKZ$eMgV2}y<85|AvWea-g|&Ml%jcA6`4)Sf-_fbxIYd2W9#=_{M#6(y#>6-xYLeEWLSUh;CJDVp#RdH z-0>xeKc~dMkM~odODM#DCAQh})n?^?5W4pWl=}mezspkMBbSVyE1b0Ha9au-xkP>m zGDqLx@f0}qwaUNE=-QzzMSgTHAg+up%b0YBPgCsk4DUArAI1HI;QW1b%S}|1I!;(ZFZY-|76Xl=xeLziHv{ z>bxZ-K6aON0TO0@O1q**9A3=+3Gqy1>T4E^eYs8Bk*Jjd;suP3tt6%dkTNr0kU36L@qv{^eI4jdU|ZlQpDw3nMV zaPT7De{SP{O}p>$_U+K(V+>q2`Zzz&QM1wv)p53B^pd$u(L?5jWPDl1a?T|E{jqOD zW%vHy-e&cr&K5ab=(#b)&XvgY7C1lQ{yyuaE{c~28Jm*%5D7BZ(sOInj?;8rV003= zjCu8P{mM4WpLT$ZdrBz4ANIb>^7r#oqk$KL>)HjnDwv7SP>-d^xX|Fq|Go#M(6jze>D`fHNAgF}azjtyU#FCZyMU9f*M8gJ3^_Ojejo6&NJs7x zh8|?wPi?%%pA!|(PLvZ#IjOo#cIkz5B}VZxCZ7D?XJIf@?!s5rx2tV9Cuqzfc}wWSw}pZ} zx}RD5vtqk9sV{zGz~XKBRItM3jl}mu@w>sG+47xa8HfL!hg6vUk zS;P7&tX9JBcKyixyZ_;rORv8;do$KQNq2`XQsHg!$c}pDhoYon+?j=ckgv zCeCcio%P4MU$U$`CtK*34Djgh%kP6CS{)Cteq%@U_t!Xyk)xq6>9-|0jq|Q#3m>`5 z$|HO-JwAuPaZtVjPyaEm}<*fWiTo3W>q=UJ?$wiyDd`rYawvJNz6&`)^dkN*th0fjWIyvd*eYvK!sUefphK}cFN*ba#YQJri zpBzI$>{s#`*WbDRm+Orn_;q(K+9JOuJ%2rd>qM?f&Py;+cI$uD55Efe&5xn79)bh*y2UJ24rbq4xaJ z$RpfT4$7*^@hW&PbG_@y@v@iSK8xf~y>`a;?TD7mCk)qRl@>a__y*@>RsQ zYd6;q5c`cBohhtG85;=JF7c;66`C!RtlnEi?;`busyBX0_|NXh16g@T=Id+Px{a9V);|o&n7R<-rM89G}Z1S`Kb5GQL6U`n%?}D58929_hbC@ zo;@U@W$ctxzELhW={{Tf1fu``T$x5U9sFLC`Q^#4TojkHd1lp>gNsdAX#Uiq3m zGNPq;pK+i$2iHm=6T8o*O(=XN%s!0kNb@ZJyFTLTZ}@&WQXHr&LboBIWZ_8TZa?XkEQ@%W`O`S|RrR6(DZd)IDcKtu(q#sweYSoISg{xPw12}V}{8;Iw-?$XmPc~*KGBZQj9rG*FchGUMHcRIh*z9MlJ$p}4gO2^9 zezxYx>&*OMHF;R(usfDS;}r_0?v{Rt3!Of9`PI^=Ov`x2*;n(Mwom8VQ{cD5pK|R& z*MC_(+H8F4ZYhr~^9)ADFkAi{{I`sU{0$trQ!jS+#tBqD(pTY6g9EKz6J0&v+39zN z_H1yq|M;Gr7guAMjO&kYDBUNa_mGJv|9j;}^A8G#pUmseUB>;-jJI7Ejn^rhHiF1C zce#-rxLVPruh5kF_qnUMzozj+xSTnnG+q!a8#00pqk|0Xr)wYI`eO&is!T!l=qCAp zWSjX8(#XOf@fz)2IcMv@#hk5oW{{YN!yL7Yv+Dk$=jkRo-pW~c`*H?jMo8)Z^dRE_ z71stqHEq-u%0VglOWbP*uGl)K(N)CuQGyT;#n)gR# z=)eSn5{X1F^XLRkBzh;Om2m)fd1+4m^y$fRPGZEW7iBuU@0ABWSk5P=?(JQr6 z49Qupn|MRbCUUBUdxl_7U8iPkm?udild4I+nAXs=Xi;*ND6Mbg+i&u-r}??Ieo5U5 z`Q4oavucUC!XK&uLxE@`8ki?u%A;CP&IGZK`Z_dgH)mm9_YI#Th5LB#tj0c?Q@MMH zrfOB|8E#R^WN=zeZZU(odcKO}$D-VFM*sCZkDL{Ci(6Z!FIz9a_ev(GuV`!(6n^!K zel2ZW(bBj~UP6r3MKk2Fw;AAf*$>{FB2v`2%0`D+m6YfSdF>Gr@wYO*NQ8hcwMj+C$)y$*vQ|jUPXLLO~A&Xu^wdoV-$5s5)~BN@#G7 z)3MK?42bD8AQ}kCBw1Z`utuOgv?|Ib$t9|}zBD;S-XNB~v6)3)LAZYTvehf)(qHq3fC#-Jd7lLC-7ZZC~!Hm6F-I>H^ zty;gFuQeLMoR}bVanW$W+K%~xx@)uX$Fu*95B`mLmj7LnwjJlSyGv;cfRmVl@3-yX zu+Ry;QrcjSUMclNDRrm|UEDfg%g?>{>w!|*0K`d5ISBfupRR7mF_Ab@|H*#TO|+k+ z-_k-Id=>Re%YCe6piY*t8tKPLU#f(*&FuM()9$&ep7%i4>QM^+Qm-EGa)%u!*pZ&J zbJkypp0|c}42zG_r+pjJe;N938`+5y3|Qv+V{v_Ac6`e@nQdc8+yU3@T#vFI@cAw2aazbHOpm0;NBSHgA6j!| z`xqc`g8}#K0-vGqp{=@Mu;ufSR^l;UVz;tE9-ra-rhO+t;tqIf=j*Ac8U&}@PWd8#%i0>&9qh57dhYM(c`SNPH|#|A z0dKf-Hsd1r`Miw&;CkA*8}_q$ejIPfzLnSgS^_m zct6U9VJpXwRR1LF2x}O-$w8laq`Ayj%UTfGA1-J8$a#ML-YpwdZ?i_xXTbj7{Sml* zh|i$L-^mlR-h~b}I&%c?&u5PjbkYUYmws`WPn*#91cNC$3>@OlPmITo*|jA|9Q85f zf%0Sf;iiHL^N+Rb(uj{xE8Adb&d0uk9(DcTsGnAb9oD}N-G_-U<2I~!an+Z~y(Xep zwh?+~8hXSDhPw4p92m179B}km1zuLJ!DkDwS zU<$K?Mx^zoA$Wo#M2XgWz@YAME0R?*naYk(uw?iVh8l3 zEUF#1x|X!H2nAA-2qPRO!lnoOJAA1CSx>}o!Gy!mHjKk-BUsJ`;({N z+vsQ0LS!EHtzEF+2k1u~wV^81&lV$VKYUSGj%YJDS0r(U9l8tj$tNdbmkl-0lYGv+ zHT{r~huDU4kv6D)pL_hjhW}m$RcH=7uKhS#c?SGwD{i1NxBkrXa{XqbS9#}%ZaKCp zA7beecUZagXV#WZIKTXs4}{Mc_|#iI?3dCNy)xV{y?r8y{N?>TKHuUu^|b_vJFI!< z^?UgUa3{iNG4#y7H_v{NPC`@pQ9ru$DnA5%#FZd4hJAPE>)Ol5fjb3$ORZj;BfU%) z-|`vj@tF*tsmey<4*T^k*zeo$$%0R-<@1qkx7bQz3FK5CWC``jg%9V z5>xP}wm$MZu1ZYPV_C#UUY4J1_{^v6vF|hO*IAXHs5pr!_(-M8`oXZ9YN8tZV7Jgd z)ZOLZvp{Ymj@ zywh$7^|+MZS9$c$*oAbs!o;b9meT(`9Ah%{f9}!07F^l~E{t&JN$$vR-Du)ey%5T8 z$FQvY*Ln1R1MWSJI_YrTF35kEiBr`U${!j??L!+Pw9fj0`lekb{x;+x)Km}J5+NT+_cp^v z;*NN6=k22Mf0;Pd)K|)f^@qBgkpunhaIxX@yvOHt;=FJ8$o~;r+m3uP zx`*YnIhBvq=S`2#$He&}qPc&sUGV4B(-Nn;5A;lX-|3U5_S)jn|2H=7;?X~N=k4)| zjwVj^fKZQ=p*f>R1!P^iRM1wa=J^EAvS&Y?x~=5hOg*Tv5||mO2tnNxA_+Z!31|Mu&ft&DVbcjx@p;}rk14B zAakTl)Qrh2%q^UjTRO^?3w>u^jWM)h`TGpzkvMvgwew~zN8Y5E+9jx<95rH_#+8gG zQwQnyJ3ffdhwo9lV0)L&6_>?1O?dSi{F3**%Zgu^I43UVyK$eo`1ZSY;%`5X25h#s z*)+J-;8k2f+mU#LfoID1ihQ~^>-*rurL4&d{m7|VR7)m*u})Ct%>*l?`&$#I;s)r_ zPay2`i=a!K?!6X=vDR<3nP+;#33<|d`-N=<%I`KS@1F%qdfs8~{2$F$usB`YUZ}9R z29wTUQ1J&-&YJ7iCF_Y7^S>$l<6D)tDLCjf+_VGCuZT}& zcku4P2ittn>8SSpzp|^wN=%`?v-N2-ODvr*f|IMVml>a#4^0W3=0)pRBpoaJq32QD zM_TwvmS3Bd8(&jSid0&E)afU-o^x<~O&R5)a-5BSr!C(OegO1QzH*Xj_#e` zOo@-2s?W{9(Y;Hz6n)?;`c$t1j_zF!w)K|NcN*`JubR5F65YGZXx}cVq}@PBOo5K3 zALpjTXE?p|D}c^1dWc0XVe71<>0By(Jna=$>cW>%M$Hvh>IkO7D}{S{Vg~^e%(mxzNKW zNa)^}CVyY5pv06@EIraYoaa}NC*M`jyEq6I^f7>5y;I85jg&{~lgcd?J~B-@U1#~7 zVflTOvMvU2D8H2JYv4D;(CfX~+M~_pi`#7Z=ePK@KCVCL;P+cU{wRI`pWkPU%~!rX z?^W7~Dd$^xw)m@Z6c))Ne&{ab!BrS!o4&eAC~B2>_IU<6k0TGdOX%}K3P1RWf3129JbZEA?kWD2`cLL@=F=Xl zM0S54uGTkg*qM5=3g0Q~=K3FL>q&XPnK-W*`&SL(`DWlnDgO5@=>Hx0azr}dOH#`9 zd%$01?9kMaLH}!0@)JBtxnyo%$E zn;+#_(o^!SruXlFlQ#xm8Bg!35jKB62%NGo_^x<mB7aWCygZx9hO3WE^urha~lfJ zPRZ{JfMZ*klTdg~yu4H`1Www@T!O+|Q|z}0crEY^7XF&^jH!A-!v$ z_YtlkcSt&776uPoFgPb9FW4fjpJDFs1%@8v1MTrwzg# z_=zdykvQd>3{2raO@TiL+~yaBKb#^zKE53LxRCkR6#3eKoA#QbWWJsf|25#ZyV%J0 zSxS8Bf7vhX!tjg~_$R>shjygrq=f{G}5Fx>46YP0h11H5O1Frsg~ zK9F>g@ktj(jEc8+WiCq7k9!qqeNZA^A6HYK3S5HFN;mFaeTaes!PWMUS%+cM!N9~n zmk|F9>PBg=RgW}wm%5hvFfryBd^2qd;U($5=>`*Tcl{6Z74??zcHy8yQtVM+>bLnH zBL{Wis6_kvtvcVtuEsa1zVz4a98TzXLw+UjqfH^W5=Olq_Yd-3&RwkDNP033J9^)E z`4_(;@g-Cv+vo`?@#m4gN8!KjN8ZQ0`HME2KWfnjzc&9V?vsJHq@-^H?@z!#LBEoA z-&k+ol;-axygv~*<)m^W@MBW)?=s$R0-nL0x_s=Ml>E1x_tawxdT_r0`05n?tB~^x zbUO?Af5&`DbKJjFuR}lDMAh(+F|Bc%QtWdQ@Equ0h603ezNO9TzY)6BR|{wxR8a?x z`(xby30<}SCGa4v#&=4gk6glk{^P)rHJmqb^v^f)&;J#0bRGY{R(`LZs{SE@(I3pk z4z7O?-;p5x%gTEZbk5=8E@47*ioHcn;0tc$4(|!oDRAtd`k=4msR?esZ=0ph`wH4+ z3+@-{z#ostmv<6>E_Sbm*QAY+Jp`tC;wE`Aq5AqDqao;J&VBjVeWlqRegcZfGJ6&r3h4JGODzqHt%I(>TfguDaFB*k&PnCJtTV&m&d; z$;dBqX+6dHJ$5gYOvqffsXfOh#hx*K|H5_+ z*zF4VN&E6EJx^1kEB)sU{pvTkzsB`}p)YX~4c<8joa4Ly{_FPNU-tD1oqw+zV=$rX z=kIqT8k)a9H0!M47suzrmz86D=5+A*PzTQ$81d>e7YU93w-Erx;UEu-ZtrzT-I2(a+RM-r0Yg-(QA(IB)#TL z;mYH}2hQ1tx_f`>Xx>SiM8=_acaZcGJ}&>ynauC3h)a)qz4KAOA)n0ob`(eTeaqL^ z&n@UH{Lm-lM_oIoIO5m)4exx^Z{jyAiqoE-pKB~X>>2VaC+_@+U%v}>fghRL#c9va z&pnnOX&3TaNL+4Pw@>3fgtqb3L1O4xL6A*7o&96xs19N8Lmw{Uul|gGyD@BU zGrG9YldG*_AzfR4&)F2wU6L|CKIZ~U z*W#LAr)E+7Lb{NZ46F61q~RReG7{$e(A@doacwGH`oj_&uK9IpR(Bp$UiM3fyh1pX z_eO&?=Mr=0f5%&b?r}TNUzae);+kKl>O8Nr17sz`NAlA5mN195tqq+YPL=m|1DRuS z&976ly7A!4D}+ONAGUFBHh2Da-j*uwgBHi)nqOu<+>=)dOeC-E>&&^=VDmrY@>F@B zuyif1qf4=l$t#3IdEd5i9yNFVXZ#~o-e)b2#WlZd`H^)&^fRz;=(Yu}{d+5zUBJuqo5ya>lF8}TSP(SKi3Gj8H#~_)n z_x;1i1~kXwnqRgYiGHkylYHqb=;+VwXyd-`$Ok==LGKv-xfbMb%}=j9k?$eTViwZH z@(bB%DZ`#^#xKmJ{*xd!wW0UXsr-5vh{H9%Y&jG9%ri&&7zQEzy=~mCmO4i}oEh|q z>odgSSX@Ux!9zRwwbXg?A8g~2A8hFRcB=dbSR9LMe%W%@PJY86lpnt>VJ>Y88~Pod zD*reGnQL*)FI)an?XRWKlm9pym%MDlfbOaCA7gPWuK9IpmX^bI@*4)B{M9z@k(N4B zJC!N&&#`@oxfa*b7xSBPhi{)dkBc-EJIsaF9P(%5d6d1ALb`k8A=IP6keBjr!@vtt z{L0)qi(_%kFS`y)?8JPri;X8wt$S$w~|L@KdtZqLd)@=yAJq^>??f+I2ZBb@Y76xwdQK%xC;2| zw!iB2Pv(BdNH_Nk=!(9qcVXS_WHU>gRA9&toVlc(;7aJwGezF>3|wGy;oV_>3-|f` zK%l@cu>A1b6)6lue&EQrVFg!0hiTFHj@suk0~gp_cz2j%;Xc1h1qvMfRX_N_4u_-D z&Z=O@51hFd8(fLgadry78x36P!Mo#BigTh~k+t*h(Iel?v8TwrtIopD|o{XP;XX+i_q z)nk%>e1_7lIT(L+>KgVdKS-bRcKA={PCCkb%Bi)CVXb2S^Xm}W1Hsu>WR&kW%Uwdo zEphwSP`?UXg6N*{hxYcZv2BT(!RV{!KALs^1-YD_7xO{_H+B}>njT!-CG7QvU3buC z;Uf%OU?M}04(-<^)a)xz;0Ia0oM$C=PNrcT@&&hs_J+if&|_@e-_|fRBX9{d$k1b^ zg?r_-W~_xD9`Oy?`c4A0kT1Bh*S&_jgx=j!_)ax&fr$*g4@$%L7=Z%Mv3%e4^^G$Q z`GQ+>l!+s8dzaaHrZ#J5#sUN`p=K)ZH0Pn$%u;YrQE=Avy3|wF}$k6}OH2SU+DDaKx^o=tP`GQ-s+QgB#14g9i z`#l2}SPe1^n3_i4GX)C#T=?2{EBTr8HX;WZ@&iZe8o`w?a9N7KxY)o2Rs-*Wo6`7; z3k3@NXO~&5)&|^U z+_y&bXfyBTG3Gz7X9N%Sv+b50@SE2?ilgaEKT3J!7v+~*Qo?5*{X^k1ZxB~MuFQx} zmvBCle#v|GJXQK)nWA*&w=>5bZEy|!`ek)1SB??T7)!#>Z}awvXsiCzf1x~lA}qV2 zv@E|eS7WMNL#sG62^YzOQfj9u;2+5q^=X4H zTV1~nc|IN)9Xyc@M^{ZE`Y+BP8$#IO8gL-`)b@aS? zDgdGMe25Enjh-sN1s=1kg5&gxkj$+4%N zG+$88H67qLZwZ%6B$#$Bcl#Iq3;mZzv@{*w@%4`O&zkiMfj?|t_abSVq^>V81A!Pm$^eIAAkn+|P8K6x+SwbDEJJ~-OdZ|0rQ zm~Z>|@@9T##*M;tEsIwzSuu8TV@uz);ooEB`;7Q~d9M=kX3NG1aB7~a4?hBx?+kKOH><2$w5ooCCDsBS5_ITFwQ$at2^4eZlZtK7609pZ4)uc(($)8@%<@;ORO=A8#G+Xq&a(?5$h$ z`cL!Mz!RJWv>UX2_l32tm2dt^;FjL}lX?D5BY^xxlI4|*9ym9Ykq!~HG~fWcl!<;{l_T-w2S9I4}1`C zZ~wK%f6Auki_j+zbaMNzU3~az{(A*DY23-%Pp$FcEAlOv1P^ra_fsnzz9Qd(kw%x! z-hOI@!&l^6un%x_@%K|J9KMp@7F+@xUHtvj3V*}Mw*VfpW;onW?c{$4IBB;WTUJg3 z?)FDJ_y@e74!jTd0;)r|-`T-G=6wn90o;)z+`sJLpYeV!yuZXg(9dxDksX}(vd?%q z`c>kOGQ54pBL9NG#9uxH8t@AD8K?RIOHbD|U*_%Kb#Sr6#ppwNS6;^OnYSNT^+6BWkGp&_@GZdI zeq0w{>~IZm(xmc6#;3jgwHiOu=7*`+<9YU%di!e?et<1+V}RcZ-0iP*^vP$kUv_yO zck-jZUsmH2PxB*bI-k7j@0V5hI7=V-rA&wWWgUIdn|!#uocq(jz5TBmpLkjxYN7v^ z`2JUgqqq2r*5{y)EdKsig-^Bqrhxe1`TJiLo@4EUeo|)q{jUn=z3hKoz6AMR1McmA zRXB8|ytTeZe0YWRqSFt%sK1*B99ai@`!O{>daM1afsX|4?Z;F&?`1#c@^$!|5x~9u zmm3+y!P|4MxSfySq7Nj>huA>VDk&uszxB`i3FddAM*d(A9` zZEu544}-H{mAMPxkZYp(dxay1z$Gkz_aW|_*)|&=KOpk9ZUK&NVSB*A@dL77V)+5U zq2ceBQ2La0@fXWS0`C;xFQIV!fcT5$V}NJG_e&_8bP|8Dd>nA}@%Kw8{1Tg<tSk5qT)`OUyjitm@u_|Vn)fP3is zTuuAL+b^MT^KQ?BaP-Gr7kpsk7I|#BmEm}uG?Tdx#ovg3dxVQICE2Gadl~c5~5|LHQH|bC;fp1?{bkJB_z9W^)%1&Ke5UB5Aw4gd_9wU5oZ8t zRg0doe_^Zp774$hpQN0J^!piHp(SxTFR=TOB%c4>%#?VFKa@CDw$2yYc;Kmjb@J~k zn54h$3w3q->r>_T+WE-?O&rN@Ps}&Z($3d@pvoo3sL85r^l3A?BqtcWWTv_EKk;tL zIbF$d76;t3zVGn7^_c8?ZfIPighRUDws8(|_(6x^DdR}Vqb&}&XW?T^@YXj&cXd-k zoUX}kLGlQPA9Scor90igBx{~UUNj+2cX29R=0GJRv&^0U9j-{FJKMmL7T5gp)*){d z`!auxg+n_muyG2^o&O!)O<9+o#Q#f3f_o4C$^DOYT5`ROx6#G1zt6Gfs(rM)U-Y2Q^B_zR(f*B8WzwP)$vc7e7ixd>uZ=8J8Uexy? zKbv2ZytAR}lg|E5-=7#rGR)id_w^l&ANBN|FmBwDF?}zyxaV8ybiw|P8AJXzn)mag z{(0BegDxH2d2UJKC4XsYSX}c<(kY6i`m(Q#K_dmLzIPEA9wE*=ia1SAOTfORoDpey>_uyt9ERk@&fS$v;~haGNq5n(&+VzVg|c zTx8~5pt~^${xF)Wl#7h?hfBF0;d(a+9v{NBBuE@QD+nIj#xoi9iSFG0~9eYfTQd1 zlIUwg#$P?YwY-%2+J%JM&+LsGCcie&T5j6zV124qVBv1WDE(@&U-C;wjY`aY7ssPl z+ttzo*U{5@D;>Q7v;)LZ+R&k6`}IAweGRY{Tt`pqt910pCbhjBHS9Vi4ZXcBJ#Za8 zt*6q_8wow~kqaHxr=e%t1GV5PJ@#|j3M!=N(yMj?^hP*p$alA0f8yKkFavKr($Zu7 zb>q5KjeM}JwZ(o=Go*J6^v3WVF76UKJYm~kKE0z1tQH)VkM&Weq6@_f{cSCEdTmta z2OU?~^-n&%Y(wv*jgkHM;^2&2s^sbU1-Vjfhw_y`Zz}Rx8+P25#(sr{UM;xJezw94 z1q|txLvN zm(UKr)W8K+3$3tS>D$M)2Wr99dCB)VKh#y!p+-W%v(swmHJEquzw1fu*QeI5Fz{M% zot>;B45@~8qCH#N8qv#`ohF~1Wa)wH=vfETj-FuF+IEFJXZ$3xyR5}h+nyN&-&-e8 zLZ2Y<^PrD@SGA_i!oSb=SzNo1^P%q!x+`4vx@&yWM8f5Z_?HoMZL;5G)jlL?D`E7h z<{rZDV*d!~-Li%~kL2?@+WWOXqa1)1n>QTs>HRhR_WH}?-@fw3-ZuX!X+zax{ z=dk{`GM7&pdb5kW_KDMP`dH~M%sUQX{ZlTo%_u0ImQ|3R;!>`Wil&5^66FJNBi)Qdq@$$UV+${YtC~|ryQ^h7mcXe(x`aCC7ss3>* z!gD9HtFZSg9DaJ|-KKsQGtKO1^u5Dendm->$iO`m(0$~Wj(AliSnOo_}48V9(7gSazj)8 z_wVP=;bPpT4%Ep?ZIuEX^v)nKU_BmdmHAH4`e|<2}7;1JwN>;&Iq} zcKwc|_tuf))=iu^u5na~o+n0e((oCta&8QQhFmV%s11*Ey~ss7;pM_0IDakIrikaB zcv3y#6Xv(`k@=E{)3^aCde$whZ%EbiCsCXG8RdIJbFxI1EUBnf@K=)_BAb?ew`Nij#(qNjLGEzw-Fp&F_ai zKIf$HX=rS$Pu1uCC{7wak=^d~_%LQu_ms!ywiG_&#*I5XmCqAV9Od(=%kNn^xEBqY zK96~P{z{w|JU%bj_02B7!)IYCAF&B>S}1c`uHUugI=3*F-bzvSoXDXnzrT6>UMB84 z5x?=Wql`TRqEf9|L<&ys1| z|At@KBa89dV&b$+g`UjwIe%G}Kck37o3tR5&qp4gZ9%ZGzsKh|>n{zTNe*K2W3nh& zkY83_2K|ljqFmNdW{NK2I*WEUzOSJV@6e-u5Z6Imp`?z|?zzYG{2S zE*t_ZGvZnM4;d8&PxYIqWm128_3grMMR8ny)W&aA4QhHV9A@||JR5x43=4l0@u@${ zq2;YXJMvQRLqgUDO-`P6Y zu#f!+qs89Fexyg)V3oaG!=9tBZCBD}P)c1yrY3|u}5Je(QJ80p#QJKs?$j+2yQ{N_T& z5v05?#NRH&7cInhy0GXzGrsioM*7#^-;mkd*vuE1_&yYcP;;P6#I!CNIa=S08g;bQ zK!1)sHpvHPnp)ULWQr{>Ou0>i*1CFCD&F|{Y493XtVqS1&<>9+H7gh#knIF@%NoHS zkv$@nR!igBLs`-;V+hF=buA6_$<~Po<9CQSY4V}0Yi(@6`GD*4t|>OV7IA#Lh`Y#f zLc53)cFM7tGXdkGnlid;5o4m7!n|t{W1^Z;ztf0y4Go?}@dhS_?bk?sv+W!5`MXh` zwc~@P4{UlG#*^6$t@-^eo8Lbp9(Ddg>OU8nDqK6ky)pM4^*0uN714;5GsC~I1QN=3 ztKnPUnR>k=7kzgZn!antaW}g+=Ds7o_1z;HY53~rWQA|N?f=&g;JF_c^|K32xBeG= z89#6|)ZTAdzhd-tt+Qzr(~aKJmJ$C_e*hQ#p!%_nJM&R5x%1zmck;ADy=j*^8p{8j z82_*}s&qtu+EL1%)>u8Q?)rj=*YN}FKCb`7?)2Xs4Ym7+G5&@5W!c)kTSz{uui(P| z4e+j`J={opuKBzmIDz-l{;8wyy(faEJG@kH+MD&Wx#mTjj-O%2oBtENt0Nj}ch|2s z>26!en(Uh2MR(<2PdvsH>X&-_PyWyQ^)l7#XsF%k*K4~{IM2wUM{V<4qvg8>{N-Gy zczoTyxu@|b{~9@x5rk3HN`76ixURXmjt>woZsCcnreTNqaYMvI<=SlJ63@d|ne?1y z$%pH#TxaooIv4rCh2uZ5^Fp!@?d7y`g>{t3v_pA*5Ybk7TtCKZ(`o+ro|T93fcgu$ zu5!`@!O{t~AF~5_TvfPJc^C_DwA0BW^@GauGb_)H;4^kmf14-I%3YI3>bsrFb4x^9 z<#FZL+DCfLDv#~|*VB)$r~hC7s3*^Dw)}{FUP+%`Vjn6s%|bg&uSX)ZV3&wBE>{3HF=&7nLi8dn;F?2!LoBU-Wa3Hc{ysXd;y{9gqBpIo0s z{Fm)-(?|QwDg2X5N9~aRs}U{b|A{R>@Gm(W=S7hIay|U7k-S8@)RR~0$-h#j8VBG< z>66z}?we0j^xghX^>4HK(_W~j&Tr@vu~}B-=83WYC!zk!4_~Rtzr*s{&@rNwYX9kY zI2ke!{td7bf7;NCYcH-r9{*F*+J6!4+ht8FSMAXL4gDipG5%gnuKfF0{+ZzK&2_NH z|8}o@z7*>3`_;OY^{bki8~HTqBEEmB?YZ>hw+)O1INFN$xy=u>XjBf`5NZeN@&@`n z4O2Whw%YU0#lOEW@}P7MUONSmC>nW(@*ElQQ2RLl?zJ>jF6wxdD;s>~4jR0=z4-|1 z-(?*A{m?#*&5bMA*IB>bpPLu1 zYF%4z1>2#Wz7x@o$yJrj6zjD1a-C%5q8@LctHlNzJ;1c6uE6hk=^aE=M34nFf}t#k6phLPOHp8vU783l z3v7dJY@yc$G}ahnqKQU9qsCyO#u|-@Q4@_dMWe7o@66ocxY@I7#h2Puvalk`$R^`;LnK@6de8L-V<_jT8L9cmxJB(^ zys((QVetc=UH994oEjhRVV9SY&flimC&mI!huY`nA5+F;tAW{3ma2VsTl*e^?;(!A zM)s8@?EFLQd#RCfR;dP7x;h}-O~&u3NJmQiW{t(X)B>mWJ#Ov$7kvNVc+<1*%rA}K ziba?g7C6h=q~d zr$&6`M|tD*+6L>H%=mJPZA6-k2lIa?JEeY2$jli>pO?&>K0QglE1-4_w|3Eg=Z)dW zGC28LKF_OPGG1wDz*3M`wOF1?XX&%Q9Vj$u-;_v)(j7>i$@jKZsoFz4B~Ai)IUGsz zTmCM)%Ra9msx9_;5t*m#Nfwoq zS6AdE8HZYu6u*{7yjt!*c8_oAvV(G{zDiHudU($B^gZXz3qD8|6qhZej74fw^lgcF zRo_QWpLtwNq^iD6p1zABUGl5?2ljrk=0iUxKn-S{gHp7zNG8b0X(msa$oma>KQuDr zxxf5Rojh;)w`Us9tq&9?=u!}k&-J@0l!$(df7Gil zl3|Tjf8Ry!?>V0H^5cE0k2DL-?+%)U?@6e>od%N!Z3GSI`z%TGwqh~W(8TvMWE11O z*FAj)tUl5#G`~;s-@ccj`t}-39<&iOpznaL4l0TGmruFO6Cdfrq<%`%;R;vi195GFeq(xI?)k%yoWWCpKu&Mf|q;E9vTk*7 z+*QWkb;y+%<3p%#gVjfxg%&g8`qmgsKC}@upwE<_k}{W{7b06?TuHi8E9 z@tqdxM^WYCO5O`auGB^Gk#ZlFmxrxB(k!&N(|z}XHc!Ss`41XQKC}g6EfuHyXY6~U zA18VLNCsrd+=>F}y*&AU)yp9Neskt;%TYnc$RE=4pRzQT)?97wo@QQg(@Slqc@MYL zYEXavjXm^4`Hy<~YPlAlNVCv#y4OF79r-UAOn$8+k#kI+8CNf^EK3&hOhs{?B~`r= z%V#*A^YqdOsb117w7kfE-$VP+j|^VMnKCxb?-aCr)$6a+t`7`nKC}@;eUYST9C**M zRQFAmYa0V%kxx4p=BwzCIFM$c?IF!VtE*$MXThPChq7v+ z^>f~MxZoK0kyik|nED2^e#`Sy(hGVSPN9vUHwX?38utVGXpN@0@v!gZgY5LX*ifiG#uNp8IF9%9oo@A!W}(d?-uOZF zonkNr&_*ETza`QPsJ{m(XQnzE|HL=(k#;1E|5&S!Gz)Fc^~Pxh(+%FM|7{)(I)?KR zwP%Xq6xs-+oJCxA-dew%k@7DwkXTIc?3!ovN}7c>Okq>TX9aT&rU2T)ha4GvMYg>0 z{zfHtc?1*syTn8y0Br;flwX-|tTrvFmfs?H<=EiG_j0a9A88iatr30RxVqpngDHTP z=bS<)*cP@E$x34?yndm8^nzEIO;dvyioPsVlV~JM$kaqzDQO$yz2Wca<_5Z=;`~N)km6zqu!0{yU$<> zppBqGeJdPZ^*xSkd@Q)r)Ayv+N1BD6N5u6#W-tZNMqu_Wao1W8_VeC;t{FI}z88=! zK2j&c{QIZXN1BD6x>UG=>KulV>EPv5_-KGH1otdVk9 z8|b{a;600{{1+A~Mb8Jk{U0=csiOiy8^O_%0+#{x|MTQRZDdGNd-g#gF?h|hhkjGb z6KNKDJ`yw!$5E>9Q-djhHi8E7cVDu2VZNz~qOY(OvLy!mcK#K%vHD1}(DR^-AEe#- zjO{tfmKI4(cJmn3|AnCx-Uz%w9EqxrzOVovC2XO-BaJ>uv(RgOtbP`DHJCzZBj}Bw zZsDbES1a;zO-EVxcGqTG6Pprd@#?B(eVS!xK-7)_#`nSwo*lic9i&<4^`~HZnD2#y z44!qppm3;C2tMl1D2Ii|8&08(K_%SBD zwDIOi;-#8aSK9+Wq>LwLg)ujmQQYSvLSlci=MOfjKcrbW`ubpa&L;F90cXA;3$o_K;URnkjixm7j=shLYo)p?%pb**!B)Ik3lWIbs zp!QydOo@3h_HJZ+KwrKD8B2adzjXuY#9Y?=mi`IcOGw)Qt`)d9IrflFh`ufe%irb3 zU$MzT@0?hBR(Pqw6ha$81O4&m$?9@Tp#E;drz(yMy!^S&>LblU@AYwg*BDG8v=KDW zexlE2g=x>|8w(}IS9^E6m9*gw!=`PkG`>R8^?{F zzTa7Wq*+KC?T^!>{NHCVh0sR8a%X*gr78NJhF5&N)6@5m)km6zv~^zpSojx%cO{cP zGHrjnJU?kTg*Jkt`RTaOC;iRm^jDTZ^Y>raA~B%6hUMws)*jL<^hw0y{ZE4_gf@Z( z@^@cyVewM0fBXPl5`$+weXm)4q*>@w;?1uMcNu&#EeI;G7wB_C-2eA9JrLRm#DC#3 zp#Fa*+s5#^3Q6LBQ8R3j82rbx=M!rWX%_n2;?0ALS{S^vpG(%myEy2xD{jvhhEr%G zpz^*&nquQhue7BG67BB>GFLm zul+9SYB+^90*zP5W$lw~pp-=I`Id>9%%5C&De7VLNt%VebA5aIS$j%o|7Z_^z83}U zQtdg`a27!uf!YI?0kvnJ+vdf_XBhSnBbm2__Kdgo2)lv4S9t5F(ti~VHHac;BWR%f zvQ8%BX(1Q?3%0>4F&M!0M)DuKi~dgfUpO8z>9SrX^ZIWZLVwRf-{nTP)!FxHDX-rB z+@dUlDT4OCY-gDSsNiCaEAw+Z&5Pn_=YvIOIQn4VDKbx}HRJZ81?Uvr#M8pSAwg@i z-xg(5);o*l8;sBv?{s9OSJ?UG0q%e6Zf0KoEw(&!Li&M^`sJw#e&iK_w=xI55VQ=- zlcdXi6M^t!PI@KvuUvo0A6vfb-Io=9gNJ-0hs3tfv#*Bh1tv`i*%J2^vCq~o)xX|g zilB|4f%3IKSz1)KP*;Yueu=IMj+LIibFDtoEDU_l&j%TMEZ{hU<5i^?_^H<(sXdn( z&LU_dka%1cUfOs#bBK?*Pwnzw?GxKJa$Mlqx1H++Cd~>P_=Uv7TW2V`)?kXDU0PzP zI2HXeTxXDX8HSA{Ei7NRTArVT^yvq^c}x-MMLR5wr8QUd`(}$wy|jMG8+!H{zWX`; z%)yu~)b{|_;tOdOh8*GNtLXcU!4yFoL4*3_wF4#5xQVV`aU)A~(MOtvAw%Q( zXiEf&ppC$DjzsnEpuARKC{&;55+DEI>3fE2(MOtvAyd5d#iEx@s_TEan)9-^E+FYe zFB%$0YpxnB*F2e2S|)h4=R@un9tr>7J$qi|T5KZC!kmm{TYy~M#d-Q~}cW?YMXq**vy?X&e< z^&c=8XcL3!2Mvh+&)tR5|C#9LeO6NI-cGGoj!@#XqzkOXO6Cfo9bLH=J4mx|_8zYu zh#gC8-@63b2(V*Gr|?qCiP}NdklJ7z=n^CrGG__(ry2c{X5s9*xc;67vn0)t$hm=X zA?xmo42}9rKPo;iVH^_b8)WrGW0Sf5eS7uy1S4Y!v=MCXeq=^;rT%`J44z!aQOQ4OQ*|DCRW?zckp9oNUMj6kLSUJ8r&%($a&Bje^986*6dK8G?Ub6A;I%Gzsj zAC6(9-^`s6cxCNJo=FkDuM5I>uebh3viYp(x59ChJX ztr>SNdBlsyW6;$E=j6xZ@hihAv=K-=ZVN9p9tV@Wk7be?jK@D=ahN<_>vc*_z0dda}Mjj zDgEY>zZp)UjX>h_Ya!Me^`TFaL;#+DDp&bH3|6ccJC= zHG^3KZ3GRJ7uLs@SMiPrM5^y2bV;5tXAk4?iPcA%g>(KCFQ2;%W(l+rG>ck55^eoJ zpINaHeZ{w;OMHAM(zg^^^^Y_Q=j;-F-gtM3?f!+eRnuYoO zy>@%)B_=hA-AgY+LyutoC~uui%ftDG#?hK9u}8QJ*mCNtojMqF3vbOyfl#vQ%RBBhM~$ z2}qiS`33UaNv*9vcbZhSi#D2=&EFWei}qV!siien4cg_uBdKI+*Msm%%x?DVddNtT zGz;@D^q#}hc>K=NSXy(nxqF+a&Y5z&H|gHFGUbMG&r*rSy`H|mT3Mu7n18d^pQ^qm zEsdo$SDU+c_w`AA-J{Q`n|GS5F!l4_=zNglPoCadLm+7u_;hUh)OO+}OH=Dem~FyH-m zP?b#W`U1HUleay)&?O*g7Lwh)@>tx;q>5d|?Vx)jNDdLZOgowKPN`6ep5h~*BL>BC z?f1V2j4UV9T*d6KHT6Jr35W~}Nw(Gu>q+sECROd~g}%K(a%L=E#oY{zqcvACed5P1 zS%3H6J+*$EfLvlG&rrGgS&S|LNwbj54Z1{j4KS%{S2}d>-_2YHANyV;2&#BP$l23)CmapQI4NbA7HCGMT zwF|pa-Ziy$F*YhDW-$>u+={E_Xzjkme`YrHzn&^4=CHt_AK2)GM zWgsnamR8Sz_P_hhwr!H4`Mw<N==?RLpFD7LhYuy||zarLkf z!^7{~$atdoJVRYf%q=YVy*I9w^x{pH#?qRr-XNmR*ZL#(CGrK&ymWK-qoaHE>YwP7 zgE34P&mUUZq*+){=dItW{_k5FOKYwW?+xu$|Gs2tNp3M~Nj9Ea(Iv-)p1$j?EYd9G z_KWA=wU)-xnk&h_C`zjDGdqs}qy7~^A;*tBeYaa#q*=%vADibD|I*S}T5~1(q%;{& zeFtTKCwGmWhoSh0^G%+RjhBsa-{-eRmbGP!0HP27yUg?b=Wu9A10T2+{ z2qeG%5MEmUqvx^heHW1{pz)A;dMn3oJp0gD^o&U}ltJ!xiASyN7oLyo6Fkps=l&yZ z-`@>qF|-j#yqtE?ukDGkkG50oc^!GgOx`^V^}T8BAilL358S8ja z8>ag9$~YyNU(I5%>f3`ZiNQ;rzMWPdX%-fq>a7!%v^IEmKd)$Uu&9T3pHS`j*l-GM z1meHrvi|eFYo)zYDfY;{jADtw``|ayW)9?_Zpr&6@{Y|7*n1;w>W#Eg@@*#h)|B`C zr%2V;@XN(t?~zD(z$Oce(!BCea+Hn78Ri}^_X-zf#_p$-9A-F$HUi3P$r0hDtv~GA zX_E9kzvdb-UM0-}TjoXYA(jj>sgf@xL#dDJgNl2deT=Q- zezI)7X6X_`Cp$4>pBo_9^_7O+<96@eB3~f13ta*w$CxvJD;^BmCqjINNmskhhOT!| z!S}<>Jki;`f(r{bh94kC5LH)=Z9^kX`dNy7J;jOaz49LgnC~ zQy4#&DDhkNOX!9Mm8S(qh3nfT3)!m+f8?7L3SWqJPd?>LzJFR;!8dG}PbCgD`#^{J zvjqM$_=ytltW$yUSr%1!tsHi0Kp$fZfl~ZU-Os}RSdF0x^-8_H6duZ?K--h; zJ{Gk$&No=Q?&OTmohCM*_c~<_N_$#Acf~*7p4`^S?j_T7x!Zps@R)ywWbQEUCU*ZT1uXMFC(BBoG=ariC!mdpV6h~e+GD$ucN zh=0)R?OyUEB`+W! zTk7GFJC*oflMW5|qkG2mBUknR3;cuNhsE?GSN*F6kFD}Bbc%lXME@(3!DDMZJbWtu z4e;2)!^f%qk*@OJ29GWBaB_-#(uKct7!9} zJWTk{2Fjrw7u?O9N$VTmn~QxxD9n%2F38!1JlY|FzNguJW&CpZxxu}1k(KvB{m&`Y zZ481bgE)@k=oti)ALgJ>DcMgvTkxB-ZxUSjl5#IP#a4l1`rG~3YEAhp?G9e*$FZDm z13xLXen@>>Fq(ZwhHxIwUOgS`zC(^b4L;k}m(V5*xWd+3hZnh$Km9n9mqTLhrKY3* z#)Z&e>#(23^baun(pE|y5BnswewL=w{yi6jF!yx(-3h0kay9MzAb8v6=iCcZ+jIH$ zgp@yl63Qw!Yg5Xfd?zsXb%U3`eE0ZJIfwBbO zV)36C1oAzGQqBUotz!Bo8@%9D7MlXd?@<3V?7kEnZF`CI1$n9MbZHLvm}i6MEKpGx zi!V6E3k|X=o{ZtoF?it>8I_%5c>370P7i{r7dhVr{xliQNWEa((b9}-+%qDj(7O5; z`_fqC5BXHOKqMHb{FSYLwZxZxO#R%&ckxcbU-GT;sTf}Rec~Y?eOJ{Nsqv6>8Gn@D z2_0pw`tfM|k@bPqu{ubM?kAK$Yd(t?v<|4|^tYb;Kk@tNNPc33-Op6Ufgii?x~GL7I!p2ANyB^o8o-A48ZWPCpGUit&Z3^P{; z!EDOy+$Z=H;n*N?#Lghtev7ds>scf3>--z~dm^!5UB?065$q(tLp)_}3gx)w{2(~H zoJ=?(>Q`NvlYU)5#sJOIqIhYVZ7-C7KV_!}iNk^}raj)}S}FJ0c#TWq!jpnv&8tBo zua+?&l)xH-OEgW31XwhIEo39)? zFt0d&@JWM)4oV-)W2ME_i}MEanC;@ceCZ6oNMqZvf!-HgJ0&xwW=~=x(Q)H4$0sKy zf-cDkW5?3K&rW7c=6eCjoJ8;yzT4U^`oL%+Xdhi96G2-~c)Rd7h_B(RtsRodG1>C% zfSjT7>DBhphg7+0lT1#SI%9$$+9i`y^QwfDi?8}6(=Yj0Da!Qgr1Gst6{=Tkk+`@> z+7tcJE4Di zf6u=eGh=!lMbGzxVD&=yZ@2xX=)pd*r|hpBw4DO;Xm7TytE3oly@S~!3R(*JbKA;8oHQ=FZaZWUUQ#^f+ z=F>jplg};I#`xc}`F#-lZ17h^?XdFGKTElx2@mE^1bdZj#;PX>=KE#FGz7rkoVXz=*bs%@%$ zqF3$vIe2_&b$qIQe4$*XWVyg z$v5%8ygT@5;29gt`#|^R?SxC(Pb$7A__Lu)1HT`9TFgGuXD){S9`K)npPE`e%8xbt ztM4$n+Waxb-w*sUaF-MRbAzDW;ZZ-V`Uikt4gN~-7l8kE%)fN-Tfkop{u1yLqxA{p z9|oTE@@?QR1D_X*-$?MtUi}PsV%e#4%syh9-4;CK>G{O6Q@3#l*6axuL}Ny;4h8& zmt*ChgMMu3vLhycHu4`wK4Xyi>EJ(z@x!O(e+%;W1;HWhVL2v|{#sxrKt<}HM#&0cnVtvHDG5<-=UXK1#&`&IncqXQQqv2n@8Gdwy z{g0DR+*JS1z+-FLnKAv}weh_OJb96}Fcx3Zb7)()(+17QzqHk{@^`7>U%df-bcOwz z(|!489-u@5J(F8+i1trY|cW z0Dexa{QnF*^>6hP;M2iZ$MpZ)#^<--iDi08EIzl`_VHfuEx>Py`F|Vual~gH@wvw9 zubuyQ2EnZDN4>7 zI^>Tr`yXNcBYDet{@wK7C9(LSSMZysK!dEIvt#%tt$lw1k1s=i60?u=8I-;4>yZCD z@QEv+Kcabun{n6&`Ge29v%Nx}!}!*l+$oSL?%0gUlao_3retO(5=ZHN z+k8$c$6>OQ)23(5oHQ$8?Ox%krqBYy+5N7R%Pg%c|w` zsKlXx30NyTu}et3^w#N@%{KK?>YH7UGi8CbQkkF0Hx0{QGxcy83xYD=a&X8V+wSdh zDdsGEIzDlH`S2Ce?(CpVrOob|ZTo`;_;lRt_)3gCS+`hK3ty3K=i+?e#KvXxSpp|~ zCkXn#u0Olp6SPR#XMWjArAv(55j5*Z+vwon^Y%UV&#Oup)+p4~TDf*jW|>1^3j2+v z%B4MC2Hk;qLE@wqRsg31CGP!rd9!1AZZT=0+)EAJvh^W-*S`do0R8HmR*HhOBYhfk7lI*tT%sts_R6OWY-^c zA1K*te#~Ofu#IK?YbiWLGEC?o~#;=xiOQ*!{kHqg2iQj9f@nb*UMWt26T29_R#Eai1 zcAm5A`RTIg}7 zls{QtVPBcDilxzJln~Ty41zyW-v0QnAox=Uj*6Xq3(X-UATg1<6Q~mrbxwNQQn|bW*hsNH$iq-tDVfbO#3sDB2> zrM5c{l5Xjo5Isv=o{_jb6pu@BQK{({>fY`W#f2?D+^!#CTz&()HwLZehl`8QJ;lKo zLZC^yzd`qSBXqSkF8C@?fm{ncJNR*-{}Pb6RJMffuApbPU_@B&E2+Bz(uP&CvR3$7 z&@)G#r}pdpTi`6661zW)8!8S;+;*nMjeU4!<5X?0YmcaaS^&b9E>3Znxxwg9b<(U0hUQ`o+#E<rK(M>j%OYvV>N1VpZdp4a$sn`TlqZaL7k40_%a z3`!|~l_N;EbWVt#DSwq`N?b;z#)W+)%lRa21w`zV?2TKgwRYed#w8v0(<7;k`YUwf zIOrb*nxvZqUB*|SV~kKaz7e`w8$V(qAma)PJzw+ccjau8s`1+d-GHFyJHd%jxm#!O z$%U*To~6HgeM_Dn^UK{L_$-~(VNMd?l@i~)czk6aY7^hEelHB`ce6fHC}yxiAn}J) z%HBB~lzRt_#!u*$aTFQKCh3+#SNav`)<9?H`}O42+Bg$C0kP9Uufcwtx0+Oq^UtBn z33`nP(xW*4%;3fADl^6~ct6gU2Eo(QoOtg{{eD`@vF-dp+4Y->ywY4g$u0BO#`>R5 znc;Z2CK2vMW#{>oi*ifzP5WT-;c3cCCF27J_2OakOX=vlD}Q1r>(SNPxDgA1%JW0t zdR2MjmCA<6X$DXk-}Fhw*qC z_LrT&CfYHf6MO9(rd~W;+=NcX2d@~J_2_DC+=zuhCG-}0v2R^CezS89?LVtJL-(iP z=+?o=uzppwH(WA5xn(&0=RAw|<93j`XX%_0JyVXVS`oJ@bH75;Z2gc0w@R%ayHYra zSJzCwuxSgHo^;7Dj3aqh`9;Lzr&qN_6?rAlSUki>fl5;b<=Hc zD|DOAzp5i(JI)Dez&~3ql#aP*RbNBfXdLiOKm0D3Kl{u3 zex5?QrE@~`EPjp?KTl2d^RwiNTt4chiw0idYbQ7RG-O$#f zt2O1n3fl!lu7#uj>Xm=nH=FW*0d(oX(Juylqw;^Q;gWv*yHe+72fbUw`td6It}09C zl<1j$ylR=mVSZ{H_9gW@4O;$dd)RTh*N-0?b>v}OW*{anl3veW7Z;&h!hyX4P0}&{ zuPXQobkyIf;zsB;2Eh}Xi3{yAeOKbRF+rlw&LGkCtRT_lO45-3GuPO2lXn7D_-~>n1+}v-kJ_&pN!t*ds+57EMu9*YM z`eoH04R;l^b@CkFn@!wKwu-{?@f`dQIqlqh+8Z1tR~k{w{i4s;_k1{)(oS%5rM1w8{I6O(XL%Q>$ATFCO^fGTg$x#><$Y9Yo75~1)bFM-Li;JoF-4@Te3K=ZkrQ-KkJm)H8u;`VF|IFe!S0RJNpH%$k z7SFi~87zXN;Hwh`FXt*`FgZ`flQ-gDHRmd1FiB0tx3zfAB7;d-D!!w|a~2s)4pQ-l zSUl%yWXMN?{QRjt%;Gs$BZEO>D*i}|?*$$i44zW)q?i7d_X936CFJOdspY=9kLBkq zx)@BP@*ijMoU4%~AJs{*ufN4}7Fl#&srZ2w&$${IGe$$MXl z&oH!Tga5w$|No53|J$E&k@D@2_p5&+DM2vtaB~j-CW0X2WyU|%_lHS3 z9${Z>S6kR0rNR9*md^=-%;h1QnIC?>sARECqMuAm*oElVp+nE9v?)^M4_zFbAS5CF zp&&7yHIY-NfM?tt;=S=(^<-WNPjTwGMi;28+*WE~@uKI2rzQ39cz&d-y@gYB*L3>AkB`|R-wtr%N zn{iL9y(_9HD>cns{rQCK%ht+_&#Dg^8EVHS^IN`yoM@I|GK(ZPo~2wUfnI;cmFN(B zZ4fL!gQK0I11TRC+IM&Rr8|9J1i|GLxryr>iJY6Ejpvtal9MNwqH)BEAXqUo2*%tP zwEtVsR>!ZZuWJyD5(6xVGWqHFC%h8`$KMzPpKdV(?SB$IFDm>KQ95F1kZ8tSU<`es z2v>f|my3g7!Uu*={w_bJfqbbu>$to}uKrD@+U+~8|IE-%oCodk=C|g{`ziS%8{64j zk+>Q<_t&qt%gKAOL15w!%{ltpvYFhoka8km@`G}3KU^XHulxgc4Cma^oR^QMn|>gA zA7Ak&Tl{Iz3=M*g17mp7S6rzo^iRjqXq+JY6PSyN{0Wq6(be&x7(Y5kt&aFR92q^2 ztoqTZ_Hh>Iu+s7qf64a=A8E4wS)N@#{?|6E8P{&a8_yg$Wc!XAG7atWPVmk$zcv2v zrNmz!adH{ZWc(Kd!H-0i5f%NVtPDSnc4zs*FiqpX-#k7giFLc$%erC)rCJNNoByp~ zls2YM$W}l9?Rvmf8yTYzPY3nvv~&ml!M8(d9aRJ63Ec84IB0)cJwhAAA<+JwXrBPZ zS27-?KA!jx^@VcW{>*5f0mW1Q(v~5E_07{4fFJGEGm*cBgL)Q%_r9(0I}raZv|p(E zqSD^(l<`?5+d38Uqo002eo@)tJchNV9>YIjKJsZp9dsHQy%(bPT}U|{=CIhe*Q@7Z zALVm}Yg`~}|DyFz%GqY*X9dAnY|BK(@@Xx*>nG^MV>la^~^OKo(T-W`kj@x(q ze2~$zd;_#UGryzumnW+S%k$vYC-WG2!}(0Tk@@lRo2_2tnfJSsywk~})|002+I~_M z$aAGDZsH&g0;iRem%lf^nkd&aq*qR>$zFel3BdCo0Y?(*jdvw&a{gX=jAVRe8TaJ;g!D~M%eO8 zocCWAB$}UYaBcof+}~{=&NIIM>FbxaI)6~s_8qs#e2iinJdDg|4PTRSroNARo;a7q z%LVObj^&p)ml%5`Wd%Mj|Bu1x-?VUknHX*Tm{?3Z`IC^Q^-1!cMZA1^~*zB^{%_f`j;I|tF;o8}&<_3vxeJ_$x&;MHHw%EA>*<$DUryAO;%7RvJ z$bPvF-`Bi1zoIYkr2T0X?PnGGdGdLYo>nh}?V^!?wBZ+u6+PhpP1OHgyVlx}&hyRh z28rqKLDVK_xmD)DUcFs0(8!lIT>bt~%GH?ZnHgs$v!*69XHUw}c5?W6RvzOTfnhRs zI4o%Sli;v6De^`rTH8dGw@c*N_c@XiresY;&qg*xz~18@41$vxZ)zQ*JZT&BH_mr) zT*)D2co;OjIH)(Tx#|Xb`E2Z3q&?YRWLFO^goXz;-Cw6?vZ^o~O^t9tk!3#M2rxno8 z3=Wwp_$dZnSY(F&)~ahF|*)U8j(K zqs(+0g?v@J(!PHbuP=VND7Si%r}?=dcBUn3LCt%rzGi)&MfXOd zTmE*q(C(im^PVp%k!T>Lyf7}Ht|$0_qS2$}g*RNxZY-0=o@w=r4fP~u{2e`B{p;ZN z@e@6trmsv-Pal>(a%g(J_Q3b=BJ@5JB)Sz@y?dmbqIc3%O1!mG{5vbu+pOp2xzm@T_ZR5A#mF$@*3jRaWaiWyc8bZ!o?`Lh_j*r1bz{YEBmEtZ zwBu;ee=vRJkP|JRA79F_8$+nR_kDe|Dv>%FQw zjK=*uNJzU9wL6-h)<4q4uPZ%0^y%aBofhU7J3KvKoH*2BG_G|NbPFkZpF_s?(fci{ zcX!NBc+i`eL_a%+b__^Nx`g(R`t0DCQ*FDg@f?~SeJ>*{&qLGGDbLa_NjtPdITIOe zodh;zmHlam8_?{S1+*K7a>lk5AB643?X9|K`*HiVul($j>Wi;)ql>_zVzGOzK+o5f`X$?^(b!_qxOgtE!{wNLe{TvOgm`!P9d0=>bcsW}W+?C?|N zjA9NwibkcoUZ5Jmz=8SF!V4k z6i_`MrRW*2=@QS^oc&`bXJ!z93CYZH6EY*?g$kr#LB&WabrLIdop$jIE; zG0djAYunfe-p}vx*zxNiF&PK6yuIb@kP-OU$!8`f684-inHh{{q&{8~>7QChzSAB% zIHt4h$2AUNGhpM=aJ#;8Ci+diH09tEr=KF4Z6vGS>pZ<5qSub6gre`qzTfH29HVz{ zzaggClYL0Ma?ay`$M6o!v~#WB?>N1)rccVrjO9Om|H9M%SM<*b)dYQ?xAWeH%HhF$ zrcs8ru9mqIZBLdJYtw;vHChLbk3ugdvG{y2Zs2mDEU4Sxn;!vjH=9|zrZ zJy84|djxG1adyyYOmyFFFa4E_;RJpZmF4!|k#IxUgs#HC!oH^+v=yaj%i(hN=`vI$${9b8%?b0S_bBvbjqNEsV@P0)o~lsYb+*ppo;hZ;HhH$iO1`n&WaU-J6^?>pL$Y=`;MB?xw*(HE8Q3Qi=8xy z&qpR6!Opqt4|^@NV>xC8oq7i?G@l$^_GuXXA5$hfW!e3b;6H1Zk+E_obF^8k<-CIQ zHbL9_?Y^3KGsY7cE8h|0Q|36{u8)Ve&RZ8 zDKc&)p<~c?Z_rWZO~HtJEdH|~7=Hl#_24@P?Yab=6`wZ4;7Ls+9_IKFzuE`w1_bRD z|M4{ZBHwqG(XQ-ZJ>u{nSaoF3=@OlH5!aOUZha-vEw^g<65CcWE|U1Ydo^c~&rn_W z^RG3!S5ZdArrd($0lIahxC7W_N3%{wnp{*E_D{c`-mBm9)pos?6c;~if9 zU~edYXQkTHsjVk}C2?1N{9E~X5S(&f(CJ9=?_S>HE?|F+zX!pVN8zJ=ScQ#RzH`oA zU)xX1`K_OwTYq0U*)wC+Noq^*e`{a4gWH%6-01DW5Z_mh9S~$=fp8-L-Niss%p3n) znr#~FWwaebC*6-O_GCwm4=I&tLiXzzzEx;H6XJVZ(U-gIz>qR@G$cQr0^ zEQ1xdtX#RKz;#Lmgt#Eg9$!Ywn{=An_zCD;|=f7ml3Wg`d{ zKEvSajtb#bxJ3hYm^d`K8w65qv~Y$^D8g8(!`==M$s~nCxBZhn9N6H3FKt&P!#(NkOAGe z&noA7{Vo1w({_jY9^&^Sq;(c*`0i_hPx=Yx-=F#Y1bmE1mG5aco;TO-uf!$$7?1BS z{QethqYOp2|G0Gy$6?OOH`?QSp5HIRH{Re6W1C0$)u4a$zoDJ~=65Z8b_8%(cek!% z8sx4*~voo7e+?7PnYf_k^#jpiEPIvP(n_y4kUk-DoFfI(%Ru3ReYa7pj=D78( z2KX3PhkQfeI}yI_hOS3RyR`{B zkssPgeOo;dzR{NNg(mEr;PFuhS7*V;S)j+R#@m$~f*$JQ_v)GO%`tpOwP?J& zZHK^&ZF&l3+!P#kp8Xz}FJs0Ii~n`dlku+Zcdh)$lzsPBAK=+b>X^T;sp41AC;SRL zbB*$Izs`&GWEpc0Y1^Bh#y?sp;e<5{_7_7buJaqoPri%X_@`>l_Yr)?O-rLty@#xik z|E>prDR^&RQ^liK?fV!R_|nqb*HrQ7Rr}rok1s8~eN7dQUbXKf@c82IYpQtkihXMy z1&=TOzNU)5$=J8%R`B@Z?`x`f@>TXV-9{fQd!YLJnkpVX+1GU2AHdTdw)XZlRs0>` zr-Asz8y$UDNB#dc`*yY= zesZRtKWv!oU!8p7DtG~rb=dM4o_vw`ZT~Yi)c+*!;T*Jb8VDw=b;fKgE{6 z>%qST-rE;e@uwqyIr-P0{96g$+ZR^xW5L&`dBH5k7Dv1v%byA0slNiV81r;L%=XK* z*1j{r|3uNjtU=(M_#emcN$`6uehm0uM9-h8|BHwpbx&Xx?R59w$MUBDJo&2lGr+rXP_6Z! zypwU7;-`Y&6+NG)^2@*vwD{>kaAc2|{7UfnrTjC&kBybz72wGO#h(q{Jr`AL^{)X> zyP^2`;LBq1+W>xn#V-WEAr_y_)LZ0k`!nU|e%>eQ5vyP45tk*Fza0FOSpHrJzRKb+ z0KYU=zrF|Fm7gDgelar4 zt>Dj%zMG}-zt+eXiZ$5MGd6x%b3OQbEdOu8vss#4h2`@m;z#?wwH^E;ym4Z!jsFf? zKPkh~#`^mvtA1>f_Iqn9;`=dpZ{K9a-$DGDw`@HeJhu4z9xEQ(q<`M_9Qfa-?t83w z@=W^YZ7+hy27ljU#ls{0^R}14KbpGlvEt#8{(0N0;Gam{_gL}pX#epH{t=6GZ{K6Z z!=vLT&N9aH_dQlTe1hLf9g;DYzb~=i1w{UqPr+l$5N}^%!KsXY$}a!5Y9t&g@3cjs{A3I{8ON%Uvto=jcs3>%CFIeXZQIw?t6KDBzubN8ExdB zYV(V6&)Nwbi=A}l4=33BvVS4JUQ8xuXXnTR7is;H$r;%^sLSYwZwk+;|uTq|B&LZtN@-&^JGxFmU?=YbG=a{8Q^=lcqwwxvq!ko47bIn=p#;!*F7J^_I?#b3#+U0k|nvtyj~SP zA0&ELd3v{UeX$kFsm<+;tTTD-%-Rbg9&`UUnT+(6S6AdElj`4jo*wda?bV*1ryE%h z_Vrv5@tEhRd_8P!TEgC$l~on_CAz<~3Rk_C8@+35FV0(;TfzQC63-hvKX2vw?nqzTLv8&L`Jc~CpJ!1i`FCf;`$-wEyzt|G1HLalbq;<`JHnD{Z}?UKQq6Mw^Ty zPWAl5(?dVE_MJ#iyKY{+`q=R2=jB#WXq6}>Zf_ah8p^H4ts#1e8~u;8|7&-1e8|E0 z#zDK;u0JLJ>u9_zXnej{X-k{P=hk#f+rNHlWYkb@eZBUZN-9D9WPB-l*O9XJ3(h){ zH4552_TTGWhkhr+=^euZfm7Mcf9&M!Q17~CQGC~RgopmmLHn^zuS+p!-@kQ?4IPgw zkC{`)d0I?9uA>EX{pz|N@bryjwx9Fm<#Ao_h)4B&q#rOFJ9*NX+|4$#e2L>aT7*!~ z@mvpy^mO>1yZAL=&-r>kNJro~w__=+~r-}NujGRjkb=r8y<8Zr=hqQ~0>0rzw!Gwc`WC>u#M3w2^=nP&qX}?4=J`v{zp*)4)168_FFAFbyqsk9F7))4 zA%p(aLC5kSO@3>AZ_LlCh)4Cl?&*yeo#ZqXt?^yv>0OTu`b!5LuMc|3?(IH5`NKNe;dR$=+`=I^Vj$&t-Mbv*`#SPs9p&xo0`(U? zVR@oWUUx$zz0=hJEyS1jyFKDjf8T1rUmktHUsFHvFVsg{yzUN9-$TKXU#joch^LA8 z@>$4id45`B8S1;2>xVpjZ+!*-=)WD08!t(HNzTkjiVl6LE+c2s7+$Q)nPX(D-upa1 zA4SG9k>1YVcH^Zc>dli8kG8XX$9_>+NjVR>RwPUEN*3mtania!8$Ij(2|fM)I{Hlq zoo}`8dCENFUySoAOVX0baWRr1PV-?YAIC+oky2rR2u)t9m+P;VUP^`q-viF9Z@ z-fSShr)JLP{Rwj1fX0Ke9p=MMWYEt#=+f2hYt&S`^+Cj=dSCPOrt}BXGbd+F(~ed3 zQg=eV`kYAEn7JzkQ|&2zfLgy!OU%HCpcZ3gg&mb4SJ8tm!DY~)2C`@D{*=O+Os@; z^SI9U^ey=Eam9N2D#xSx=m&g#(&detG&VVV_H3h%xVrkVp7OH3+S9k`%lm=#xm+pV{_={ls+g&79u_{Yf}dX; zv(jNyP9yWd_4gVXyNR#T5m&noXW~lxCFNv2?A#Ow?@te#1W-e{X+@t817`tsaj$;_* zigzG0S#vs{zV}7y<~IQvzxN{lHnc)_$4Jv*ue$XZ{M+ZOPW5j?`-sPtkHu`DJ`^z` ze*^0gE`K&K=iksXl7IBAHh<)OJLWsl`eCvkYs;l&6?v@5@Y%Tjrlk7$wt@cN$#QyS z)iKoT^|u@PKzo9x_up2p?)y+ruND+hnxd~?B*T@H>eA{;S573~LO%yXJ1WxGyPYj3 z;wR(Jn4d;W=w&RvBO+O=jgAF(9q#^eapP5@-%vEVw z*biwxtYlRKgs8M^fJ8Z^eEvAnrSlusW9{9I;-aN_nkkxJ^fO_-*bdK*D8Ih_qFtYC zxIVg@CAQUx>AyLWrE#G?O1qFIiPlE$-=k;I)r4 zE@D0@^=bp{{Z!^*8*8}tOZnbJSra=p5QA?5B!4${Hhykotzjc$h>hbTO~ZS-=LU%{ z>!$YJ4ELhSX|elBgV%pR+K~QQZVqy#tywG%Cq^<|KD#*N=klp3)Jgw0rCku*P5D}1 z8wB^XqTibr1UFLFn)jz~q%WL1isx;p2WK+ZID;}fdrxHN#>3!W9|XTSnV7u~KQYXH z7J1KeeKYybQw_%&Cep`H}t+t?al%`kUud+8JqPmKRkPEi5W7;#+sg zetnJ5zS0x>?aju;kxW<5$+5DEs=URjKi4bo^#2=|d-^kMc^Ccv_!9lL-xC8;^jAeP zo&K`?{K~wlvV7IQ%*KBMbZa=y^Yq_t^-KKUbzJ?bR+Z-sNXswED_%^70$RTIXtJi- z;+PtTt&wcCgK=0zZfODA>zjO_?n%G8@dq3iad`dyh_`IJ`xtgSL*!$o!`zpSXz{D5z_+NVhaU=SNq;`HN4r}{(x&w#xum#(k^8`V!KFF&$&-obDB|Bd%6 zO8<Ukq%cM?Bg(DWYw;H#;#2rX!DzMe9KwIKF7D( z`XF(As4=^=T!c^BG*vMEn{u(~(8w0GpK*XFkuQ2U2kJJ`@1I5=M}H^p-LzruzjjCv zWYJ%pactzrH}y;6x2cPb-!OiEn*)0soR((C0TRDId};i|wI*xUe-*z|B3oSko64K+ z!l(60o|Th!ds8OISPuGe2dBIF5arc}|H_WZkxaGY1IV%lAE<)zml1{{_}=`sq#d|C^Y5J77;kN(Xc<|0_#m zq%lb3aL@7>)lO$Y7lYLnnYXMOoJFSf9Uz2ax5(Lr|DT3-4@j|hRis<(lvWQCp+%(ygREIG>vJm?6|GJ? zr*Gf1lFEX#zWj*$#~^ljQCW2*H)++Mec}(qWI>8Qj3bJR-IlzQ(tmsksE{4cfs zTnGJC96Jn7{${*n+f^BN{LS-cRmt+a3JGjcNqKP;>_BafjGxhjVI-+IfSulX?55+cjz+g)ILW|D;@gVIR&W zB_%#j8d+PY*QUQpCZ~_hHv6AR{b4+!djG+1#w45m?dj!XVRB{S`d{jO$;jH0Yx(Iv zRBtjnYsU1kw05M6eh$_DAAY~iJqSTqm~e<~zyDACe%Hv_l4t!U-q~Y~t9f6kdXyn_jRtWSPxJ~ooyHOxna zXG@Wd`yRP}Hf_%INfRd0tSd;|vcMWR=s`q&4`fv>P^giRQPrlGkCrbSp^j0&R)@RWBha>o+#SGm0G3=!~ zG4a@|^Al1Aq?G>}8Vu2~g?XCBopx+o=FF_gJZociWg}hUfgH7qe!FHE2Ys=Fi9@}1 z?70T*3MVJ#sq@e@6`}dnn0=#-t}WHpKJqJ^wpatyzEiDzj1Ouia?EnpvA5MvY<@}m z^-P2I#b!RKRyJuLg+_Gk{;jo-bL z+2V6#`Y6Hq?Nklzgz)Ts(CVQbpPfC)(?YuB(|i*L;jf{L*O0Fc=Crl#xU`3#k4R6q zh!j1nTL{l?+C@_j*$jxCnIdnj9-3Au4+1r`3pMM_Z}~gNy%#5XyytjQ^sI?^R1a~R zl%192fv?oKt@QM4j&!J=Q@ywy9GX64h{4y@+Olvy=lu~+>zUSnhritHn_cs3_8T~n z@p*UGk3`Qi*U*0p)Ih7_N}dlIlQm=NICjsRmZf`VW}Bx;1aI|T3H`;&rhnQFj^4A> zI&?Mj0QwULZ4bJ2D9e8%_%|Gu_t3{!yS@9rHP;(_4YV$w1uH*Z$cwpBQ#tEAbVO_F{eE_oD zdaJb$xoSW5O3XUt$LyDM@&9cOZ0xitrvDAg&$$L&on5(g{=+BoH`CA6kk#VEk6J~JU&hsWqWBi|3|2a#U=(aKD|2~7i`gh=wb$B8bzd6CW zE&ZH>!)M#)to-s_XRxo_LB2~na*&%w#8RNH*2Hl$V}P22`0=JD2R*+Zv`E3XB@Xw3 z?{DzEI;Pe$iO&?qGMfjIPsMz{ucLkb%E>4HG>%82hgjFc6F<%8Uf`c?055zJ=i@k& zCw#oTONxE)seIZkv8C6#)HrYMW94&}vefI6)N&+pRsTugk=5&l7#_b>KfQ_MH6O)J z)sNpAANo#-B_H|ioMIn#X?!xk6HD+&@#2qOwLcR)zShH|SM8ew9v|xA(W~~Y1CK9h z&13eVSM8ez9$)%Y#Oy<_+LsF+U%(%pVjp_dzC7^wQV&1H+E)Y~U+Uq{;(o+M;5e5* z!oIvm#O#}4@tij!t8cRyevZX+78xg;8^hz5%6}O=GEP_)!{e9wUjqJA-nYIl=0AR^ z|I5G=EAXlQTfWAR z=Yz-AdiZr#|Ha_3wH_Y5T0Z^?eiZn4{LriReIGo&3_LPsA9~fkAA-jh@TvBpSMB>T zczmgcN3O=_r{M9W9v-=>e>-?=9e8d`KXO(74dAgAe5!urs{Wh7V{1J;a#jB?!DDMZ zJaSe4?clL>;J%oCC+W}EQ=zQcsZjSEDd+SLs_KGegbPwnas9v|xAk*jv~1dk7+j!%ut7UZh_H0(kz z_*DJKRsF|;$JTmy(KWhDjDMo#=PbHL9~a|4 z%izVnEyx;uy2bnDj&%gZL#yLYo*&MfJYJ`Ip`SD0pKR#l?`ZG)&oa-GwM-dD3)H*^ z{-v0m^H{@TUDLt%b7S~i@U$Ba#{a=R|7qip2mS?zWuNW+sqKfXamjj_g9#Zi`6bxJ zI+ue9Yg5PRvL+DqGv1R zs@&K-?Sj;K@RlD~dpL{D({6~_^HYNt?k&ig_J+m#o=?oa%bq!rJvSle z3PUe{XS`wK>&yF@l?ScL+mjqSYLvH#3_2{YwLu*lwfh zPWF0*JRp*+(%rdrlk63;p*Ghxyi)GAJi);loa~#ljk>kw8TQR=7qugb|AM_xD0kb= zXJ4kh;JtlA1uy%7%D$o7E(X5>yti+t;#*OcTY&#Qc-9sDeM1$`n!oI8we5%Kr@Z?6 zhAO_3**9z3kHNF9=I6`Tq}Z z?*X1wk^PU)3j`86sDOelDkz9ZFA6Fl1c*QqlhACh5duUhkp#uED;6N3h8{u)ge2%L z>RQ*b7Hqq=U3*t%Ds8x?(_TVf1c;Q_r7!bnKNhR&TYddpZDCJ zA^jp%J6>6)xtHVs_gsDa|Hpfy=xT*|Z`9AXVY&L=rX%rvfYcoQ{`xkwC`~U3$i~$G zdCPt)S$H3gXVKD9v$7+R>(F&u&S{qUD?@Yc&B_*^OrvIiPyQOOdbU|y=^{GKShf}bu=%0 z26QJ3bjoqreCJjn{cVEFahc-<;J?zo4Zpl7IeWcv4I|_JImp|371@+W0H8jtsk1BvU-j2`R9KD>IClbMZ0l3j{7Hf>- z!h+nnQ$%NdeASusw>54_qnk&9N>Non(Y6|`5O<+E?Lj*)%8AAr1U=^QYx->sBk9)^ zc~;Mh7S6zpkd9ZA8FQ|ll{@4FdKe4-(Cm zCuLmTqd!rrBU{t49E_z_&b>HB9yTSRkIYAQn;N|j$ieu(co1^VsgOhKxIf`BK3e(5 zXZ4sr#(T>j_Z%#IUh^QDCa-zdJKx8B2ll;CxLF3*J!j$j&2--kx=I?|_id)40r}55 zo_Zc%FyorS+^IALVENB@@-a3oeAC&Im?HCS)?4WC2CM*o%o7ZaUZ=itUM}XNRG9xO z9{+#gSmp`@PrOvl$BF*|qT|1ksN;z;P%1% z&H8NwXfASoYxco^e;?bTG)+@d;rtdF>-c$vCf_Qxt25?gWAO}dE8M4vc?v`o`1X)pYLbkj`cU*hp!1Ke`QzkQkaywKr+{SCy= ztBVlVM6;x$@ZX<4as)nKnhj*;zs}>o1-Q2vE_lE7%Vxa?JCiSh&x0)5u6Zmu%E*&BkCBJ-8)}b5cVoK)+k;MChZ8+{U*q9{`Q3YT>vm1| zo_)G^?}dGLLDlUL|Gfr_{^EEt-T|nKr$LC6?Y-Bt_fgnBBc|*Ukdba}Di>*{8>QK5EfhPNvl_Wo#B{!G5551GNKk z%Ob4BF2eY0%CXma`aSzg`kiui=Ref1;4kX;rIX2c`{2K{Y@A?;ei&a^KN_#U#~jX^ z(;oZ0tRs^Dp8rMte#w2(pX>485hs)N+YkLNNX^PH{yU`gTYMbw8e_v)Wy*2KNgQ7a z{bpc#NVjCqrgdtXEz-SR-Md}a`J$$sspv%w+%B3w#8m0$UN8>LDxl|O^zj&Q0F`i(bRoN=N>&!-Q6(kOwZncTaO-^gr1y1XJWe!o9MxRFE1@d zz9XBKoJ{qw1NY^*^FwXvkp;k+sMj87eS+ToP3s)A)^Ptx*kc}=vB`Ml*WXhdFYct< z!EmQ}yD1%$<@^A63-1r%rQrX=8nfegndkfVp9|i=S<(ac)A`ufan4vp5bH72@n1X| zw3$W=yvM!9ydTij(NVzP<222Ze&TP;e?upz+;113=gAolnu{IJjz9nR@oe!0nq~>c zMQ&Hje*-7gq!;-W?P|tNCqW+#ZX@&Dw^al3Fum7$@pKKN^&MB97?y}X9A^jgSp&h2 z#5C{4oRvX)8P#%0+)Lm4@%hfx0E4Ul-I&}I2fAO%Spxl!3Evwu*5X-ukKd$p)g;Il z<2IGWf~)`Cm~8bu$Y%vyZTT+ISc~WDJ${pFRYH(&vET@<{&ypPBHj3bX8%-g`C<&F zviN4b$8XYsupicF*kZxe|2#h&n8%9^RB!oi)mV$&oTTZ6VZN1uBe?pX=Z8adcdxFs ze0K=VM!m;x)Ag!R&<}qU9KqH9JU<+qXWZcQ#n??{agE;Nx9Q7a|K7*2$lzO5gKoDO zJdf{wURToZiH=D;JfZOx{~6~kxAJkbbHefPxZnWyAm+Wg+?Y(mj&3)7_CfX55ASKL z#ga$xuh|;oUj!2Oi{}LgxOZUAW6I*MBAV|$bG8A#3zXV)A@I;Q58~U$CqJnB2E`l2 z2I4t#&Tyr69x+^g-MzIdrui;*bED=%==;&Ar<}0(8*H$L?5@`Rr}=35F73<62T7<0 z-Qq8V2XI}%z`#_xM*Mme=4Vr=hTccG_<(Gmcz!;FI+BMvDa|v<&%V5U8nPI;uITnN z|5@JtqN}2_wLq5l75LJIIfk*XxV^|jos{NtO}t3E7AI&3;rgYxo3!hX`JBG#Jd)b= z35=zG{aC3dpHiwT?A$(5slQ<^`uB&FiXzuOdz4Zyp5k+I$BSQ7Dh9irI8LdjE>Mb6 z;@{?jcC(6fOauLENe8h>B8g6-uxn3Bh8~%Sets-U3`{ozw`VJStPd@byAw|RLz`TLp7Y@ z>W;NI?x>o75!P$4rYr`oDpyivTF^h*;iWVbGTs7DEqBv7tVhU5XCmnsx~~1#>Ae*&=azwot1iFkWz(7s!gS8+$7-tc_J6M zcbEXva(igGQ}eDeau=vb7p$cWf)75Y?|si!YTX~PJ&EmK*!C!O)nujC~V zn!mQ4mWs{=mV28=$e%H>dp+!iJ<%QxexGU*v>WkHr5Lz7lJv3od!V*^9$iymfaP1R z@rqX(eAVVPUv91D7ai!}`1DXvZnf|L?heT#A~#vfonBWid`zXdQt$EG<_ll$eSm>q zl%tz*+I$q2dyl3q25yCAb7O=KwcLWbavv8OtS?AuJ0u*pj|z_9>VIDUJ$OalHDNzK zFEkGdSEbrkh50@!IKX{#lfmQua2e~nzfhm?0c6|fpF)GNK}y@5)PG|V7w^DN;1`v7 za?$y!?E@+?*gkJ*%3|Q&`-5TQ&EpR6)d~&_%U8zJ;#Up6I_fhse=+&!BjF3&KmBCz zyu7iW-ll|WdjMI!-wMt9245Y0UN|4gdP6aAOHMX;p8xmDGLy%*q@nQLW$;z|N5g#g zX_&#)|H%Kv2YFojA^QaH}`nH44K zCrLMVXuv*T&(XZ6)lG8Qk65zVx&EJ2r*M*&4X9~@!mZIY-`fjYx7vlt#62aB~JihzoY#IQo?+A@m z(pT^C+wrSVJe6R+O{E05SGUv0xU0>b&;5wE3gYQKEZ-GbzA}cFoNe$`r=B#=^7X~} zK9v&S9xT+y#FrAAo<9{j#sW6{4R*0oN9pF2${}FHuQpC;ZS26?h=ZRQ5 zk#R1egRB?CL_WsrFq95vJG7U`dR|Q6priXM-)@BKAj5DS_Q?<&$>x@YkpBht z7*p|`r541?%Ab!l!?skTOX5|sE)o;|*JJN;lS=%jyPt;bTL3tCo08NuM1Kq5HW%V# zeL={+V#rT0)PTPh;=c@V^tGQyYn*1sh4_~$HTw~qtA2&&-Ox{)^$+=fE$B~o{F`~t zZLt1jpnuMTFA4b%1L-2KpEP?~u3yE(KU)FE`H3kl!uHnyKE*(By(aA6+i;BvZCCOL z?z_4J@aN5YmW2Q9h>uQyKd5=M_%IZocS-y`4V;TWe;{Q4eYh@$d1uMn*k6I$?AnFk z;7jlM+6@0@5d8E|d_pgl|2lX;*Or??@^^~<&jJox+e`@Q54|Yd%$rj{>}R`QLT#qb)K11}=|pKe~IJj2=tCoZfS4$}#)JZ@ygz zG?Z|~F7Fm94fEG!m!KV}EJ22|a&S!VR5hht8?l$>2Uxeo$KCwU?M(z50OveeWrBfVGDM8HGb@!OSdx3r^gZZap zzWaVK$FC1hlYWeS31a*B??dgGE%1rp177{+)s5FBnZyxr;Icm7 zi9Y5oL%^&)#7E{8MAPrv;JCCTSIetF?pOky2HY4&-X%l{IB;3sw{>nybn<2b??R1F zzXLXkzrFspWQK+>0WM!h`BeDDxhK-vfgG?T&+#3YCEss}={O+>%`d2wOjXKWkBA}P zqyKX(%*XqV6EO$M0#Xyzptowm_hDankHD`dYNal{89%L6gBw(9hF^lXW%>med(Q?v z`J=f?7_FK!obQ#TSiD6=8WaLXB~c~pr2DvIh%cO%Gkq~b)Xx`T-%2ICp;|F~9NLlL z%aHS)0)Oax-2PGCnTw64Y@t`(pOCjr_9KkdWN``+k-HfPR{k| z|B?;r#O|uOrrX0`LoL9hvd9pUzEp_LFH0&_zon|Bru%-%HPdllbuaIR*dq@rwf3*t zw_9o;=Vfev!aOh%`u^+9o1)RO%`5NkT(#q?N412Chk*-vDtsSr`EUFE*Lkz^3yZ{6 zbl&5aK%R$wWC!WTe%9ZA9iNVG|BQ%^X0js-fCKoQZ!2}lmpYdm#QdxZd}+QlVLLY1 zM&GD6-*NZl{a=r9{=o8=RB292kPDZ*<#9bh#?7#uprdQEmhL?q{~PzJUyOUgDLxD8 zr=#n-mJYdd$pL(4I0icEf984Euspy2@6t4+C6C*OMn*Hn&_DcNfOo569`evyZ1b>H zD|L4#rSAF)@A-KXd-(FM>#+fTH)8azVkZ05e%PP?@$5UI)Y8U|{izrG_wV$!06%V) zVt!y~w0&95KKOkp`h@Y{(q{PYotKVa9g&1M}`?6D0$Iy-R_)q@n z>hZ_;zjUBwqfXr<=Pk5u?|=W%i?5|{8_~SAC%`{tJn}yChgw$uA;6XMd6IJKpMC!I z=s!%;ytOaDAD>(l{um=H|53n|xiRy{!#%WTyN}f4|FKLHY5Xkk_&y8d8{D%$60aml z&md~lF91$g6-haOZI_C)jA6q!Lt15G>x`|8(SEZZ_9NyC)=rGMOOa1ZITarZr(S?d5m?qNrWlv6OR#qK3 z7`v^UKY(VDC+GLRoO;^rW=EsPWxT$OzJ(SYmpn;Wu{{esIZHv~jqBZUYEP)Y=(kcw zW5%VFXv*lcu_LS`mb2WGgLqiFl{o9t{dC`+di=K8(Kvfj#*T^Rq)58f{i)oOQwti5 zo2GQ1}|-tc>xQ*akG3o_Gs5-A1JkAiIMi( z?b)BVdUieuou7B|x|`>rIWP6IfI!~+yQ5({@q9x{G$S)L-8P%{=hL2?mq6o<=iTq} z`&B*T!|RU5`SZMt;q>*WoU9C_7cJ)%PtJRw`NXQNy1ysq32e^*3kcZrp`&3rm>=OY zb;%R(9;&nv*-XQJ!@R=wtIt96on@y^OZ4N=CHjCI%-;8_ACRw@!PW2_=ce$)5i!5<+#z435aJ4Y+e z5dl9vGbaE1TkCq}WGtkMiW={D0YCC%4CV^BfQ5Teo27`*B$pM-yLOM*3K3 zIO^A{Fg&cnymM7Cw)?R?gY8vp=-a<_VLzYf*^9AznY1;@?s21M@8LesRF{Cgm_HaA zZqM&2J~Ky8&en}W6fEalPtJLu$r5JR^|(XU38=pvHgAoi@yd%EjQxg&0P}1ak!67 zhygjsK}gtQIuh_}`OOQcyT=9`0i^Nk9(9e?#NiCns$jo{u#%C(09)50{}2Ek8r4)$K9< zW1L%wF=+V^?7ziE`5SGx6yweEG-vCtam4NBjsMFq{x9>!|DOJQ>Tn;M7z1`<{5Ldg zC+CTr3(}Lv=|&?zQM`NO|1ymK%TQ-Z&q;os=o>#D!7qk}^Y7Q3C$cA}r;N_ZNY5CL zPsk#baAA4gJYd=Tp!MbfJ#R7hDN{W5?PK%^*!7X4;daLJlqu1XBv0FeJZ|&R=fL&G z|DJcrdMn3a-}w0mTG8+Fe7Ms%ZJwFcn_A~VXJ1BmH zhUM@)10V9GC$EKo{k6~8v%Dc7!EF zZ_5$y#&65fiefB zXxNY6kbN|GWToX`B86FK2HS;vVf~1nxg6uQDQ7$=*Ex0k4L0?DTr5Aw(Xd@#ksK^m zkEI-iIoqi8-3Y+t9FGoF_1ZBxjD!$f9T$ zImmHVP7Y`;CWtP*TKjpTU)(&ge6piqyFMekvPUOp!F3WQ8N<&*lw@nWCV28L1ML+? z8s0ND)cbDl;eK)R#PaEm#>Iz8OVVJ%lKqG|g|)8$v{!rbX87@e2e%EKH(y#l&(UyR z`jPD8aV4Ai&-M6YO>y}xh70UjE7w6dF8amI7t3#SG%o&WJ@Mk$V*SB$SH)y;;r z#HikT{P{rtJ_Z!%cRxEC*YCt2Az(QNoSYRHvsbh<-0=Or&VIk^A2&~}XzFO#f1HQK zf5|yHS$I7K8ZCLmh%C;-E1G!njsh*#>rLr1*3ZNJu)du7r`e5hSvqm_qq{uOyn zUf+=cd2#dVid;t%UtUUTnlvcOgKw?8%RxKOlQ&o7(Q}n`+R4+~8{b!4>3GzMV_Dsj z>5R4)?P=v-3)-7K`S%9mc(8%^`C!Ejj;4;h?BtQD(UIfRQ!wzS>-iGJjFpGAtre>b zSEc%X5Rex)udcv2WN26(=kZagIis?o$O)J*nXD@9xx|yV9<+aU^7=LN^Y{StCS3yd zVf;5V0eP7d>&jbz9NZb_+<(IuiT*)rG)r&A9{Fe)a>UZ7MZj;g<9I6b?7a`VKjMV- z8x*iNZhl|!kfU+)kFgn}aIMXZBrFH>2iuNMfaV2H&J=(CF(7_^hmQ;m=f9Yjheo7k z=VXmf$;rryrsbq&;S(nmK002X^5nh@9_X{C^joPq)3MG61LEiTHyjPy$LE>JBk(l~ zIs;5gADN-$yz0rp_`l*mMhfm1`m2AQIUs(1$M|n(SPq}BBfec>>QCc&bHeE*aJIhZGf(GEW`iOA^3kUHrQQyKk6}u zTLrswFp$za73upX3vbi9EWHCVwt>eM(BgRc!&timXvLYJEd^aO)OSPO>9}VO`6qiT z?tO0nyLM`NvXgvi?L<#r30hP7UlE9#!KU8NYb(*phKB9r`6BGhPDvgs4a#!RURDmy z`B#oK+?49S!JjVqsgw~yDu4U!D3fgx)c^QFze5Qc}+U+ez!*=nwmX$owytUKV z^|~hqVL5!=MAn;Rm}NQRJvoKi zmqE3CKRJ1;Fqc@>(MVJ3to8wUar4wFjN^vJwbz6}(X_Fdc!he#$dUc}Qok-mT$G~C zO5x8^*ti^Xqf*GG`$JZpgl!zQYq6ovtxCXlF1A_NHaLC$UysPI#MAV%Rh{v_o8xv? ziXSg$#?6zfx;h%q&Vd0tNe*nWa(d#wjdJ*Nj>w_=9C@4y`N^9p474_jW!0P&-+<81q-<7^$%L zGk-pEX58^%Ri>lyXG4#)~!|Mlz3*f%|0>{JUA?Q6eb6|h!K6vdd%SB(XcFzaR0#EL8 zKM$Q5cU)S9an#Uwat79sgFa~GECkI;PtHRkhvJCGnUJ2oT}vIU^9TFYvrO}5`-(le zYe8G-$=xIE`oG96ceG9}>xl*+hkETm{T2De+FOnPw>!B*dj;ZmfPn0x{$s@nU zmsjh_yBoAPKR0FQg>m9H9v|P&4>}$!-^FhoO7`>pp8O|3`+||K)X=gx@mq&WD1M)H zJUFiSJbV&A2#}IBIg`=>%YDX^`!Zg`)pAnPM@Q58 z3{Gb`m_OL|d>=IbF;bK|I}*tAHZup>^CL&Ya(I1J%Nd(GDmeu?hvi`YVC7)_f7SP% zoSy#r>Y0NLBp~NoM-#Tk+>OO@Fn_Ree!|*vYr_rpOb*x+cmA>JkfY)F(C4u^kYU%w z4M!TMSMA5RJR0LO^6GNTCFuG*T{ABokNr~y^S9Zvy^wG0T@AUbaqe!)*$ch%>7V<= z{l5C@;~Wj!%j-3AA6@9eJjtVbZ>>C>^RLF(Y0BAmd+RljXC^>?JFf2LXjmSf7wUL1 z?HHBYEXcpByJAbhhH-B-#*#HNu)iGF3)bU%W>rS=Z?k86A>Z1IwS(1ZPS)A)d*`LF z*Gz;0_F`UPXgF^9JV4uecC#M25HBQdCZM^FMkYf^MKo0tzp zGqQ4wD3*hHiH)~Ef(B!_Dd(OYhy(k8O+XIT6AVoqIa%Y;Q^apOJUM>>&0|K2Qs?Fe z<;2ZPe|0o0N8$j>@VHKhZ+aOy4|;N*0?qTDoOOXX=o2>|JnLxO`cdC#=5Xns-nhT| zCEz0GnsV+F-ultcee8oZ0e`*jXgI&}c@XoDCa0uij8D(8H)3=9yyDq|@qhJ?MjHI~ zwRgP^^5W(LjQ@rvEDtwzrHskUz{iy|PtNCvht+7S)$rqL*t#0>S7UCl`e)?!rr0pP ztm%RcjkM-$jG>sHEdPVk>Hl)X_ImS>)dwM?q2oC0*r2`f^3och8yb!ip6_V?Qmo{p zN<6|I6ZdNpLF3IshNXJ*9r!g~URu+_(XbrO=UR^3RnPf?d}iff{9kjtXU~;^eBLKs zURs0k-_ZDRk9dsIYSkF9Bfn9*SUD%*|7o6_&B3@IEFeEF*PQBTSdQes?1?5@h#a)N zmD39}#yQ|Q?72Yxvk%$?12yij(nlfx}pnvu4Er0<3VUC9F z;PJd~;E7cB7h>1S84a2&BSop?69eP9eXu4V2Lq3xsdL|CR>tu0xPZ)eW}8JzuxGjF zd2%O$N3JJ#oOj>k&wcCzHvzd9IhtRT>u$@_awmIor-8?8Pwq8Ax$)*NYp!rK>{owY zF+K&e7O@X=3G3HF&@A=j)CTgreE=t5-+V_SahREcd-l_DU$l8ppYqgNtkbN8O=~De zyoO^W^EH@XtXYk13pSkhuK5t#Lr(s$b;RumS=P={$SHH&hqw0nK|SxmShLR2NSwk> zm>5k-PM0UASq|*6ayEme){`^Ti_?1EudrsDqY27MOUF$c%#7v0XI9RiKy!~LXNE5a zj+PQ=w?8`?*KTHoTNAJxw2PJV0B9aJ+>{!=*7uuRp(n2t-BbaPTlPU;9v%JLBF)~*jg`}0!< zx2%5;LzferCMgwSw-@(oJ31WZyElqB(IZTTkP=_A*YI6?GXD4Q_&)2MH`MdI{Mv4g z#>ok&&+SJ3vvPWa#x;*h*%Oe15nUHQ4%ebP7#fzdo9y8SMse@7{@@wggLGr%V9Z`C zu|ph3boSLdy>-^A1@t8gGx5>VL9h|axg}(%_4{{BgT5;Rz1(l zuSFvm8kU3i{^529Ek#lj%R%f}docd5jT$MiXTCR2J8Y-(L3=R%8yc4L4arF!i@B}b zb)LrShBP)>Ia5J{^L0~3)cEUc@t>Dpi)#UfhV#-FBqu8sQJkKV6`eE^m-@^LG1xBj zF)ME_Xspudaa%A^ZOHtX6@q| z`>IBkDuS0sMvlZZ&$Vys`uQ(8cq?^Q3uJ2pT{P67K;uVp#HVr;m1uJ zczijKJ7r26QLOM|s`gVf9pzi24C! zCkA{e#?CocBN3n++0{0W8;0#%$-k9cuj6+ek)Oe+{KNE!^XYTv%qs}v^#iWVMGPL~ zrmMV}kQ{4=8V}8!2!Yvz`n> zZx6sHY4};L>o+){P6)=&`QRgX8e4u5&+D?gHb~Z=0OCq*?-YDnX;wZ(khK@{jCB(n zHRJt^{v}m54ldUf>wqt%;Z5rJpgvQ;OYjU5?@6D$xccDLvU7v_U~aLFGmqv_uRhmn z_&VTAY52#mKJ&m!@C*{~NuR6H-z8^Kot86|V}}1req4tzTz4%~@ekw0>nF7uhw!B| z`km?&^bgke*9o3M;ywD-x>5>cH-TU|hoDbijfwn-{_a$^?Y97AD;zhb`^ofUe6QZv zL3nGhEj9d*w|qMQPg#WT&pd$bX>8fpsz_Y@ z47QsR_r1T!mHZ8;N3fSU5%Z6iAKucO2wzIVY&9ro$8+E#cm|30WXG#y#|uF_4zL|G zd?Q}#vExaQz3jNvYX{-If$bj}w_ZDTYdC%PN=m|?)!?8VAAyhH86@5}K>e3w$0zaZ zC?K4A?f4hSK6l*ewS(}!!S<=fHFjVe(|(HS`N8_88cyHtl9KRU*p7q9#e!#$cn>?) zCy*UK+iDkAS8?5uU8X%w;(cp#W7wcPU1o{q{ zl*a4S5Ig>?AEayP8)XLmuF4Ly+xlZ6NAL_1@5$biVDI{lwp!~!@po0I-L$<%|MhJ_ z*4dKjyo&x2wv+L?0{3{0YwWb`wgCBNFY?XpDl!0V_BC>O@dOoViaxj#<4jq)lkr;| znS8YV0?nQDmC|I88XENDaM&Su28s7LCs;q8{5Zl^vz`HQc^W($S29klCp^q4)@OQn zkc)ZnG@duaq(6}oD1^b306?I#$px2L?&mi#i^R%(5wO_?DR+#|c2@pwn% zG`AFcBls9!gX5l5>cAbEl<5vmD=dO9sTjW;d{e2t(Cw<(29M^;m`M`D(}vplt;|^! z&6`Enq-N?2*N>zlk`90R5A^P+2p*W0k8s^{dFvTWqbhQzp1acD2bP zz1U7Sy|s3%zYgR}EV+$?@cfqXXs(Gl1{Icrb_>sM8E-A{mU(#oJRm0RTdv`RFC}RT z?)I|%qEgrL{1$W6b>}F8+xhMKX9W(t^)CZHT;R`Ql$P_}^%xrso)N5(S=%_g7Ah>If z*9DID*nsl}oO1->9}67)YJ(n2n?9!!f^q%<=zupL@l&@X7}qn1?LCCA&R?N1>x|zq ziL*l*zW!~!$8XbD)G@(4@(tt)p2ebr2B`mu;$*k2X1PC2qqK%EtW86EV|^rC^?$;b(sZ{vEnvq6#J#~Y zNW71rKB=pl+@q4^Ag^6B8-pGFmw4HL;|tyw53tZ}eQtIfl0KU5fx%E!$DVHIBAFjbU#ZM}7QaZMevCRb! z@cAu@Z66s+Jvq%rh0Yf@V7%Dijk#7I#My=sh_ek?{FlOZ9+;k2H05fJ1RAgCgqvJ3 z9%;+^r$5Ms8!Bm6%!lZMo>Uva8wK2RHLh;|X!Npq)40OgF;2rbfUlHhe^otg9-zKW zg>=|hq*T!gMR1!3HeAYJ{=VvltI-}WtLB%5`uT>7poidTZ2y=1fCiPqdhUlG^6)KJ z)ZsiefqYP0sS&K+(qA@=7eag?=W^A-=`HgK%3oz@hxIS2=C_3Pngh{-XSvt|((6k5 z&*_D4+oIO$1^!g5Uhn~x4Q|b$`7&RxMH)`_Y^cR{zG}Wbtk+Gb7d(ya|B_y}*a{mr z+Feesu)nG_$p$z6HosG~5BA?xU@dr703A@gZX&sBY&FaM3GKuKS|Q|m^C1{#;}CO= z4P}-L^;jp^E9+@9@m(AN1@Or?>okDz@!dC=hpz^iDEIsgA5z)i<}}UU^v+v0+@s;- z@3NbLceNsTU>w?T7wQF1WBb1e-l`Y1JFRoT?wNC@=VLBt+x=-xvjKAuDJ?Dz=f_7O zNAL_1?eOI+zXV=_XOP@JH2!kCu|CuDTr=?Xmd7S3_4o}+J-rlLjZ$yCgYRLZ%^!Un$CHtd z@lETKFfKf@6WcB);nz5__Ubu?+92~p@Wv+@JGy*;F_%g)<|9x|t$$s$Tomqi-@zY( z$H?Y~+Gj7t{|~0dYaf%RT68@D(BeDvuWhd{K(^14fzBP%k$)C-=-^meeftd;$5^PM?Qis|`Q z8F*7EOVoS(w!F*BcV%64J?q&Gc#Txc=Rh%}KA}VF4 z>pgy3{^0xTJYCP@)(vRasjAh@VZBCzUhpgzdq8@Pw*Oc!@|PaA;4c|ZL*rH%#%L;K z=juIvTP+QZ4`t&4zeq)z4YN44h4njRR%yKWmT}5k zmuY>i`duJ@l}(2}Zaj1R8>0Ry@w6dT?+C!mR$OK-RnS%hrC-h zQ{(D5sC%Bwc<@Bol^$N4b>1?xITgZ}()uZt;^G!#7!`_-@^!!)q6qH#eTBd=-j%mN z{L~8EJ5MfK0lEsb;|Cho{x(pNq)FtrQd_0lhd!^as}Mh_SoN@%f;i75V2aQ~MUL8y zIB0C}EDJv1aeQ~~%%bSbIk{8ma%)lk92!3`L%_S+!^1iWpO*tMfcF^iWZd@o^$GKP3V45w!w-ly&%LeTq^FcN zTh-K{A72I^!81s_$GBJaYMg$3XmAIBGL*Bh2VkxijY({Ft=wdm6V4 zbW+;3QMkSy6Zk=0Tbv8NNBFpHPB;&K2G~IrIVPM78zL4@q4xh=Si>I_X9s5%=H=-? zrgbtNsdHtm40}S>dgtI-{L}G9^5LmjlBes8Gc+Iiepc?38TqsGdgab8%-3JEjEl$L zy^MNauOxk}YJ6br*0!p$n1#2!h}MVkpRV(6?4aw(FB|8>#P<}z1M}I9O&y-)LI;?~ zZ#)Wq+1T7xvtRbvki581`yM}8SDSNz{^t`PX-^sGe~ZqPthZ#A|@lzJvh zsZBWEgnqmU`DWAK0~-8lf2=>oXqKd}l%r2non3qt=-T3^V9)h@eDo^SBp6>4024gJ zg!h<-ZoGoxYm%+j{jOkcUM^49ke50v)Qi(k!LKJ$7aYGscSNSK@sr_aaw#!@t`*Tk#k%SUZv@&9m-z>&KPy{N8xr@ zjC#S-*z!y5P(kfbVyoHS0|i&l%`f7}JrX2K5y zJ1Cas3;bEcR}-GssErukH~w8k`pQ_KIpP=NOwUf_XLpj#b|13g4u7@CUBlcfeaf4#43$zSC?fLE&s9_;^bqF(R}6W&Kq|2E~z&^pG!xwFFe zy={C6xc_q0tZ%$=;yaB)_)?DfIdtFKMtqO7|L?G!tklo{F=*IBpP_W$+r}?!rEZ_X z%iMK@Bz@jK#*F_uuiTFQz42R1rsMvwU9Ph8tBrd#&c+W}2l~_QkIKzs%9AvF{8qg$ ze4gO}f1*1mgQv0N7y6gut{+v7fm@396H=gE@1uo&PN0>9V&ZG#evl!4O<_9pGaVea!k5ziI=U|`rso^wr|Mc-Kikm}dFp0G@Sy%3AWQHJ6W&AZa=Pwc4niqBzuF7^ zXUr)qGLr|u0{0&x_>3Lpm~)hOHq?aYj_D|X*A=+OYj_>JnAn4urb2wBbVyS@oW0|9 zEye$)E%3_=ir_(e2ZCPk3=`gyy~D`f!M2+Hz904$$U4!kR;kinvQnf`tsT8UevT#A zaYy~aw6}~?<%E}lZHUnG{1$k6S%lW*BEP#pMcO`y{ZbVr0ah?5Z`*ERJ$C7m!$;;M%t29aZTuXZFEunRp@?x+SJc~sKls}e{ z+$FZ!%C+l3Hh-)nBpZh}f{bf4Dbpc;2${omL^_)?C2#?K!z{?dBI4&*|tZxB4# zpSD7l;29>oC;e+k|82Hf>rpr}FAsr=g7t{F_j#9Z1lg^YOt(AkW5mM4oY_-xy&@+0 z;8~4B_)?A=q`C#p56UtAmkXXj;(bHZ(|rZy;qkxl>fFmT=8;{k@=m-d(ghpO0BAl( z#rhfTU5@hrQ|ja`)87g232YB(%wNR&8}J^h2QMaeV@^q>T;dIf$K|VJmk0mNU`6^? zAXj3(O7LL2y#;!~)7bJ$?e-3u1((T9J-6Hb!fOioD!OO?%fNllU{QPGyxKpnjETPJ zb5zQKFXg!V{5bnj*HZu7bTaT}tK(h|_s_3UFL;It?@8b9s2#tx)vU))MN?>{nO5F$ zUM%bT!FfXYry$#7$+Z6dd0$NHPnXZA5WbY--cu(9;%pQ2Fn9)u_YF|r6bv>Y;G`J+ zcw}Myy!)Hr0~=qsp0O#>P>Fxh56Gw}QcnP{1#lBIF7x;8SfJFEB}y%RSgCEBam^k1 z_F?3^D{=jFQ@pX?iTqWLOn;@erBV*Nq;yR5o*&qhs%t3@DsWi-ks^2?Za19)odwS@ z;XV1kH?G6Eu+{CkAB)&BS26w~n>XS5fGP3(wR0Zw-C}Gp73qX?vaeU*zJJ)x^Z8AB zErBXUA3GpWKh^WAlCv33>vZ3YP9EEOFot zHKgmNF@ayN>xui-?f97B!T7os^n$0c{oi==k*j9p&YrHHFNukqO`2vC`j?bW{b@ep zw;N(>(kHxd)nw(kS<5A@Y~ zkKf~uQH>)3{`Uhecos`}NyF!#KbwQq@_$NG&|06AhKLP%NXOL8V{PX7W%+T_G zNmI~zqLkyuhxz{l`~}Y-)yp3)A{@*AYfa&;QyzaY@%Q8MUGNt?#~()xQNN4g@;zIv z+X17jk+Zv*j?0tu-4Hh4z6P=nEm__8k+|JNco<_h$-JaaocVZP0`FtZvkqQN`r&>J zC%#gSzdY>EpTI}(3{qTw?t_tY^DtyPe^v;AHwGMEr4n)9HuBcNtK1Ebf@j*HqJ^%Y zagGYc#pCyc<~y5yMjsJ8jphHM9V(jH3VU5x_*pra`%$UD_$B4|L$rP#)BdUGt!sIn zI2ir$Mb+iU&^l#BC(sL?VZwXFLq!kL;{;pH@vx89&-4g$WY^L9I?Yp2g>VIlsUcR5(xNqJ6d~g4^@jii(SQ9;*fE8HZ8 z_59KJEw>2wGrRTOpzD~4^~+GO8SA)f|Httk;hm37#_f9jM|cykrE8vb{3rbnYY?ge zV{ApXhlh6K>!E$T{sG@nA$%!apHqvRpE1@_AwSm)0bYMa@W6Onfq6uQ;Aw36#k{&= z9{F*$t!903o-#`&IQ2bGRDpR|MWH3raf18fX`0v<)z-g+Hy>Mp#?|~a9?Z0PwW3VJ ziLaDXE~Wd}yu4bm5PSsBAn~61(HgP?69OqL=O?j)p9`V9$`6I`>a5l84gB< z`}Dk&@8{p$ROUB&HgD~y0NI}`S^f4B9>(a3TQzRI_7dKG*lw=}FQ)A^5-Wf&<j@NUw#pa;$gs6<-PY{-i* z)So|2skbkpT85uRdn^B+LXUj~WZ_}_AU)OznLqX<0}sOo;cp5Y`wH-gJQ#w<1pW!& z;DLv_H9h5n1U+x7c%L*<>dxya?lAs+8^xPBveQPSMpGt_nuvE;M4i6G=Uu$NAyd;d z=qB%-i-|vXi9Ez#1$4s0&_R8_!h0bokHVKrCIVhA-{mp%pc@Asn@1>B@-pCe%X``k z9CYlDec%CI@Gy0dKkAA9W~f&IJ`GO^@joQ=*jGRn9)1qc6CLs2Oge%W9!3trQP2FF z0}fppz8>ViIZ^1buYe3(MhMb_j`_FNGVoAr5RQ80-$~oh=!OvgqlF%Ol7)v+gY+E) zj{Rov!b6@xIQX*s&VYjl9-a)sQP1*E2OPRK9u$(_Md-2L3>kP>F-YG{;Mi{lug33& z;NZ*hhXM{BcnB{@-&^Dl1{}IJxiKWaKl&lY!_AY>P$*4)l=s}kbo^}w-Az{jei4IJ z;!(l=ycu%YzG1+DE{Ugy_@kcn8w)sWz{7As{YD7=rGP_LVr7Vaw8ZbrX#cMOzdsni zo5A-y%q=RLBfjqg`~|w&>GA>fE0&)F{?HWIP(JFJ z{(8V6tLd~5eSy$pzZtUd5K)l6NZ{CS1}{9E6NJwfIQE;tqgj^_9CBH|1%QJG9v%tO zqn`D<1#sBXY;H(D)HD4uz##(kKZok(7&&0zM8KL$o75><7 zhAw!xBFKNOz_H&9UU24;uvG(2Moo3OIP+ru-lr^{oGcfWy`nzYFPq zyU^bSIAq{vdrj|+C!1yL-V9uRujD7u%#7@`N%F4mXy_Blipr6g^k3pz!&Us#;uh73 z4lMjWk&pf6t&snDNdE@~{s`dUg`3fX_Mx8bdl7K>qUE_E`*sRF_M0ICH+Ki=pB6aw zo52e=T?gUM3LN{*;DMW;gK)@Y|Gy15c;F`FApB*~?>)d_ORHl;`n|66OJyeDBLMFm z$}ix{@%0Jdh{aX~q4)w{mLCHgI^t&IpnTM`fA#?mU0dA~k`KO2zYB24!p*@!deoEr z%0_@g*Vea%&|eAPBN zXg}qBrau}yAOklM2I-*}j}PC29z5FK5gZ>XLC5-c0vxuseIlfP2jP!B>4Ka4g8V_p z@;d_#UbrbQ2uD5h?*ce)0f(%k&kNC?F7((}LKbem z3exu$cz?jb3pW)7;iyk925hHNvtCr{n6u3HY087;E;uzUV`+fXZo>#Lsq+9A^Oomp945#;ii-zJ?J<-<^$dc@OMJ-ktzHq z0S;Z-?F;b-Uz#6R-i7vmS*iA|g8jb|bgcgj;6PX0G!m45k?=199J;i>GsOQ=-G8d+|Ie=4T}ehJPMfJdc6?+_ev)7xvj4Lk4v&Q3@GG}ZG2rk;hmS(`fsXyZ0PxX(9|-v$_00bkz@Y;!`UmBs zp6KuF0ytzH`=<~+ym9JU-AZr@U&$G#G>a8W!+zgFNCfP)t< z4hP|7N-e;7T_yHZ=;AT$kHn;ZfR5l>S^@{W>cF=Of9xxv11|Ok`PT>>`%3US?!6HF zHi2Vb2_Coz7^H{Y?4SDp2M=5n3&QUb|2zvgeA%%u)PA7j`2Ps-Ou(0f;{QS6k9{R{ zz(uYg|3?IleIxpC2hP!wmJzhsVi%lmC3dJ-0%<=jo@L=1Ce+}vTskFxd!0!V5 z)lhrDhVup~RrLh;+y?lr;QC4BH-KX~yy_Y3J1bn74~=&i2hWo={__q4j*CKMoQ-Gb zMdRg`29Vzz@NOY`=*9Yjwi33U^nOTx)Kffd0oO{{deWYt{=}E)x10btWOWIxR}dY^ zulfhz(6!6mLHX29#J}oMz@bZ*XF~kZ54k;00vv6R#p-~4TiQy0#h&^!EoS@n(>#d# z^C{2~yz0P@llf9#lW#VL=4>;qr6ZzSOG#VKzE<73Nkk&pcr=!(VCpnaet{kE?J z9K5i&7=)iE{IMrpQg?*-r)&7Fuwe^$jeIExCtuO}V^to;oyU~QKHK%5jBlh3Ct#1L zxU~|s41NBFgO=*l zcT~%yBM)-5+ESw;Szm$AMr;eQz8FCw&AH$YG59KS&ENmL|E8G_U;eM5UA`PrwS%4? zpt5BvHu3L~GOV9g4o#hua}GURc~-A^g>!q&oHHeNCfx*)H#fIepMhue?ln7qPGR4? zsfE2R%b(qA`s{hVM)#aQcwls3|DFX?dg2nuy!kz;meG3V&79UNfA*A_^QPwYnl~HI z?oT~qM%;CA^dou??UoJLNPpSOxd^1N!$o31y~{dW7K_5n8XFBQgj*Sysh++T5nYTc0a*lnJBbI-H| z=-$;ln9o}(VYF(l>Eb;H7t^>bPmY=H-zs6J?LP>$sd>{(|6w@U^O>gQ|DUJ?e4$lfXLH76Rge28W)9od? z!YMQI&>;jRAn%Yzw_lyuJ>ZWi1$bty$PoH;j!LPmO7&Z6^_+6e^sCWfoq5W{bro{O zt$zXjL~MxpI&ob#F{5izVnN^_o6qikCkI zoY5C)$7B)Lu7^7xIdZsXVl)}odypO?k(T-p*#aNJqF`?w9hIWLCc-ZkkLE;Fi)dOu zWGhS48ZV_!8J(7%>Z+PXGjp;=q>UU&_|4p(XhbDN9rLF47=TvX!=kuXV`~1iY0;uc zq`9u4_g>K77W(hiQ)cAOrT?v>lQ?NQvCX5=;n^cF$<67Do8ltPqS29a^YW+)|McB* zqv)_{G@71QB=xPMqy@f+o0^r4mzhVSP5Sok)2C10vEXJ@C8Cy56d2BA!hTA@%z1^h zv9Q^Bc~hh5dnveFMT95yFEWa~?(=_Pxs#X4S}}A-t^xfaCi9)@k5} z@u5!#!K3q-z1_N9H79>+=hM35NiAKN9`m1oee;#N_A=;=@vzU`GG8J&u!r!r-h}P< zntl$RFKf3GV^nLJxsX1P^8ww5kw3F2e|A(q_c&)-=WhILa%cT;GCd~-yghNASB{Ac zT(3WWKjvC(a6b1Vt^+o8^M2N0xl&n}?`%bGyX64jC%SQz;i~|D7`nX*_+h}$6u%n& z>y(<%2=F(RTG#;ai`=-w^cZ8goiImP*ckBZL-d=az3%`V`KZwbH!o)TDv2Ak!NQh+ zKOk{p@+b-EEsc{x`av$~S2Y=M*wXmakbaM9{i>1yhb@gqh4?=KIG)#O58qyk z>luyT2=RXg@R3S=I0f(>n09|2^8a&yX97MQaNN(3*f3-t&KD&>#0awrmyB?`yPQ z66`wz^hW{SEd>7#^xFaN3wq?BR=Y#;_v-eqItKRNjq3<4L;CO6{i`YhcnRR%bzasV zaWw(YXth5F{67YKUatTvXJ{IX56T!1@lYQvErZO z0f#S-N)P&{3iVlULBC7jf9QMEj9`3KfiL=3q}@l54_S7ckoc*BUs!%0z@cmVs*wEd z;=i7d4_~)`Fl0aKv#)~u*^oa3aPPdA?E_!e^9ER81QR@@ke<9?GtJDF6bf4j%!AKD%$r1z`uZf4*@fWM(s&r^f_kNOq*U!?sBfWHIy&`|rF5BM#Bp9J{(fKLv_e--RT|BJLg z1@I36pBIupS^P5#`oovKXNCN8vE;{m(BBXEEg}1J0UrVW+u$FJ>vp_0_U9=zu?q0* zXumap|084{`0~2NHJG!fV;pW2;t#!$pCj!)g?`Z0j?;$!m8^fH-SdFM);`|48OO&w zr6w%{4Evj5f8SXl|3NOn-+}&B;MI3h2>yG~??%93OW*R4eyAURGwgd3_P+@D^Fexr zLiTOn3wp@vzrfKGjCF*3Q>sc-;#7McVa}86=^w9W5-!pCrK(gVejhk*ap!)7XU{)g z!THC-(B&R%6*&KhgwCrszR`F^({>;4Ut6`K`~xka>LK9%Ngwlhb=j|V{!w?`!dP6C zKP!*VCwFVP=9ljiOn}}!pcBSRwgdX{;K&im zyN=87e*oS^!_VuEz4_j6OzTGwT35ca1LQYATef!V$^;`GeyMsxMNSHw7kekEK%_X? zR)ZL+1W&BrR8ic17$;6P3|=~|?~BzP3#)cK0NGTwUjp23^f9k*ZT;=ygH(mdRNNWG0~iqF(hXJ@+bUu))u@w;%BAqNyT<1w(GIYaq@nvBet`vXXm-l z75Uth2G2-;BRk{y$+r`_6YZ^Ou#2CgExOjcVu9rh_vDNPF4hT6X=(1C(d&VhFwk!2 zI~s1cAGqD3sp<6n%Mr|q8b0{%npH?-P+Bqb}FJUrWo)AC9^d7GRpI_hw)@4x;Q z2t6B6hNN+L+csTI<3=sc;Z50gS47A8;i6fl?nq3_+J^ZD6?#@#O2ekAK?8n{FG<&L zyHi69uKsr;W-P8qKbl1^4xn1P-m&d5rK+j_+=l-#k+&27p&!*rX^2b2L3xj8$hMsZ zh4%Hxo0ZSe%<^6Y-@CB=#gq3>k%u}d4Nnfs!<>Q2HsHDvN8bLTSV9@=zzGVMf@Wdo*Mla9xQbkNkN}Uas+H zbtCX58^3Y#su9O*AL^tuyf|cEbps6{TvzImceV3p^-17OHXee!1=z>1Auj2EHI2QH zx%hi*pD1-O58Kr^ZyJov3HjBIjzBi$wCXO9TcdRl<_%|t?d_}~gzHK@_Fm)J3&qLD zWSf=OSIeV1DGjd+%R56us)6fD9C`cDp817S>FrMxuhr*ZgO97bdGdydJk&{PxI`re z`bYIp4XGYxP-q`V9^TK8H@|3ZZnTejMUt02eQWihVuLiKgul2)Hke6F>#2co8``BOn5@73x zts18q_);2`%6k~tPUudB1XRDlhXi+ic!=w`y{aDt&SKT*p|G8ZrE0;`*z!wu-bZ%c zZL3+&L&nNFcABtoae;hW?X3lP?LC*6T05Z|m1^KiY4jAm7bYfo^A%mo?fo_IY81hP z?e#3`1U2I;5N=`8f!S&SJM*k zH&jBtY7n#!h zmu-&jw>q+Zgzu=3Y$*xbd_ND-wd`lKJKBZd&d<3T&d;-B45-Le39p6nR?SGz37!$c z`$nk0i2OX-R-pkEKb(L~M5#l%z1xnP%R*=fHYj@l6~ zfJZ(bC-jup4@IZV63hVJ1;ESl@b*P#<<8e16$#))fj7~^J8VBAZ1YczjFY6Nl!W)y zLKoMFRVw7qzg`A@LlnWCzhyk-xW;&iexTvc;{3CTKL4zN4K;$Nxydi)4>f-ve_v&* zbzDn+jm||rYL(gm@2wE;!si;*+Bpm4*IRP<*B#SQ0PjZNUZdf4@M6-BVFQ&K@Ribd zmb{mk#?6|ox|Z$5m{WuM_5ly%tD4mg&oJRV*-I}ds|k(&`#B%OTFPH7V6RC{4U(DLIqyr8Is_b$9J9<0<#Ezk?s1UjW>-`%{{V z{qO?di&f*@;e2*4>IKgT;XV1`G4jLxwp#Zyowvymc)xP0eM)|N-&XUw(Ox?aa10UffA-&NVE2h-SHJ%V4|9x~ z4>fMR{)11bVB9dJNtznt{0EzWBk!L9#l+iE!Zht{fUUJ|&UPcS|kJdG{C z4N;E=T~)2CwfVb1&j^m}Ix3Iz3FQ=QGzB18HlBV0xy(t3OC38+KO?+m*dX)2;2=sB6rMY8CT>=|Mm|*Fucy|I75XW9Z+>g%U_F(tGkj<1F>#nTg@*7W3vk~( zeQTp5Hry|B^rzUK!L|+CDy635{CoOxeD7-+wmS{SUvF>QS9GpD*YZxfShcY05Vcpr z3-C+rC<{;gmul76tou@Zt&EL?>q@da#1Xgq;VCmrCH14)-(!0V+jKA9Zoq%&M0HXU z_jv2fEblrEsRgbpan@muxIvrcEd}2>*yefiD6fz_)JaJ^tRi;Zhvk)MNUiiW{V$%p z*@g$lYwaJwmu$RQxA(zkRb(i}*&lKGIP7FR6N6#D`>pug@eZZVeGv7?3)J0voP%p+ z-{5-|7h87!=L6f`kj3^wCn-ry!uCQZDz(6MC7!)gEtviL0BFd^Ri1w_zOp>jNl9uQ zmM6o0t&GJQFP^+Y!$bS`Iq)VQ|JjrGsK`T|l%!)xUQF`E3mQ)2&5j$9H@{U$C(!u- zz3(syuu<6lt|@DQ>k8!qqSA%q`2cQMo;{ss%xpi!GWp;MPoH=2pW+L3Qj)rQ>)&jItb4)CY`L$ORgc*&FZHU5)4)JaLgqmB&%`R*ePsRi!#Qw$pC zyZ^X+mp^;joO<%z7eX)R@`gk0&wjpRdYA8F;(wv3ecw>2qjGXpmt)JKV#p>wG)jqB#-K(B-PUTc1-(e`$?jYmzVEWEnJ+EKAkir z;kxoW0H`f>k?~f>FN&L_n>+A$t1~{w5$Q(0!Tid~M_jOesFRZPxUb(pUF)~wzezuD zUbejtcnY3j;`XFxfBRR@Tk$=GyrQCODRcya??WeOb#=g4{f29V?Wb85Nng|Vg1z^a zZXX5_f@iUm->>Aor9bhy$F*~2=Q;b+h5uQWvc;P!Daaq={&vB$Sb^&gmOn18%*>xY zqsZ~UK=_Zelr8p#`A-6W!LwKj&DS7V{tEy76z2NF*v3Bwe|wfWqF1IgvGbzsmm$s& zo3>1L_xV-54Y@P%9tPyrx9-3^r3MH=Vu9e-n2cRsUzJWL*_Zjsma6B@K%_t z9J+;p@M99s1>iXi8%=&tB7NL_k1P-MbRUchkRjmyaxX_~?6i*anbw~o+olWIns1NN6_S=)ij z{S5gA{4U5yPjz;$1fNA3kA54*-2Iwt_ZoT56nlCm6&G6?6Q0#$gTS$;XHju+A_&L4 zmY-9_emi(z(LM;T6*$^*J9uERTf=?7Y$J&(()|+am#oy(^qgo$`q;?~^7J7-TX6s7 zBn@cvt@tG-?Syz?eX!pSov=6^)aP!2W4|4|u=pE#jsXQlLCk9;DoRj-;2K7R8DS;K zkX&^ga}H}xYg!dy7}AjAkOwe_RabXy*Mz#R2_?DA|9y4pgxftm`rgyO;P&k~^;Mm! zI(5RmeFNs<66C}4*guJ}gD0oxiI4YcJCF+Nq=*MvPJalF8-&OtCKMz}Q5+6Vuyq9L_8+#rv768JYvFwbk^kS z-$VJ>GbUO5=9WJV^D}j2@lo&D3&7jY+Fy5~sh!Y|a6T# z(lx{+U}fCibVkQ>yB3_@wO^Nx{kmGeeLPQwo}+9TKkFSHUH|(IcpY(HKE`$Kd(Xym z#wwHZ-X!P~KK7HKYuAk#{O*>&vB>IZSSN#zynF`ZxTA)bW%zrJ=IDEAjZ=J%^2#i}e+zj|c7SZ}I%xt-D?y7VEpj z@+SdXmB#ssFI1nstgdg@9$h>4!wr-~dA+cI5<8O89+NZj27QIs(NB658)DL=G`pWp zy4(s+x>x=9&eeN%e|N!~ukRY@8Hw#KE7RgOcU@hW-zQzE`W{q2zI1Up1DEV|Dd-&3 z20w0t&WYF{L)!Cp)0F#oo}Y9ROMn435ucvDd-d+uyI1$l_PSnTyuGk<()BE{wAcL^ z@qy3c5BG@G-qX~dw4Fz35BVhe-0}|@e8v@a3m>s*d868$w(=}z{L45tpsn_C>lg6( ze2J&uK{@9AC$V;$b`QXHjQNwPQ%LN`xvtH&-(lbJ|9Blr$8RL^T8Y7 z*EOjJqvt~ie;WA6sSnWqV?Lh`A^ch3!?q9JOY(2np!~VuBL*LQkmSof7_=YvQMSDf zJW^D@T!&BoQp=Lhh9~2@07AP+)Emw*iPI1Ac!s|HI`%7&MGEnV&m`!W z#GC?6+HTAXdG@<}w$yQ^hI-JvUPjjK+Y7pP>)B7lMgM<;{uj_MUT7Hf*FHw)KmH!O zwf&LD@%55m$9}wk{B|<-JQ4JF>5iUe?SEmHNdF$d?iK03Smz6?zx~nG>2D!2sroCS zkGO5n-}#K7A0wEH&kOqjdvK)xmZbg;)#=Cao|EzVJM}or+rNLL|1e-XMEc!xs@48u ztJ9BwIT^3NPgjgq8lS@>{l@`&N~Hheg#GsYo|KF7=!KJljMv|zSFj)J2p^x$z(&W| zJJ(Ca?}rheEcrM z-);;BU}yK-OKpE?Al4VR&*&f{`ZxD2KzjA<{(BrZm*5_Nme>%lrdVq=9e@q?G#z71 z7s+s1@!OHaZ$#wZ&9L=}P~Xladi+lRa6e9mqb)Dt-yK25=11Rx?tS}r?*b_On}@L} zJs$TSB9HhC`LnPN;xW(kX4rm*`;pKSM(t@#;hCXc_pR9k$ewRfUGB#FoHpTJ$RlvS zZw2Jh#?w#5Tn)YbZ$(o28|nWnLq9uMrvBMMCg?x6-$3H1e`chA5&qVBoVC=+&(N>8OeO5E2r^zj zSBOqO=2ah`wZOhi&6etn&(O~RftAqzVvrI2ThRUk`gG^9irBv{(vP*%3x9XoVgFP; zp3wf&zC8kzsh=@W8E=2rfn70_S^aNC`ab~n(@6ivnf4<xNkWK|vQ3;$z*hz$;$GGieL_hntE&xP-H2+S=YA8VG$n1>zJZ=9(=d)%LlwSbfH`jHn{j@bA-73s&CVKU}v zNA=InYM0adoUQHRzYWB9USkmhVc4d!`~a7cG&-Imi{BN^#3i$ zc>QRX-qc@${`VJb$ki!j=(D$C!}@44eB!e1g?0FjyrT_M>S2!@`87%W!Oc+)oO1*|^}5huymO=-RV)7ffqHVqdfln1aRs6b__HyUx?Nc>AiGXZoO%Tl+Yi zsHJFNEyFh(wG8_(Fu1yWUi1y?E6%Nq+m!RM4ZwDZvkIpf_tL!JdHT;=w{AV6&B2)E zd-iLSfAY!s_y#qsQ}pf_(bh>O^77)KGt?i}kDS+~{>y;76x$f51@(9RnfjsTXX_sw zbcXuvLRZ>lgw}s0a1*dy7u7%dXX=NVpRKV@8GvYty^C>rDyEm%; zG0odt@yB}WXY0Qw=nVdc^)JVAssAzH9>VraR6nkd=3nwVM0M>CH9uSbQ$c5_Kdg^A zpGf_sz)iw7E2{sypQ#^eezyJ@L1&1+J%J|iFVp%ths_Ih^V@FwMDgEPP5x623=q9> z{-;&va;xu9j1fwy|Cm~G-Ie(K3hG>f_lP4$OAi-BY74GnaMuS?ZpsELIR)52`{FC5 zEJ^*_ipLfVDICudUS~B0$Kq3W#vW^os-8M}L(9J<97E*ol4;LB ztmG761BpFe%G)z+rDu3Tz;;N2MZrE{*KL{8@?^mF^eWgFeIhSf-RW zFt+C`wFf<=Y&gCzH+h$2+H;(hECn`@*puvE%%3r~gGqeON4<;@7D`nm*gpsTXea(% ziUm>Web~yey%DtjR^NPn_SSg72Bo}VasFPd@fc*xvI)lE+4Fg0Gvjfdl`I7|ki-L0 zmVAG*xBc0Cxf8y!jo>@uQM3`~l;6TO$C#lFup#~hH=ul+(~^5X>{w%l_ryI3m*G)c zIPM#YQSA?ZfGl(m-<(^{aajLp=KzoT|2K5#PsX&p1-@MPzmbme^IB~W*sYW&&#_4B zc!D0t{FUp&pT;$?OUzCiGsd^l+pT1&@2&is?U8YPILDJgdY=);x5u!ZkL||Dp1-I) zC{x<$YmO)J`1Oe8lmZ(lzK#|8I9_Zc`f!Vf%POZ2M!*lo-4b)%T^=hccyFJ)`T~Wxo8( za!P>>R84(9BHu0Btk7Db*TF|9IVn?;ku9U@6hh{%gyqBM`2)j!dDSTr-uz*Qo%x zJf7Oj*2^-bS~p3(xISrDJVp|~kxbpqu^=b&dx2a2*z*PshjRf_F+P(VZuB7B#K6DP zcAVnci$uM$Z*F#b!yF~ocXJ&wmFWn&h3m8fVB06cm-{M(k22DO7wNv(G-{;x)eFCa zEf@K|(6N{B6W8}Yi1}kGaGSBtJ9dP{%O`C5a61+N4_@vIs z$k@UWa$QSW{lk1inhK0kqf<<~;9qZB%6y%Q-_eV|HRLDko7xdP#W^LihkaAegnd&x z`(m+U`-sbi3>zb5Ro^t|D(`n^91#SuAMG>sci7JGV!nNJ8JooQ1h%WiTKxsC8ACfF zwj}CRYE*1G1V0gDlC%R>KWIzxlYXKNrz*~(^^<;HNX`GL3f{ifgYoR`W6M1Z?S*mSKWB98(XM#RV7|%+ zw}K45?1;ha=P?OxQ|tB<e%})rv3%xinD0_OriW~w)>O5SnS+BZp5JC%cKm? z6_Do&-SWCka2KDc4?^r&FBaNe1-*Z;iA228?kAc3f`9s(x!C_YaQ7PWllEgBI#qE_ ziR^2kd>!qd=ZnSuEk%QeqNA}*t2XX_W9nM`%R8u}^}ybT<^7ljam)jbvfI;+%TtM4 zfo-8h)`(jR+_GPQdl9%*IdEyscf^Fm`jr|liTnMJwv_QJ+XuKQhWupw{(*AEIVG}Z z{Jv%UKJdj7zpX{=5Yi>8Ha4;G3%9)=dJ;nD=>~V}; z)&%h@Lq}7x@ss&Mt%=sN%HD6;9fW6C*#sO-~{YG7?@wT7W8Y`b*qEY-ihwYQ$X#T>oEy z!`xl=`y9Bm#!ptCz@dJnCO1XvO`gjkF@ELW19zGs-_K`d_b7j~-Q(P<uBp#mQ;p3}M%UR7C!N(W%mR;k;bH|ss8?aqvu{q;Q z+^yKI{ROzYfV(LNF0Jvs-}1|#SE=a{rc21rGFy7{YUrAYI3HoiPv+;7;3>{2k$oP@ zc_DDw)4o{Zx1~q}$b8!s>s?N#%*&fs`?x&}v1pBvGakg1V*9JDA`6#RKVdtm40xrc z6ZLtF9G@J~Pg2?ahWwC63!vv4%ahN#`a4}(^1=L?=G<)?^=Etuy(w`%frTvEPxxq z57b{4=18;C6YlpL_2VOJ=d_l8Yj11E zS^2ns>v+9B&hZf+%FpIlj=FZOpCOO2g70aa23g+ov|I0tIBwSa$FQGt82EU28*B6J zv|ahw^ByxC#9ID4);=z;c>fvqWzd0xMB!5h$?2H}8w7`a8Fb+wD^bt1y2{6%x^Qrk z1CL{iHpF&t?zxL$0JYWP4zPE*>l-_$^fb(cr@dET)tC(SB!M zXi~dlyyrWMcfTyz&WtbLd-2l{_=lX>{hDMu^S$TN4sF3lo9+HUvK{yyUU@%yd*ne0 z_+@7Ignf{^4*g&n))!A=E^d5jxKEP#J`HlB|1^AuEsgKY&=0>ve;4p!OXKG=^dGPO zp8-B%g~f2vf7C1Q1HJ(D9}E6}lX*4`^@@FCArD{nXqaIi>J|HjfDd2xI6A{V)GPK4 z1s}fb(KExo9%^4d@Zk#>7psZ*4pjZvPlGL3 zv?cY!Z^_@`;6oP{FG>Ez8lTbNBbH4L%7_pAzV0H_-vsRoY?EU$`0!ieGZFHLWs`F= z;)8l6K39Q{ST-4z5g*hm_LYDSA29hR?Hg7v`|$pN4s>_kVP(x*z)|fcZ1PIP^GQ-}n6VJIa5U zT9jbjNW2}dDvkFveW?7$EpPS%*w;rsMel=_7(E5tQrypmagzLpqWj&1|E!gtgn2RM zvy}XproCWNPh{TD#-mG~!~^lYG_xwKFSB1m%rNFmn~ix8yu|%!_pe*D`#%r5c2>uy zOJ|pW37Xvo*p>FXyg&8c-}e4A{6=3iXdF)c6b&9ZR_?BY{R44c=;GZAI`{5*cK06L zd!3GVj}GY3y;CYxr{L_4eLD8+y9<7388l)zUfaB@-e%gjcmF<}yYlU(iMI+jlRpb^ z4N}McJ^B@N>)fkf4|ydkUJJ@sj-K1SZ+AQ_BXuNRUfTJ*(+70Nn@af(RMo2NopC+r z@$FB*t3#t#lfuquJuvM(8)wE(?^C5ASv51Vyv|}8w^AGDX&>YJm-f4S_SXAVzlqB5 zHBsN^K}Oae+X}k%KD(=(x2XHmNI&#U!~E;%VeLOW5B^i@!;gf`q=fz-f{fFzw`o}W zzl-$$g!^B1vO47R%}D4*2zdq?^|$-{?;V%`(5N;KiF`7s5NiD%=SONJ-KoJn0{W6asG83sQ$72&yDn7 zjK439^k0~v-(C)$)L$56T>ErJ2ZX7%{V$31W6qpj9O-w*87{x<T=O{D+zjP|#e<0tiB9b`m5#;2|WJNKX#iT@uX{Wk!6d(gjA?Tr3$yxb<0 z)PHM`ar%38>MmI-`jKC5e4Ty|un$H0PfhCA+tiZ!F^@YLr(e5=wg28oKj!}Fe~t9V z@1Mf>r?+7x_2YB^WKw6{jCin}ek|zLt9P$X%pkF!eWKLroc;o^(JQ`949rYEzcA*^xG>WHL^6N9Tx>tq4^GDBmrrx)e=`O~`Z0IU7#8&JGC0bw zT>azHAS3#>`!HJlLn8f{yJw7x^j~eHH`=_(5r3>7oQ%bFbIU=ie{`fDbN7sEBmMV9 z`HTMN1mp2z2G$QwM(uagwbg%Bq#twlj5{O!rAhmpU_w9g+sTOjtumd;f$8czh3QR`}%n}&L6BFoQ%fD9d23uPeuAM zch8s^>AxcxA19cI&-5T8`nUPREvtWOq#twljF%$)?m2&4Z=iksaawFY)(=ib?RSSm zvfjT5{?-2zHq=vpA+`Y<)M>R&QZ4e$Mbj{N4^S8b5b9 zX5;r}r2n75eiG?_HQ7I$U_$>#K}Pg%^@mGVe>&2Sn9cY$(!Vj8U;a2NZlAA%jOurX zD^~x%BmF-D``@6yzFhU<=6$Z?^*ATizdguk`@7Q-R{xfue`cOBGk1yf_esXz3C8i6 ziFwV*X#CwYFZyTJiu5-GwrQk)XfpmzFrj~sAfx)-G;j4ciuCUV?EaDdlB9kon9#pp zkkRdk1tw(P@`hxvy=FyRU%>Of^`5*V8)T1{dm*YLTGdl+vwZEWC|FgSw zp&a#}7U@3|e-ENYOAQ7^_UBlC&&2%iWSo9H#L((5!Fp*u^7koY=5uaKW5awp6Ky`9 za|On%ncrYL4O5p*@1}*(`mgF3 z&q(NhEXX+hus`U3IMV+NuvnKn+Vz20|FNz(aXdeBQjl@&i}=ff!6g3AM*61#JIAXr zyM7qQ2OU`{VSjm$ar$9@&_5&6kNJD%YfejaUo6)LRmXcqLjSTL6UC>;SpyLN67XRE zOtismm^Wu(ZkWlO{TLgLV}5Ir_$`k7`y1;0N2s--yI)%T3j=4uzxRTS^RJIKkm!d$ zzJLD<*v}*Vv!nLkVb2AVo6!GRkn#GpfkZ!I<@Nsu*dHSO+Y3JQt!;T~UKoa{gt3i+v{TQeEbm?KA4+lS(TVDSj_#1P% zqeew>Kk;Eow8N|xLB{DH&?nO0G}4bbe-`F)M~&QdB$7uyOiBF*1sSKmf3HYC)*aq{ z#xdq$8E{Xar~SNrS*jw8=tJb&go z+;f3?zD?s<>7N?&-f&|+df%9j5!+8OHhu&(=v^J>+@$&Wj5i*FeqsABN@9mP;Ir8F~AY-rRM?HP;RETrC>QlBf zKdy@ExfwEdxmw`QHTpbhspojlNaP3R5GP~%506y}`XX&?e%~7DzYo|)y&BW_pHct$ z3BN1q5RlOSaFB`gcOB^TKM?7E64>X1{yiFG=+_KS=zliIMEZMma{8Z+^p^rVE7E^* zhJI~|gnrE9PA1adAKk{rr!3MxFX-mCJ&H5*YZD+p^4N1_oL_2XW>wg|`<(S6u5V|% zZ7uLz^b8Ol^Ok8p~&4eNJ*%``5J{qiIObdk^<7&f#^Cv;K~2 zVjE+>Dyk1-0qtX%QWM-~n5^#|%b5jipla&dGQ42I(87^}3!$I&ZN&B>w%4QjzQErV zwoG+3xiq@ZQ2fIf3_9D{L8Hq$ZC? z?~9lEHd)3jU;|ZC-}d1J!wW|Wg#MM+f?oRgpHN@<&RQSJl$vbFXuoo8C}IOu6HnAP z+TP|a@szfsk3U8AHPQM|rqp!j%=#KxPC2lFs;_V2#EAlt_Cwpz$MSkneVoTDY?bWIiFMxY@llD%gFn3OiJZgkCbD~tEW`ASd>>I=}#^qPwKJRhZt`D{SU%&bIo(KK(H!S6v)sFg|#J9h#tsK}u z)%1g{$$nRk{w^_wU%tLewLX+7HTzxE@1(xLmQxOFpla&N?03b`%@|)8)rYu=e<)LG zHZ1A~Qs3p4Qx0sPYT7fi-(3sc^bzgo{X-i`eJE3E_H1T-*H}(@qCKmxFYb5ccSARQ zL_YZX{;c((%+>cwW_@>ReZU5)CZ6aYV{~AVag2R~J|ahbeNSqAC{t>-J+ps2W;x}+ z2Fg(%ZmlIo>SN!aj}Juk&DZ)+rqo>Kp-RlBb?kgvJ_VThCWUtkrH&ouVA$_uQoA%| za!>VzGC%w@YP1g5sJ9;Olfq4Uytx1GhiX6K~8A3~4f6k~Uo2g|>pzaPOk zOLko}cub*n7xh+u|8qIlGEp05*~jzo^q07=uzlk6YelZUwEFSAEg)VAKNr`Q`(C)# zpWVQg^1dQc*-+DBy2(%6_fo#Y;wC<7G5!03q7Tz>YF-p-;2F_ zl)0`lP8$pUx#2zp zu^)0$|9Q|4TX(-Qqkfe0K8M+b*kDWJ=Q8xeE|DJrKI+n641I^5rOpGi$G!2K@|X6X7q{K@#v`Mp)}!Dsl6{yPWrI;P%ae4nZHk0BFpICA5P zr%NZFXz_Cp=Q(@Y?{dG&J-=3bhY!1?-KB#Ew;MTZRB?yH3x^lAJLZTEN3?G@Y~oa?;XuK z%6^-R}s>x<-<=Cz<=8d#5Z#Kre{|?2o_d3Fo-x+h>-PoRU z`u}hHD(Gj-=3Hd;KtKH_)ox%K%5&a)Op)(%I(gq}Z8N*qNwiZT+W#AqIuh}e@qe>V zCRr~@J(z>%V6L2Vt*x(CZT)>F8dv9xgq|Nz53mxqZ!^*qqTX)I*>f(#ebFscAD(N) zZ=R1_lzhXURI8olR~qH?8#zV(hb^&(E}wsn4?CGxA09+$@L$wCN~0X|B>M9q#$ER7 z+IOfw^Oc7=>;pZfnsZk*SC zD0Yip*0Us%TWIpGGxe?953)|VVsxPwOUmIKprbj<&4IJc?pE$=$_*Y@Ja*KGf}&x= zvCK)xIT`NfRGKq3c)tb}7Z;9^kYgS*czO71o z`TdPKaE-}pu^--Pb$qP#|Nh;r6XteAo^FSoTd{qFJVm1??z?<$?50m|Y&vP$pPw#$ ze1ffaF2~z%?f3s5d5UZpHcA^dYrPPUA3s_@XrGQB_GU~DN8NkNy8&e$!ZFR9zgzp~ z?7+LQYDe+R*Ks6szKH6XyOWifdnD>%e*ah?2iQyn>Svl#|6Gg>bFnsaThn zFavUn@LcMm(QOVt1@eO~EzUnUA1@%r8;INFpPJu3|9AL{zdscW8hrVP;l_(CT|ZW~M+!Hh|n+l4?jifLwwgO^zGqoFY;@tgJ zk?!!YS&Z(G{~y>rrf_)SpyL0b-D$M}v7Mvme8hyh(fH2T9)nF7_9Imsj;|#4@O1>* zaPG-i|NQ|ueRDXz59JK{VI{k{M7 z#`HZ6px=BK^}M{qymCnbVH*g8$Ca z-+|mj9$|gpWJLdV=JuGe`m)4%Nd%jbR@WNe(#K3#i<{KEM#AHOa5UKKp5^HOH}XWw5w z_rF0V=S(YxlI80r5jr5-e z?CC-OE{!wvS95>&yskmU>+jvo=jXgmk^UaQ_Koys#J{@xyXRpXb}~V~9iXIrdqw&O z0*krS(Jpr-?XT|s?s*pm8Lz*`v349Qfo_bi^TuOCoaoCuw8uQudF%UlmQ)e$JHVVe zALG_1b7X!P}t1%7d@K=4{IDhAOzRbMkLB`tO zsed=Tg<9k|zAlaQSK{wig8oJeBKvc^2YTL1K}Pg%mdE21^z1m$+P^;1|0b~LcaC=7 z-K~>h{|-C1k(Y?iyFo^di#PElXT3W1>?&1=Dv8tIqIy1r%tluWeqS6HUC)}!k57Y) z__3u&!PpU_M-CdH?eI~g|0`gBi1fdr*HiO6RCV^n{SE5}CoA=A?bq{cbw&L6CaMQ> z_x!x5o*(pgKDPIc4j9oaRi(r4JM&Q#%MfAxIh+2-qu-^TpF%zJ>p-TV)y2=o2SnG0 zZ#$qJ&a!ZBm0i}9L|b%*!oaQuCYs|6Q*zL2vXXvnDNs32?G#kyU9nxvlgwx0PX1NS>@ zb}28FHTfpWv+rBCp2)K=E@-q<@?v6JXIY}XF!woKg4;|4b6XH)o_`3wpY}jnfO*s0w@e4eIv>rC*DAp2bjOQPoi#^7V0e9i}IdfA`Iz(PuXbJ-Gpe?p7vb-l%Ud6QING3yeS1v) zNjR5!DjK4A40INZ0b}&oG2%-boMWYfZCW4d;G+*aOwOoE9DlS>Xlk2?H`WiXZozH# z_F6kD)XtZI!`wX|^QxobCfXnQUdYe99Q_Q2jmCJ#amd#{I$8f4L8p(`=yBQcdR6V% z4*Yw@ERdCswPf6(8ZU!->ezV6ndk``FE%nQVhktbpK@}(`(yYeMSPJDLMnM6p%c{T zd;tw^)23}cu~XnhhnGEQoDB z^bb@T0jsgf_QE!C&1h-YznBuxg3X>nkK21eD#XsWw~e=LZyf({99pm|wz}Awy4E&k z!V%iu?;y_qg88AHLVFLkwo~dC*@JP`)gkt5h2EmVQAL!;SiPW;+LMp(dtp0-nk`MZ zPS-!L!k+hA+wiq+Eo~M32!RE3n|!ofd;NJq=SBbXLSp<`&??m7<5PqgW|W;57hvTh z@#z2@X4VB~I%^Q0g&Loqv8NAy6#2#W92e@4c>aL+490H}V_=h3&H3xxhhZ1ihm03( zTrdFrqypO%lj=GI$F^I8oxk=sX{Vz#F8xt=Z)_KYS|)7L^RIm(GpMXY=vUvmlrJdmWfq=ej^35_Ae~OGrYgALk9k zZ_LjNu(nw6XjK2)e3vq|dJ zh+9mJ_0J8uY`(a72MeVBa;<+k@K{SMsEq1AUVrD)b=UtW?rVZBv2%0LAiRl=BfgC{ z)(z6$e+TX*Y#)YtuR2=$7q7c}j`Ok3yms}1$q%t`v!fjB>3oJGn6~+tAn{gj4BA}K z9BM^nIwn*9JnXQxVK}$>^V7t(Zlp-ecOL}XtRFoJaJ56>7~Jb7@x%EC`axRoDSofC zU*+?vDe4Eup{f%+C!zmKE3*~H4x)c67kfhoact(eJd@iDY>TlyjC-*5$96cjXkPV- zf8{TdH$Tvx*3nU+_7@z_(C>w?d%=IPZxAZKy2PDV2xa^h`@OK9$fSp+kAWY$pOLki|UVC7w#E!iGSZ{yhFFJaUTl5 z;NzsD@Enx-Ce;o1^7J_!*Pe_usk8US{&SN$2V+$Oj1{}K$5w{(e+OC%XRG$Y`zY98T95nu373`-SSU*TRbi;QX^T+h3dXXI)Der|7 z4U*L!y#EsU!s`teB8N!)o&W73``x}5cG#IB_Y=?0+48Ei+JbnI7M@}E_^kbtvF{@n zTQ9Qua2=u0i2A1X4`$~&@F&!(>x+fJ{tb0o+84Wm0aEWadnn3sU+*OrwGe9qrJcL- zKC`si1^cP5K>0(@Hap|i?mC`7&Pvhlg_ZKX>9?j<;=Y`PmxHG`ts~m)2WGMVTkPD5 zvuS$iO4T=YYv<7g4UDh%W-GGr4_>tC7SlX&AI-uNh$+sa_$7t%>ssY?%+usVk&TZ# zn~A@9{$N+&uE2(Q+)-Bkr8Q1ZSp@M)&03fiNqf+@7Czm*jUT z_=O3ljI&S{Mw=1vmRbzvG?ks&|X zAKpN@;soqI7{9j}KMbl$8o#39ddQa)SYzw4J9p=OI$lRNK;`!BX1EH*1Hk-kOjhud=C(zbo+Gif38DmDAg^!4q( z2=f|=?Z4<4>_0Z-C)$6}9^fg?qV*H?FKUVSENbeDZTlA&j>U-+7V`aE`@4u-m+I)^ zu?Ta|qP@IW&UgrpRW!HQtay|d^XMRKV{nfz#*0U<1@;7!YV@p0HO9X2qjGF5r8OQUI&FL?34`aK>VzY2*^%p*n76Gq>TP^<>{M9*^{w`*}DB-P`e@yy| z^9GBex!Eb=I%-iF{VmP#*EcWPs}AOS4&_$L_nT)RHp6SmZr8Nhzs6z~0k72JRWmHH z9$B;mx)kR?vZsB^Y2UJ>ecOF= zU;a+}-bmWFt=Jn_^-X>0ADjjmLm$8O5PK(R%d$`BwME4J1KV2`n}ti;I4@RJ#4GJN z)s!Ut_yRfNxbYtI`l7A$+3$q%lj&D`U#$62>>8kI zVFb@cN%cV=fI^kF7TB^ywcvS;(YkM zEoEGm)Bghv`N_DPgL1_=C9=o(w3zFV#hCDwBre;FcmhO9a;^6lp9!&nPO6&rPpkdN zQ4;Y=dzbMzIj#JwZRtYh^R?J&8S<0%4@0@)oD$iyT_@81%Y1RLzgWuHu6bzGj-m5w zxjnvKd8e= zks&`Bmpf3dIHyGRc_`;PVDVkPSmVMIN>XO~bvP3Cd5$&K;`_Wae zVLNFt@JcNkn3loM`L*p!kiHN1&$>Cehht281j?;u0?rT zU}nqbb}ygouN&y^I$tRM{^YwW+N=8W8;dI-_OchtZr2jLhp`eHe0&J=?89}j?TM`| zf5YFW;ylQtpy${6=EnoXghYFlT8=QyLj1n9rHtPa4Cjj%8}gI!!`!@B zaZX9t*LIKCks^s-{QE%Kw&N0vEu_U8?H->kZ_PNrw;1!g!+8|Hu$^{PbAFHQyG#GK5kM6KY;rVP7d|_+TVO0 zBDYAaTWOzGW}lFUI$ug2&I7K!A>Xg_m*|uy=S8ODIN%bqPZzUuGEeV;T$mbNJ-F!( z<N^)euy{PfF%4y;7`xsql_f&^%eNnX7E3>e3AbI{BpAoUOk&^uQe!FoJH#= z+v|O{7ba*WX|HWX6UGc1D*;Kg*FlK}3qN*1*2irb)M4y(l-1u|dlB~*wwEkY>fKRI zdlC0{Y_CVS>e`FA53s%MaLxk#JFV@77?G9$ue8sTrh90w&uyv16W^CCGUSK$LK~3C zUj{#ye3IlZ)$yP0wX{C?^OPTtV_U!r^MqB%PmE(r@nTf7WScLOxNPNd6Rx&JY1PI( zFrT`ZLg@M}LUCJw91NTy0eKU(3(JrER?E1BrO0eP^2Ee7u(KW=qB2 z!-4zCkniKQw2ks%Q&mWhdCg`ZKy4kJQ@ytha2(}W(eH~( zOIV8?rY3%vZwu}w12WM7W?Dt zJ#!rYE`17O)4W*j_`@a=@k;x>5gmUnt+b_L|BJvqZpcsCzYOJyb4p}S`&ZKb<-XYF zPjuaAF8k+04C@0&IpYtTNK1iN+VAT)fBtDp#r}T*H`|b(wEu0CE6ypAJ?(!N>Fs_@ zL7}yOw7nRcf4h8t71;NKbWVF=18FJnO8L8+eSN+xYhX)h?=noROJ6kkT{C{~Uy8Zj z;hYlLb6(oacz^AS#op~j?)DYf+o-E{SND6rV7(uoLi`6WZvEZvU#k%OB@WAhrQcbs zt)~F@Cd%?mu7Bg&^Q{9+YOn7x{%pjWo#PM2*=3x6Fi%ZA7#q&9{1#M|w&TmPR#y8` z*sGM^gX`eRa2{bMUACvmSBChx)t^R3eCo-%M}IEz@mbagV*3Vd zS^1!OBe(;B-P>ZbaB219ILjwqDgQ709X0l&J@hEffn<+7Ue=*i_Hi-B@e!lOOM|)g zUxxM0vM?9s95xE@qe6j6UWANB-n?St&N(|1p z{%YBY5bN&6vh8zmB@XldvThceGp?g7pL&({?`+y8{Wu?b6z4#)$GT_PALz%0zS#O9 z>mHG)+SoX(dzcTm43edfk2m_lGCg*uk}Nx&Kg12ib}>;ugBt;y?S|R<(wa{gTS)AS zN(Zbo2ZeEHf-RMC=zjFqe;M-qdU4s6mVe72=)m{V-WmLR0{?I2z)Ua)d~Rwd#@%H% zpZfuA4Nj4O`i8MvD)ieO#}B_+4H+cO2jwX&LZJ2YijE6Z`R_ z%$70^70l;iLw?eaCsD3Ar$qM5^B3sH)5-B;^Pu8k`a_)Nxm;>U8E^l?5PLpo%b6F% z@tE(g7Mq1jYkTWFxeR!vgPNGjl6gKKdKBkCvS*&Jr5_8Ee*8G7c%(YQ^*t}}l-B59 z>ZeTa&rFC{1g%+ix;!UtHMS)dTO)2ga4T})((3oCmcI;ol@7Yaj0^4iwJnw7X3SGd zJ~!ki+xI=xqd2ET_KXXk=fCV9$+)0>N4oY!|Cit6>>+XgQoS_8$K_3ke-yOl^p`ly zq07=1TO*F^gHLkcAkXW$mcdujGU!!mwX?a`$E8BoA&g7KJHWka$WO*)2Y8BeN@S0` zuc(8#RG4I3whZzUr@PLAv5BvqD3?CA&R|B8(Hf6EZpeNk^+%u``%b?sWw2x7lr{ukVV!0v5p&gsV~mQTFW z!AF^*q#x~}M{y1$d-`z#{pgU<9z~HKyK=sz#S70s@AZCQey=z#Xv?xs`>k9fd=jun zTWl6Ct?kjt@`+bE_<3Gmlve)vwsaxK+XCd>{f7KR-c=xfD-`FH$ewp83S6YGSEenDH7{mxI~@LN;GITo9RORFESoy6;=l@4jj>y*;UzucD6&*fM{ zV{Rco>E|C%t~jSe_9>JPZzV~WuME2`_08$$k&3~<%8Y4&IqkjP@`+bE}k)Rs74dFZZQ?WD;=`YT$Hp2^LvHj97y)egNK+0_ayUR zi=TG2-}-4c*mpC;9thg9>~ncQ+@sj^Cxt9rTHAS!)x~Rem0I`6xSp;8$Nv?Ib0FE% zj~Vo1a?+2j#XhjP*2xu5L9C|Zf9D5rSf5p3oG0b&I(kPN z7Qd_t`T9P@zYHp~{BUt0?i*}aLy&63{Qw-+5Y^(+8W;FVs(@alHVe!cAD88a+ET`4 z`9R>_G2|!WvV3Ro6z7!4ekYVSMO>EG^~Ey2{W#t)ob`ONbYRAY*MpJ#yj2D9hPF(~ z;d@L8+#bNzx7?g@p}rQ_bpFl4l^C<)0Q|06j6IG|R}|s5bgYe5V7y%MR9y3K^WDuW zye5uR0eh7WJ=6LR3v8fV0gwESY(@#C;| z(RK675jzs&ys{o}1BD;r{DS36oTq|+f%4-x-;Z*|S+ss~y!k8R98UO4oHrw{{c)W9 zewA~)S$-$P9}ik{#*MhAu{~n3HR7HJ?ui_@w8jm-l32geVbjg%ke?fDsl??K;BGbK zC;R(6lq=3Dkv-$GhH(j#pT@->0NMFTmZH`7?->wZ7PRK{m$+5f7F%qMxOKoS&w)#8 zT;MBdIrJ*EZDodsxctYKN?d*fZmJ=~EO8JG8cvBt$8P~rD*&fAQM z98bC6xOr#!8xa4O7th@viTe!O2Ns(%F2wyC+s8R@X^qQwme2Z?+CF4Pg}5LVBp#Qn z*bC!Xfg#`bvlY!OpK)6Ord|uar`w!g0v?^V_|5h6FblfkuL6_=veAy$;D|Tm` zfAYmHek)M20^@+ATyYWHZot;D$Qp4?fWw?k%EF~>{1_7w^(r0Sfa~D2^4ZWJiC;&U z{+%H|#80oalK5ecTK=Q*qj;=n1O1A#+Vqq0I3Dp>ahNZbyxTh7-|+Nv_kD1Uvf@ZD zp6%yGdmV=0js;fN6It=WE7oCFT^wnfws9 zi>#Ey?Go_!Rel_|vr(=%SBdQNP<|fc*2fo1+_sGO2h6$d`;fKV056t1UfrSo0&Kc& z${7dPPNH6=BWm+JPFmw|r7aaduK}*BAwMxLt-##8LUB%s?CIxt`WX)RH9uUpg#FR` zMNx_GPgt+67#p-@+3(t$xGS*fd5J7sTK$0SB(8&%j=0D?m=vKS!_-}VLOTIe5E7aGlPSl zi)^X*xeT~#4EaevC!t(%PKoT<-{;cL$-Y?p+&tc&$Z*GpI5OnO!)kU`3-Skd|XyS{B0Tvj#&ZkZuJ8JDlXQ=C&GdmJyUOffEB`(la9kK_H} z8RiGxXC0kTu+i~x`4r+f{&$q+hx3;>&fB`4s1a8M+-9q>CfrKczv8DHxU`KQ*Z!mx zs9&jl2Qx9m4>2Rjal&z!UvD(z`*~!g&QlWK6QSo{%C8K^V=MPW92IA^=_liR1me4L zZ(r>CqyN3f-N&wHZ20R&b@{ilG1MI3)#S`S!5soDswL%$pWxa8chE1uVg6rb<*5+w<)o|eQ}bAGeStm8Vzc5A;|2nUH3lgQ zS7LLL@#4LU(3|SG3*s}^r1mK`sg@X9FZ?Uc4*_>D^61wlw|-cOSd-|N(vcm_O`+Y! z*iyF3YS^^$L_>aPx7#g$A=hEBcST3jVP(ekM=P%ePjRji*<)T_c@x|18ec5!wykK0 zy8wy5zvxks95nj&8V|7>yjXU8T{{qWE4FJbHme=dn!it4{z~ALI;=MbCeBx{#QeTe zaSkN=6v`i^A7SyKe%OaQ0+j2%Gd?qC%#6TRG2`N;_a}fHg7s ziFsh`fiWKR3B7Fikn7Ln6_*hGr%jXP@3=(@p`I$w(Y zZvgjKLw?f!H7Hk{)ux}ce?9H5^u;#*qks0fM!eT*n2#2H+203 zOS|mz{=9c=y#&_^*nKQE%g?LXHS%kLTA^X@$V975sc^rHvF&-dcl?V46UU>j)_ z@JdJh*X$SCVU#TuKW+x@3`2g>kKrg+oKq6^wcRZhQk3(#lxel+dMmlA&}%sQ0F$5S z@2mcZ`V{9;{KB~XiE+Ei7u$Z|U)zZ|RDWLppBcC6S}&G6UWfsSc%`Ge$Nl(mTPpE- z3b;Xr{A9fDMY-af64}#!ULUaP0beZf+Eg@l%oQ2$@yv03`YNn{Rz2#)bNlg>#SpJ_ z^dF+@y;rTarQ*jr=)BpGpY)>?<%)AkWKTbMeZVR#1eEOWaape;&D|c)LcH8bSHG@( zh{UAY?&$u}RUg?>i6iE^ zRZki6lW}|#<%)AkWY78QpNykU`Y5#H0PkbY90#hO$5vs@vFiPxHETR`<2G?0VtdzO zvv6tcpE_StuhP-8qU&>4>wHarS04g>>kRoxf4>7yaZZWsnKwVQO5V54=iq*$_iaZm zS2?@Cgv^$pCCly-T;Kl;o=>+P$2?ErI?6iOm^YMr$)xs2KjuBun3q$hgkd8n{6Btk zd9wOItBCa~9sNGX*~)Nyzq%>nqBsYVJ@R1nzQ}{s%}u^CWL(=i#632L{irJgrO^#!+zl1B*2VDGQgj?awwP5wCR257GIv)rciY+P@cK{Fym+RL1&q zbqB~R&Z6~mCzN-jpJ7rJKerT(zzqR-;R^G=X?QN={ye_67v;EoSbZ48aSZ7w%Ma%- zai?NC&LV5XVU4l+q#U@k#^p@QXZ=dYjyGNWJh~dOAjx@&5y-dW4EcWDzIvGDGj3~M zLw$#si_{7n@Jh$+&h@+F|Jjx@E^F!nccvjfFj?aY1p36flI63vn_u$@Jh$cjjoSh{iZGD z`Jz{0IL8{UiY^;y6(@uhrnOQrLQAG9C-booEa*7EKe@85EVW? z^P#3PXwT_4ahNk#>w2k1-0Q$$z9MDe(i)$4gl$$suhQ|e%{9JXtc+Y;dmGSvB%r}hB_XXj4D)+u7o>$g^1Oe(Ok*elCi`DN#-B+QKEWcd7 z5%(RoFD$l3+-Bf#4uMo7?kC_j<-mPo%iU*H+QyeLBhhZ96HYR>hWKKvB1wGP1NV+0 z-;d{O+FHJhYe$1WLitg@U4u2M!&$U`qV6?3o?p`}8UIa;zn$`Zex~<|;*aO6<+z_? zO#|4lBh=#Zm*s2nvF&Afx#B3eR>1wt@xR~>1@3?x`qCO-#Ee9|(g`n{=R>Kd*rImZKV&>U@Wu9glE!1u@Zqi_N9Pe~O2`xRku@KC@$7h{)eoJo zqds*~Pjg7{6SkA6eC_Vg`H~^u`?+>k%cq}fj{^TSbJF;XnHld+Pd^} zyDxU_Er+mAJJ7?h8YHqCc!{iFy?0l*k_aVeP?)%Ub5SB^MWYk;E_P4{Mu3 z{2(V?jUO5p!LYvBWlc%_pTM*V?pPZEF60d99ge#n!amM?kIAMyB) z@}vH+_7un|&Z6~`@i>F=IL#N^nG!J8RIe77t8qY zwZ_A}N$!{A3C>Nd9p=^Kjtg;^yVn+3Y|gmASJGPGl}_F#dhf$p_)3zv%mnTnLw<-$ zndM7d=78T{`EgvXN4erGT0gP=Ui&cPa-%Pf@}yYuB;6vp?&cgDrV~GYuDuFsbS*$- zS^m0wA@0xEZnag`h{N>(Yw!96IF7aV<-nC-E%dG(cckw!U)eUFVS_EdHTm%XZAXgg zJo$Xn!_OOQ(RL(h=MR7zYse4n{GR1YJAVZJMCHfrT!C`MS+st#o&UymUg?XY{4e&W zo0@j@r zPv-heCTw|19mi*TUP|(GvsaTle$3~eux+x~tT+c#1dz~=IezU{l}p?BF(xF|uXM`t zXuf7lND{x!z3H9 z&v1-}`EJaEI7T}R-!c4-L7ralm5BVNA8tIVM4ORluhJW_ahU%r2j#$}wf`VyB;u7$J;Pib z;)|G(B)*vE&>rN6_}**z65mI`KVA88{QiV;#aXm|GJbb5ekCD(_PAN^58`q2&%|v4 z#BT~(bHCoEs$ zhVPZd8T?fd|5flGHK(1Car{*|8Rd$zX#K=ITDgpIo$8BSUg{5bAurSWVBNGYaJc=9 zyTZr(bB%dwt;*%yGf-FV)#c7l;^t$UVX-;mOxzM|x}K;Jw*okvV<2VW(%K$~F{u)I zl}_tz28KM=`C8fob5G@ihWyYTh%t%hFV{E0I_&5S{x_B{?X?B+PngptXSN5{G?j|8 zX#HfKf64avhc9;PSNTPd$2sZd8OOu!nq@2E+W~8j%8$L8?0C5LBJOi+AF6zYT?u_$ zAAFhvm)7_qW~55!RXS}(^nSfc#Dv87t~&s@N<+Sn?>godi1Ax@F!-;UjkNv=(#YAAxYwWCUBb!`61rjEMMZ?3;Zq0kH+P7 zN5T%pS+st#9r(Ndx}&njWp_Fr_mhYD%thE;>;N?`zt$ZJHNOkmbHz_^rviJN#ny=H z0^CVCaA}PnVnU)`rH)sad;EB?4lyA~{DuQ}fFVD`Z>Z%<{6>R+u=3;horiM8S+suU zp?omocfK!{e8qXs(c{KkTFB#Wjt`Ed@?xvEQ@gS}U+eNmjEL>XS0Ut@!tJGIudr8RDd1&MllMEell*nK7ZYFzStcPC$2b?eEOOAaa_jS z<|xZw7eC@=V0*zLYs6vhURRa_m)7_pCZu)HtJG;i^xmI!hzUvJ_YrXS8S+E?KCpa= zACB?XJ*50Ne(O=LIE&U##*gpuTc?vhile;K^G1nrEc10pVH{ftHE#s%IpadyJJ?>e z*cx$I4y=1C2QIDgLrh5PpjWBWT+=h;A7Vmc{MI)BZjmA1$8UXI%V+%7Hv)f|^5gh@ z2cF_AT0eI}`A&@A5573czl`%Szc7wpLS2~Cvd6V=d{y$L%H<{Hc3^YI|55q+onfbn zWyL#fM)U4XZ$tXG^x>nD~q>rW(ee_!nKlrJ9PV!i6sgP)W0nYfmNV`H>T=byaj&JCHO z!^LfV3#biqV0OGUj)H3k>><_!slS@{SqScE;MzvGYTjodxD$ZG8iSP8E^)o50C#K- zTnWxO!2S)G3pbpIWAr_+$8paFtZiSs4BPcq?zj4^N^AZgCrI>5sq;`%5b_6YPLlk= zaE*K;KjhB^mM{5%?>NRt@-L3~L%<)H!ABWM@(<@|k*`Vlr!8OfBR=adFrC+B=HDok zE6$?zlljN%_SPfOlw3cT{EL5g_}}K?P^iDsX|Ew4h%3Q1(PDGvA#pci(?&- zZo_t+(-&;uH{VZ)!`fy2%{g!-IPdi@V+uxK>tW1Gi;OA0)tFcIGO2uo?UwDh?hWg~ z*S@v#nV*&Ia6R*(CbeHH@Gn+= zWw`!v{bH0W&Z6~`^T~_Mv!y0qi=^GKE|v!}a2@jtk1N(=?XiA!(4KRAAr6zn`V|&i zBkmR8*5<&absRuUNvvO~%PHor5P!sk#Q1N>1MWFPeu)1L%V+#HaNe4!{5XCeqg-(o zt)I0}&g%fzf9i`Rep?Vfyg)_f3433;&JlWIr<(VutjF48{a0R1?(vy8t`EMj*qrer zZZo#8e*q5j|N2ciaA_N7#*RcgmAbrcj`eY7>_`&lcEG)5$oFyHaG2#woR0$kUFAn{ z-mp8`KyenWpNR7Y{!YIElZ}$kQ^a|cH~8}fSQwDJ)-ueN~9?e2RUIl-eipXhOo zGxHzqlElySc)kPJ`OK45hR55XADGE`X?vv)%rBRq3bq%j zim0#ipX2F1Yg(vYJYHilGmC@uP*Tx&5d%rWYpL{_c)W;#B#qbI$c=P@NAdcV$2DH} zfuCb|y#M@|a+CAY_A2qXMdEQ&SZwj|4<^!hv?=YF428;u@nBt^|BFyPThAbFB6mB- z&%A8Wcu+@4^O3hy`ef`n%zWx7N#n5+xhtIDQ9Nw@*LZ9MzdD86x~uE=8s@z}y3&p5 z{_{9`Cg-i$Yg5W)eV+g4usG;H`anI2*OH?$=OM|*>LpJ^!Z@-ong3i!TRe^;_X5W} zFRS(&I1kZt0M`Hce~ZaAu>VuJ|04HdAm{VoHR0c43YbFWA@PqQ8K3XZ|`j za9FzicY>Lmm$p~he?cp0|M$XTJr8&*?;ofb`vS)Q=YFside;9SWyVR7=U&SB-uy2( zKJl7iyHUP?y}$w^)c(>?KM=XSIM{YsgHozAii$4dY@RL2R{eb0h{zk)N^Wp;0GdVA9 zugr@JPNba|*y2yI#_f~5{-Axj{Qo7_rxzTF`tcEKv3RN+*Z&vv^s*Vbg2l71$3@=K zzyaq#W$1drmG_gWf{3&A@X9*+m>`vQ{-jA$`5Ys?Y|*$-S4kpoY2Yr`D~bzsm85aG8o9ns@F*^}{z_aH zHUS@CcswpN_yUvjZ1$R?T<#ONU}jjX{p3T9%cQZ>`P?n~-MhFD?z{Bb%;lk4HVy@A zcYKy4@|Ff2?~aJ-cdIYe`uz&IaZd2Wb+rYo{|ihmAkkd>zghhMX;@re;CuORhW^K! zR%MFThxK~FFGHH*^}$C;vFlQU#<=66`n>3ItlkBskNnJE zIClxx?CblFA5J-I?$?om^O6O`PLkNSH0bvDe6hioYMft3?pIFmWSrRpEik!& zM01I=d~UE{x$9tn^?SPysPy~r`5>HjMBkH;dM~Js*fQ}8;w*COI97Vuj9kIS2fj;+ z#dFXrZf4Y<#^Z27OSWDE{X4)1!-_e>^T84cS+KE9*x}VPVjJ^TX=-Wb)I7nzTiSP z`03R53l{E8j7-i;+iPRW<@r4e_YI5f`e*V7+Y%4HuV-hO!C6E2BTG@Pw|XyZ4&8wv zUD5cc9P9r=+fQWT6X#=GEj-wBX5Bu=JcofHh z9+!Q|qB`PusNt_h*Y_6oLC@s8w7t@w7RvVq7P8=5(sA=q-aiOa`%}y4e5-Tx{kMfZ zP&+NqlKn{ZUfzXq6}bT%wy(*^6)X}g?t0{|Dk4{~xKLL~V&78P-(0t-A23%)(zrZ{+$1M>G#>usah+HG z0^Y>%_;|RJa+CAY_9}7vgTyUbq-4_y@LDQ+H+Fq+Au*Ap@mq!5jZW|=e#<;A@mu@@@ShnTkKc=wo1B-nR~csu zC4RQpQ*8S;iJ$C08`!_;eXHf{O1?)D%^S}_Ge2T48W)jU!ttt?&BzrjF4R?$d=6yk zbZ#jY^{cmismA3!+@Jvp$Y<>_^$;M-Gtmn6KFPXATxdp?U!@}*kOQ;>Vl z37)9uqJyzxasi3vQqRs(&qKmuTNnL ze2@?B8&A*lDREx`cD!ueGzD>7bRjg>1_ET_XzRPmU5V^W(@&4bxZE|!(LW_+_~!6g z5_8jo!?~9V{eCeU*_j7$UxA0{*XQ#8`+OcB8#hYwKYR)MGclJW^|EwEZEWAQ=ow$C z?ei>h4lIJqQ^%O>P*cY-J5@^8uuDwcd{ zLV1nE<<%%I{(eE>{p*8{tIxd(H{!9|*&AaRr@uqDI5JbT{vx-6V}Y05t=t;qxW*u9 zw{q)|v;9Oyu3+&ZMv@j`-%|M-vHNw)xtb)2=i+^ld)5ga?!y+h_PCtaE#9B`|5(Fg z`>;ifr$Ek2+bi*2+(P30VKUy#6RF$Zus`E>xM94x|IMLrH1M3pMLVGTJhGChchE19 zTg?2p=uVR7C271_^A~M$f=BT_ z-s2MQC1--y86J!G;*R)Xa$eeA8TX636Ys@`NAb3CADm!veLnvE``|V>;l79OCAq~% zN35B8T6|URIAo9Xvb&Y*h1@rb$Q3MZ#6ptrS{k}f?0ff%Z5`9NjYe)?CwOAqFCIX7 zwB7|g`aF-tBP1>ZlX3Yd@9+07`>5pof&4E(U4ayZPF4R?$*tazFA$LpEpRVww8keh)JIM*2j0z&^7}TeR>c#6Ta;)8ptGsN{xQHBU_u}sskteH{D~aOr17E6fxeK|`PVi`)-Qn?P2ZOPf;C!^=hpFp+i+>7ca)A-ebCk>TffnB! z76);=WZFdT;V*I9`-tTAJ}(;fryrtzTd1CmTfypy?~)cHZ>i#^vClacv(`z{dj1Ew ztDWG<_J4?Slk?K{%KW%k?(e(!_hGTtjcYkvG{_;Z29_oDV#sFw9Vm?uQ;Ne<>S zNtt$^<8nu^S(N^rzksdmBIn+sJHEAx<4Kq6AbYcYRP}3lsV`WZiJc^=m!*p5W8be> z9A77QAa|D&JQ-)!_dqTn(Okya>k{WxVX?(|))f2X^vkY;$v$;)ZK$4&V-RnV+sMHl zLQ*E)ak)2;V-L}wT*2Z(T_uTqOBHXq9?>|neYo_$C9-z>#|a*evn96P$v9go^Yaf3 ze>J);y!bP8O)fB^Icx0_c|PBgs8p|my_b(3)N32IOg)p|XVfzf*;2@TCMAXA@O^yx z!k4AXmvH{Sq}X~N#1Z`^dvi4N92r02a{D3IvWQ&4$6I13NzOwo4Lc(CJZQN`rX-E` z5af0^!J~MWd0g+O$#rO+!Q$~)yq6q}A13Fe?X@Z8abuHr~Q@N?HuSX3h9c@Bl6w#C9MBTF7&cR+e74La#Vc< zxyz9&w*Ck0CVb!LxU>jg!P@MsRO^s@OZr0>nt%jFKw?9Z#n;8@@iNd#5;XM%;fn$C#K2AtcB~x zlD|Xu70&-vZUwRnyy>FjMEKTlEd2^{>ycYkM6O`*CWey4zNO(8#-5A1gcwSacrWGL zb;&bM@G#y>TYFsk_tO2rpEW!lZ_fV%IWKLm#Cxfn|1bG4EY^5`kPmKL9b9hV{6F5m zi{Hn3$qwi~59x~cZ{(J;zg_aFmn|A^kz?&%QvVg?S|UrGBxU#tKHd^TN#dua;cv$7 zH!Lxfr19>H+$JY@6z`K2*8aV8FnFEev3M`-h#w~BrR`PXE#J>u+Bqx^`gi)qGWCUn z7@rjXXoxhnyGi4|Nghibw{>~H@#aq*6yXpeg!${b3Kd56)fI;Judbw zjkq#)A5z(KO44{=3*Wv@@F?EISd#8fZ(v>CnZm#Caqa&+1xM`U7n&N&CY* z@Bt~DHBOTDhv&fu8y@cuw^D9$UfN!zKgfOjm);Q;hy5YB+%q3?f1TL%P(MKB3nB9E z>2C%5YmM(cAzktQAnkBJ$6a2wXnzp7-*ea=Vz+XSA;%s$YeN!#O1@E;o#ZBZ;xyISlgGrX?QGt%R1nP z$$4pemH2fde#<(A#X&y~zL1%?z7&s}^|xgQqHb%qkQ9rj${maB5#H2pBYOe=O^ZdPS&SJZ>Ua$zkhK(YT2m=c>!j^qd*Fg2jzkND}*&&UzuX z?^#AHBx&4O^Op5-f=6+)byDNTnn#>H9*^4;%1zF**{j6uDv8^)u-L9=UK;)$JAVJY zhT_JaW7(yldhxi4+!Y)%ylf^OLH`xGIUKW#$Q3MZw*D_e-qP7+vHig^TmLm~tX<3U zPVgvhk9#eR+dS}bhR5T^`oGNN0wbEU-Yk>#f7u;jaj-v6e{mvt{+T)5kNO|$>au%6 zy5eyZIoAJWU$Xu$6S?1We98K+a*rYRCF}n(Vkk-EEuFn2-cO04B#rmG$lc%skK+B7 z$2Hy`g8$g?c)b5bxygBHdzE;vk$Arx76$eoRx?IAM#p}oooM(fLl!<1(=M&o-h)5Xc$(@P!tBZ_wLU%n2UF+15*m^G2DUe`$C;&hsfZxxk3# zIm#DHoEL`08s|^_?Kq_{_Pft#nLeWa`x0ub|3S*ct6=r3_7cmHw{+f2*Dk7GtuGbw z6?<}CxYY?B)^9~4kI!Wfw4$|hclB}S{nRx}d@o`77BG_wjA$- zg2kEGND`ZtMz?o|hx5jY>wKxkQG7eW37(i2R$N56$ps{uOB|<494`rr{XTl)gsBt5 z-%cr3Z2ns@4)y7wdP8w^bL)uPy~rW^chhX*()p|UO+*~JkvL268 zZgK&M=28#&J;92oQ(HawuH%%c@g7mM9uJ`YRK%K@X9`vie3P^Sc}t^z9XG=JtAPG@i_r+F z57s5-;1%_udbS=hxs^?j-Q{I7{cjF)5b;=D;@oZ39PF9oQAN*9WDS3b`R96cWBZ%P z;{WDdFyBZFCB*Tt8QqdR*66)-l%O^Sh?*RPH2X zdw5yh|1@wPFO@qLxf5b?4cxy;<@zJ{?LaQ_LEeRNw>4knEuG)XRYv`sy`vaWb-q;N%KMcgo#0Ws(H4>fUv(MyoD}|Bk88XA0sKtE zWBbvStp6)b&P&^CBg*fVcDXey4)*gic$~vb{oTp#pJTi7Ce(Mg{s-|FxqCV8@TQ8! z>p_nTucdJo4QM~@+agE1P?9DYi9*?IfH#skDuZ<~}=lZVv zOIRGn2Zx4?}>8)Uqx&r3BGD?@TG3z&8gp`tSo?; zoC*goO(~b>@vVFVASnF4roR_xOJt#kw z_FUCIEY>*ld_~`&d@p5Q-+zbgDa|H#llp((tYJII{qa|I3C;QbLp!9~RppLF_6RSl zel^gpD%TUaV`6d*w5!UUh+MarT)bVa->KZm$k`quu$yjgm17UL>ZE|r=fP{R-pd%3 zB=VLnT$&pct{bapQ%N$OSO1*$Tj&Ij+P}i%+Wx$!k0kM_5ialG^LRDaty*#mt#48` z%FC=mcGWun-pM##HJW`1{dt?Oopy3*`f)QSPo0vVDU$L#PHA>7%Q1}QovdJ#&!LQU z_;-wf7us;G;#HSxw}Ib*w060}w{djmdIjU}R<2`o;`xl7o}~NJ27zkglo=iz_TM$faZL+@T9p1)om*eIUg-hsN% zLeD;h&y3^0GiTkt41Lzb=a&@M3y`q>#6;4nD}2uDMc29mqWBS$RX8T`lf<>gi@q0+ z-=91#mD)Ct_m{bgUQEaDVbeu+bzA@5_rFJz{ZDTap9mlQueDz|G>BvM-sQp&`v0VH z|1RKl7gxsH{{?))?%c61*B1Z&afQ413fDB*zRw#6va2~~@yRbqIzEE-)k!KjKtexk z-CgyUK;K>bFNtHkAK6}I6|$>u4dkR^s}`!A?beSbPYsRC@WYVvAjWfZ8_%?}q*c+H z;{V?+_Y-0~zjMWmnd2uzCkP3$M3oi|1YyTfcpKZ}kALIKhJaW0 zQLE~S(~0C(eARX4dNq5rG*@uji;Ty={(AnD&{UM7(B|H*j%+$hbz&vZa* zS?HgQ6Y+2L;izoq_yGAi&P}T1K>8xqql;J@E@Dq|Q7vU3hqPbYn;<^H_!6HiRsGR5 zjpAeL>8fbFR6Ubwztx07(rT0S|53QQqkqe*Z$BBZz9@{3@oIde?W7G4jM^_4Us7hN zYH_^%PV%L(aa6TB)qbmcd70HF>;Dt~jyKAn{dB-;S?HgQPwii{owVU<_8DRPPBCuE zELH7{$L~A7RQAuSCsMz?-6d^O`>oZ2nOs1kd1K1Y5kJoei*>wyFj02T!4^X?`5tAk zjqYgQ5t1<*?2qO8(dsip8b9to$Vc;rK?(V@(HZIiZNE?R`AepbXT?eJjz*5YtmWzb zZo%(sS6|?9@x#(3`@8eQeT~FMlK5O9Vi-4k-LH8`!Tr& z&L38b+>IPR2;_VoyoUYr=e|VbEnRYj`)(BXTYahQC*Qi3_z!Y|hj9;-g883zOyH~U zrhTfUsN>@s8c1I`VV_W8YYPhHQ#v8UTJfm1%F zuX7u^I5(>Yc%|Drf&bC#Z;-tLwpy%D=|92!zu;I+{vds@etWJ^F#w2S#7ru^Z9-w2aYH^H=hsVEdBOrFDI{Ut~Wp8Jpc6gYGk$ly_e4q ztQb~0G(V(dR6eg@$hQc-zk7LkZL`u5LD7r(HD5}QLst2A!dF&aI<#a+X+RnB)gkw) zmzURlj&*G$Pw=gj66BCozW4L_^5B*W-aTEnRt8Snd&$1J9py|fj0Ow*)37;g3VVSgVW!F zwC{5%oc?m7&HWt6+5|LS(&tV!?C>mC~eJ}8C5d+nL%Gk-ia8GKYSzSSMfKDqd~|K%zB#6|rhuZC;? zCsTMA!^wqf|D`FstKogX;n@G(6yDvrIgH!zcRr;+VJtIu)T$a^+bv1iM^E|Zfa3!= zy*$=_=qdko;P{fk(Nq4p;P`UjX(@j6l>a7hd;z~O!H=Hu-vW*=85}+3zXKd!4tzAl zf3xwESK|vfuQC7ORr_~=!Mm` zKMxL92FI?>kB@_+m%*{C{yhawT{1X&>fbzY>T=MtDgV$@{+Gb<1$;unKlGIURd9UC z;P|cl3&8Q^;3HD}FIfG^tM64jS%2(m{8xa(g? z>#)@RV9fx-$=ASf*sPTPV8hARz;W0uDZJcpa^X5GwSQVO%y9BGa2&QgrC(_{`5HJ5 zdpm`XGJG^R93A#a;gmmk57=Ym4}hQK_Hv~9g7vR4rauW8Y;;K1f4s$)d<|^?p6s9K zY5c3e;mY8YYx~Xy$CnOwr~I2{`s8b{0e&U!MgGk+oW02!xH34rI=?={_;|Ge95%I2 zz6M`A9+t8{$M8GB;p*5wg*wUUuDd7 zIydF-Z>+xLYlumwf2HdCTf_eh4p*mif1{qGZsNP}U7fpcmUD;CwDZ$~^(Xw2^SPRK z=o7oc^U3oK>4)NfO?zekuPc*Z%N# zaQY7T#e^}6FXgKLA~?1>&rRu5uKLTsu?0>oWBT+%)n5gUtt0kG>HpXIEBPAwG&r@4 z=`S*zd<|R~9J@N6)`G*4!Lh6Uy#`KQGB|qb-`n8S<%r*;{9AAQX$g)^@WlDSTFO)rtrR-C#`n|!i1%64w zKK)4b`+#HX=+ypkZFlQ$8{!!6{e!eZled=)b1? zr(E?1gJTPPdcyty(=P|d)-gR&`snHYe;Dh}ONxItp^u*OSE7$E=qGXX)W1>S_;So6 zDSq^ne>6D0fM1c|M^E|3fa6OBM^E|3gX7CLyQTQiQ~pWd_yYdD1V4JpUj>dY85}+3 zp9YRE-~3aGA3f!t363w|NXGg%|0(}$aD2(&=qdk|;P}!twZC4Ap7PHD#}{xc#`w`w z{_DU&GB|q5KNlQdy564hA3f#22^?R*xsn>=M^E{02FI5Sj-K-00*)`orp~X{qNn`# zg5wK#a{QpD{P%<7O9sEg_#Xzxmt(I^`G1#$C+6|B=qdm6;P{fk(Nq2x!13j{@22?C zQ~sC0@dbQ5)sN;^^pyWqaD2(&=qdjKaC|wgCdH4Q@-G3$7w~Zje)N=o1vtKBaP*YF z8XRA`4M_2$r~I|x_yV5nf9NTH0UTd4IC{#z2^?R#rRP8Nl)nxfU%+Q1{6|mucYxzd z21if%cY@Jwdg7TE^vGSpPk@GPxxynT#GLm{C&?~(*zt}x<8(Z&&Sq($=BYc z_>BpE?8^F4(;OTw^b@$)75{45fK!(Yj$QR{KXB@j!Qqwq*K`J_E+6Hg^*k%NAFt_P`|Q=Uu)s!3@5LFqgQJD*9x*q>Qsve9F z9cSz=WlVx6=FuATbezk%>3$91=qdkC!13jTOH=$mGJbOL1)SE7`G=m`zYiR)4E}TD z{}njCoS3?fBcI>N=YZ>Xu+MA*-YOtqi6c_&bhq)7*Wk;Esdcgj zzqEdT1c&RyXHxZJ{!#z`2~Hisi9)P?#7*X@buWO6e`y?EjqelSS2ciBuJ)e>$HzWT zrR-C#`hNw-7WmZ(`;@Ex-@vhT(#a`(%2j_MIJUs4Ma+NdrTR<3v2{}FI(yB(t^VW^ zD{yAhnErnZC$E7kgJW0Yw-OwV431s(Zyh*w$>8Xze;dK6%eTIls^1#pC$GT=@Wge8 z8hF+Io8WL|aCp`JW^jDX;FPQVt>F0j?R``BDOde>z_A6MIFG2ouIhgPj;(M1BBhU> z_*cu=tDz3y`i+@ze4{7)wKC7(O9ubM_{nSV<>Z5t{I#@|*q6Kpu9Jrt9-D_Y+#)T? zcUXQGwQFq?(<85e`{b)qyv+?K7w(f&pI_B7*Qi}`;W~LoN}sW!IC(7`ryP>PiHG9t z!QnXNycEt@*SL{OjKRyL4nf>%(Nnww`fz1%%9Xz>I6j>6M2f$&>66!D1AJtHzl-66 z!Qsl_@XGbbUDMb<+~(Y=dnd0))^<1hd zYrpABeCT7~S0((XTdywp7Wfqjed?|H!@#lCw<4uaYiRt*Yl$KF zsDwUxidTZemBA@j{?Xw0(l@pLt%XhX$!oCzJ|e-7p5kM`;mY8YD}NO@zLccSPix1U zKDpQePh8)son$z9EnFEKUbR0B9F7c5x!S)H9A8UP-#@9HY5L@13;gCp{bn0}6F6KM z9A0g|1>k)fz~`8K@>+cDw|A=jt}~px7OsA&{eA6R!^vym=yzF4AHTGIw}8XZFSV}L zQX7pAxzrV$SuQqy(Np{maJVu!<;s6AI6m}mk+Of6>642s@Us&9=qdlh;BaN|`;Gr` zaD3@MDaDVTet!5AiZwH=&AiW zaC`-yl(7H2@sro$Lk5Re?Y{&LR|cnC?Johx*8!)d>i??glh+Zyuq3?~<^L1R+-?F=Vh2gjh)^-Jkj%HIJTjzO=a z^eNZ=*BSp8C_a-=g!_kel&gLh^szBGHUF)nT=n~aV+%ZS{d`?l(~}Yu zT)4^_rSRT{p9~HM50Bp?;U9LjeMW-=$iw9mIC|>e7;x&6!TTCN`8s^yA?!*10fv*W zgNuiZC-K3Cldpq=hixbEa>L2j!NEhSllU;h$=AWb!=00OrQzi3;NYReNqm&yy4iw7mh!O8gg(3)znj6~%HZ&-{X4+%HG`w4{@(?TuRN48Y5x}E zCtrsT^3ckJeR$RWz2G1j9A35mFgU(uaP-vvqu}_;!yl9O?>Bz(b@%|zYpj33tM+$+ z!)_y_dP)3w!^zjdQSnv^ zf5Grq!G$aJ`O-T4*6SJzIDdFR@#_=yM^Edw1bt#54@*nn=xKacfQv7w^>ZD1%3lpG zzVOhmgg$!8Uki>e85}+3FMx|Lr>E+Np7L)3#}|1xRe~Qq<*x(Bmkf@c^6vl_U)H7g z(Nq4N;P@gBTT1Ywr~L1O<4XodPx(Iv$Cu$3rufkl{`HWq!x!*534Zj1e|-~he97Ru zJpcOU;P}GBauWSRc%}cY?+kvw;y;S>3$NO5368Jwu$=@Bui9?|j;|RUJ*nUN{lM{+ zhsPxCqo@4sd>!TCG6{b4l)t^NV+Kc0`8$B)3lAen@}sBxUBU519#)dzM^E{?gX2pE zCoan08ysIMZ%XlZvG|d%Bi7)YKVee(7AC=aDb_=jEfZ#+0$863OnUpY8+$>7*k|Av86mkf@c`d0}~ zU3h3i(m(W+e-t>r$U_|x{-LM*qrvedgQKVXW5Ds{tbQqe^pt-RIKF_-PVl3r{8ixi zlEI0K@=pWDm$P0-@x!a}n+Z;g!IS+LUbT;Z^%rg5zrjhga>-0moNvrk{)- zylVepaD0`U?I-F7uiC#39A7gyylQ_gIKF0Z^wj=M;P}eT<&*Z&Q~sO5@kMSvpRkXf z^4|iEFBu#?<-Y?QU$~ihk{> z;P@gp?N0Ecr~FTW<4XpA*!bsxfcM? z)Fp#sSN(exoVsLic(wiuz^Ti*sq3rjUoiXR>+umhIe%eS{aXSKR|dzf`d1B3T{1X! z)xTPB>XO0XRsRa$)PthWRFAyek9IwF#lH>v@gA-Wj$QFj(t7HW z!3*BM`s2WxWfo~u#5`W3p!!i2jDZGi{ zF;qAfU;HGd%oOadg7acf1$3MAg9A?7y z-_Xw5pM3p_25@+_e{_Z)u8cmsTK}%#_;~)!Df{TD{Q=)L z^aVSa??*r2+;{FxelEG8yV)n-fUn#vELs2Fh6@K=<5Jh(HuSO2r=O$$i~)Zn#gAS2 zzVU{e!N-HYn*4lv1H9_r9Av1=_`Op4)LY{NxA;0S)xS3kw)l{5=pcBmmm}2|{P^5Z zZul^8xH359Lz!_l(5jbIH-J;F@gIc@HZuB@t9}(Ywler=(6353hTNox>PPj*FZF*KI9wT=a`k^EICah7l&k)1aBO67%0*w& z25e>UD@~t#1GX~w9FOnZ2OO>pPQ9gnNRmE$(dtzHn2Wy5ALJXx(1!b`;&YS7ceVwG ziy!4D`}fV1eX8_sN*m{<^l|&jfAt0HKh#b7Zylpf`udbn)_>*x4czBpME~;AggmQf z&F9GS8K$JE!S(w1=bU$YYy+}(e}gF#E}f9LZYTO1bfxjKGe)NTe#qMqbOSzKn)=*J z=JQLg^}18KI5&G#@^{~I9{`#6ck-E>e5Yads^q-C;Yr%H3Ecl+-k|>9`$qD90UQ2; z{w~k|gU#Sw9MZA4F&_*$(Ybe9!t)e(Me=?J!YjX1*zhlo-p*aICDpE!i~YJw!SVIV zEh+o2c>Rst!LfDKi%EU)W!SOUKNvac`2Eu&eXc?1+Pz^hzlmbad-pJeo4F=ux@OI# z-LK&Daar3pEC;_8{F~r=xhBuKy;=x<`5}hW?;d&(oO-(EuE{djT=6q^8eRj=ce>t{ z87YRJPfV151NdX$-%jG!%{TmY@IQl}mc;LEZ1@(+N$>XOCC2}B&hYID`i`0tC~7) zY*n|hRWm0{AKR_R3CDHsHf8eE89gVApV94t$y2&rIAvD1!N<<-b3*=v-p5WGcPuyV zpEdhfDXp4(LAMLXjq~EiPNCv@ZXP-CZGc-$oCzIeF4{)@+( zo?SL{Xk{+9cfMrkh_d{M$^j+A2jvInbGerJej^6t&nn56myJN6852oGe!z%au0<%7 z%QcS#S_E&=Z4~fEWAjy$XUtR&XH59+ ztO-+Qn(T~Ar}vh(xNbu7AM=a!p9^ST-@L9cnD?jaKe=vo5;Xh^S9q$e5$c%J#S%XMSjX!6VK{Xq)nxLo(9E_W<){`WUjVaCj{ z(^*UF=Q#J&wmZY|Mzfp z6BhH6`*sE%sD0L~0$AZGj>kEk6G^YL$Gl*jCjQ(0KidB*T;JljFSH-bPQL!nc>7|s z@B+sx@=kSJ>+cpBzw!3{H?k!htkW8YkAr!K|D}Cda|%jHzJOze{v$fB^{=ger^k7m zpE22eFvn*2`^s9;fWJ{>h$; z=5u#7;pJ;g^#xy7d8U*kd=S4SwYtzX)BE}r^k2UZ;rUa!?vuHn_6x4nDA&4KsNc@7 zM;p74U&DIPG#Ed|-=6Y|(fuwtN0S4heX8(p>_9o|i{?xaO}a(D=PfwOnG?Ws-DmM0 zu5i5pR9x&H3w{+jN0SAvHEMePqB!#d>t(L{CFt+R{C-o)zwTU9yb1Xu`To#vnSV}5 z+5fiRXYX9ee)wPDoy`B(c-biXU+MR>rOsP#6yH#UaVy22-d;zP_ZaIAk~}_-Z|o-} z$goGZ`)tW}!sZgiqI#CWcbblr8{J8OH!w015Nt|hq+yQ$aL)*3bFx4K!kM_tNuqQZe*Sbw= zoDx6coa>JN8)>`NT~q!MKjNG_;23b)q;)K-cKFf4bbr zhdm~*Er-~3pe68B^BA8QU4*Q>tbgfHZkaK>bV%9I0j0z9Bl}m3D3deV;blVy9gSTX z&#m}f2-gEPei8BMys~S`*h?n(vOw-p)|-!h$8oQf%l_btiQ@xJ#&vGcPUl`@|5lpg zo|g0(Nv$i~sgj2{^Xx$UpJn|dgR_q)WiNcnnWEKzKIdEmw}OuhaaPt+V%?_`R)$6ryiIh)+v{mdM>h;62ylnL&S`E!D4o;nyX+zrY=NxodTJ;P-3&Jl>sH zpFYVo>N3|Id68=x;R6k)T~Duaxf6ftjvVdwh;XhY2>-F@e)B3~-^3kp6?dHq`G=~H zxqi%nmX64~Lu9|`<38Nw+Fr!{EMD<)CFi+L2fO_ueb#)zyBvl6=du3_*YOp1YJ@X~ z3r^hKYu#L~WSr}GvBW*#|Bm7R0++f@bREx%*Pp$f>I;4W2M>ws?OKfs^(V&giQrRQ z$5wIui()wMODbH4Ev{An`2Oa#S-x(^vW_&+u6m{&+M8m0PgCC=v`3BWaH+d6s?Ti0 ze+d4uYjpIdA?}VW;kx$Uy{*Q*7&|L@zqe~~i`!eT({|j)@%u|QI;0_dN;^Tc|O?Rh6`kgjL*WuN7=F|GWMtFy9 zZh!eN)W4Va*TnEgQ~3Sx%b2-?Ym#HQf7N{{`}j0+fXg-eG59d^deZ;b;MI8$KVD)zoN@5^U&<6)Rn zcqoF}+cl5Ng8y!FcFEAn{D>hX<>hkqxU3?VYnLx6E$LU5A67BElDxdE@|=8m=~<=a zdUZSom6yuJV!d7-T__(ua%d@+wsX1t^uo6NYgc0^ZmY#-_a9s`9I>dpRlbai&=Ff3 zwnF^}bIm*|+K){Q*SzzC$}9SD;k%@=bW|BvB{L>}Z-V^W%Kv-WxJhHD%l~`l zN9o56kz4KZxWewL-^j|+eA$p;BZrsfhgA-@%j5k@D@MrM)P8o~e7^t45tS7~^#0cQ zvI>#elfrWbaY`ls?32$AIENcwm-V+AQPzsG>0>XPI%QzhAa9&>%|V zrd7?FA&16r!t9w7u*wsD@(yqGBaX|^l=e+-i3VYkMrYS#`eOAgRSwp;>*k%0> zH>WK!X3dNXOczY-lBmE=l?4#rR)D_CFzwYw}{8pCDUG@V}xuxzp*# z%Kv$3Sw$cx?av+{^q*@)uggBtQj>RFV|lB3^~VRr$^NxG(lP&sjxr1C|B@L0T4Xnd zG_Glzxc?`FK*E3aSb>i5_v}|TNM)73Hpc%3vTueou4ze}-(QFoG{L_;(oz0Tr2md8 z?Jsf?ANp(tNicB_`h&&Q`3;fHN7S7 z|4AVb=ifvNp_A+T7i*_aOIgFq2Z*rnZz2!;-?S(Hb6yanrq9{udE);UJ-P?w3I4rR zCwIorLjICLC1rZ1r~EBr{OrCr>6x-;;yE3A$UCj~7d^rWB*A}hq@!{&e+=Q5prR-K zw~O(2;(wX&EbS5ep4j^z4&Vg;QL2+WlXf)zlK%ZmM+k-TcaHHNhiuf%-5xy?{)YoN z!B1(RWBdb4MwVBG{6sa3KYQa%?AL>|2PfAm=lu@{aDxA|NJsfUEA2OO#5qc#{+}G< zr(110KVau`gX&oS`JzWSz!LoI+XEf*pFQ3P@BbX<{_;|5%KtS;KH>l%Lp#@woxnpTzjDh|N!5^lW&1-x%pw`cae_y zKUAJy6Y}31<7fTf6kGqBy`S*E;qlG-FFGF|6#73T!5>@yH$8)FZ2fP3OoG4R@y+^= zPNQ#*4f$!`N*&-DpLsF=S^qc1*8k=gB>2ObD-oYpA|2~Lm7^;A=?tR$FU9y5A-g$< zGS>!vp6EXf&mYSp9p(S5bYQqX&tXnz!yNHV@>iKB+H$Pm|1-(OFWTmfabP#`o^kT} z%^b|rn`cHo{9oQ_{g%Y)w+%b*M2yY<8Xw<18=gPjj&y?lRWV|iY!7_@-4Wye5ZTXT z{E79iXT$TyXOWKaj~G@qEb6}>NBo-`5t|kfe~aLLAbxx_IDc$r&JJ{}eTG*I9HAr< zADOdXD|pV$t@)oe3Q~*S@%Z%W9+b!X*XD0TI_CcnPH-J55lAImvt!QKN8tf0v7n^Cj4)3{@5&i({z-7SLuKXe|n_nFI)Dd zPri@LCfey_`tDogn0Vt^j{728U&lLbpDwZb^}~;{$kLvCFIWCkzrX0!;QY0DP^4q+ zGrVNzps;_*x*f)ED6%7C{K4<7wSV<$aQ@m%R|<5DpZ(hitXlgIi}9a}Ec0BD_S}_d z{|4u;&G|@2`9CWy8xT8x-8?$RKM~nW0(L&v>6U2!2IsHM7e_kE|4Hcpu9}of2iEvc zit$fJ_VS3o(AzYL^{g$o?ekuwW9>6gF0%@Y^6!lCON>~zi*N zj85*PYXdEKrM_~W%!PI-RXemtFb>~nhED59e!tV=cKY-QkoY?LM$1UY`T_gU(jmjx ziVDB9amfD-{^z_sNc%kM8ZmO%u=+Xd6&_^_a2~Vy4&(~FpT&51iF0RSwrM=itN$6tU0fF(<=kKYMLx~j z{$IRm{f>*(Z!kXisw;ila{HZ+kKgfu%|t(7?-S@)I}IC1PosK#IOR3e&gn5bBheWh zu<;zpA1B(W!FB5m_5y*9#j$_I(4oUiN0e47|JgDAvBL+6^n3eSt| z5sMRcf{|jXAjPXBj-;1dojfM07+G0eJ?w{uI(&OPWn6tnZ8eP7P( z1QTV#4(n2&W9{Ml(?FhPG{AFdeeR3dVXVIKWMt=npC{TQykMEI^F*X$?NKsd0AKXN zjPkRG2;(&m*%xB`|4Ot+k6?mH@c%8+iPfW|AEPf(k7r|c{*BJUn4OQ4_2^|#!p?sq zouFMxD@QT_`3yLauSVvTn4M+(zb3NNu1}&}dNeo=S4TQQ|0=Bt8Ek{Ogh3 z9OIvo=wCe=9EYz*I?Df%?jHwKjI8wisu1JfhU_~r{>NhL*5^IK3#5rSasD6Z82{i3 zJ{Xk>XnXI7@qdWy7cu@qlE1<6$N7JtW9=>ciH1-+A4hh!G-h4dE3$Lop2_xZXdG^7 z9_d(nmknhc4r0cYIZ=|>+0ry-rw#uf;4yhU@RVeGH#82nw2gGEy-UjFbLex7f8Q8? zdt^Ju_^(K`cX+`v-X2>zMmiR+0i`1+>Grg}y|4S4BG3-o(fo(D+P_@y|f^iWvVn$@Xq={LPMZ ztlzTt9a1v%oZ+Q*InLK(R?N;EbZ(E?`AM?hHaPySk94#ipOy|db7TdrL!98-%sy%h zV`Fm{a?UB5<81R%j^P}!Hi@kM@7`$~u^ILQ_Q6|z7x5qbQS3bB^B%n#95<}rfsWOW z(H~v!m%Vq$|1h$Diul{_ndEP9y!=sha^GMKSU>Z-duY`EKg9T-LUw+P|B%GKF}z@w z=x2Y8bj<&<@)3UKlJ?p13`bMWBj$0wa0~fM{7>KBG?#UvQ)KJwc&GKlei*+c*xnLZ zIwZLNsGo0oHQ3KrMmk!*k4h`}dGx^YinIOo1om8;AE#b$Z~B<;hBV>3AiFpZ;{BJU zEzh9?|7P|&n}!e%8u0a7o%=EC`V%(y?~X(3w=7ma*6uCuMH+{;Ow2>Q8XRBj9|9e# zU;mP!{Y%T)oGJfXF@EY)7ty;zhbH)Y2TM|-e|#S4*t$Uf8(!L9qFB;TJG$EWG_q4i zf2nI389MZ;#JbSChe2^Wb$doSS`W@+&mLMjTrPeJzkJpk^n<#6`2WBd|I>+mZ10A~ zY2E&+lRM)^#*KV$>4Vae&f^9=z9mT?|;=D z9_jdbHJ>jnFBwtE_vx>JL9u5n-2A{W|cF@1eZi183r zTlWhV2jbab5cXf@XBiTQXKX(h;Ai>!!ED`|-$UNacX3a$`@sbGx%^&oBKqVHv4_oF z9sVvu`5#?|(_&w}Bsof;ojtwg2S=rrWF2Dvrk@!uX~M3+5d&%eTJoQ;->L=5FCzu(>UdNUz}&aFXejS)Kq-`VEW{B*f`*r6#rv} zlh?s@&>1QG&xVuN!Ex{dDIB}%-;3aIv@cEJ&wBsfc@vzvw0|(=-`}l$$m?jsLxTHm z1nm#6wBJ_d%sRLZc|X;DFMIpack1x*(9KEvQZD27-8SGu`MtyHX#9-%rSD@Rvtc_j z%&VX|z3irkL{JO+?Vc%8HdpG@h@dk2>d_f&+e%&Vq zO4i=uwlh}7+r;|%q1V}ZfZ2IlzwbMhZ?xyfPnbBFuU~sR>_0*~UnKc*1MGP;GM~r4 ze;d26z*g3NNzy)1^6P=F1D_BTu?i^jP+&`B2}O`{y`2ADzu+=|*HQm4HIMp<;`Bec z?^nI-JBA}QE1KsOr_S0>4+f_%yXT^Qs<@O>?=XE5J$FZNNus}V4ZdJ27rQTj>`4L{ z6_gkH=|ysXCHm>$GT%o7Y-?BgDgSUkq~DY=r;|T%&%r&HUv%7F-3hCw@;mKF@uTF1BCPHrT&f@%v83)hv7tlHX6ne&1Q}zmeJcZEQ{DdALWC z%ljFw<#^Yuv9yo;hGy$&G5iePpXgf7isSt~-e(;-=P4)O?^<5%_LAR?d40yX@OQq) zxxS3U+3Q@(8(otK7f$dFjNy;I!|%iPaxHl@Lu4P$(7#{s{@bqAd2#!<(7o=Y3fAmM*-{4wziRsVg+$E^@N6Zgf&v323Z*kSQux1Os zo%4Q4mp65-c%)0z?`|-C@sIbH@8eqiRQQQoklv+lOWOL7#VvNfvriA7SUt7&m2Z9V zzLo8HuYDfz@AdaycYb~A55HUCE@#sxPtm)a(N6fitsiUYVf1s>{H;qkm=DwI z=BFKQS~Pyf$iEF~&+pV$dtY}lZu&fIe#^S~o3GJt^EtkLZIyKZf5i{&PaoRv+4(t; zoKJ0?&%xN2w3T!6t>(8DTo9Ze>VM&j=v7w5^lEv(p3{h*xZM7>wT~DuJFlvE&P)alK&-M>>mEYt$ETM}xMbX<=~7r<*Lp&uj*U8Zye4-j|Bkfj#qa;v2W&fv+SVB2{`d3)wx$!G^=m8wZa}44bFIc+}Ye~Vn%G=yf*DY$7I~3NAw2ifqb`(6>F4s}+ z<#MOXq!J`)mtRV|uwYt>+C^`xN4vD4zh0Z;FVQezyIh6(O@a1q;w^GN=lGGAE!r+3 zcN+)$2}v2bg2l!50o#zbl)J&57RBW`U#k7}AMkPSMDS!>9-`dj0us$7F3(6@ejgTV zTs~nOUo!R536$D6PIuR6eBO)tpF;I)J%WBHa!+wQ>SZ(ajm!NNxhIOq6)Y~)RnoS5 zHItXzLU&9Qmvz2e zTi8$jh1$|kt#~{{j&)}ndk9HI;~{c29IRCh$`vdg)KStlpZZdX$95L< zZU1nBC*#39u+8KG63sa|-1dRQ z)Vahi7l-xu0=1T*TJd^_+&&yFylkc(bDX<#iOY2$ChaP@FUViWxi49cG1`v%@a5){ zKN;EiU%w0H+wHwP^EUjo)Tm1O%gz?1vOY-&q&%p9Z}#Tfy9T1g`>V?JK=x=ao2h@n z+Ogc@B5$eDt?rx2c03gxlM942mv$T^?btUg_VbqX$Ej6SloqSU_7hPn4b_Uaqsp;% zZnynU(RxhqTq19&(JQWNvL39h+f6PI(p>5x`-Sb%f*V!*NkaXC}ln^J(PUpBfjqi{cigus9h1N6>l$*o5L~N%Vy$HuzK9$apASp z__TC;{RAG93xqV!QU0?d8U=HMlxaO=|E-r0`9Fv|TaE3%h_s9PLo6k2kG3X_XS)5P zxIgM~jr(HcCcDNw!Ydi~-%)OITiH{DN&J0C;{HHb6!x#G2@-bv-}8tt?(%=&Kl_gD ze+bpGaW7arnXe=T*DHA2_!f6avi~#3Z8td;4qh5l{<8STf^RA87gNTKm4!w3Wx=}Q z9aYKD&&N^!N1!eBiQdb*gdEp9wmjOKu3yw{wp41n zv0vVPhZ8*6Zfhxzmehbp&m*?W2MOD2!?IXh{Q0t-N60)K3rker<*2_Fsuz!o$Zg@+ z;AM-(MdWsHY$_sGu((iHN!yXP)TFWN9mZuxCtoUY*>NOti=E)fxO@&~asi3v^p_p2 zhzouMNk5;E>j+cE`3cj2FYPxULbFG}vYUP*a(i)LTT;>Zh+G>E{WP-yxjD|g&6@c3 zFzU?MdV3ki4Bpd!Bv#wee~UTb?O(%jjC1#YL_UK585(bc{a;fsk4wxYi9JhA`o{Y0 zjtXC@?Nf!^yH4;#`|MzS599(8&6($Sd`sG=S6CeO+i4d}znD^4mvw)Y+FwYWck~I> zipE*xPC@o~FPmwPInMn`4#wx5-TZ}zV@@WXr=c^=rUss`+esgILPw^ zuP?Fxy_5aHn~clnI=WmN`ss<|(A}5z;hz0nsN?o;IMDNOP&jucRKo z2#ce7%$zQTMf?4aP`f=;E8bor$Gow__9aE@!CEV62lAGhc1+jf_uwWM2x%_$__Nf5 zPH!o!$IPiReDSa0{pgP0qQ+bnq>O(-dx#v@*>?QVOJ?K>)*kdFNy2NX>EzgcbjMm> zs{L*~a#gPBBk6wkGUX;0kZ3OJ$V#c_t6_0CzGhFHE`25Y|_tjY>>1*k|o{4fS_I_2O|6 zIaxDp|5G$BBKH}`d!92RSMYIpv%SZ~zNJ0N-O$87^i9_0KrRr{oO-``0QG)z&#+kQ zz3cyB?>)e@EUy0lxwrR5TM^2c*j>3Ty)zay>#UDBnyOi<{E z-?@yZ%n?ERyzO}FtL0%Ldi;kGJXRjI9SXmr^8?YR=w+Pf<*>k8_0n{fyNyKT%CGJx z)7fq|+b;*(Sa;YqGGOZ{zn@N_JBkl|wYU!HjMCAMZ#%LJx}?(wX%r{%JD0Ib`rV|% zPq)6Rj|6mOM)0^krs41C{6O@Xg8#{)j~Rit)5o`}YgekDw2y%@@Co!W3AQ-_Ti59n zI;I1*on&b{=p*UUf$ZY8LGN7V!RBjWI?u7bn$Cxzn`i`&r}LZmJ32oQeM(tgHs~TR zvSm`!*|K=?YDXBPYaz5Y>56nI?{_TYHt3zpoN9{W_49nfa&$hCK1J`BiQX>^ysh4A zRxGMsr+!`8L)%!-*>*|5*0tV+?n*uzENz$R_@%`qT<0=5LOGs}9}|wF^NIAChW|a1 zjG(+g=8C-FPSvBHN0dfDzg zMK9ZrhVEfGcKDFEUXoxwcl0UsW2@-pqrg}7vY&kxu7Y$~Ki-Fp@xSl7OsCNOi_a&P zvIE@)#$1~jGjZq0py538jCrZVc>U1fPxdjUD(0TQ5%{_G+mG*m>S@!vx^9)52Jp+< z_FguIZOHFjc7K`Yel?u8+}4U-9i304PxQLI1ifz04ZORuf45V&xBp-C|4P>b+OGQl zq%AMo$Ji)^-nr~K?bbQA_a_`j=M(9Zdb0fpk$Yg^ZR-j8aq}eq)%?iz17RN;uyl#aaLKCBD6q)RttU!2f8mwkQ2zTNJ|p;}KKpgg`~1dr8|?Tp`j zIzJG7N`6iky-W_gRWH%~DqXC9Y{x#@J~d$LS|37p5}ztd+d&^mm(FU7OSsPEq{(`B zq+D)4lW-iJPoz)!=k4>Ehjw!);^&wD+C^(t>2F`}r$8I_xgF(BI(aS>E@+{5F6Xc9 z=5e%h5!Tz>O?!c{yy?1#DfXQ|Nxe=Ne1fA)Onr;d#HJjP>p^>WYrL zKjJ%gK_0w~w)ac(A9X>yO5GDDbC%BKt~Z0j{_Ky|SL^9tpgYIGehlk&HtA9NQR!F7M9>_6JxU~$#kUVcB|@Myb0KkL(3 zYWH9CwnOyxao}zBhT7~F_gWdRiD94ORtj_=K{{(Bpc>e)H)pwfisn zKSA_7GVl)C#by3FUWuDe({9VJu0eg(wUMy_dza-;>5e0+(U!I={VLr==#K7!F6s0} zTEz*yb6h<(Jk*=(^Hnc=M~{MsdOO>4DZUbXiYc7dUT^fNI~<)Ki9SVdi$!mz2Hp|9 z>3SCB^55%iChUoTy=%P*-6B5Uu(Vz3P3Y)5cP!|FF6s0}TE)qFmUD%3qIz>(r0V5I z&`mXh$IH{V@ON~6Ao>)&d`I+hLEvrctu+5`W3fa0dAY9EL1m3?$0b29UF%2a82|6s zU}?M5kI-Qs?6|B8x}?(&X%;8(J6HHCGd3(&H&|cQ58rpJG=hia>Y)fuzp#UQqNlen zSGVEs==@0ZiJh|JKGEOpfp<`@R@jAq?Egwz3F8aMetReDkUQ=T*t^!7&^^HCE=$`% zZ%L;Q(jZR4b*|{-h`q2Qv=_YI*F$%M5j$<*5|L?mF@<-|D|98A(DLc?5T{?M+lW?6Yx>?d0>A!a{7TuBb;mFg& z|8HW~PY67NbSyi!Ry>3J!Oqz6kASRW{v@3|^ugj}z1g|qOH8l0JYRr2I-f|NBG0xi zBaX8Oyd#?Q}OWO)A;WJC#80X!Z* zd>a1?;Dpryzu$$=vmP1P%o30PA&2vVV^~ z;co^<78cdx;lJhhdDat$EV{?Sf5+jUf)iE;{C(25kNRE1y@4Y**CIW9A6)yF55O1G z-e;3u#)BFC+TqA`@k#KX%DEpu1|QuHCtj6*H}R8J7RTfAZ*}tVtS1e!cpj5~o5Ok5 z6IKU&r^R>n1t*LSIPqT07-(lU?fWM1yTWr*G<~F9<>OgTT3Dox%h%#?p7n&qqHPS{ z=~-N!^@PDuZzns?efKx%bg31 zd9OS89qn+!)%N=U_)i6Y#Kii~o%n0~hYM_}(GR_v|FB4*01q{kMaot8D+i zy`*j5b`r1F?_ZI>AAs+O*Ka9zDql7Hq=m(VxP16)`8)?aAN<$t^jqWjmxB`*lmBsk z{Iz{rPyFw2oJ3Z;_z73zUjsjJF{vKs$6w=r9voS7&uAAv;VS#9Li%HcuKmOB@d*^QICpC|IwzFdSbCu)26&m7Vk}=MIwK3-(Cmhxl z=|sAoHV%8Qgc#VMmj9*(GB{<=`YMR_TYpZ>bA75hQ?TpCP^BeK` z1c`Ab?+bS8hCRjnRoTK&-!rzmv<(1zow%=rIFs`=Os3vjF6UTDo_={SGQGw*2;;$X zjUh|2r93=JJE1sj)?-!R6>K%^WKIDD!VQaz32acLke0^Vs9|Qg;aG7a};AfC0DjzclAN~!TdE_X5DQQ-` z9Q;G@3*-2$j3E>!Uq1X8{Ngyi^=6CX8@fDGHpk@Y`AJ((N0*VZ_~jhhcPL}$CmsCU z8>1gPVR`8%8#mo`j}2xWdi!#o{jTl*=dtr?*sH^_S8MG7N=+l$+M32i?y)YgS3CRn z4a>dBa>a3QxiR+-=SAC9oi5r0*8_RZ&^aMbecOauKsc zCf9x2Zz5He69CU5|7*xm7=xx69D%5Zl}X3|BdUrbGUTe zB}-Bm{LmC|5jv@n6xeTg%2(B(2<5)2cc9j6U&DA82j9a47e)MBilbzHl5+wwO;-C$ z#<|9OVr8c{iT^*}3C<0_(YU#UJiOGK+pvQ|(8U$sNah!~e{-`pp}Jf{P5IGe%Hd0aI$KX@Jesr1z;;dHtgUH0>Hl|4 zHF-Cg{tKlaQ=I-^oW$!~-XBego@hdN7N8G>-$oK(ebD@@>Fn^{htmVsoQ#{Zg`4yBu`Hr*Y?+L7Qad4O+x3Pyw*A{pzuQ0?+>mC9u9bfEJ>$vB_1a%6 zo^p6AeKPH(?{YHD0rK7NA9gibWH<7i?+9&@D zCzygT{!;6&{LnVMZVE?4`LP)q?lb0)?{Eg}Va)3eHU&*SKF{)w?N9&8m|0x@m3|?U#Z}O-6{1rJp?;noC0dq1tbEJMRx?Ak8 zCv3XJC*xVlIJQs20_+FR+7Fe)iyiSmTklI!o?E=+@~bbxewgLL8bY2qd#vp}?CFnX zo}p&N!oz*LdhxOatCuF~)~~8wt=6^VCv;yV5)-D*NlfByh$#uVJ&#F3e?Iy>;wQaX zE}ta5*utWpk|Rm4jhS#HzReQKv$c6@c0^^hD&t6V1rF(ZS|6xl`AYQ@utXJk<7<8 zTxtEJ{6$^EO&c>rzqpuZY3qIVekfA?FEZgk!7zH7H>V{rwv+nKR+ zzhh&OHZXMU(k=TG_h@QW-KuBNvFMsMHHhzZ8-nDoa|Im99kxTr(m?um=lZZII8@|G z;xFaHwSQ7?ohx7|Bt_a8;gdFIm$c(`e71Me&Kxjl{n;OsJT>t6jhlXXhYca)b7*(j z?^^Fa?Yy0lNlmJNx(@X@GJoH&*Tyg5YJ0RT+8$L@o*cAB;P3G{jnCI@xMLTShFTX1 zkL;1|ynNAb>*7T|qV+$|*8knK1L7q8GPbu)+K=72TK{*+9E5E{NQ1)B_G5RE<;Xgh zFr0qHXHThXKRV-2hBCLIyOs^YLHn>V92ZNPc6YPsvnc5)b?J$;f4j>pD&n=sm|IkDMQweBJLTw$<+A6!9V#AoSuYnw021*4?(h(sm~jj)pq6 zFJ{E0vhu4Z}l zTG{R?=}~znK*xGU+rvEhzNfe8^_VHt_E_=C5N{pJ_v1{j_oH}~#ryFdRXXkGfOV$V z{wU7;jE4Uv>l9^tzuA=bas9l6-#W$Oy?Wa3=%e&eQ=;=E8vlIeB50#&AB0z2?(4!I z%}>g+xQ0^tjN|QhZXki-4BH=l8R~E4DtCU{mToDPR&A;NLr!iC^g_v(cuQ~f!!x;m zGilmxs9e+;#cM+RbGhdtD%Wz0HBjEO`uTJs*D5R5&QPv}%T}(ghNP`NHzmkW+MGZh zs*%QrjLzk;Kpx6-ne~-?bS{60l)I=r-?m5t^*0k6!a9-X;tukhTU%47@}Q^73d_$=EnXV-A^CGfk5Rd~ixb;F^LG2)=JTIA=%>1tW89lYINML;F!%y#TEf-2U4pzic=Mq}V6_3tlnZ0=f4%?=s?Ud6K+LGleB`pG8z(u8zvsA+x6+j>xsQ zgIr?v2}RqM;)tH4(n<{YGybpYX}nYW$}f}B?PUD+>397%=q9o5@k?6y_oS(}DgCQ` z^1raRDG23PYUYQ}3h`%5dWc)Q!1LVrLHOT_;;ucAcHg<85?NnxcDLALdmNT^x=$ic zNz=d${8Oj>TGO)N!aK2(_6(-ZAm1L^T|Yhh`Z;>aiS*IC-!VnEN;!$fH_*~#ooJu- zf8=FxJT$)M$h|uf-&j7Sd=O3IlXd!iC-Hk2augUZ<2@L&O!m`mo&GWUM8%KhP9gf& zeZ}CJCi@M;{C!lOG5F)MMqsB{TbW;y{@ruMU!0Z4@73ft@nQ#w4rJ{^l%w5%yR<6ZyTtJ_o&uUgpuiPN41j3Fiq?#>bZ<%Q?mzcA7CKY=Q2l##~kChx|YD zl=L2q_B6foiQ^vQWgSV_#U{JW6zlrOm{x~VcK191jviV6$YF{pu8(ixkIO{X(5XJY z<^Q(&@Ox9Ij|&M`@`#p1o*xpgS|`KekW%U*&nt_fh+Qcl+Llctozp z_=v73mwvp$_i3~7^68hSOd#G5!u?%R&Q!K1ka-V$)GsM#PfIzA%H?d5Ojn+)EGieF zT4&{IZ#6i%ULtJK+5J{7DZd&odx*Su@Sjf@g(myG_T~3CHtftZ?9={FaV@3&rTNo% zmUPAo7A=zT2I0NUM|AOwjc?pI8y_5=$NBhDjwuba)?->Wd3v*LeJ?r;@%c?bG)UOOy#s=-S06^ENxe`4c;Xv)ZOp z@=VhDo{d-AjL(=4!Ef(wW5z6D(egIaQ^FRx6yHm|xjBrjD7%w#xYOd9gF-sBgZ798 zY@N+-H=ksyh622gc}Z!Olnr}>nCM6QI?>S=5jmPUMx%l;)lAyee^TtGm^2B%my>#8 z?(Si{+!r-iQ*x6lw~=z$m|?LD(iA$0dpEIKXWJ9s`XGD|?F-ReBP4!&LQVbjvXE!b z_3TspeYoFG`b*JWV~Jh&-7^H@NPl_PyH2;qODM=Jc4Ut9mpR&h`QkOw1`OS#G=}@a z3QjZW3nhN`ta-!08WH`Y9~v|IK4=L4BiA4Q>K%vor%&3#dN%b)`r|#efAmVHID9bn zb*T*>{+w*be+%~z5WYu>yl$t!e~*Tr(^v2f#`G&DT=p@1#GO*7?ZQ^`GbyQGhEuCW{gkngee^rN3UdhM)T@2)(UeRro=!d)ZK8ST3`9f!s5 zh10okf8(9xd(?^E*M31;uol}Eoi$bSo%U_tai+M!WRz?BNBNTZbkqBypN;P-9?Ck|Z6H72uM^t@Mpaz8rfLHBr{ zy4r_zuYF;ECw8XLhz%*_ZO_sCpdLLPwKMU;#__xdcuIZ7&U{1c%%mGPkp^*;58vfJ zEc30eQe-n-d7=Lmx2sGa;Xm?W_gKk?n*%>Bx7)&Uqn4>;grlH69n{Zdh+C0k60gHACx0?l7G(SU+K!7>VmdJ+aOH5G4?uF?i7E>;pCS%?oQ1A z*zH47{85V!{FBAU=9z-grbzQ!ams*%FLMIow$3mG6kToir0!_h#-2piUdF%hK;L_u zzQyiT`~~n8;8KUNI}4rNSvJ?U|ea?e_dA?xS>V&7KXU=^e{v>rrgK@5)*(OYN+^ z(enE@cqzX_S-;4l$D4GwDHKiUyf9<`vqk50Ic3zuR^) zT0R%Au3JzS6pyA-_SKj5lm%*Dy-HM6&8jS)lc<E!t~iytTV}eUH$5B z^ZshXJsZux+Hn8XhI`#&9&Eo4HoJed;hv)|YZfm~)cyZr!@b%YU+OyPw^pvGPORm9 z0BIqu{ddHOkt6)}l5x#U+Rv@_x2(lDmpk6=!%}=3G@lyt%=11hU5mXhT=AV2Up~Ni zhue7=!L{ELT%7RN@Nq7N@7-tTBlcnYN_(FD`*1!&!*Ah^(!&U&h43$6*nBn?9!~m2 z!G#apJ8TIwgq3@GJ3L*(_cAXA#~#joARhj{Y~D}X;w0mNaGCNe2%rA&321yL!pnCb zm+#e!jd#Qh`KJ5kcDgvs6}>vBo^Tk*aQ?o*U?-u-sm?0Jl7oGW^`J^vv-gxxaCOFw3-UImG{~mz0`^^7Kzd*Zh_S>eg=t4J-C%E_t-tuGPjXs#~Q%up7CO_yG z*pCqKOCPguuPM4d(r@e=ZE@LMu$y_M{Uc1#ovz=JzQT7mhJAC+2X0=>$H(34Q(>0? z%CEL0>nGBu*)Vi1sdXv<>q$?`dJ?$GkL==*-*>rJ%RFJy;ml2mYx;|Q@<0Dm*KaF- znU$Zr?7SoY!FT4}S|k1De&zr~Kj&PfDhR_LAK{n07-9-``21p*jJ4^C+<9Dlh_gG@ zZaLQB=i4X$i@)dF4Z{CZ4MX>D?0;NoitlvuSem{HaO4~O3Oe46d`s9Y;r3}O&Ro6V z%DPXRw`0%m`ODdGr}0c}rUcYF#@vGVA@ z{ik-)@6Wv9rREjqH9hni^M*EjwNLk-VfnP*{~|h{!F=1or3-4^j7Hn5%tO6XDDxS! zE2mXWnK64#)%3}p*X0a{6DK+kJ$4~BHR%(1JG%7PLZj+M^uChMgV2{bY zvh_~z)i$Kk^dQ7;w@2+!>a)0B_4djCZm;;|TKLbi{)f*G@E*rR`LW~o6Nd2b|Bll1 zxIp5Ub{ApZw2V?DlzU zeYn~Bb9cD!ieGW_ri$N296u#rR`7gQNs2f7^+D2ik7XNthVjPiGiJalQ!-e_ajLiF z3s?vQo z|Lj#qKE^)z+hjWDXS6>t z|HNme&RqV%w>NJ31-cg3JkOXL``hnrKSWFC4Ptgby=O3^ZTk)M4i zhaWL!_=v+-)T~@RvU<_#!_TT&arlxIYYv}s*t)S}5@U`$Y}LZUmes6Sv+gkQRkFjX zmo1jJmX@tqRIP6=$)<1rMFP!N>aeW4?r*bli#%G68xpfmot@xdol0hMoqQXN`FOrD zzj~hYdX^cpAd|f=^ar!)Kkh>3%})^y?eFEQ%zwnOZ&AbFZ`%~p)C-vpibVB{n`dvI z|5AQkI-6)ugr|AFOJ}{N@#G0p6Yk&^{E4UO0OIoF8OZNr!+5;ou|RMg%2_m?LqZG?3|n4VPjvfags;iAe?sexKHSpTeUUzw)93!WwTac$3xz_;QPa2G zr<&hMClCF<#3y!@b971ey-k(pB8y*z{pI89Om1AB3oO!f5%L`4lYNaZA(!UwKIBT~Hqgi__Yl`!N(Sb<#h*G(c@B;Mc2`>D}*ZNqgF~$s$eAHqY_N@c%XM zHAy^;H4;Uwynqv=;~~d$XF#9%eP@@bexHY*@U)!Kf7CrDTj$xg;?mg!U(?er3}{0q z`5l&OTYqX~nk9X5>grlsu9L35JKHKUPR%;j%+h`IlK;)x8rz)2 zCN@nk8Np-sJ2cV%`gAcVNq2LGbbO(&m1B*hnwCcNjl4~XMBUm|O2j?IlKz3*3lhsH zO>aK&)Au*EM#6J;qWCvw^GUUsjVF;1{^O&5q6wM4yu00g~Q6fw#)hNIu)DM|vfHT@F)u{B$*UgRNh{X7#c!;TOv| z-C$@%J2(v=x^tJ-ER=)>>2&2q!gVhDVslKGPG=BE{cWD96oSXoc@&tV^8?W*I&PjU z=^Ph$+jR1>v!Bjn&uU5M#h&J4)14P{^8xDgo0%ZpBM4@E5R6UF-b7-hUmsom${m!= zm3)q~w0^oBS*RbO`#PVAak>urv1!)3EnV!{FPe3seq8&h`r&)?FeA8*C#7Ea&QB0J zjNx)_q}Zi_yDQv}q4jD$YbNwX&6l#zs|c!QXD1|Wy=-2Dvaknz=M8f9pO2H-)7UFo zJldFFQrx%~fzltz|7Gr6Po8oHsP~U>eoNzDrMOp&=_6-F<>F z%%ppdHRIo;bx|Mms@Qm{%>^C6$Ecz`GU^)F_zUn^oaz-OA@(J;KRj~MT$9yUB@Ps3%MZSU%q zuTE%RM!N+c^`NCM&o@X%lBdK+91?2-Ig zs2L>rqj@8FM7n)>G!Ep!Pr?)D)wp#6$)A>kBJvF2dzf_r{X@$nU)@@KG3x?S8 zW*Iaj)*56YqGd$jr{$(8FvqBu1%s^Qx+z(br_S%MkNGwGHLeWUZGYWj?6_^*OrNm* zSDb$1@`K>t!l+Z^y(;X#6rXAPm$sqsZ&2Za{zY-ZrT_EBHh`}J-xcQ`_84t8z)~1jp4muJ*QZHMqNvd^dq#F z>U`vyJsPFf=E zc?)|wvbi^W6!B|0+HbdKiUhRd-l@0nK}R@moU_OD7yoiM$*9%mt6o>VaBa2zPTG0v z)&o3D{^CpjhK-IniAp5LUeW^efD6NOuxl-_f$B3sqjen$4+OBt6f zRn9+Y<7wGoJVRM%C9lL4y%?4=nU@#W@~kobI#;LiEd z@X>wCzBpcE3PS!D9DZ2HKi%|P7=(}gApC=QM{2@srRjGZONjygJHTK0pY(^KU&=lkM)QBC#aXBIM$>ogA8!uI^4Doaf4h~3lcnsF|6lu_oMRhlN1DE% z{{4tDi53I7kV)1?`aR6KE(49}Uu62OHzh&7wGxovy=HGCax}aD7(pv+$`i?VcA%C93Cxm#JNe}TNhtoDY*Ox{<#JgLZc0yfind!4Ra-K(P zsl^YU@9-l{pBE$Lvb9ghue4RB&m&R(G8aE{5zZaBGb%qi^YtTX#U1!oR6j!;PPuUI zzz3u94|DN9Y|+-S=D_bqQAmH`Qye-w|s8H5nHD9Li@e#M(l%||8wI|I}A zAc*I22{m*Xr@DB=9{DmcyL|xBaY^e7ghScv;8O8_gK=EUyj zZT&E$^NI8+{ZWIY_oFbqYR|FOdx+M&~%XA6dHa?UYasQU? zOXysi@NelFq1(|#cuBBmog#kc(tl}|=r~Yt>GNeA)%G>&p|q8y;W$e1bXz}tX5-h# zqz%6<+nD8?p;AJ7zLhbQxtkDhZ9ZPYJxz=G-IM#z-Urz3-YS?Gk^S(!XM{^J5FmMj*jfFqljdw?)&#ByFIlGnqnMJ6%|i{Qiyzc=J8{D@03Ifj!i~J3MQDr?dwm)#CcRu@naxDGsUeM07-}U{~k7OJs=kczptyya~yCjw{ z97`-(P`AMDaOt$ZP=;l^+IEJGU&EF9zGHgTjM*a-lc&v?o~W2GXF_6%j+>`^6gel0 z_9gvgD}($Wyx)|`Q_E@dit2TB@{8Zm^88KoLpikm#Iq}mVRjXj4X5czPOF+pUwcZ$ z^aKLTNX)66J%@{7rk77ioKiK5$zX|x&pmvurfOmf$KpAccou1J_!H00FrHIp%&I`m zHa(w5((_CF=35^5Klpw3K97!1bsL+4v3M>ep1I`T?eHdv=kqWg*@__@si;pFW!_=R zmy!5x!|z)fr2ZTHba*~-o77d(R$9X|s=sTAZ<6KF__lV8Z|aOwW|sT$?TN&9Ibl)G zd^h+CcVDKYZ{OnDmCF;W77AZ9zFUcpdM!@l+tM+;W=)t=DPhSx^;120(pI*;%V!gxi}?JU&ky)K$!7^4 zY~Qvz6WGwg`#<4g>Ak~-DCb_v7|c&^+dXbSMOXFjucTLV0U6ts*J^T!= z+4fcPlQW}E?W=KlRT=O^@^ertGn+WA?@gR(yJWQz#`L>|He!c*cNO1ME~|6#Q4T+m zdAeycD&|sgxO;2%Y<9h@s!6OmchQQ(3Kx!)*XX=%wEXNLzRl=l5pt>B7nWDKmPta; za#|8eR{?(iuwl#pK@UXc|C+En?Y0Daeiu5Rz4WAqk+ysPgY;Q{@OdvO&Awi z+#ET#2R<)%pz(&6S^gT~r(bF3JehjzI8S@zm1}=7E_Ge`#!b(XGh|^6Q!LtRMfA_mmL&EvvU|u`3NRJVWy&I8V=90p8u&iFUu3XU@i-_B`TT{4Ca(O9Jl>_Kodd zgkK%~n`eH+XCt5UL~m9;kxAocZNmJRmrnfqkfZTm6GS6lT>PD!I~VP*B|eGkGCtpp z#77!6KIXB^FPKL9b0_J&rL*{G#3J6deUI2b5}(8+Y5YkfKGLM|@f26|f{QPjzl_%- zF3jH-T>f^pK9F?!BMsvo3%TW`CHWQT_W>P;m~(q0*NZf#><3fa*Y92UKO6G%Et0>_ z1wfbidzU5A{AJDDe9Y(ZNc@l3agce$=vXNK?M~tk`U(x}-{kLVwyHaOO!O^ry};*{ zAU+LXe<@?P8=2pp0rsgWsdwkXXnB8&{t2A-KD^N<@cu@jDf!ss+4UjzR~yj>^8gVS z(FdKVbE=QM)V9P@J>l2cQ}OaHvWZR@#|HWFj*VaBGsuD~dE4ZaN9J8TL))Y0M<47W z^B-v@j)M8N$uhlJL;RRi%u0wc9 zSH3@W`nrnzDo5UKrsN@0Fu>QRmmB7%LASV)+a*68Kl!Wq&AwmmbF}!6OhHWFy3?6=Ae{*RQ>J_xB7P4j=sOc-Y(uB@J_j!wbQl6oBp(A(Dd&gv2M(Q5l4uR z*vp@jub+RN&joyLHD(+2SJsp6V@&>^c7a~HIla*Cjy?+ARGE?~CUar59D24Z_eBcz zqCV*tc|5hT;i>)LHhrIj3c7m1@>R>K>7;9X6Do)oJ3#AaHSuCIa>ifDaFekxz_CUZ zM=oy+pEFHKw&DDNS25Kxt)1!FuC=3FT z*aKdgAGFYg-{o5%XH`VbDtOkKV$Ne;7+Gibyrrx~xUk$ilZ22O(JI!b^!wP;{=`5K z{+XekJaodj3&jtKp2Br(&qKL;T&LvTLF*#?>W<+v=Md&)`c zfpy~wHt?vEGr8TnWBitUzRm*tS2^l~c90czzg^C`q;!1P_K93_PTj7VmUZ1ebo&C| zIj3%zoKqLo@2!@`{deh4xoAR;F;riiOaJcGL7B&#QYZ1qd3nSmXW^N3yZJsIi1YG_ z{Bvjh^xdy4{y!JbKs7jqsxR?KIoZ29v25kJ+5t-Vf@%2J0PA*=4(uGpOWE9;s^cNK zds}gAfFr++z5YXR{~Xh3Iy>$EJBhz>bz*7FlBFVm#4q_*Ie;*6<+QVshmwEu+`63T z8QkNHUo#P#Ty!mZp5V>{6#Xbp+c%LppGlX4qo2X;_45|~p>z6?+RNLO>d=pl+gB&z zHJvvs7t}5n5|LNVIo9~F7bbs+yiWiSU#>g95E6^O!xvnyzwr-!?Q!R}%K0+N|33OW zo%C!4=X{xu-991Cg|+wx;0x&orLP0mbJ~-}+;YCdH-jh059WU*eE*zx!d55eQgi05 zmy(J-Jl*XRj^@`cOXvRk<#K}jj+IN_Zd|==!C7+sF}UbK(}3)sT*q4OQN|p5IPnaL z*ukz`rbvLHbMd(Pul}z5*Sr2uFxd|ryQak!$Nw382+k=iy6i|w83KXpF;<*A|m{=DW8lbc!^3~(Lv$9L8&Jxr+|M<+cdWeoOa--X7(gg z=fy?&kCADLc?U;4Vk(cRDs*t@s^nkPTjod~=Yr1^E&+|ZT$4M+?)&$eHYo0;NWX`*p7IO+hj>cfa}NK3 z;`U#T$sK9W0Z&PP*x^5l<9#gdHUGfjH<*<4pF0}DPj~S@*w^7V@#M^KZO z=F33;x80Y2j^h`(eaCsYf3^AJeR74v@A5&O^smpFqCC-`(~bAZb0J>5BUon>+)H`D zc%S|&g5MXscVcnI<)0=a_+>%;vH0WQe~#b>1@E0$oIUQ<3l#TKUZj1O5{7f~c`45+ zuFo^R1kVp}nH%)ZsI|PO(|(R@ z^}Zo>tt1d5|69Dt;g|Wa>3(cfzBY@WiT&sE_cuKP`BGCIf1MAT?%g8%shN)7 zZ{OH@wJ*w_>-hcl5!{{Ur}Cv1I{xw?{BD0TrD6Qt9DhZKzaGh-)LxGN(hz6=>M z@oTH4G~MpD_pI6YXiKmyiyN0ra%4YM;pMo_oCU^yK?@z;-j!PkH!`h9bvC- zarUh2c~=}85vT7-5q|bt?!7B{=Sp#G0Kw&43u*`Uti5LeOxzaiN7A~#kI>|Mwm$L6V$@$M&guQ_e^00r=j9Q_=h+-)}PoRW5$jkF9@R+Id0v+*_*mUt9gHgGcoDFkx9coU4O%f3L;Q zclhn#wCSwhG52M&C((l9wj?a+CcVm!IHX>a~^veR-+0fvG<=*^fGX zDDK)j#rd9fsmXTdl}Y$QFD_ahvad1SWuKJQ#~d*9rTna~q@|hs0vo>G?-7wPWyn}U zV%*OCfzoeDy|!`CUu9gwdqL8+Q194>uKk+Ndkpm@a9M7@DdV5ioB7a}4to&2OZuNi z-_$j!SHXSO75xipm)~?D<@_ zoKeQaX*r)qc-NY|BV0MxdQI6EC*_c^ywvMW?t9UCze`~+ZLmJ&U+&AMNbWl{Qy8CT zzHRZ;tpRSoj5gY1QC_Vaw-L6q57bxM8dG+I$@|##b0P=H2&2Hd4kJ zXAZlM@4tl>BeFwzt(>0o*$H)z=1$wV>48cs!YJxtT2K33+lS!(b=~hJg<)Csk_8Lb zCu(ZXaV52bo+In%Y4qj9ZwS|x=YQGqJhgK2gz{4pRkKbCFGiN~oZdp2kg|m9Prnj# z1O0tVGQS&nk0(;T(+<iJ8eV&*!fK@-?iri)lbS(G4Ji$0-e%N zo|FWf((668q&=}ICY@-68>D2pkMIVc5Rxdb9uV(3@ zeo8;+rJWIkXy-?w>sXRb-gQk|$lSxHjIV#p_j9Q)XPJDqdaB)__!5hA4~07Jswl{? z>yefp+e7%}9*4Bm%p;s;3I^Kug)F|(g?|n>-@6?p=Su4RA{K{7;|Cu(9-6aF!SPWX znS$`y&zZKw6wHW*kDo7p_f6mlQ*frdcN5j~#VWek4{iy~=>vi|fhzAKz#`bW>B@zNf!&?x4@V@~vZh3NT_P|v#W#BUGu zke=E#97AtQHRZiN->q-i+V1)tFOBr2JrRa(=l!(GtEbdom6!9n({5*;-1dcD>QYm9 zp0h_4|Bb_`!#FQvnJK*2+0%-@Y;o;(_@4e-Q}{dA?@kk#Nn3r^AZ?ye zh&Vq#GSOfEZ>yK}*Y&_iez(#t&u~^$2}8|4k#hc-6aCSm74W(-)jobiQ?E6!Y_6R zd#JqBd8Y6}XNM@i*Z_jh2A|3PhDuY^-Ps3<=Q;ce@HvcWk2S@Gt~@Eu7)k8FjI)rX zyQZc1P+1oi{fKr%gX)GdGL$f&WJEi2ad%sPcLvpOqi=9tgyQ^Fr3_fn%jv4gN{#CgNRHiYcg zbEmDHG!dGGY6q#!G-b5OJxJ`JC(s%55uz98y!5(G#?6b&1^p|YK5N3o8#mqW##AF8 zBFu9wm$mO=^CLA287kZHQnB5*S;>HH=B&!uY+Cd@pHJ;Q)4!ImF7!p9+(`S>LL2A2 z{PB#^vBxIe%^&#fo9qWlrw{3WsUI%qx`=Mar%5O{RLB4 z5yk&t@qX)h{(7|RF=>y|-F#Et?<4IM{iw82(w?Q$ z?uqMw)5g(WYFpX&KJbc2dnx?y^J%fX`ad$?@5hh7^i#fLze}d-SNd`G;d{KxC3l&7 z*~cqM-jhbp$F%L$rw*5X>}ZRxvbd={&Dv%ew1X9YXV1lJ;N7J?4eS6fow-phpS}F( zP5*M4hx5z-K8N2F;;YQTAFYy(fmFw#y-`4QVL zi?neWxtBZ758^-fq@DDgZ|`{-2ZZfpFyD1z>a#uGyXB<^k7T~f<-IWWxAoD^FMjIJ zcX3ddonyq7(-S$uc`n*z@=u(X_Ajf44Eo*<^A%I)T>Ib?-~3hHGt65bZs|o|{eP+7 zZG4~j@dfi0Vm#K#n8}aO+5v7}jR^?evSwTV6nQmR9r`x|uycp>HfGRTp5I_j{!Y#} zxrg;C;vF{y{1*0`U~~K@oXiuABj2-KyOw=Ab2-O`G39^e>R-6u+4R3talbzfi2HA1 zzq2c+*!$9si2d*JT^z5B_6zpu(x03D$Em;66E8<>19d6f&)U!b5r%hW7+xA<589FL zUuz%UVag`;(#vV~gNOu%S0LX~4bMyt>v`X$W12Gkn&DG-kVXoTi1px?C~yV$90;ea~k*U`q4|DoxX9?rXMSz zmyXSt@g2+5$^3o$sbs7m(J%e^=iU4cy@O@q+Z&>%{$p*}$|rWg9xe&3uC3L3lf^FB zQN32q&Sx%x@kWpDlWxW$$}jZW{b(ohD$9w92^AHy)ZU4| z#}(Km<1REQrA}u`*GT*|dTq1B6VRoz&*A_#Kc#dc=gKv54BuKQPtsmy+(%hQCfz^% zpo+x(DxA~o;}0sX^F$fH;yb1`Q@H~IT>N}~@+^aUY+0uZ`SAm{{Lg57MXV!-{P;P3 ziQLOzk(gJzeSY=x`7^0Y$WS~u%Kwrv-(AeS{I^tgQ*;|z#s%`d%08jL!}n*0|2piK zHT}Pbzsh3rpZ#4(8{vNw{x_Msaq~RI>FxLl_q(fw!@6Q#8k27>e)W|2M-D*-WMyBS zwus<{`{ZpN@SXd^ysSBp2mA6U7dj3T`!Z4-HAD^HurJW^e`4<;>GcX=eBYnNw=kag z9(tC3pYWsi$*T_j#TaZeYq?*u{CnIuX(;)jcs&?4oxB@I>T+`2J{)qHmX~pWg+BHm^(|UyMh_wdn{#Q7?9&4GCy}`xI@3iqIjs4Bhe!$B- z#Ky-OuSehG9Q{D%aPxKdOWVf}bw01tc!TyU**m3L%E#m%>HmrH-(S(RPI-Al_AN!`jWgL7pZ=)rC!q+PhaNs7{i#$K=QRDByH0;_E?QFe@!I%j zA=@(Umk^tZ`P@%jd1qg;;Nra8b>I*4jr*Y5?7n2RO*2okc#gIb`n}``x35KPS83xi zPd0ilcpm*2cY~LlY1bz#zm#EcDermEXFOxN(OzqLR{q6~pYqO}y3~*E6|TH1&YXkt zU!rl#zwXz#_Ezx~4*yw*@3ZGg+4vckt9;D+XHo`vL8WK5oA+0K`~*J~{bbrUxo3gg z(~{J(A$|dW-Z6Y%VR{aY@?RM6ivV6`t?7Aq$Z!1!U+x`e0BRa{n4Z5j+1g)d{FmE% zKyx3VP4sB4rUmzaTKTaH#?cP@PLwa-1NpwM=Q(+~Pt#`J$9(&S;5;YGf34lO*^{}fF7<;q-D@eKE_`jTe(Ktz%ShYJN|wLQq?Jqjir;K;$zS?fxnrC9 z6ZV(1U)tUc#TLSOnb({A>r9&JSNVkpK-;@C<{O?g`L~%Y317!?Ze3M)bu1_2xHWEH zn~$;n8oDeqP=1JfJ}$pyoZanp{2rF}-pvEhUS~?3aJYOQb*IVc9mS-?3l+lcA zO?>}bC*!l(EC0Cp#*2=)VhiIzYj1g(_hNq+NhpN#D*gVSI*iZ4j=(j@ZYj2Qy}!Qv zCVYRl(p=nC*!vZ8O+IKO%%?q`U&?!v{}4(3 zy}OY1|H9wF2ia8SHZGrP%=x{Ix!?+8PO{HYK z;t-eeDCM2@QJmPXzRP>j?c-D2@Bf*5k+!$-U6c2QYflx=v-O4bJ8w*l29aNC(zHEM zyvX81Y42$3%wFuf874KTulNP_N9Iq#hnxJCgYQeNkJz zq=vP0|JpgN?~JXr&C43W95n5kI_$SMU4P7bEfzl#`*ptdiP|52=K8~f>Cd%I91H#p z@KuCR%pt{gAFRBmWbtFkdu*cII&kdAgChN@@{iZOhSH}>f0}c&d(Veo%AYxsZ`OI) zCz*WC71i;pj4jz5<>k|-bf-UXE}vI47E1Ziu%|-v8y})QXA`vi3hj6ALU& z&+6d)58+qGdovzJscFn%9hc5XJmFXSUgH=>`rd=)bpYSKCx3}Z{C($T5l0HYV*3!! zIc-(<(p6_&&OXF@A6HT@<9T?iEt`&8Ka1t>O4^1+HN25q$9(%&_XdA;Z}6l^3D3(+ zaGK0l_XdA;Z}9)}dxOJqo|*iTZ4b2_mG*R=Z4Bxgyd1w)Ttc5Au5aiO-u6I^NGe>c|mF zDgBL%jkB?<&VA8E!lURhn}h)GPrubyaWDH0@V8Ab`4!-U>0($%`siTj7$5cedbp0{ z#@6QC(AF@eAJF4keqA?L8HS_3a^&d)z*lg9 zE_mh`_-F84Mh?suz3SG*mG@};q~EKwPu4W@vfR43;>V){_%o(Ee5=WuX4j!?+>9F( zw|VWQe`@lskK&UoUvwR8V-)|o#dFWsr~E6NVG7m0*Z9xqh+i-AIXjg7sva-ydQ4iV z(|OgstlRke5F>Qi*fiNT9jr53djG!*&)j$gHefm*>G*LPo-G%P^tWFw77!M)%eX6> z?`dq7Ep+25mAg8E%l9)(QNJi&6XLwcCSepE5yiz;BK-c(@Vr1pk^4i{4%YCof5CMh z3h^5=+NmYZHzM)3+Z28jzm*c=$!oT}HjgW9A4a^+N2}?2B})BhQo}l*`=Ob~rraTwNDF z%5{`qz4+%v=54Su)3w~FoymNQ+L^!gNlpGZ)ERFIJ7U~ z3LkUr#uNBmtzKRhc4{W|I-4Hut{3{_R$0fa zS-G%onZKMU`|$NVqA_bUF7p%SSHxxg&OUQ5a_M?Zu#WCd-h>Z3vQ!^P#(Dp8V^s1rTS zo;hK<)B6!)Lb;Kz-d`7A#CfWWQI|@%VSePK5+Cn8A3DLs_oc))xqQa->6PVkDk~%` zDStO!%bXQ!j@=l~9l|_C)a5>5O69leF?tTstHhIO%T*3zed>zNUq*R#-#jm1dpSJ= zZw;qO>wx;P-<$pN@@Lm|gZ5wA%L4;88-IKI!Iit*=af$CFyUQNT1I7dJ!udg?Ok_Q zc7$5xi1tt5(q4;g!2UgPvR-O(?g{trDUP4)-^<3{NFT+1lzuTgLiXM1ewJKxB70i$ z?g_`u+8^A(Sok^ODg{3wfnRJXb7ynxYt<}@LZV_;)yb8!X3G)q6Q<9uVmi$8 z3hdX}b1JxGS?((f&C^@WvQR0rO5vpaukMM zlZcxzB1Gy#VA*Uj^|hZ9dOb3$`K!0Im(pJ{_GO;g%h}qF zu4Q#i`|+>RZHLy`s~TR*s-VMHyYdTgY<=D%({TZNjXcH%MK}6(yWsdK?#6TMkuTz2 zXq`XD=2ctY@rzI%V}l~bn*;ptF~TkXJe67Y$QQj5;phD;#r^k(M{xvOy<5MR@Y(ci zacA$0{DWZ&ha1;en_F~A)?J)HfMBwPs}?33$A z_s`*FKDe+hw96I8s$k!zIN8f?3U80}lg{R+pR_oAY6Q3Aafzdh@P`^R`gX|L?PJ$C z27)tY&wT)#@wmT_UB~0XKLngHyGouf=aA?*UHbl{@>>&H-h-5LVscM(=fvoGz3?;t z&zRnfVt)gxT`YY^*6Rg75}dto+|?%Q^|@Er^#Og~MZ$OQj@W&Yyzlabyzhek+*-Mj z`SU!PFL*`AZP|pPPUN%eXq1HO1^|Q<&+GizRI{EpFd#B#+6WneP1(4S$ZqXR{|C+VnJ2 zxFIZGQl4%3Vw^t;+Vm__be^ntVh71QhQ6DiB0{&I6FX@AtJB`P;LAIDKE83&!;5TM zc;~{)t+q^^%>T2M)75*~Z!hbKZ}!p+W>uUK%xlrJ=FXgxI2m(j#w_}If9qLfi;?Wb zCx7JJGSxBbHlC~jvG0P^%YKGJ-H##bJCX;i+jv=&f&6>HS>Nd$S>I879r#%6ZZXbS z-#IF>zN7dh;H&{<&I0$(;S23<)1R`HJ&6zV6CGW8zo?(cjT5<|&dV8Oa=!1@g>=09 zUE>uU7Lw$%*;#(Ma_Qy%z<7l>hIql!I4nG`Dsh;?qLJp(@e4aOC*0>+0b=6$|VP5$tD25-O+VBmEjGrIjnJii*Ukr$K1tS zj^c#dSi*UtAA@uL$Q$nbCMjQevSnc*PfQys) zBy-HI`d$7NTt{r~&WqzuCpm>KF6rU6405wVVdfFdYOG{!4sP?VxHYZPmYG4>e5yeLLZ zqNZrYr|9Rg1%(>^x{;sw5+H3cH z_TJ}%UF-weVC0-hYv)DuFFv6Ck`&^+inpcx()su$EvIPE=xzHqc0Sel$<2;`TTbQW z_QEB{+5DyH)5S!EPby(lyachMN4DUwc<@K#WV?CA_H10mj_=uBG^#O@&Xpeiyhn#dVz(aoO!GEh4JF^6zf(ffIPA zF$g3i8K&rIw@ys)28-WLzj%}_PsKlQ_SgJ>h%pVfQ1`vh z+Jy7T|JTLuSrnfNPT0HC=i79p;ySq_@e{o3EtD<#Ev1xmnR}JOC3=MPIRg7viH~&! zpTzZ#rr+1dKdycfimq+r^iezm|2vMkHGCfY;}bX^Czt<1_`AW6Ufy+WaN$e&zKZKM zkC0v`wNk!U&%F1VhC_ezd?V|Wh(9jsLc9xBSUxSk@1^EnDBr8*EL(1;U@We^i+DMb zZX~rk{eqrXqGxw2Q+|Rbv>p9oTxe7EKRJiD-KcmS>uNg&k@i&10;y>SOn*hb z8!2Oh>Ju&3SM?j>a}Dts7l%@CVOF}_K)L;S0%x!!|0VWsMD1+4Y5N^hN__V=7rTv; zA-tOi&-LGhw&2#R@R-Z(c62PI;FoE<%S+^=g$ew*G+yv?__gM-GzHVL^6{y}=a&io zc@8H%qz@rwQS!5t8EN-q-Exn+WBRY^N!zgl$TLre5Tb%-Tgm6=w%KFPqxTx}{Eyi` z@?T0Dv34{nc){}7b}E(6m-7vi)BHr^|IRc1?>r;(j{iH)$c(D}p3nro2+th4j5Yma zw9Kmi?>yuGzvme@*!sKcGFvaoxUwsCIh$#^_;IP!@A!#}dT4J*pSzTsvhbd~tBj>^ z!aw*=&@VAvM!9jR@-ybaMLl}!U4FXk=gzlNoUtS>>M=m?@>5WoSnt{OLS&vrd$WbR z?Y9)Pm?G_$D*we6?|yd7&wKWH(w-`Qsl%^`@%4%K_{g;H`EQJIRvE?owErRe8+kt0 zbnToBf34&HJn(nzYbs*?brw(9uj?W9JM~sSkxBd#_QB+_{vF3zocN0q8+3ipg-;u- z?jNkL*q!h<-HdVn8rpLs^EukL{VDAxb3UaR$cttDPqEJT$b69EchVo9!t>X_nGbq3 zF`whYkhz?w^f++#zkKTUzo>m5P!%-&$vaW$a?_c8>T&u!qodB3#^uJyg(OR1qW@|9{>qIuUjA3d|1O+D z)>C;NyZ&H$b7soav6IJ5pE1>qi6xxEHi>X}H&U1wj}@Qe_JPVgLvme(%rp3KN@5-j z=QF;Vnqe8mq0Q3|af)d;%q4{Q^nxcbZ%}e9Gix}Vr%0|3Nrpq$-}5Nl=Mv6=lc#bj zkIL_pkWXIW0NLP5*0^!$uHr%3c%C>OGpE=PtSAkK`N9y7-QgJ%f-xmeCF3z70I75t z9rM_DkZb15pTE3ig^kA^DnBY@Y+txP*TF%IDZSERcECYa4PRok0WE**50VvviPJGr->%ksl8`8eda^Yn!m!t7`A)l>@Dk!HU@q6 ztr{j)aQSJQ+Cc@T(t)*rUY6>)iSSvnDu&Q-7r1bl*Ds_UD7?fe#jX1gKTk`zA11;* zi*G&Hplx`P-|~fjS}Zfff8p}QEsN)}Q{K9rtJz0%EBiifH|D#_LuzdcRnBKa^!%j`b3T#P*qKeNOio+{BT_? zTj{n^z9-Jqno9Lpqk2fVQ6cSr;g3}X{i)pU+V5SJZ^qu9C&;IJE&^0Oa|oea)Ako8 z#^+UMB<1HR*Nm6piCBnh51*+Yl2!T4Aq4pkK>KK{f7K7%e1qz5Cwf$`Wc~NB z@faez<}>p%U-SbzEr!9E8C5=PTRgTmO{FRMp#Z1kUl#KO`K&IM3Q+aG zBq5)^LbpUep{Y3~KNR4UeA<6_qAuS~r0>{?ljSvn%1^Za-Dv;2CEEWcHetl}8PR(A zrTzCjs=u_KjE0m?>bFGu-;MUa+iwK1uIZ=A^vxrm_TTda`^=aziPtZBP8;u`e~-g!^6%v}e~oCpetJIUNyKleR1?xq?M}VY zqZ{iDD0e^lkn3ia1Dt>#{n!8Gy03+<2A*YHpBVGwxm>TZ9^vb(pZ-tG;2J+{8S?kr zw6{eu`VbGx&vs{2e1&ZHJ16DNn@O zhlKotrXS_y4^GJ66WaY^{fFo-3dgr&M62x(-^df5sGy6ZlX;F=Gn%C(RsHu$$Y+kA zC^0`UWMpEVW5IkTr>uK78H+|K20h`)t`BTAb&Zurzhlpo|M0P ztL;$Hsmc@a&NeG0pAGGWvHYR+Dfz9|(?#dUJQ~mK?D3djWvG1i1_u3U zYm;v1Ot-#F?JuW3TL)honF*Bn%c3jQ&(0%oF{n>=Tgf2&Zij!XBMnnGS7WYH6i~&rH@#2?OpM+ za?Z2Jr+!wwZGRzRVRh0)MNcDN;`=$`B zrs#K0#z4P9rf`n>M& z?=VMStrR7t{ya9X&!5#l;sC^iQ+-12P<^(bkNY%&>La>5&Gkw`A8BtyAN-sv=_C7` zlktC7k*MekPa=0RRl>P^JBRO<%{gv4@+JNfme_!{UfTcL=#SqI0NpEU4$>+r#x%zrIac_%%1r2I34U2PO-tnYm zOXtO(p`g5V*L`|zYHhl-aml0Y2=54rBd%gEMeIfS*}gPP+@|Qtpe?ktO7}$|v-1O9 zUT^41lXS-~J;m$k>JgWxBz*6>Uu(wddojs>#kM|*iX{KlVW$_2;QC(8)p^1vxZaVM z#G~TD)K{M=W`Fvy(h?W!PmRl2QBg5<;7lGJ(g$bcevwc?!K6?%I0P9&vg7>2Pdqu)3f-G zq|f|ytKUy6z%O_0nYSO9=+{^eKf08CmL-3Vlh63I7+uO)EGp7M{1|UcMXtE1l!3mS zyCBcW{!j7o;sZalw%lhj6Q=GyLJoBfa(Jg&+@f^)(=QXRJJo!~_+Q3xGFK|D^8KuM ztTN`71<2sOvy42`Gn_9WZEsXepF#1{d0qzoa29@+!Q#w?sZ zgUY`U99jLpmxW(!@sf|hkyZP27S32j^68+rz)6$3GqdbW{y%i9iH3cb?@N=;16F6* z=QdkT%5Q~#urWg~^Y3Y>r`o%E>RPbBk(Z@@mHJ~7nF?T2Wi)#F@;K$_yksK8>mmxZe8~T%wAI;Q{T$%j^`X8k4re$A}S!Q!RX^P4QgW zS0nwQf6Y7XxKkD`Nxmpoy=k+Obsg`^W!?TTKF!@l-lMY~Ti&627ZpEGdhlQBysZm9 z*>v&iJ8r*i9p@a7)&dtu{Y-yIoQD5Vay{UQyg1`~pUHOUPfDumyYyzL^x``CNVd-PXrdax%-TvYrf*H%lbzkFv%?m2vk@nNylzm7-qo%HWB;|`iE ze5+|2=zp{3UB@Y`ZI}HqQDGl={y{#VA6P;=TFBV)t8nyNG#np4vVBxS|JA!TpW1rH z|8LWwu`$&%9>DwGM11L>1sQRCDQO6i@qol9gx8h-dwA)bb;HLkqM}INPsNeg7@Pg*hvhF6O9n z*H`!DqlC5<7nRVjaV{EW3R2^d63Q$taM-KnD-S>ov zdsNb_@@#*dHooX#6Me&U-7OWb{hSQi@7TF;rQA!r{P~yJ@uE$}MJ4nzoQocicx_PI zM-aaQQE^@tVd#@QC+h?Wq#(q%=_2$RbMsVBz6#LPp z;jI0nT{+a@N&Br1bXJ)ErQR(0me`L5+c~x0=FGA=3zxTGKI*-K@tm#UZ5R62C8xpm zP0uBC@v}Syy9ym`QOWrh&!O{nAa1w_x!$$?%rwS!xW;;m9eUM6cd`*YwgdB~IBSQy zz*m}fBeV0-di*V&73P1%4h+1ak{@^<+ke<^~0d`RyTe zybCC~#nR@r$6bm?C2NCBKCf9D+v5p~yAgL(a*Yu@U2dogN-SLvV!7~tv`!993I4YJ z(PUS@5U=~7eLRrm#>=Ol9eYP5_XXN^i)4LiG9NP5FR^riNFT)huZjJcz;aIY*>X}y z0KQ*3o_U)#wXO~e`tjbOqmc1I2VF{ zn&?N1{+}Cj{skh@n7imlN5>_`lY(!v{Ehv1Caj1}#X=jx-|5DCJCVOH?c}|Q@gDr8 zZ7p89o0UZ&>yYHf-`sM&i3U*rZM>gzni~`2RpF<w_!uUyCR56Fqe`=!7{z-56?+*62{b$iZ`jtO3QHAtL34>R)(tp;zuj?v1>X@6G*SyT6Zb$I2c*UR&Gm%PPLV#Ru)DY*7z7|I6I|K5%i$FZ&bASUIX@l!zd2ln`o@dL6%Iq?+x$#)D?#@BckM*Kdn2T1HMmUY&O zXUGfG!9D&3&N}PgC-&VdJ`bF6W{=OnS*QJeV&A>uyaSLkm3Qx<{GB;xQO9TUodR%i z@{NM1tQ}A6yYKJTX*b7>o5GJ=Mj$|n9juse*DBmiFN#) zdnUvGW`h6Q$T&mJ9f-r{JGz$t3QNatXFk0fhfkcvY4}&E4xNum@?WKJ)cr=i%TMRI ziFN9wORc~1FNdG+_MTz+1+eAoI!hz}m)4qgugZM!2KBS}^$C1{;aI>dd{6>sb8D^X zNq?8}C*Olj9G`c>iaEz+R?bvk3&NJUKD10}GKdm~5zTOr7(VZ(I76 z{?qbBxsvk@MjT6CpnKEp?6`gry!%31{<_aZj}yV~2<1=DXK*%X(>M|vTtYaU&+wq> zQXuI|yrNRlxWlQm2aJJBKZw&ee3x$7XD9ys$d_L|`2^-Ux~ZN~Df+JYuGKl--@9dtfXQd9YT@Es?y+~~}162a-?51llT zx2%(v*|f(;A|`Q8 zo}Lf~X{SsqlRz>VPL$IyMGo8j<}RPV(21P8a^WJ5SYQiyhAv0Zz{VA)oz${)!Rt%! z{qu*b_WmydUDDDr9}y5N;xq=0!}6AsSMr4&CvEwvWh3R0$T=eUFQm6TL?djyYd!hD z-NmN!wYvYSyro))e^qa!Z;t;RsyONwzK0w2_?>4bpGrOS1;^z(FW+C+@3h5Z8cVl1 zagKzOr*v17cMfskh`gONx?UdnRLk>`t~`I8yiD2WU3iI*NIq7aK5`>v?~O{!SoCE4 z{zCCNj(-ke&7?jVoWLVr zU4L2XlQXm~@nF=QzMpF^?&wfNBAoWyJFfrsbsLYk;`QO1dau9jhxGlOi@LjUax3k{ zQtFc2_5ynhp>N#%TcqvfeCG)H;yx`h#ov%~mlEd!biV-I&Q>^L-=A}gex=n`*9QBN z93N!l_2%;9Gs{kyKWD|9%<>g9hL%F?ui<^ih4+m0A3ekHs;gjLz{xkN&+ZoImnxn4 zpQJwDLm%7kh`L_v>9X{Zd905zOk6BZX%oU(=fauo`3=)4owdGFJ#TaP{gj2#JYQl; z-j;JSl6w3IJuXxJsH>fK;h$FY*qG8o8`+=-;}VIld`D;m>vGziVoKW?{f>~e*RK?h zhI|(Eq>IP=d{Pf|bp4=+eTmLx>5)#KrFqlmQKt`WarZ}6RQC&w{qB+4h4(3{?{5{0 zy8p^_ze@0=K2M{M?U%}TL0Z=*nLY_^cCI$&=6`Vgm5Z^(&HEdZ8D-3o)DcJi+{^mv z?mk^)tfTqxBn>O#`*uAJbm!e^IsTaX4ZX%ZW1>+fD^2-ra_&je4y@F9>#7Q_&ufJPve#?LD&UX<#q)#LL8}4$3lPS2!^>31Te1RVHlWVN8W4b>@A1WHW+?WQ^P+TF0)X6<* zmmb~F!!`s_*HHJjqR)G_+~jH#*XKRTMLN2@r+4|`I1Kw;8r8Q>{iCLT$M~6oC+yRs z8huW;bY0hZx>od|ev|qnp-<>A2m6e$at3=b=yOfh`iUOHTv%ttMDbJBIcw6+ZI2^Wck)? z2>dcNpzjeBpOxUB#`8g@>-Z!;b1P212#_$ko|wdihw$axq`~hpH!#$6{kl6hN#!47 zaXA;M-ErW18n)k2zmv}upX>M;`;_hpzPIT%IXQ2FpU7w4MCMq;bvxY6n}~gOdrJMx z*t_kW-!3)o`(_j9FZZzRXf&}p;FZU_@2pEb+hdulXJyU;_xVw#=qGMmr1da;1+9mP zAFD_ujzzzc_}!=E(QdAP!+%i^+U}fw#AU(H_)LS1H+JVW0%=jtt-D*0KVn2x={eSK6%eefIP2{2TO=ZUEuleV4aPn~C zd!KZFXxBEX{^2K-E8b-YeZrpI$ICoaKKdJ!KI-{+5LGr&?30iFCQF|vPvuNS#rggF zHvDn1UCR!0^I#gEJ1l>q9xA`s@cmQX1+yL@I)Z*rr_J%$O#8!IhYu4c8v1y4$6iw3 zC+d&#bs`75YB)b};l%Y>`PG8ceAr3fp=kf{ibwDL^y8A1|Caae z&ncnwQ==ZXeX!~NVJh91x3nc!;w?bt`l&7WwKi(`33r^M?<6sKSD)=9+oUCI=B zz39VuK3Q?v**&)_f7B*pOli+}K0$HXwLRaocv)2|ICA&2c`V}FmAU*MYWN}`>Vd9& z3%7&(Bk7BLbuynh_*&9(I_sm3jps9EzEX9-W}FET4ZZ_%<|`je%vUPD1)Mp}!BY1y zU-^f`e5K%Jcr#ZS4Zh#<^GczkmgmnJ8&@q|IKOHjFZhAPp_k5sC|^7His3H;;Crzg zQ5)tt!Ntj06w%;c^Ta%7o%?=VeY1)7ya&Dluvh3WiC*aaveR46zf~N$a`x?5=`rwq z@{bee--3%1-J?;`w;+7ZvD0~cAGXwoGEY6?T=dvqO{e8obtf%`y=bDLE!0gdIteLe z@OFC1PW7|(Mlf32o_)PwwS&}*o-(DiFU-+J(I;C?^P zeG-bgsObcIFB|U4W%4|X{hBJ?z3S87&OK)RZ4cP-VN`Yy@!j7t>vy!X zXIUe9(>6}}!ulR6WA=`5IRaNV>0^gaxfqDwf_-Q>soI5 z!LpL|w>{rk2xODl5!7Ymx4BcbMh#|v< zGH17F<@}bRy#JZsqVHaIR5715co&~NFu5NbF#nfFdzmi&tbO?!fJvO{Z<43_n{M`y z{x5%uf49tRzGN_JWc=lVT~3E3&Y?=3I+b_g3u*oX1Ty24Gtxs_zT^PMOk$8mEZj%?;#g4 z`g+;Dr-g3jURiY1>o?GEu3#KBsNT&_2_56SRJhcMH;69WhplnruryuNgRx)PgIx4a z^I zb!IBv^yJb73s{7`bU{_4d^e)0>d^6r9yos50IhG46_wHVIGlE>e3mim7xUhhbA3hb zPU=&|Ut|y5Pr(_-tf&2NR~^^KivI!p0d$~$e8YFZ_loE76sL}!)ed|h_!Zzs$M4-0 z|C_V>N66n7{LCzVX0|l_NYnL$!N2Fy%j@^H%Lkjl`-0!=(%s|lAz$pf6?`H1%W-?5 z;S=txe&F9oW5ikap}-0 zOFzOD{pegqWyGaJLt4Lb1`MKKxzxeLrNe}@{PGUq%vX&e{ciXb_$z7o<24QvlO%{JYaK?6Y(#`tu^ask~exjsLc@6mK+%E;61kSm^DgEog&j-H} z{7~?T@wy7-9|(Ra_%+~%gSTYaZwUAr;!j!sJADS$d)j#tmw(Dfkba$r-?_x^UGT)Z z3Y9+!{#(E=1pkok)W)pv$GG&zhGm=RjumF+@xqNXhS9f*9!6k~|r4O_rh zW~JXjF8`eX|0m#=XT|SeC!cY+l;NV=vgA)eKJE3Gb=app_(xg%(_H>14az>E8{0W6 zf6TJ-$J8JnU5e|o5GrzE8gsT==WN(Y1ViR`^St{TSy{hE4f>S@BN_-nz@wd#gT<+9@CY#iTFw$C&-F{|@jaS@F9Roboqj3H-f`8QhRn{;mWk?Z=3H`hoA0 zl|I*iQ~t(?eQUsv%*y|3!6|=ZkWpR_zA`KPb+s)X>HmN+vo8VTzHN(jzS57}l^+q2ZcYmUv45Mp2dD4Nr;}&h{KSt%uTb5J)#I1?v zd#p!gTwWcG(8sQ$!;|4|qiwN_URXANzKxSe0|xrlQXEm}Hn4t5s1ZAMQUY*fC3=PLV; z{{GYD(}Z0rC_@!>Tq7m^^kcVLX+kgZDtdFpB7|0)-lIWo{wAi2DkxJG107A3-kAjT z^E}Asy@u~6+mJX{@AMXGc^5wG{!jPobv9_&qMO7kcF)D-4c(%O(OkV_IOt{h6*!c+ z7sK4lPU_2OOsJ1^outY*fO(U0(s>EwyP1fi@^yaaSk`4J&b-Da{lTf*Db38qbkTiA zcVD2mhVe-QICXo#?*k(ZnieqMMq6FM{7VJ>p`7x%Z@W!D+4s6>$M7A(C+H93qDIN9 z$LRA{o_|6)-Dtz}zsYi2G0*CcUN)bwpUjt8%_ql#Q-*L+1^pr!=LNUewcpwDU$yOc z;G<1s+$UqoXs^!^w8FJpt?{l zJt>FFsRxqfg?3kX8ux=v-W$HpxP9s3ljbbTELf6Rkzp!?sigTDb+yYmiSU>QuV6gj zUAtL)2@AK&@UDz`w7&fJ_}Pqdrq zZ#!S@>g9^-W1d7f(`SwyJAOKIvEnp7ybB0+C!H#2%e`y&R5F}l-a8!*Y2Qzqj%Q~6ZNoa0gXn+`oNGj`@Q2|?bk-}x;r z-Xo0L%=H!*d1oBu^ZvgWbMKq*GG-b}+ivLBbi0b{hB*BH?vvUN`N4kA^Gq4=uKhaS z&$anVYV}1enZJXyWZ^*i33K8yZuo2cgiuA^BGv4V>} z<5%H!ZctlAPUooN^$<#%XWc$C(k|**1f8_4Z^t;VQ#$B-b;hl#ua5YYHW0OXF2eSc zS1u(^;;09ro_*Zaf&aZe{S=OR;^$nO>rA_F4ugi*%h6a`N0!*sf{&)x7t2}}J5<9f zBs{sgC&IH;g!(zsHuspesr`FB8x)Cp4mVNn(3d4}QcA~xumT)7wzhIFAV$Ely=Zo~J7Lb_;p%#SFIrFCSjgqNKz&B&FDbwD9J z+pMUc6V$eIcDfv;NYrya=cy2R>oi@C)_84M6cQ$tE{xM~QP1Nohx?6suE{I?JDJ*5T9}D^Kv^5`ZU##P=cKsJGYSyhqcxG;S=Jw-pRVi%Q5Xvm-Bd@q~6}mm9zgsw51C~ z@k9Gm#i0F|4>PQ?$!c#LLkQQl^-<4jf~0oaO#jrrww|=_JrjL`K&cPyB^u@ceI`EA z?rfVgcd1(_8Nzu)38J1iJ3^*--!#M1;oMI+mM#!kF8m*CWk25+bLR3&L7jj9-jn%{ zo)0-sZaMuhpMUK*G=ko{4t#$yt+WgkVuA7``n+EEXuNySg|nT#+}ir{ zzw~#zLPy`C=NmD*)tmQ9yoVCskY1aVM(DlkFvnD<_4ycCmd?}IyXf<;y7f8wY!iK~ zLP4K*p#68uo==}%os=f(`A(qiaHFY-lwRVw(E6jl#*?Nq>P5N9cB%5T6&foyO(yzp zm-O|!G2?X0wo@HO6}D z{^Z$4_G$~B+K=0dcG}Z?IU!| z1@!XeRL3XwjkPF|qN8uoYl-;cFLYZ-Z#m^({!hv~13K3Lcze&Cvs@#UqFW8!sVdIO z+m^|k*m9bBFg~$$BK45g8RWOR%Z&Lj;;O}u{>_I6S>CVWcjpGi7E->hQRy0<3um`A z=CZi_t+l>0Z-bWSkMA~u>v|yNUzfmtsq)hJeO5k6=ld!ae=_c0^kSU8&u1?5!ZSN8ot)a%J0v*RLDpK8Z@N#1vK-R<2HOTGP`9w%uIaO@h+cHhfM=<|1I zKMY}5y*E?7SIk+lk}~S`=}o!9CD;FVTx<4B>qEP|kL@!>qvI(jxX6c{>Z;q&<4onl z?F-n`X2bUOX*h&1c3MCA&6kgQmnu!v>(dZs$3L0gDSdhuP{&%jSfD(iPw&FIHqD{c zl5nW6wjS5AqGgFDwb!RNb#CwCm|f}AKC**8VV@?YiJQD4$p1gNmU`wQ*)6bPprK67QU1MqU+SR681ig2i zn#^9IeD*%ldTaZ2CTTU>2%aimz4s;@N9Tp;UE;~Y<*4_*!Q0uBiCRhumfyLtlf<*O zxs4qbg>>M(L+=9v8+7rrJf-L+Kx^j~a_LrsiL9?1^W;>nQ<%eghWm}i{P6_tFLSP0 z_q6Q)$^SxmI#s2LF5Y!ok~MDV%{aZcrSmlQ-WLDmVn;Vfz*p_KDdX&zS+?_?qLyTS zapsKe^mW)%?SDFmU{9f={qG&x|6S10{`U^;e-_;a+g|oQQ~lNcj-t~#GbYYoZX7N7 zs}E~J=pzZ9+RxPcLi`z!#Iv=>=gU{lU3wCm|Bj36v0GgDs{?hXow6Sy(LVIP z3i*~U5b1;1eZAQIyTRXk9~T-ux?c*K>W(2C+-J zo1puC9y)LDrxcHRUt;O_?YxH>nU3!r$gy;R$a3L-x5W1s!C&LMeNh;|yZ(QeL<{-m zR@m+fY`O7W&7K_KZMT@HKkrCn#5VE=x_-c1#CwC{7fn>%6B)Pi&iPpIDwYdB6!XSP1Z`SG6?PlVg~64}3z%rAdJH%ph+MCv;>_(r||9Q>XALWe@? zbt-k@g$el!_9L#nHv~4DpXpC$_b0?Az5l`G`ZFqD`rTRmiQd~(me|m{&R3ZM>3r}B zZRx!pPTXlP_qkKz@$Yo|y>)TikKEX)&i5mQ)5oL!3)r^AvU2kCtF~UCFDEYQ{eIA) z^RMaeSa0NQaCAMbkMs}w^riiI#0Xs~J^FM3b9A0x@1#ec?!=={JXltHZCgBdQH!m( z+__@4&9+M%;?buaY$dU@oOrl)R_SPy`*gFkIdncAeHD+O_pbBD?mQN zJc!9H$3EUdH;QYBrOkz&O_(zXvcUFdV1HTr#UE;-#!OhZ*5Pc zzC6x=WrdV9hf6tS9-4Hpc+zgG@pp8V+Wof2 z|2nbTxxriQ_Br`i3@82JS8H#M^KY*Gd`1p-JPmOd2XVRa_W4ujF5~*9rOmNVlJ08g zT)VnUx@)1k;!DU|2i-M!=zO}|qCm!Fvhak|*FkaI1gKAX4>QanzV+by4_ z8}D=boScP|$Hhr`=qvT*$}IeM7T5By1AL0Zlj-^({*KO4yI+y_xTNc^g10RXr_AH< z(z)U(<7=uVTMF(;)I)c{_E=!cpMFC3Tds#KZBDv)J3gy;)aR}slVg9YVmp3f{nbv4 zYsuq+r|VzZu0D<~NRbDre?OFXz81V){|??-vmB_aG*JyoK&< zuD@B@oOt_uD|GL3z4;~Rcn99+oji2jj++#Z`n+K2_$_EQ2gY{XYW*cY_RS#gCdK3Y zxWnRN=f3se&!%zmpgPHKeWl*|c@|#d_$9wGzNM}yxFD;4#@XmmUq@%D-7ocXUzx}6 z>l$mkHJvsup1WM?ndSVyp{JbFN_ohwV?>ItU;1`HOquvtm(Tu6*Be?lFR@FyD(EWm z$aD6`X5u2`dRK5sqW!}r>eRmTu+LT_c(8w8*IsLRXT2cyPUEiWQv5jZfu`W)Z2Rto zf84}*J#@ah?<}$JKEY4dGkr!qZVfD55p_F%-*~fa1aEVFl3aVor6XbY5r`ij#OEy^ zeT9yGRNn(#c-ifqD<4Y7+Je3lz69M2=q7&&x+9@GJP(~u$Bg0;;d@u`XLEcgM}1v; zt>x%1q~j(dc$|*MSvE~)#;@dyG=8GPrJO9~8j^)CwYZiu<`w(w$igp6@P7|}&i5Rj zoexgO-_coW_bcV>V#x<*25(!=C}L9aXO**Nxu6p#9%t8-l^C(D@|udDsxA-x2T)8{(NrR7NK!lhaGs|oxq zaQ1m!oo(M+@ON}x3BA)_>icW4?~jAGD`(!oyDVqf_HMPDU61%XgZTV*7rJ}7er9R& z+Fj^)-_h5#zq_P+6uSGrguEx9dngaxYGYm^jaH0=hOni*S~1f^dpyVWDCfj{%(tf> z;G#{R_(Ln>fAV*y&qsezsiK2-U9U@)^9|Np%6UKAW(pqXBe#x#d?qgHdzDG!uDzCg zR(Uq~by>J;k16Mt|3rr;`fq(dh0oC`mH&zG|E!L=t!D3I+siGBPn^Gk51Qi}%IOEt zZVqI*`Ni3zpKS-Dz8?hI!qKL}t`FF}_{62lt>EN*OJDk(jxR9j1O3Z>g_Zp57X0n` zxow*LA{(zCHA~d5Aduzi=h_3MD~7g{rOnBwJ|4pr*CxzKEu3ZgrRG=qG5_Ds(!~MG z6ZK=iCegbp_}l)XkNm>7v#3MZ~-jBJ4eyREYAVMEPe1(qwQ9suY%87@Muh0$U zYOr#0=zM&~DjpHOcZH|R{6!)k_1gzImd?}IJNc;JesvO^;IHu|AGzcX`KaF>(8grB z>E!h}T17?Bd&g(0$wx_jrXb7Gc^Z3{d~<}@|FGci`hiv-X`l2Q=2r3%?;85e3~agj zdAkbTQC!n3ZC<ZnP|1VX^<1&*8Nplax+&u-4Bk_4j(_}~BAzerw+yz` zfi1sXh3*2bZ&=!#cp#&ivGPjVt|K2bW(obi1(bm!pD<>?m9hLhPf_0|p`~KGn|Y)o z|HL_P^bNZ|XUtK|(N%nuhb!Uj>wW5SaS`$IuG?{DaOmIlLpO0+?>|i4`4=O2YP{Kx z_TST4YWFMk$30@_oc7;WAaVQuPNCEz(y`(_VyG75d~rQuT-z%5`wc}UiSktG+5wFxc230Ck6T@X;Ij+_MpP8B5QJk1J0~NB^MG%^~cPu0M3W zt;`&{)zlq~b(tTG_N_H$IddOcmr8!T9zOKJW>Mw7yi;SG(Qp~-rixf~S^boqkxF%ZK96Fy5XDKfB^seX}b6%*oD$lUq63?np$lJ>Zo{r}n{2iSa zqIc@8%2g82dBI!bx$WfT@jC7D3nEt5NQIuY)K7VQ|F4q1N974YjJ4Mmw_OhJ6dm&e zmB(3H4R34idOwx70y@`+P`Xyu`zalHvGU}!ys+NS+wpA0Bj~*=E;Wb8c0A8|>$v7T z^7vau@Gvg&>-ZJFgYeGI!mqaSSw|->>b1_{Pg1KfT#)@Rl~;0EIweZ~wD&^@_15@q zUpB`dR3GyFPZW+Sza6;w{9^ihGnJIXee5_e5a|Q?y5Vb*-s{uvS+^`}@h@6sew^i< zF1_P)Yd9XZTVioJ`PJoXrIWGY4=imColg(jUPqN{g096E$hv=zKV|vV-i)Cu&ohFj z^EKo9N=FxK#*>ua-$*k%7-%Kw6M zQ(cH59`xmK5pumNe#H!o<7wMdeIHWI{6gg&M(}hz|AfDz^Fs74@qAO_`RCvr$Md8) zD~^|VcBcO;@BPk=WSA4m;ft_s2yC|f`E*&!@}-Mbwam|0=4|@6;rXlOvH3HQh4<%` zwhfLdUktMO?B3K=f4)-M|3q6IC>dW=e#W}O=8nNj!`Zex6ojC^v@x3lS)5KB3NOCi z{#9=L&o`&N<1=QJcKpB9?w?fpWI1L0@Ay2Ay<_hx8UI&Npq-O^^k`)5E5l8%UlnOy zRp6;49a#VOc_za_x^k#lnvU^*RT%$6M?UhyuMm$BDl4keoBr?#w23LZR?)s!S-O}? zp6G8>4Hmtt_{Tb@k2Qd_XYZ7>JnH-Hadcum8Mjx}d+uGtN9d^Qs`^`64JR2NS5H(4 z9qZGp8a`dW7S(#ATv zK%@_nz6&KD@#I4y9@>IxKe9PQB(yalzfOmJu1eD%%g^}@S?lJjgpT=vs*I&oy4Lcw z&@JN9(&+L_G9UPOU!`&)YSK_f0O_pU9u?LU#$*`9__}OUB=q1EITu>sy}A-u-VV2X3CE z$~Q0^nObj-i_3wXuhsE=)yK#irFgm=+=#!U^YZmB`R5L?<4wU^^|kxUS4sx5`KObw z8FK9>{m)widw%-~-OspwXlY$~<<^Tr$N0bM_5@whem?)auXt2-ou%Wq$A6ib>HPDk ziK;AJAkqiPKYx&T#|^&fvx(*DY^+~N2I4!Kl7Bjdmxj`iwlc3#^#EcLeG!#Izagjm z2;K8szcuRe#$V`O=6WVUmyEy9KSD?Upy~xrXYc-Z=V}wx9|;a!1Gao;IM;s0Jbx@> zH-_1_P~SZNO|Ba}$N%g-I&!6dvVGC0k#j7Gx0kGutxnDVL)V%<2PmJcsr9bxA6fe) zsz)Hp(s>$tryZ`|TkJJ5_^Uo!GNO?7sZ;wC&^zcyU!&U21t_oMi|ysgNwv`J$7TBy z#5V+G`8L?~pX5Dp5%k`1#N&`q&sKlkdP{$!dYy@=YXwi`)9R^Uj?N3wyTo(0#Pjgr zZS`HcgpV!g24S~8RKNKt8(Km71(Tgv0qKro{LM-eGOXIo~nH6q3nId)k~Ev zs*d|G<@;srx2a~#=jfC;{7C*ep_TnV+cM+bHs@HMIndg7fy%D>w6g!F`W&Z^%YXdG zQBZsjIGLYMN0y}vs#q@k&ury=&sNGz)_$An<)3wRz0y3t+n{u;uFK^Rv(~cCNnM(&o+2>lBZW z>s`e?iFvYWH$>L_{221a8Nt)}nf-sB&I{2y{f6rMC7v`a&bfI^KhTcH!;CMOSLg>B z?*+p2LYz--M9iIDilj^YEKebS3*Eh3?j6D|>6jm=zRSwVq1)if`(uhn)i+u?ek(R6 z?em277yHx{B5$4IVP2^E9~PH+iiX~dKb~`VGM~HvpQ8(*$b;B#gV^t-;BCt*M+*CV zyRnPBPhr1Ot-eeNcHmt^^{asm`%o``mdPw#)w1lAWeZodI4DK`H+WvLfQCaozG}{* zl|q}MdlNc0rJ!`Jw2w8NRZdhL_jx!1<&bpwV11vXbK1BY{jQq!67NrfzlOv2%QfOU z9xYA2C&`WfyRdH#?Eg9bLcfEHK99J(@rSNP(q&tME*XDc{)Db0mnF;1uN!RsBF~76 zs^4{l#&DcSCbTCtP1axXS8W&Q9#cG3{%UB0JzbC@59nDV`}%5n2XBqn_T%QQlFkB7 z-s`t%ew4TR_GP$F=b94Os+2^3a>|E`r_$9y+t=?*D|BPI_O_I} zq@zu)IUo<6kFOio*9^0C{PrryjxXzkYAjtK(g!IgGOn*VBKX_%T@a`5JB1gJw3@)A zan87`hBXN_iM~kQ_z2xxuA^*FyQE|MUlYdvK{b5{`Ag(6{;vt+|13IhpDWcrLQn5{ z?UB{bt~m`kmd?}IyV&PDVxKdDzs(O~AD17jeKbR~Y9GemHHkh&-gpb$gHvhDI`TTPY>^BGY z+EfXOf~PB$kC;RV8{M##f~2Y zf6ZrGq#S-pJH83~CxJbGJ`=jnx$JzxuIaWz_irmRuN{%sKZ0({m!Rta-HtqTE`y8|NqebD;@2B|Nqeb_qXj!1ig2?ch4%X{b~Olou{#P?B9Q;*e9#~-#&lE zQa5hg`A+F?TzS>%o))o9^1V!d+V}qZ$71uwTj<7d?PF>|1q#FipAx%pU|Dmwb0V;l5Q1ruAh`c=i_VJfr#+E>wU9HpHJ5RJmkdf zg{L#ppYDH!#P@>W=i|FF#J6*cOq_Le5f1S^6Sj*3TmEt5xN)#2(!0d>XA<8Z1aFP+cAvU_{Lo7Ju7&MKfh~W0 zh3+R@t`CtnzCw2=*R57&4xNwhi;Byhe((B}WzEa#8IQX}ZS>$4#%pViX7J33Ee?``lODf-2o8fRapAok^)ykOs0&0y!+{;-dT z#pdYg%9qm7Kd2pQX>;gYzQo4jBIvzinERTV^yoHT^y>#X6SBGahB=8^H+C11Id=BxA#@A5eE(~sP{%IVd#Un={D1AG!Oz*>DJ1nx*YROG9KA#SxB#}R z0$YB&3*8#7%Pno*c&t-As*Sreecw&m{XxsGc4ypKyVMAtw)>CqcXY94{;56L$Ug_W z$K_x(Ybois%Q+82k2O)mIv&nWj|};CC+)pq9-yK<{vYz~r;XgVvaYx|miOO%3i zmy{-|eK^qeJ2=^XY_R^CPMeXr&Iq1Pr@!Iv=z^5+&{{ezj?>BKdpll^YX2A*`<;?) zr}qiRjjIEdJcyk>7CVuc&N(}|fOcW0w_y9kbBTT9XL$ zx7evp+N+n0;3+%R(GT==UWne&ziv15uZtUeTaPS>?X)pZy}lhb*Y=96eEQeb+mLFv z>s@~OJ)Tvs)RiO4(gh-YpkA-57X5k#e`jB(;D4^yS&JXlCHfdSdb)h8bhXg-u|eg~ zd3z63Jh{fd-@g*|OWl5!U(-X{tIbC6bb9PTIF2qvOdcdX_LBJS8N4;VTcsDO)jD?O zTqx>KU-$cRS2qMUH+C21y!y{p(Gm3CRgN|3bI$7+-`80>Ph;~{wCTs?hy2;IG0KeM!X)8ki)M|JVk21}FT zbAan!u>6u9^`nsYZ6kO(J)Xqh(Z!naBIJnTINBGD@NRLNhOY|}F z>Tl=kqq;{!5WF(&8JFXa)Ia$hj+(({x3vD0`8rD%gy@5$*Sn(s8^Pb}?+*?P=LXIs z3j78A{{-7V1Dj1J))8c%8(1fFA8@<IEBReQscVSCth(?_Evy`H^*7NFz(?e?9$J-z;l5t*m<%{`xLl zZLJKYORn1_P2~K&`Vopp(0f<2GHcyxeMO-2H1^JWf_hocU*9YEYdCJ*s+2glZq*mK z-1xilR9}pk>L4bRyKK8CT|KnYj^XmfU+D%x=f>SR@lS?347x@y&))s7Z?7nu;v(dF zSM!-!7|KDt8&7IEKAW`rl@UBu4(glXkH?)}j*&#fQw5s%kx+4av8UB#W>4o4#Hgdi@z9fXegmipr?ZC*PF-3l(-yjgk4 za^&-o(4EG0vX>X@AWtD5ovr?|7TUYoyUYo3KDxqsYd)fmCf^C3&PNxUX#X50Fzd!- z?enYuK6+X@&u;JNQ@>8uLxyJ7hO>F)62G#{*XQ-$g?4?+uKFa`JNf+P+EeJgtDBK^ zo^<_Bk!9&TjlI*})ZZuh?T+B@@|#yE&Tq_%pt$QT*M{$!)c+9ndjh+QkDMc)K8IZC z9)$K6mNsAeT5sFc2zu}8&M|w(`QbC`t@&XK^3FDbr}M)z_&Yi;MDM%dzft1*T<})A zZpp}tN{uV$^Zg@g)9fPpDC?Dowf$?;3|B~>-yr6dAclCy&+?R_dkxwbExt>-*P(Oc z`(4s8Ca?d?myq`^bbtR6bRR+Y_Lrdh6uS5G(E0qhMe(TqHt@Na{Ub$HUg(@49QEnVuD{MI1*N*iXR?Yku- z?T4)|*oWw|Tbt_GPO^WxA#T(1)(=X@yY_}zHsri^vUC2C_xXfSedY!m@*QMcYx`GfKQ{)KVe!V~#p|4yRlnzY?Gk3znqB8SV!KGl#vXh3{9lKw+OYWyFh>Vu;* z*R6(*x$#wD9R}s{3-|FiC_}w!-}&pg9!}SWtIVJ{e>`gCYyP0^!ft}6^T#jncXTOj zq#XQG;(2%QcJ;2^$ffaYy??;owy5EOz?QchDBZ)*-fL-d@{g}CY`da+=A6`qrxWKB zG`RdN@!W@b0@7UYbUb;_;pt+{c%t2D&~o4!YrI@MGc704pJA;R_2mUowOjYkY7^g+ z^Xb~~J7l~XOU;Rg&)-7F`hW)4hu9_E8_>OGW$u#hpU}OYhtAoru|)9*J-useZw^k4 zPa6Ksx3w*ur?Gd*2VY1&*p$xic0cMOsiI_F@6mWabI9)vAHudR7MC|3&@uFk8a7+n z96HK_jIBO?%a{+yFTGbA^R0=-to?~G-*1WKe^pPeym|2v@$#i3#mA3N?jkLmxf2?>^B7LgQOojaC;YYXEohrcKF1(?n{Fwb36ERo|2B>= z=3lg3ZMtXS%>PdzKM$fWTueLEWI?9;Eew@{L`U9*S33Rg-;p@|A4LFgw=L-7XN<6RMB1!?1=m)z}LF(zHe~$IgtA~ z5~6kOM!WB{dz|t5VZ7sRs2r(z1PHR{W20{Iu^O0bF}hA%28?IOi8Mk~TX@iyWLWzsBzbXwZd^rKaPz#Nn&Jk;TVL)A%wM{xWcM zm5-aI^k3!hJHe5agP-o?p9PLCe2g?L|16h(-UcVHb+{zUe&;&=bHNFVkB6rDFLd~Y z;K<@5o@xAIhch?Oh^!8qv+zqDeknMz`1oX+|4N6`_BSGnk2R+8YrrM{t>roh{Hm<{ zwbt=7_HHCBKHit+U+4JOffE+^WhwjK=aV#I+3k>a6@tzEu9_zzK_w z#-;fmviWy_)E{#d-!m0HdW-x4VqbLW_)?bqC%`3sTe+|WAAw89k9cYNYy!uY@{zcd z{O7z4E{!mu_+yPGd4UqbSwB}9ht{X$AL#Idz>$@Mqm8ED6mWFm(Dk(ZgB}0D;DjZIvZv%v zariWFkQ{uPlRp<6T{vtzEq|8dp9M}>au|0?{!tEp5gb`L_%W`0K1BX~+nBGC;X zF8K8#k^V_r@Va#WXutxe-vZvw{~PXI?2hf=5UB@SN#jw}vwPUFiQz6=~$ z9IBkgS2=tYII=jbIE|m~@Uy^?#o@te{47`hUqk#KP#h_7`!nEN$A2#TgvFt_Y5ofx zejzxrI21OGU+nOU!I8ybr)m6BhhGYgEDi}x<5xQTN^oRxNM#zo#^LM0k;UPTX?(4X z-$3aDV+%R_F%`dc@Jsu4EAfBGnBuPK_KkYe=I>jeK^G1^Ov}FoT?% zZgu=mKtos@MwsURsSE!#aCDW!3RC=dI{Ej2qf7B>lj>g&xCfm2dytG3w}M}gRewL= z_#aap^^m}neBvza?;zI9(FW(@kJ<7uko->@W{MxlDj!cc`A>nPOY!fs^m__i+K1=3 zuoZ{*rQ`pc10Ayw3Vr&^74rGkV7}J!V z?Z$^X?>uMG3OPY#UYwty)A8m&-n|bX@03nT=Lg1-Rvzyr8FQM_6Vl^N;$g>|s|jxm z`0eTX6g@S+46K45+i=KRD!v0L9U7nR;KW@HflJ00y)0gC5N1)d~e232mM;3=0rEy}T`icI?;?STpz6f0E zoAb%P)J<}zP%8c>fzzH0JPG`%R`3-L=iN4SW-kA!uKY2#FJ-m-Pg&*fOlP0V!Leoe z53=mD+VT&Q^dqeD$Fum)x8-+`*smjN(>r99--}%QHiBb|iXrLxly=zK=W1|l$stB* z`&_Q=wU{ItaE;=lQ}(&q>Hin_iEG6-vh-i$ zH*t{`74I9Ym?-}@IsPAk6BdWzr1^hj`3JoUPFQkSPKy6_$A3FGVR0x-n*Zkx|2a6a zI1D9?-{tVTz>&q_AZh$Qhu;T|EDqC1}*`$=l3&2JHBKV1G-@DWG1O7Jr z?$8hxn~Ku>-5q})aKh^QMizgC+;`TID$3LIH%a!KP=PJSaey2vJ&q{=*#qvEYQoBJi|+GhF*LoBVSoZBf?ykU_Iu`JE5`7x1k2A+%fC zKOM9P`Gi%K^*&_Kv95hP75>#cXT1*@G~elWJp9CkMc`@uj(6do1dgs%S@j3u+WdVc zIAO77zQfN4M;42b)A&UWzZx7_EZR-um$?4jCD<4H zfv5VHgD!XY8u*cwgJ139e-k*is`+!eejc>Oh5vJKbY-z?I{fcD`QHae7g-FOvd;|; zzY82$IrvS^zCQvdE&4x}W#1n;{eB5fTsWVgTT1@zmcQv>aKd8me>#1BZquh}7C33y z|KqImxy!}x5pZn5qSkc$?sNLz2TojN(Q7LFUpoA8aAf7+k68VirhpUI+AFg3f82%t zGB~=j2s9o3lTQAV;OHWYL{s5EWAUb=z>$@M|K7bX{ebady)ktI((g+Ly-fJjKTRFs zp9nrOtNwV^>Gv0K;=-cPw0?g9r++tSBl(Z`$)eGeej6M=_ncl&x1a&{7p?fZ_q_~Y5t8i{`(IE$5!>1X2t*CPXF!T z#I^of(=H`{GdS}>O@z;!zAR!ZOyS$X_X2MR{($1C{waKFpQa+@qf7luY5DM3`32zU z!XmJgeA30r?+%VGvPdi$ep3Osq+cUAY2DBxoqmLC<@eDz$l|HAd{Rr&uc-ol;v$Qy zQt~TY__Z3xT)dCtuL38mhRIp+tJ3gAWF)$>NGTnDt>xdpMs?6dN~!Q0HGIpDuCmA} zg=1ii|48_;Rl|3(;y(vrlXlRhdqM9^5+RER56gavx?97sX zpyeM;{wEB>q7%z6>tT2^F6$hd_7{!0L+jQdA2@wnX8P2b)5eZhD9+DK2SK-w^W-+* zh;)5LJ!d9<6ywx6#q!_I4YoW`&uDwxG>!QF$e5;1>G}|Voo^b(JGw!?G-l9C>H3Iz zQu&8nfF6WJo+MQh@{h9h>FBrMza9Cbvh+K~)knvGKM8(ey1X^bRa`_y)LEwK+7vGS z=CHwfi%LtupAEQ~G{?nJYd~YB%9OyCxu64v>}R94+fXe@7Svxeku0@ z2%r2+>O{|&E~@|Cq^a^V_g^v2nAbl}<7fQc;nxs81D5N_3&Q`V<&Vl=bokm3y4asB z^w$0rq^ZhZm%uxkHbb2K@D)7iqNW>7o1;y)wpuR!HSe_JPFc8Q=<*fw7cHDS_NWPbpVCN2mRE-6Yb0`yJO`eaut8zhJ_V-&(Z(wmZ&L!l*lIqMPop zOe+7QiOrLTE?+ipsLaDIp0jYtka;^!-MMqe`NhqXXJn>K&5WHqzIjS!{On0HqNv3C zWe%G>bGrUSQ5WZFNy_BEnbXH-#!Z?we(a3QxM`DSjh{9>irODBY04C2bjvhPnLa5q zea5)vX%jLNGf`BKk)l7VIWu|EbO?6KOdmTnGY*zcfhvkR#sr=GBfLf7dEEGeCQTVX zPQ*D;nHiah@~?`YGJeL03=CZ|nS)r+DjONLiv9o6n zn=N2bM#3MP5&b7kos!AyHe%TD;loEv?k2#rNyJX00%@m=4>XDjGq~MHkJ2DSPDegL zuzdbP7y9y+lUKGZS>b@?tCo#)z^XZ!MGKcJ;L>M^h)kvvhQsSEOG z_nY1}W;AW+V9L^n(WcAMrc3{%yr!+n67?uYp36_48qRvI5kK_uWc|I}MdRoq+R5mM@1V=IrVaCt>U4eT7mHeaRW9+} z^Bz>)oqW-eeh~d8Tr{Mk={_ktzQZlnW0c;re%ep?HfpF#5}bx=XuxL<>w>P|V`-sQLZme7uxYW5mPT*}BBL#k+p7D3R}bb8Qq z)plt2YT`LTd86U++RrXsOy@R)ZxlZS8rp~rpDCR=mG?fwWIwLQr&|1t3Wwisy6kUC zV|=>e(eMMfPgk0P<4u~rcz1lPfLso z_BjpwLioR7qBe){p1wM_-!}OFu=nQiT@}~=|J;xO*~1R5hzmgo30YVYmV_ig*iBg7 z-Xa7=5s+PLE!Dc#T5Hw1v{kH2l~%3Ry>+Qety*iXwQg;}6*ojhMFsgi&zZUR&V47S z-`~&A_xt_e{upj?&95k=b;c8TqM}9zBr0#Yqbs zZ#l}Z0-~?dMbICEJr0ia6_nEEs7=vEm9EmL;2C&X2-&Ie_Oc zj2Tw0zd?`pSa+_A$7vOUuXp&hTweyhAq~IQnAaF%9I}~u!I+@Sol*V*=rsucijQns zU0#mp&6THcU(z5SpB`j_s&|OqS?ZJZ+kP+oiQu%|pY8+xCU_^4{WsG|{UYgi6u+Hz z@&m5_1x~;7s?DG2Khxp#5j{TUdI5MBll`UXqV;vyoeqBp{4?6RXO%u@Poek50VNM` zpV0F;XHQ$&x)@@gBStuWe?}LKE6ce@>yb0m6lr?=v+_Q{l?_4pE|Yt<>8$Mow*>b? zQ2vg|{kbX1NXYYyjne-uC(rw#Jdqou_ASpdOTRq$FWA^RZMQ@}Ihn5f2eaQlQ|kEZ zj15@VuUKq?{M9D!4ci_Cf|qQvX&nIlFlxp}4JP+klP&Fy#i5lj{7L)Ur_tmtH+j16 zT-Sv-m-jNgeH7)&3^$LDN0LhtY)!{jfFU?^W>GCU2AE zPu`R8Q3`K!@#3ZPQ+$pO)73s;Fy$-#8U2j(F~QJjrptX^KSTd!@$0}JWPb4~)3w-S z?l1Y4K16A3e;AZt`$OlNu4U36I(+E7n7{O$gN^Ar!E{z!%CrJsdFM*~4a^FPb!lAh zs;rKJs`pjop!{LX@T#cYFlQ8#PulLmdoedd9jKCelPmSkjyJ@P74NGytoDN)XaB?5 zvGjwAQ}3xyL5Yl$=?AykelV!K+~LKVzWu`PvR%FGK)Ruf6^GN0P9_TN)`6z8){`AS zBk$yK5H{ICXHCG7@9!cXgy&?PED7eBLojmyBC{k{gM510>jl|Bi26zNB@rL?PAjQ)iBsONK&|8OJpul%zxXA;;ut z6zh~a22r_XYlkhgVRB9?HMMo68+b9c;uV|?P2LC3J{s0xYk#!jspQooXc zKDhh1eW_^cqx*86R`?vD+zg*L!|x4BGoxsBeD&o*>3KDT?c3nrxc2Qadw;*vP1o~G zr>Om-&9vhM<_uh5+i5}Kxw*l2DiUNeKbHu|+v8D@_nzps9sfk_`Kl$ZMc-{cYRN}c zL~ir3=i?P zd*-IM=8t`M{f3)u+Zy!jjoh~1xBhox{$}a2R_1SP`@7qT81-LBSo1pAlcD^0lhZ@| zp^Yk*;-wu!5sAOR;pYV1Z&saJ0ERTddzHvPlTaryH}# z`e{MwJd-!gbkzDN_Ey@@n6hX1Wj$udS)~7@(bUAw6+g(1>q_xuN(&g*O^@WWI1?_& zujvS{+#}O)930_aJ_q`Jz<-m*zs{JuZ2p2FBXJ@TPMF#9+D$p^iW{=1eQH7e6IBlV zYV$lNW6u62m|Ml%#%ZJ@^CW4{IXk_X)`iL{7{Yipl53+ecT91*xt28NxilHS z+-t`#K_&hu<08Xj?!mD4x&9sbzS#7Un4(u1+ms$+%*~sb@}UipP;^f;ezIc;#$-3Y zY%=yCI49v;%lK7h&#iT8an{}i4kLG-V* zCt17Q{+UfB@6b4X#lOqg3Hs8LOppzI?)_=>--l1BrPc4w3HlK5=Zo@&m>eBHDgSdc zN-(4)jB4_5rDGhO5fbJ5smYCT$ur^es0TK=uQ{A~SqXNY6C133h%!FYi=#6Cy{onx@6JP73Hl9R+Jvi zGR84WR+UaDS*vbr$+Gz+ix(|fwYEg=vbs@v%>4N_dP(czg{4)4%OPL1Wd7n+3tD9X zgl*z7KlEE$B08UMQ%7;s6KaPBE95N^*RKB;}Mw}cz^otwqG=j;xGHj=@ z&$n27S+!{K%0){=BOKMSd}ZfanMbG?$3_y3Rk}E z(LLqSO@R)-VIysMhffpb?=TyG;}%CZ z#PwI{bQ_f>D4S>F-Hxs$+HJt) z{;tIa>6aBx;s2bUhTmY!EU6oRH9;>t_S|dJVQkwj?Lw_ka^T@q$SaLsz{Vy$_yTRFGSH@VjS5N%AoHYE8@MSJl!Y0Z(uV1`R zNa-IWf7I8q*TIoB&)a9K_#@!717(}Q$!p%-Y4ZIAoO)aK7C3py59?`IK7R$LewMul zPG0hvUl&=T^8LHjU$x``UFUz2ra$x|`l~(?ob(Hd()9NNd~N**%8A<Ur!@_p!nsx&hB^J@gr|7eqho14c8r_as;Jk5Vyq2r2YR} zGXIY6T-x6FJt@1t{xziBrVBqe{!gW31x)AKWjYm7`sbkX20oYDv@4e;`DHUnBzNpS z0&o8V`j>IBjOCec2ucTAIvLbzd~aT9h>ZJWY=Ztv;;9A{V1mJaV7ka|T~P*F-XF`n z60dfj&s@1>8_t^=_QeF{AG(|TSpP?cEcTw*1#)R%z9A^BGv>2=+MD*|qP~{?i1^cO z{ODWe6Nbq&R(ML7OXm|O7u!2j&fT3qo1Fi!^Bbb86-M@X1*MIo6wYt>dRh!7>*LNx z_vIPk3cShl08fg`%j|zmLWfM^Jw_nrIc;%&%Ajq z;mzFEJ=z0XHk7aJkK^_(=rggnBIVj0ZY-r#j^adbm4!O z-ucpdt80FdTqN-ZoK9i39)#`Eg5`@=w=UOxPr6@<`zu>d(ErrSL!Ezhc!dcL?@t*% zXH2&f<9-r67=n*5_s7aS7(yG}wKUpiBJ{zKN5M~L4+_4*kY~V|AC}ls?-hSs=_Q_q zqI=Wm@lk|+$m0&*kVenks>mmD9NLw3D%wIWc-iQ56(O}3M zrrQjYDf2{DzW>nP2!4aZx0r4t+>tI41w2>4^7w0-Fmpv(+|4zgCSfG`NVWR%f~>F_UD7VEB9Jb9~@ZGh}wlk_Pk#+9hRG-Rg#8lH|jmz&5 z%USmx2=-GGq;#~PJi5&{nc9xbrQZq51e{m98BX`tnLX%nisnsl)S(sor7=T8!))vHaN& zs<`YcsXdo;1M|fj=q{U_bD0@?b?!PpJKXLmnlz*+GzZiT6_y$vSv$H3~8ytQj zzh|-^VW}y4)pXcDN}qcoAJ0|>~wyPRMrw zI(B`%_KTa_v3FieE+()2!Irl69_j=AM)Tlu-Lt#t!dALi-{Oy94+mo}viG;V)^sTp zdy#!+_;Iq|wuJeGFob-|PqTFLj4mN4Z!lfD$L*4yYOnneemVZ0^}#HYpqxIxjUKMw z|AXqehdh4YQtExEJA9Gyt1MAF{=ur1xLK~+vtQu)kwAR&6#nA`!f=dv7MQ51K z^F^X^9&0f4)h_}IymptEF z_V-m>%DU%wHBL}LyH@x??2jtm7yLwr)0Y=+iv3Z=Y3q7!R9wFbSH%9N;?ynp2Niw! zjD)^V8h_G+e^7A%pIY$jH2!5;Kc#L4mFMH{UKiI-87BMJdd@}wr|uc+rFK}|Lx#2Ou=mT9D&sT$|86ij@>+u|3q5S zKKS(?T!OX{gDDHI{!81Tp~F9*9SNVctlM86%}d*T*V4Ygy9EEBf;m`nm%XRmcdcy? zdyO?sRo7$Zp#a%5jfj_zykJCpKp^H$ydYl2y;z}f%$ zSMl#R8q@O{#e<6Tu$?mYUNgrl^DcLrPJ)NDx-VhY_xXPz={LvYJ+<$Pn6qJ@O&E%b z-E#}HYdrT9l>Q<_kpJ3t+O?Y}JomkW|2gQ^JK10RaTM2F_JF-^>rX9jUEDfvMQdsy zt(&W7=#>y;rPu@WzFueaT4C~TGB>CltBw8+>_v-pp{)g^D~#yH|J406rA;Qto20n5 z$f^g+U;WhKM`4dg8Iyms^HVoMCwi88Fo(|qK7WL9Y^N4K3_5g*4F|)ivw}b8>{w}; zV-)$tPODg-#a8oXh#jIw?AJbX02v{upf76EKiqoMON%eN?V*xCvy{c;x+_{QQ;lxE?`2F&-VylD@E=An6ZvK36;N*WMb)oK(2iZ*dEb_2-nr`G_50Ia)oH|_f_hlve5tnM$K|a{=AFk-Y3r6voKdL2t7k!ur3#&|fk)(*iI0UR|fle46x!m8+26=|SQN5x7UhqWdnNN@6&GNu2bf?;75{%l`2;A-U(dUG&I^0J z-l^+b3)pA3S=j`Ymk<}bm!Rd|&U)Uw`Nzxj1m&X9qA!0vuab5^)4hav(w}`0uIJU& zPYT!b;_*o^^c<5RLrw`=-kk}zzv%xCZ{5s$zP$1enpcSymM51P){5mWrm6XO zN7lpGhBg0qo_5EQ*p;<-RjbC3dMeMbi{Dy9UnKUl^I~Jhpm(v2HJ$i%bob4F64HQ=k6Yh>Q_+tk|IH+wXHCo`_0mfyY9g0JuTnFjM978 zlATB$siH0Xd@qx`ue95KdT(i*pwim9ODFtkC%yOM^p-8Kb*~9l_L|~x{zDU z?ukTt^z{U(x5nqF(7Y#n7dZ?UsK>E9HyQ~TOAJh~CdhYkqR z{)9ogDy{EQK2_cLfS@l4QeFi)Y5JhH+)b{_Z(#xRHaNowcT&4cEj_LimSi6FZKRGQ!v@?Ww{Zwg2F{A(P& z_@`V4Lrvj$(<$aZCc-U$&dtN0-#^Me(bbnPJd6CZY{)vICtE(|>HYyCITZ{^eW_KA|eZrH~GCWm=A zJKlMTKBRX@2F@5igTK;`MCZNS{pE`_Po8d01Jbtmdo2*MW&Z%#lK0^*WX_-L>;ZA&!NBJf+)=f{1ojXGw@v~F)5+PMTp;4mc zjN|O4#u@UYo;+p9Lw@qSUQ74{r93A%eOgP?oYdI5=H@0n4`vT{M;`QRY);K?ZkoZ* zW_B<$IX~|orH%$%W6u5i#SwVgBkVi@NLBSS#@Czr-w7{=!dJ|6p=A_(T z!FU$2e(rc~#E&!9b7{J#QcY85BxIKuEWq;sp?%2DK2X>)eWnBaCk=UkQI5WuT~W?S zR`rumh(3KdXUZgr3_5?_f(1Ov$*bBIF5)Rhdruyh^Y3*dp4?lsz`qOif^=%u))&ch zsW9h3Ox^fRQ?GLBnKa8;Nnar-=hLiMHgEZSC$qg?JwpDnp1*R@2|RC_5K3+(1m!_c zxwm3j>-;z(w5w9G<0ig^9IH|Y5#P~Bi`B_{=e ze-2C)ZCyp!*V^$1#@r$4SLpn9DkUxWv8@c?b(gp8U#WK*s$CtBKT_%BH<6C`6{T{Q zarHL+i-;_)-Ufv+nSPJkKNkeil;De+;eUK01)Km0k8d&R4bca{kz;#)GGnqO__M-``OQvARIXl=-EF-5kWgkIK+ zg#N&b_#JNy&S(A!CTo7kpR)lh|6AdI9_4kH$zS8vmn8k6d6sGa-XVKaxXiV)iZj0; z=L;Nk1iy0qnJN5%n@3T+yNAnlo+Y=_yNN!(J2r2b1p}$A4yZ>7d_2IPn^G+Z*pV(NSa~( z%DC=siVpSVlY4?6p!x}h_BKVw`0~-WI(mr^3@tWAX9>NIAM9?xsO=|$`0cAd;lo&y zvm(vvzi{T?qu9aw%r{W)2J9(p{^vqu7xe!kH+CZd_rnxjZnE}|aQc72(YwX>GDTPU zdY|j)U&Q{2vEM_6<27ad%&Q->ENwY`we3$uAIT0fOb;GbkCc<1S#f~ra;e(~q2>IZ z@(RmA{%~Z{KI-QRKKR#8p1W+k{Z^T--w}B>+PXY+5js9hYNp~rUWLj1p~kx0Ciih;_eq3xT=42AE#94R@5_QSuEqBaD$cg| zv!%}Ai?{Jd{y22#Yg3OnW5vgeYpvfOR7xA;#xQN?Ggh>&T)9-|u!Xa(hySfhs(#v( z=^X38nF3QAXHRY#EB&$d^qknHJv|%F=Eslhvp<#b$bYq5rZvpuG=cibbuBHarAy`? zyJ%U8_gpVseo}d=O#FI1$AonRo!1=p5qVliA#g~Erf+e%)OpPjr-AT-$i{MA>&2ng?WCsvp?P%daaVGe`%Sx?+p5-6B#%R{dII( z?QWasiVk_{!UgkAve2&0-5O;Bsdj<2kHelc(HyyYiYx{cKHI+t(e?t4uWEuVaid^bz90XUO-#z4Lz7yv}@~;IuUr zlvdR`@a`t3#dKHx{ImF9xpLu(nI`up+YiVc!4sk836slF%Ub`KiwuVT(_{?TY)nbD zM#~tm(G+$wdushs`~{1%??ggI@e!u5%GiBMivL^jVC-zg<*RU<**m0{|Cawcx#W7Q zDLl^gbI@xj`4{t^%;z15T)7Jg=7wCz+3KY~44aoA^h5i?FEF`FeEKdHcjxBGpWM~%`E8Y-yh}J> zoqXdr{af=d>1&-H)`#EQjM;%d<^q0Bc725J?QrY!NtFCUe0)EP@9Yqgn|zj9LwdQX z{CD<<@zY~m)<;eCh6poxBrgB7eLf6*VLL7atlPA0pYK0l<%b8J`N!J^G2c8vV+K`^ zqo*oM)y{a4H=Q$H{59jn+y3v6kEbDY0QY}~eE*+^d}?rNZy!j%&DlwE`qHc>Jqx<+ z{5Jhjv@Sa&ZCy6GpJx14?3wkUx?|XrnP;zu-D>vYl`>M#0>zoXcer_FB5}?&dtK?~ zy%fL1;>G=Gix1`cO0##Vsg-uX(zAvj^rg2`Uzw+=d)@5Axs>xGoOKlNV8T&`Q*hyb zoxIXxbV#33{9jAo_YUa)0e)kIn-a!zVP7Chs>1)^6pQRhrjNAqHvJo8{=-C;56oWm zW=zOG7@VNB_n3X7{<@ZO9tIEHmrOaaH(8dT(SyuS_hWj1GYL|996{=s)+ObPD>Ya@ zRP3&vS)ICO4)&VC(Zw^H8$;agXApmb{eHoSb4g2EWNf1qR6{8OaAeV%7`*@=M9Cn2NXTljk~>$QI6?HBnq;u8kLGkF%c zP$Au8l+!m}k5hcQ-Cs1kFL7&`1{o9YFH+o%|7-4x(#ZbIttTqZm|x`7{q0<{A3le9 zaIG(jGv<$Qx#o~o=D}mUcZ}E4z6>uixx9iW#_?^T4@Nov0x9y&lzH5ZlpFaQ_Nk?> zIoirs|2vaE!p(nxYheGB1l2|U599pR%&bPBjnA0kEe=ZB^`) zM`F}3l>KRf4`rW<>`fcaxE(vqp6vFijG*2y=a5nSHxulEJ-Cp)-HjW;B?xZ)GV+3N zmDAbzRZ7ozRQHD=e+BJH_8;Q%Q@qxdA97S21^!Z;KgVD9k6{P02hQa5j&R*Kq&y}- zD|rw-kUzKo%}D+fpA0?+d=NPK^Y`DmGy?YCi5?RB?^Jg)kPqK@IKL8dtGs%E%){q6 zT=-*)xii!7!ySIO<&k??8h(`G!diLd{WuL@VE6wIUqBvi$9R|=lE|U`VE3}`Dr29E zpg#)yg%Fo^&fjOFGq&OO03A2T`I2??G4f2LwA)pe!kG8bc68?~@6Wsqco>3=rCjzh zS^Jt^+TTb!J$$W&X{Uo>_Y?nDCTFeRPP=j6*dK*#OwJ$8-huQN!>{MF1HIFy4*vz8 zGhBb+KUaM1zAwD7$M)A2jbFdvihGquFnl9%e`@*dx`2FM@ok+uqy2%NHxr#S!%5y& zbH{3eyKW)9d%wXvgiP?KPv)o1O$bA24|m){eonFZIY{jIYVJK?|MT{7ZNVhonGF4- zc=uTR%mZD#`>=e%F44^?d^XtoXWi_6?=a@K#-0bExVwMi3XAXfvk3}J2kk!E#~$cewG;jxS6w)~A2S zqenJm2nsPaAG(bIknC%vEe^m0$=-FRvzy1mS&N8b|2e@3zB zfb<>}dN+SUzZ{IPCZX}#_@!YvysWTcy<5Z1;U;*>?4|onkTVLDLq_pq$TL!L?H@EB zuc>`l+FE`($ItC6zL~anJo0TffxMfYJ16jUPMV!;HD<{l6gKCa z$M3J=eq8x))AzSXgkaEczMe|VcS*YDm(N=IowR?!M+yE4h3eNi#dI~jAhTc=ne?Sj+M&clsaRR>;Z%u;rt(|)9fU<70Qw$JH)zxbHPK3Ud$ zHT(0Vf3qPN;re6i4}L{EDf5T_X|KK~E3@cZo{t z5B=3I{FSJ@M!xx%L(>Tqn`1d2)5m4(m73Z# zt))EGJVeXS$u9Hn5@gT6$$dU*k96O)jC~lB$-7a4TJaa~_uRf~^%oUC4t?V94HFy1 zU(|i(>nI=k2=N!i?~{17^bs^UI(O{(L6Y{j9$b4C)a*mLl=p}a`CRYp!}o{&ID6WG zt=If&dDrzD{+6R+1l7=txWkI{zx|vQ*6d~D;g@~o@j~y6?E-r+b+{vfvma99>+5xO ztZs7C_^GKGf%yg}Y3Ia8Lo;I=|6zZI9_pe8J9BnKe8_WPzJ;^2zw(p?c3Ol-UZDagqowi2vDgJa%U19^`H?g7IEYd{qfOA9eEw#GA1=8ILAC z=*_*FlXySuv$Fc++LLXvxn|Im#wlYPn`La~1xarv`wRT^skfPl>POk7ZakD0ujW7Cg?zGXqCMj9?5? z-AD1D=L0rgd6DaPbp0_tCS&Qsg)G)BUD&r+^WN8Het@=bq#0Dxe;@K0zc-qo*R715 z+1DW)cWtz3Rnvbr-voX>IQ>iJe(`f1N>88Be2>Zy^n3<A$6XtH*&a2me`Eo=!drTkNEIBKXnZcgFKd%6~Nc(Qh?< zXRn*UpN;(=#m6Ea?O8SbXsO)UmcCb4@TqC`u|(;WKWB}Z z&X1(gQ>L@n3s6n{=yfj6U(eWo()3q@pA61ATCelL2d3rkWbhw=zX5(B_yqCTJ^MWs z9NVpa8~hUR)-?T{27alPpR?vnL1CJF*hGug23fya{j-jmNFSNi zKBW#}D@6rq@*}g>ugk&vfe%X4A2QFn%kiiDi&mwT-{sE!?t`9kF1jEsf4_A6uLDPx zBJcbd)$i5tf86mG`L?9dlQ(G>tDoXC7WXA5&Hisx{=y)rMwTv28q1%k{kX}sFZY1& zV@$VUY3<9cPCwFqo(n!TO+R_(u(?pu>HEvrT;kmk+s+Q zwDkYv^vB#&HM;J_elB4a$^R()SIZsJUjqKOwDccWdc~_rEADSx`}CAce+&GPweO^~ z^q+D1eGZ(m=zDaUexHN?8khd5;3ucq--}9b((0n*OipXF4yC_i@O6yAMy`X;k(Km(JrIB>K3I$ffb1^FvTGz;ynQEecAv zP0o9n?cWr!i+03_pPD<$Ty9(nfzbZ+z;I?>(`(aohS0 zHr%?~m;aOcllN+&xAgpZ`xtAMTKSR7?PFx)d;R2@4UOp2=~DG5 zKD=EA32N4GjXxiSIqqDP^mLxL?Ri9ZDs*RH=bt*tD_#4OPUp*ay3#0t?o-=7B{gNv zxVjc(QMuN6a!I}YNhFmi2+#kP`H)>hA|PQ$KaOca{!=H9ojj?<@juVwk6&5yi-?UW zc*eC~%HI~Spb7q0#5BtPvni?Z&2>#;iYou59)JAWnj2#Ng;_EqN<8IX5djJQ*T*y( z@AE0CNsW!ul}_yc8jnAIPYr!&7z&5G`4i<|8QxCH&sso8qxEd-loYSL<%>5nwM>{c zxdHj440r~&<`(9gzsrAm?K3aN|NbF;G;@_%mm1S&a>V{yzfe8iLi(Y7GXG!mOq@*N zRjwVDeER1g`F8enOrv`Ilq^o0o|<0QV9QDJ7xMoX*Z=kS-|zYv%Re%NL^-`2(}a4Q zGKEKJd_78ez2K$u8rSc5>HH^=kH{br>AV%wXg)rnzDPQ3O;$a<;qgzacZIwcLQ+$@ zFH0hWfG$HUUE5t-ceVW+Wycyq5{%@8Po>)~t@WZM3zx!Yqox(uAraRfN>mNOMY8`= z`n50S$-~Rxef^E-@}QwVm-YFPMJDL~Lldl*^>ulUB3tyeqH4$^W>?7w#Z~Kzn{>@NY~emEDKr4Z#(jQ zzTyNYj+Lhtexjp;Jb6e%<>8(S8NJ+my~;DzlZXCzWIvNJCS9JS*Ydv{Rfp(6`cp+V$l}Z_mTpO~G-}dBLn3U&i_=%2|c=C{j z%ELVuGB*11NPivHuk)cj&hQGRGG zxaUHLLiaq7+Vjsnd9H%?6w_f?x;&REWiayRAq)9!Po9NId8F+T9bM?jLmDa%_gv^O z+Lz}hPaf9PNAl93h3WF#sFcCTn?e@y+nzkfx3(^uzjOuVtNM|)M|5EE*SDj)lhMm}k>Mws4_p86lly*Ev;@2_pV-RkK*n-1|M z1pQApS-*Ghw^BWPp!ZczqnKw$S6TO)0kNDPTU_Ok_IG0%P8}u$dtB{)?=o3m`sHoQ z$M)k=wjY>|S!R!j|0uW8ApA$suaEpJ4QI?J{QK|gerrrep3{r;42=fS^QcDXc`oOC zGce|_vq;vD7=;~=+?j^=w)C1leIWcS{bSfY9=P~D{Y$xT;|0TAe5J|&8_MEy|3O5y zVHdU}dL6Zw{`dF)b^M#@9LwF;#@BTF5}(b7&-;3*^Ki!K@Uyrl*C=Eg<>J}c?z;o` zM*c5eV8=W`7~0sItG^nr znz-dQ=2ylWL%d1Pm;V%ttDdKT_f5kO z@$lEdo6_*36c_(Ba6WG*-hY=kmt)l7U^bp*miU%(I5I8=87KID^43mSC_M6yBl}rk z(;YQ4V%F()-+oTExbv%nQHPk$ym}-l7vuX;HeSTUQgMHk$hAC{%h`u>;A8vPTyUV3 zPagnA%{D}KK|3^RZOpz+d57hAs!e&6ZHMGf=PJJ(&$B$V9Iu6Eqv>2H&sq8Qeh&9t zJR95pw&!_7^-%J&&CVXlv*0Vm`6fjAU9W$GQK#vze0A3Ms{85vjPz{0D3V^V-+k22 zMSqtk_4h&eI&)1>b-26t-;TNf@+)JuyVcvZHbvrxq4TGvFm6Zgv$s`mo8Wn>$?lY{ zw_CXH;)P7~m-cJa-I9-6<9vvHex`a`Chp*_t(|zDFn-lWRPHxGc4y43jr?JIAo2dd z=l3>ln|Psp{9Ey0R6GZgJxuyTzdZf|9~&=DSgxeKdtUPKL^2kO2k6H$_7mF34&H)%yK>X7Hu`Y+L^cV*DCgI3&2tl7 zgEsNku_u|aKkc5jx#D+HM}yIKD6QJZ%m{YL`Nc(j;f;Fkv(R(77 zi;TU*7tmUh?XqDH4*`DwkvsyWj0=W=f4M)pm`^{8 zwWrSm?l)#RW`Q4+}+WT5w zj8$oyav$)FRG_;p&r=}t<_mV4 zzY8?QVDu?b%DJC;d{I%YgJp zUsIkJLH?_lYnyz8nvX5;yw&90pI)x??_s=;a?h(f$C7AH?$*_(Wp{^UOqFT;3`1vn5;|k zKhn`34Nh7znU~;CnQ8f~21gfJhocKn%R8G|fL;wAZ??BqWeoV<1{PLuys$Nw~NWa;?5H2$YK z{%3+C3zKk3{%1P+^TA20$^SZs-v|z` z&fiPJZ*=@`1xJ?7m!|Q*$CU!@H@cal|3d6zr*qW6F9PDAD+hl9!Gx< zIB79RP4d6b;g5pDE2m2u{wJsZ$H6Hp@MQge)TRF%II`v(oR_euUQI{DrPCoj3JY4ZKY@qYyzS#p1u z#{U(^{|#_t!I@6-f5Xvl0Vl28ZE5sxJNgg7NegE+Nx#L(_c=Iu@!zMS?emA0e{ElI zWXU@|jsGW(|0m$cg7cT;|GC9$>AJ?i3#Tf9b6?xHTIq|CHSa~IpRG~~aR}S{TKa2g zPis39pR{pSlKeU&Fa1p|a~||LCjXISdrvx+zB_SXpZ`o6J=P`dZEbJpkv;#tWO>yx zPKCdOKx8Qxk;b3aTjgWT02##@NXp0jKm08{vJ`pmVGw zJ8-`~C?WoW>G31v-v|6Rk-wnNS85uA*rT?C=R8*v9qCrP=PQr;sr4CZ%S)A2!z;m|J@_Z!WD0v*o{V>GzvL{dI zlY!iQZi?jjPCYk)y>(#-YMW$8MM3z_%RN16UB{z_KTSW8*z?F-m@~F6yn<~&N*X2tz2Jd^0V}uG3GI% z=jR&g>o{z;&GU1c!{_H5&N+Euq~!B^4j(&rx*Uc#rLJX?;%|ra6YAu+-mx>sbKagk z`9$Qy@`KsfS?vwj*EaOC;<$MsF=Tx6_=gMk1Qg&L7 zqG#qIc-Lds=)65CXYL6;Z~)J_PE{GYRvUZ%C-ibJrl(A6A2MB^l5)C>aou9@gOoa*JVW_AurOwYGN4VZ~y0UnI&wb-nlE0k3Zr4=eCd5cih&wzFym*#Q8I8mM>cA zJ)bV^^_#nYi?N&E5q)P2*dB_4esw;{)3=@9G4!`VT|MP~o{g*VzVzN#>BpNuT)UsZ z^84awUYKSLQ1m+dAY+#WLK75X~@kCNHWA|Bm9pgzNb|OVjVRz#JUQ|2<33Id?(b5Bc10k~nJuB3~)v zq&nLV^{jO5xAH&B;gr?Hhn0WNlTF9{qw*7;$Dlt+pS8)lHKx}<(?3rC$Ch5xr=6+$ zP8yDHEa{i+0skkJf3N#|{`fGFd~*Fg)9Ww3e9W&#xLp6#^d4@q>LdBCjPZ#NaQ!pW zyV0kA&*Gdj7!1QFsk_bee%hVmr}h7Ol}XEwv+;tu{W-kGtN+}S;GZ{}bEw~b?Cn>RxWAee8M3s?)Fi z_v%51uitPb?Jyx@0P(K4NUwRGfc9^bH!evYN0?f){6uvXB71qAbg)M~7xDtGIR|c% zsgCt6HV!WO9)>?do&^u}A?G{_F7+X!_+MO~W%hW*uaE344Cy(uQp#+PJQJT=StoTw z=qWGGtPJYzb?Kvzx()bfZhr!~;~b}U^wE3v=Ea8&KjqbKjMErrOT3}*9NST^Z9Dvl zJ9TRX&nrERex6^jd=W3+Sy8%T`TSCMWaB)R{+2FD9k+7cF{xGacxi-t&!y;dmYr>zZezTI4~dfZpBr2gY`_vQNB)GQHf&q2J60j6Z}9_6iyB z+Mwn2+U{hKcL}?Ax}MdqbV2Lt(j}`FFYXu8%l)nPek40!+w}_Ky+5|DrE$=-apQQ6 z${;z5Wl%f)6!AC@Cz;_HoPus0P_dhEyfzkF?h*F`Dp5iY73g7K+POLCVTTh~e$d0D z27s}{qnma-y*oW`#Og(03hi4+(-Qy8yh*eX8iQ#Y4x^b)KBSL5vvJy?C{mh*Q@zpyNAIn7_XYRO8y5tgUM`xSAo z@Z#-Eo@*S{^Bc&2nw~ZPAMhrl^7_=Y>5WrmKBpzhh13h|HJ@@ipEPcX0hOmc|Cur# zdpqUp+s)49t&u&1{3PBzd~WsR_WAkk(*wvIH!(_}a=)A6^ylWfmZtiYym&A*Zd(0J zC%jkg89jL3yvOudcDYjek9SwF&yw{xydC;29(}4TC9QH8D?!h*aXr?Ci2c>{ z-%rvvH6Id1^66(}LH~mY(hDCS`x^KK={W6MntW61rg-v!zia!OgcPnrO7Pzb|DS(7 z|CZ@>Q^QyZ`t8i&92X+?SM#4HpPYCT#!|eU_Bh7l@~O{+|EVG3@qb_DEA7$Xsd05v znkLVUB6;#<^f?LoA4QN}cz96HGjx5fOvlmRHsMdHB}(4LOVIa*p7}68X#SLb^0e{1 zoUD0emtPY(6eenVfeaRO+v7Y7tyn`Wd^%FFgwS=PU*Khx4S7876Qg+7@wHZ{3%YWSRUJ#z?7o}m6mTwi2)$am%s z!gWXJc5^O3J>%~B%dlhmROSC^i;6R)X{tUCUe6ptBEQx>5E_&DvYQWoo;Y#5p#GQq zXZ#e(lDWmj)A2!ZiA$kwPT!Kg;<{@89Om~L=oo7?mZiy4H^<7e9^BXe2Fi>v5m7zQ{t$spz@Ou;T{L#t7 zZ`K)}JaN3BUTotDKCb>|ZFccwzVN-`NSwY0_bu-`P*tQ%6LS3p`lpd=x|3^rRBSf? zkEY4>w3Cb9PMMLNN*xO259OVC>Ly^j2(@*PP$+r_ZVLkTpGsupZnE%@_AA($Sgr*mwh`nzfzy#ctOJ+ z;QdrL8oASEPcO7*ptd*B`wc<;v+(Ryn1hTzzWTJ58ScnuO5EId4(@_TmK% zj58W4RVIzx`DU+vZz&G>N7c!qq%|_FyOOt1kCLuH? zyTOwuju$jchvyuX$;!h^h~+}<@?(7itmr>1uB1HJWy2BZN9Ql3Ud?pkyL`8#$#aC0 zhu`d5WBX}{;{^>z!}EBR$;$JPi)Y)vP(8GMGo|^PX=gV?-niXqSOont5DHi6>7SFK9Rmo>!_&8ad~Co;=jEP@b^h68gaxXrM1`Jk!bZ z>C7;?zQ1xKe((v52R5Bz%nunG|F94LZ!qS%g__j==$Gn)HP=M>T%$>f%qHhGub#*8 zf(FLh4Y#UJtUh?Tnp~(K{dRGfKdI+4C#&U1DS`WTa|`r$qL&Lpy>R%GGaQxcw`p?O zF^|xg-0<9Zsqb;Tpy3bvf0)m$k{a=Nctn1+er>^SBFXS~CQlxakOyC&fxf))k~De3 z_VE5RdHy1tmB!@0JPCQ8h3+NfVXj!~)hC?L zs|vE`>NGGh&X)%8DkPJVDFvwKVzOS4e10{%Dy6Y7;ML*amO*YapYB{FyGEv`25d zdLJr5d{)X(`S^DA1+p>c*?4z5@@ebs(%qhv4;l$VYw}O?G2&HFGL|4M}#>2c|BvyFhqX6SN@GPiUy6Nou1npSA_h=lIP(eH^vD+ z4eiazJK30NONq0DU*yiZ#_f0X(`$0+5m(Cri?bFaV&o2VyEAbel_xx#`KY&7vd*_ z*FkT`GO^zk;-`Xp6VQ<#6yo>^_$N__{E-kp6MSt1$NoWx(-Kuzv}Y4|1Z|82-R$bmxJH$;bA`%;`kl3|51qg z1;0FPeG3}t@3lOczvsaD6t6pOu4|sFE=?paeQo0}BX%b5x2913`TaxV2E~I$As?1tB3`lvq%@jrrZ z_wcYix3~b(&PE|>SMSnWK|SMzs~`+#rW_17Ogdi6oat^fR`^&2)= zK0*C(;xeX}pzF!ch3m=8x9xU4d3ozGvi`i)(uLm%eey0~kpuqp547k1NuQ^T7oM9N z$Mah1REmDYbQsEKFXb4_u0^)DLhjVF?!2*>pyea$mcz9hO_n3;0L2&a$k;z!x*M6l zZFjj_-`_#f5|1=#-@2zV+ zeqmf5`FIum;oEDu{kLgab3@t^dR%m(M4QgKC>^tgamTp+aXOvP<_(;LUD&Z(hs)^x zB=gbe-4CkIeAdHen_vQSv0>2i-dXHcsgrsi$60#C?<4QOV|iyce*<}GgmqnGHb0)UvA!S++5P`wwG11moDl*{90JNa*1>YFh0dq z>~C7c$MYXziN4({&Hq2V{6C01wqMqSvX2hS0lmJ@ntJ1m6b&wKLQ3f}aiScqKo7L; zqpo*)_?mLCdhp8O15Xaduj76f=QTTgFRRS!`ukYC_Iun0((l7w9`tG3@7>u!{j{kw znx{>coVX-J51Cv?_V_gEKj@|Zd-uLpN#EZ`>!;6NzybSM8e1Q~rvGqa2!*DP4Mpky zoAh7u(tkOApJ>#c;U08pyD%ZRW!&3e|j zcafX<+)2dMazO5{=ug_pJusGg#0Bh$aczr8pVQy$E5DRiFc#lt3Ug6o>Awcv&F4Nr zY{)*{t^dmS{3Fp@v2MN!S8`PT-Smg!*#|Iy^HT?AJNb9puE%!lniFeB)sg%e`{Tcj z-!qg7`42Js%RlrQ_T$0$GTPNL8^^ED<9i^-BzIrh)!nx5o*b@&QG1@~$-($@JbDcS z^O)A3Q2WClYNwwae<0~YH|el%s)yN})rf<&YvXOHQoM^ z(8EyVm}zO%p1xKO$l>c@l_y6vaxh*GL(cuqo1W4d3j+?NUET0a_0Zgu(8CYB z^eagJgfMmV@Rr$6{`vK6&06ZJ^OF*K;8}nHVg37N_RtjRfqrg0a}4AA@evtT^1(+Q ze+QpGlU@}c@xRvaxfCCFQ!L}Rai#X~Q%?`f7mfdJ%sIEm*SGKEd4_Dj0?MKPH!X+R z$#S^bOaDO9$A1k&?kLwDN`GSgXH$}cF50V?XCnvxfrM{pk8wFjKX;2KM;>x`dW6$4|Ti{&#RZTldfOL*guQ(Z?<`n@7(X2zVaK{&)Z5`4Zmus3Yi55Au9V$(5lLX?l3v zWZ*U$&JbhYH}d}T8_MByAzW3S9iBW}+5i4UoL=ru(_7=E>mwcy1~XnY8GCqRUX3r~ z+vNT&e|wy)LuKqqk6Q8$zOSL)KS*D62<6ROI(@4dGRFi{n`1e??JIfCVa{jR@^2!} z!Fc{>@YjF-`l`Jv*B$$8mu}CESij+c<80hl1~SHVWUBXQ-sP+I@h@7kXl3|xBzk`U z{ru(Y_Ve?qLboECO68QT9k$R0()RcDPAJs8=<^G8f5V=(I^hDYALhIwTKb|;UYXB% zeRuoYy?xKpKQb?O^r}URS1wwTTD)M*qNS3q*ul-fmt?+G<&d_J4MbjixrwVJ-@ zahpExz@TI5%qbYV1Uql<-*?YJc>dWm`pl`WudsUe_94f54<=NiSFcY~{!;(mO~_wg zH+{xT`?Ndh`}C8cKTpMqzI=QR?N#O#5u_Ih{9oWVq~oOjejO^5{+G$I#Qq_`!}#`n6%u&qY#vtLAI^ z?1rhBMWR_t%E!DwRtKNE$d6tU^!~2nwETS!`mXKJTf21WTk~X&MPEhir!=|!Erqjpc zlQ};FZ%V@-qlxZBJ1uQ+_XrE7ERU|;MY`Rf_YeGX^LMMK!Yla8nBKhYc} z)Bh6w?a_b3c5T?CFn;(|Kkt|=_C0wx}FLCi?6_!fZv#o zQ$8Pw{K3^v7EL%a=Fe7xqHAW)+g*?0A=C492lwQj( zS?^;!(r?g&{@62_2p)g47G&-36R@9uv$i*Q?r!m58snPm_WGBvtlyO1yNvHrQ>Hb{ zoZOhIpDafkEpA<4)A8gBn#4Xr2i=LG`Tu71KbS_{So8c>$X`JIm}~Td^8a8`MjX0ZJeZ2#F=9nJj{ZNE^uIy< zzXN)FH$PbW3+cb6{@(}v4}I#S{PN!C5yS|z{|5E{Iq3h;PWqdb{_E>MebA$}IzrlXG_Qpe6e&3+}Zz27u>FG!9 zgQ>ohv!ixDUNR%8JJsp^w~U+p=l|pJm&w0?HNW=StN-=(;P}>)tlXYG1QQukjrbrv zKgjcDycuA2bJGmmx#)$L33?_E(yF_)hj-HH8ycs(W*K^&!PnR8&Kbae9VVR8;Kdni8n7HEr^6S~S`0}nK2uiMOE`6u+?8eV-^ ze=-idHS3n>A!Wm#t9~}&T%m7YLk}%bT-+0y7T>h|4)bW4!wgzd+TJfOWpZ_ zn^}ug8Cdr}_@BlwN6p3ylRehrx^GDFk>G!4F6k=Ef2QMq{l6T2EjV+Izn4Bc$7CO8 za`gPc{n*#3^bO#D;d(82zPJwgl7Dj$`}h@~0R9Nq;*)TW*yW~B^^<*r!zY8Ye#?t- zn7}mIx0_t0?>p1s)4|!FH0ec)KWTB9bF=(sfZvV$;`8ofIEQH;rDtwV@Qj0*Z#@`Y zW*iUR$K({4KAOJDb~yJ8YhjYM4FxZnz4aU(#g8&_?t(rm4;)PVy(!r4&T&zEfyMXZ z8G$M6$DeqYDZJaAGo<*j7MF8cCb338@ilqnd|y2mMDfKIFB9JA@0D?;%SrB>8O4`5 zocZoaw27~<|M3EM&W+-%M~HlH+x8-u_?YQ>jj`tyD$bgS;AO)#bHT8iOxK%zdTdv5 zoA+Siv8L-bcMguyue11m?5mmd2G^TS_fhT~7R7&HaXHsy(iL2vZMt*h?EGCDZP`rv zsp7$eqtFlYViUKT?w7c8WG3Cq|MU1z4}^ZAr1h@pv%sAb11>@F{aCM@bS39BWSTy| zmUH0Yuk;sj3ad_N$6MrTgA# z@*(%loHKCnd*FAq!7~Qt+x$(EcIX1|(P{M7kMqwfEZk-GQu|f;nI{(g$~jUK4@U>z zHAQ2;Rr6yvWs{1un}v!>TWK7Zyzgn~4g{-ZDJZ|QtRNS|;#efmk6(7zp#>cwv8 zBgZpAp9lT+cIfSViJyKn;gq27&OB=8cIcDyzljN_1pQvn_iTsW&i91rCmh!V{Q=PP z@q@1KaIV+DcpMu{;#ypg#QM%b!P}LW?SI)7XYhP{k#hKdczX}{D39ZRc=za_La#0| zZo(EtG=nQb5+I|78WI8yl{;}D30V>t+yZg$B`(BqY;d>1y%EPw+~PQ~leoq$b}%;X z1!IiqdcQMUo_+34g8wh}yPwb9J@@SH%QLdR^Qa9{7TMe_%i{kU$>}yTEEdT9D09R|3%^`AO0Gw zzkES=&_`X6f4$%ywDe()mOUD@rXXK({>i@ji%}o8f$AT#H%|T%)R(BAiu|2%@-e@| z$+U#j+}We@?m=h?DFge^XE*qJ96fQ$cOET$;bQ;c9oKZ?GXbVyT$d- zMgL%)h{QJPpwy`TxsdTwzMKhK54tc4|D}vy<%8Ck{44Q(jDD02`oQOdZqfUNb^o6V zZhyiOf&9&}`OszT*O1=J!!s49Zu9&Ork{M3a_Cjii6l?m!TE>V{)4Z<`H$)Rl~MWF zcS`x}mwb!s%S8Y8v|qyT?^5|=6pixKdNFi|<9ET9DEMS|9>DcGVpvygcep;?Bl@n> z`2Qn@4b^ss^B=MGnSR)=VR-b*(9id%KJ1RVt`+DaoZG7T2Y-nDrkQ5~&U%bL<2NaP zf#w?~$(b*p6Y$TccaB-~{**q!(@%bH?Yc)^Cin zZ#}tZFt`-&M~*~-K{_lBNv%U--8V>Umd#7*>qE`KNUd7ubp6cs=dPL5cbORE!H4bc{#($o5WWw5>4eeV z`8P~o3iy4$sfy`sPtQ(pKJ*al`Et-v!}%kqwB--vEx*}U-=CkR_56vbzc^0)F*C`X=F^Uz=Zo z{PXCJN-_E9mx9|(Zk+mPH~Nnf+3HyMXgBzy^1;g(__fR*m49NKe8`nL;U4g(#L2H` z`BU`=!%sQVCx3Kbl!C{UHobuS^}OAi+RyPJ=3y)J75uDR8vBS3{ZYqnDE`9v%aIQl z$21@x@t0Rs{6$dwhVoB^-Z~ZX6@X7b@td!7{D$H$Tz@5G?>&sop2&wj%+vbt^v#@) zn}T92B9rWOf6=p6^k;o%<_EbKi9p|_RLo24-+!U@duJAw1~ZDVn4-6Tr=uA4udRO- z>aX(Z(iPX=>ecr-=h5}C@2~IWaq55P)yD%K#W{1bZ6P22H6oC{+h*|vFR)}=iVoGCRI}>nDFkMss(q1yQH~*$P=$|@#52gM^d~pN%46==W zH%aCq1{Pzd#~k~+dp4ao?eRWq*FEuDE=vBK%zZ{xJMj5I)cN46F+aR@RC^ot@E9)+ zG7L`3nTAsV@f3bAyQrw3NXq|b1FxQ!b@v%*y2&27&ol7;0M#E;bEXHg^Rsd?V53d) z_2+x&kBG@pzucet`GcuN*%>o~QO_Tszh)l^zHJg>EXFiapf|*&=ikip06HJB`?1h@ zoSzXV|4R&^LY;q7ocwQ5f0E9h948+-eC!I)u@(3cuZqIY6_HO#<^opFkL`1!tS`6? zu=}}9ptlc7Ir@V97tY6?(wXoN0<;E%{8zRAQid2W<#QaIFi`48@z#FqKhd5?&hHAj zBv=EGk9c`!Ctl9^Ea51Vf_%iwk5ut;LHY*ylsKk7dkC(eZ*p1RpufLy_Wd-6T0*Vf z#vks#4%Y`!|BKYq(=I^cI&l71QT4e1QLprc-jgdjv4_C7MYnV8yh#Mc+kLVs zlfJu)ZX0#~8hx9OxoQ3xl<6gR{LI4s?);0rJi2o8^VcF@`D?b2o1b?o@?Wu8e8KIr z@5jke@6YkQAIGx?`R|KBAJ>PE!k<@$e6od3Qv9X$XR{EW4-}j~i+*zV_V< z`Ir|6{w2$uh2V$SY_f%F;J&3Q#;Q9Us(a*zq=Yi5Ai~OLyDgO@S?+tv4 z6b;ieMBv!3bS8d!P1b%eKffRMc|-?zP8Oa6pm`dv$xh7!tWPl3-uB@2yk5`SsN4%4 z-l;QC?j@&On|`i{@NSIr+@KFD^IIe6gBArEm;lX^_ie4_pCan7aY_(vNi<2uoJWPKjy8*Y3dVZIpT#apmu zO8TDkHN`rh-)RcX?@vNanY&&C#C1L~X3d_rrVb$JxB`szUqhPyf(UNin(ACXR;o7~bR~j$sn?zmgyFufH(*DJLHr;P{9M zvysi!Px*N6ukXPIg8F6s%jYeV{q^t3rV=WDI3K(ltP3@cjnUuAei<5B&wYrBuwRSF zerf%OxE%SFGJhaGm2vdn7oR2__eS>%3OBA@_pG*s`NyMdm8SDmwA1o4R{ycf<*2@n z&LPPUYdVgn@dvn@qV*%c55lJ!xg4Dv!hQ-ZnPr!k;EfsH8}oAV>D+}Fd35wi{!*50 znR|r63wX{sBnm6^M@eZhA!q(WkL7_66{_E4@lBxJ@$u~QZKNOEap2-T4=}XuG3R%% zkhG7U^;aIoqQP5oFz`OE`hvdg^K77RMkbzHdxP@=gUg{u92x7;12YXImLrx^yilZI zHr|hzl^x8WXaDgK4CNF3J82)5TF!Kzi_1rwjZS3xKZ>K@T;>rx>eo-){yH@e&)m=e zDJsn@u?~Q;kEYx-Y<`>(%*f2l&PBhJ6cpj?Ap0svYKEe7-$-Z#m3(wQ1Ug@b?m+C) z&>8cbcnqDWGu7cUo=@YT!Bg+*6X@?P{Ki&2qzic((!X7FEy6Tf5^Pczw@N0`BJ2)YR6d5hG8o2}W9) zLZRA}lTuP!MvO>H@y=1{VEh;0`Tt{ogj^z~%I*Fr9>2Y3?n&`*yTLDRH)J{=v59=d zNK6_yP0wEmFY{Y@{%*Sq`T;MkwIC^e$Mv7oh|uV{oYUSV?X?&EG8pe)GkT{FVseGf z+^)wYb6yYV`-sT2|69US#Ycfq+#tO7w!eq70>VVQLp*- zhUOb$H3ft5>0zKlUXnE5FpdI<4IBMhcr(h)^vc=uYKXu4#wp<@K3aaOnVOGY`08l` zKriB4hTeAckLI?f_jyh4_u%R8L5Jr~i2-=ODN#k^J^;Va(whl>r#key=i0P+ib>H+ z`|HW60UVdVc>X^PIxROB_g_UjKj=sChI9Wci4};{ha0uvr40KQP=ozrVkS$zYh41((nlXfA)vp8<_B$Mfzso-hdDEXkiGS>G+g^j!!%~eyJ;NKlVkT z{gh-O_B0eYbJzZh9lg|!Ur>NO25Fe*%|J%cV1Mq8Eqt$16v&Yn8OtO-f8~`gWtQy{BY&}3E+nh%J)hBqRwUUw_o8m4B+-del7no z*DSbC!J_Z}{b?4f`M)<@YnCH?^8Z)hKZQ!^_IKF-#U%xWw)v|6D;@lo0RPhp7Jc_? zbMWtOZAxoV6!`GJ4g6UDqI4kq2Y%Chg&*%{v+Z94{Qpp}=(`^_JJK)9UwimJ1O6N; zg>vLC#|jf<~#gdix>09f)5l7`tJ9ZgLg05t+RaX-VVGv0L6Ge?7%K+ z^o;j72QTzq!PgGnXEffGIP+i&;zm>8V||V?Sf?+PoFS$3~MyE zzYFmkK-xlGPSe|tUG~#^s6+3^pyP0lj(#^;dd1M&JbDW-S1f$ME7!w4=WGvN>o?A! zclU(jCMt4$cCqkO(A(yaRsn@XjK6$2{Dme#>3F>63N$_}jY>c+XKa_NNDC=!rSwMSRe- zch*tBJKtc1JcS*+dnOw;`|+L*yyrT2_pb+LFy8+2pzT zt5>ea2k~ypLWiulDl$UhkaSvu8Y}lbTM)eidE``mfga4`dv&UyA-x{xMaQ zvtYLi&r&exyI-b$&W7OG`CeAkx>MAAZ`bf}RZFXyXR2{&#LLg`J3z0t(fjd_)i^Zt z!cN+LhMh0G)}eQ-p%+W07dYdv5N$iuE7!w4?+^2H%+4W-W5=-G*pnWgzkdY1_b3?q zmrHLP4nRFiFZK}@-s{oP?+6ZMD!aHR-3$jmpK$(9+WijR4#wdOcN{+8;JpfXpK#JLcdzloAWwV888P;<&N=E2n@Lpr2=t${yP8^3gvF;ncJj6-<_sP3_0W6!n^Z=m#q&SbHh_#-pq6 zOPYT2OW#I6*tlm-Zf^GUj9fRA=FP2@TFII#bUg5GQgu{+wm%MxLrm*9vyMX_BDPk7Id#bx ztlRtvzgOe4M#1_ezu7L$cG?a5Kg%=@i2I)BjQ@Sd*#Lo$-(>$kQ&e`$Z)1hEe9ZLl z77Yeo$Nu+icJW%V^vfmLf9*>%Uc`CYkB?&n8M|wW27yvn5$=Pi%aV^_&_oO4u_lSkvp-uDu|IkAjsIZ|z4JlujRrdQ znSScnBR5Xw^65$79jNLkKD9UgjrG<2ne5=jn$N5U9lZZ^@b0r}$3G7K2E4-@ydBtm zyw;ajsCe9Wa`4^=ynlA^ZgBKnJLl`p!WbEuqVbZv#Xl$Cb^n7#wGjUPj{jeD5Agrf z!GDG~4i6gajKeiv8M^BEM}0oI9)}oz;uEaXA<2qyL;Q5)D6XUY zhE6fFA2Lnhtm7v)6YAuAuE^HV6NZ9nDP_fV+uNVmu@ zro=vSzl$8ZxD(=&jt??@;{txz$QrJ5fa5Wp_ruJ>vpz)&@YxC<3bC_UXTuin#r2Qy zrNa2Y$124y_80Lp3iMu@tUo9`|LZsN?`q3GS$qQM&@w=99_?&H_R;MWob0RN_TLC(*@aX7$xic=APb!q)26-Ty$lwePiGeKgk5N3$Hf7Xk0{4&Goi zzcG-b@!~z|BlR9MwNBW9f3DTA(d69jYzIHqS&LqG@Xzq;2Q))d2DIs2ZW zjY8aV7SGiKgE9V3KT4M|`}I9}9Us$=;`Tz<6@ZA0`?ge_aj2L=5_76ZW@; ztX zU%x&Kyn3(He#hfDZ#?#U%E60g$%^k*w9|Jo4xgf5?w`GoCi;Wq!}~1oey8u#`pKqv zdVoSJX7-NyG#(J2HhQ4sO5lA$!JzNtY9C&<5p>^&_f_ECMt2Dw^fw zRl;6`;9qaXHSBK*_6e6DZdr=oeAq8~MmpSdw@rxdYiTO=Q1^nj34&T#bBYLwgI6}0b{+2Y-!E2Fo@=ppIKX9?E4M!9jV zj>d%!X`M@hTqfbA%kn713 zr*G*_(gbJT3%oEZEL1+cQ-SwL2XFiB)lmcPAG!H!2QU1ll1T~%;~nV3n;sW0{QObJ zIC%G~2YCJ{H*a+CVqZqdbPsRh4m=5o)JFFBS=S!p4H8S@8RV=A?EKBibS!egv}xF6 z?u)&%gCwyowNBVX@$zZiD7+}dEq z+wS-qGoJGuzoFz>&|5%vNzMSjBYE`3pWF-?H1w7p0(#F?Fz7q+MlBb{{;TkSzdvFB zM`>3SU8Z}A3(U_VU>=6NP1w(5Pwgm*VRb<)CFzW#yzA621h?5DkYmaOq! zWAVyT_;n?Y{ZA}&+Pj;d`H#g5`#(yrK{4L_jz6AX%FP!zc;TOwIQBnrf|iSz@wf2* zKK}NcUKMH8{Bh+3>;I$b9lq_y4vs}p)|apsR!-orl{j`haggSlZ~Woeo$R6m7=KG! z>O-L>qR7|3--1r8)ARiU7=M<|Mu$%LcO_1oKVhe)6Z&MzGSB`McNXGA)(8rp@4aHt z`@(lEZLE(pE@rwMzLsMD|0q3n_A8HO{9ztnD)C7Horp=5V%@TI4L+v`IyXn=V{d!@ z_xQYk&l^G;++x*&!7AzJ~KT0?Olz!Nr=O-mfYz*)Wulr4Bx9u9qPir zJ9&9~wY>Y>?lRkMF}^o=KE%sUc*@ZOds?cSxwrlDi5--IQJ1Sa`{{2zE7#))v0FWk z0>>iuSnAmSgqt0_JMo}hV^u>4DBN*TO7>sRl@3h*sQKZLqY!ID|IR=hW7L1Ww$fG7 z8toU7{&~)||9EfI|GW8Dc6AS(ZKm+}=qLNXM&H-tx}Etr`?cdx6#W$#(p%F{b8+lJ zDRu0BQl_S#^bhTC_n)&*+nb7W5KSn>(+$%KGBIx$!vwHDyJtyLNZV*1on-&l`RVM) z|2A|E#yo@goQru@@t2^}wg3Op?_;C)y}jPj+Pt);HHFCKW7&&vSWJEA?7y}t`;|wdf8G&de0QAh{Wor>EYtH1)oOenq2FJ-#oply zAD_tnKc({bGye4~T=nPR4T?`?2cbUVab@p&I1-+tSr^KY{ofO|FV1R%4~rRroPD{F zdADK@be30#%X|5OzQ-W{xTyRMg3pJ@ZL;@e=`&a(!(ZQX$CC%-G*+g5aQyGru3MkS zWo7PQ^rg0W91r_X`_CP#=VV)I)KkaQ4vUX3-1nxH{!78nPl3$z2M*&Gz%EP;N4@`z zpF^g(an-V_`X!A)gD9xp{ieqP9uI!XIgOxZ8+#rx8o^DteHH?`mziYv|&fMwT&FbsjmgnSAR0lwBth^7T2R+&1Nh%Ca9+IeaIK z5s6;?tJQrv_p}VQv)}WAn!x8OasBH!UzTlD6*1*m-ne%T#>4+naUV1Fcg2LC?L_TAT$-`{)Bx1*cauG2$AmYolo>ZNeA-?e#0M($`jvjeA| zj3ys}<0h?D4NYY7IWfP!;d3~Gv;@wl=mcj3gC-w-_F$}gk^egNQ21roOH%5_gO1bZ zT)zaDsT=4#7W96`ZO{;+f4ZzfQ`Ex{_*M9Qv<2bcHk)o$`BCR+;RT>Zr1qQd(Iv(w zf-Wuh(NqaKmS{XSkm`*6!iAhd79`I2jWssh?x%Uo=g{8W!`;I(P|6nok8Tf)0horB7>4S)=7$MZ$spp4lkfqXufkZ2zQ zpE-c%?rM-ffX+4K_xPxD2Ne!Jzd(O=!m|r442A_vLXKHGG6My*q z-=3Dp%$;cq`S8z0{f#z@FIcZZpYa)+Dof68h|}+xC(OBJQtGOg7vnrOql6$Yvs+Xp z?st10QSAF0)bwXrRkQB-82=dK*4UTw@8O?b6-6h@LCib>0^d|$Py2A4`m^B!422DJ z6Z82;s*fc}ywuO*kSgV?4zb!=l|`2V@b|Jip7#tV3eXFA?>vvM~3FG9{eOY@7`xy5TGUiz3_RD$*c&ur`k0v<|o z_J{cVQPtt^!!({XsDCflr}uWo4-oM(#fa3SoMLpkRkn>GVZTiE(r`G`oR(4_YD`NB z*H_i)coMZ2eh;;G^Hm}+dJul~*dsayrRe8oqT?4lZW@->;~lS_@!O}*UAyiv_;*P1zGF}pW0exi+vhRz)*M-; z;~3F&x&5$R;RQS;Z(FpyDNeG}Lvh8v7kTT?<%k1sE>O7XJMjr89_!z?Zu`5u6Y%K1 zW4Y%3cHi^K{T=A*taF!RE>hmh!DIHj5IxTNeL30fold#iTzb-NhAV&N@B@s@GXMC! zqtO9IRh8QhAJ^e6@O9L?X2&|ybF_KyOOGjaWvEt7PQ=pBgW-`IF`m4Gho z2Pi!qtq_9hR5pNS{&~;U1qOcry0R@!k$3`m_bB%g`r(aWu&SjY7))8Vq`s;(QXfi5 z9UiV<+On{=Dkb&EF(Zbj9DjVu@aEwusgV&QPGBHxfBesvc;f}J`^|SLT;6!m{mhC> z(>K=gEim{;&mxtw1-v3$Snz88IJ4zGRWOsl|)nS*#? zSYat0|2I7cJEn?Mf4=LM?`SuYM}9|ky&UIaZ2pxh8`Gc6SIy7CmY=d5a$c@&B-Kp7 zA>8!K@7;Pe*6rTUwkfL0sSV=@b9s8tA0;_;HviIBub%q7mNd@Fu?ENE>?NC@bgk%x zapu|a@;^cMzN_+M#uFc)Y{f;5C+y=k)&J^{<@_KCOlEF&2EE8zy$d#>ZfJ`Q90a#8=dUev)&hvQ8uzvRwH|7GC0i z^|`{&kba{2Ir*8nrPx#M96brK&xIR=cczzT;?1@=j1sy($HJfsk{85(0;%WmZ8?Lb zkgt0+ieVi8VtK(jEz8SOoJU_t=ZUU9d8z1(cGao;82yq{kXeG)K=6hLrC;P6#FPM= zlB_^~mw&D5(s$x&eV*q^`1`Plf%kC{Fs>^MCXv)261vj7c=E}7PtLy= zx(5DT1^5-^7eCOpecMP841IFd)oa(?k)g^@J_Kbkcc8@b^^Nh1Ii9wnDb(V{`8win zg~AJXzIhleD3~+K+g74opuZeEE?J1*>{ZaWi0OX(j0mJ-3{}j9Y$e925p|3Fqrn%e zIB3~G?1_Oqe)N@BsMls42POZ*-5>P1XFn<+8v;5WV^{el+m)W8vu+uFvxeUX@Ui|~ z;n+i*H_TLaWOpmB0etw1=`ZSjpLIU7^aT@lEZUjhDxO9)gGGsR%EUtUy0h zs5J(@(X(04Z#QC$?82A;k1PHKTR1^Tc+Rro1v)pWM<=oaxZ?HxiiZ^}J%0F)5YJBO zj4Q^!o5sN;zEARV3w-U>fVH}Zkl%eK0!i-*xdl49;thOYgXR#P`yfvz;4@u=`HfrRF?xvYP*D3Z9)prik0Mi`uo68)o6Yz{O;x*(-oYnEpZY z1eag$s4|n`n|f(gMpyjt;HooOE!=-$pAGicRNiB2Bz`Ws`{91fd2+Iv;^=~_GI|=g zJDFYvY~kXaLkt)Fi@psE$rFhmMDsz##^mHt7B?zR%qWw6B&vSUD2^of6^wO$~ zDfr{jJ#jqc5#1HjEquBq$M(iI-t*Iw;_WV6x{v(H!}(W#t;(2zD~7A+X25`JX`VX{ zI^o}t?-V?TfOSr)sPpBVz9=`D?x@tWLXSgdT+ca}^W@~AsK@hp8rK=cdBNhMOkK~y z!?-1U1ULCUELt3*@oixHN2TTbJJIIuC^Hg&*l+mj&3awyLDB!*z@Ni)7hJw-?Yg^* z6yE!KqO6uJUf25A*g3PVh4@v=!eFao@jB9zbB-M`?4Mr? zy1#_ZkFulvSBhaoWrz3>n}shY18);N*av?8F)yQ>_M}fmRHR^9P~j#=yC5I#Amg3) zYw)aD@nDVXM^Q+&gVOCn1eRgpH>Mo2X4*Euz+ zKKZ%9DGmsNum~U6vpKLibAFEx_KeSYsT4c0=6pyc(XE`?#j}yyCf9$78n59RzM)P@ zFVZ?UyLM{*DgZ3;2!bD*a^G2)D*+o{j`txN8^V0_q+Dt&sj(CA8a2! z`7_(G<*B)ZmUR|W@dW4cvDu;HEUrlm$ zKUY=tqsC#|=Y6`!uBw(-+p9nSNz|X>)7;j~xQqYeymgOAu3(GtFjNn$TpLB~z@WcNn z-=FJ2%(D-^5kqJlGnYl7b?E-#5ej2JSiA8NbaxRwQ<@^;I9pc~_Ziku+H(21w37 zg$TnI%efdLXr`>}gfH|mty9~57;VdryK%t0Evw$dzR>LqL#DrnvfUIs6(@?eSM~Lg zme&2Qk5$6&CcJ>B^w?Hqr*aXxGg~ysK9%={zWxMl><8axDCRTDe`Ep@-__?U5&zm* zpQ;>!I^S!0Nv^h%ea}^BRQQGM(cGorg%UJbIZlpGDR zsXUSWsh`Mi<-QWGU980XX$a2zhyNO#WaTW>o`+8apH_Tsz~>&=MV*Y2P=1t!A2cS; z$mQHB)cXx|gsF(5urkPx-Kg|PWsb_9zs(ltcZlf1a!Yoz@**t|Fw?dfO7uKXB> zK8yRl_j)D+z1bO7*SUzmR7`>1N}H{e(Pn&4m9KKyp< z7Q;Q;1r~kX9$&h0LFG@J6T=wSZUKk2TPz3XdpKg{-q@|m6P4Yf+FpI~Pb!ac>tlTF z@P?9=hdh*nyufZzB0JL$uY%(Je541pe3KqFsqa?ObK0&kUw+S7vSJH#&WENL@%a}0 zXNt0Gdm2N_*{XrgE!_eC6-OS{7NdlYP@t){%++n zsto4m{uBVpmkIClB6-jazvavlyJd_2vRrKO!~#7OqD^e%mjn*eEOIzy{2I*HW#!*I zbgXxFhZjeD^(q_+awibm~JkDmRKgKe+YZ(e;~b{XXxD0bc$8IQ5}x?>kxaIohqiQP-dA z)lb&-F%S0i`7q3vXl{;uXH|M%?sa!@zO4M**5!R|x$6DiUfpq+t3ih6!UiXseYd2y zOh4~cG>lcM$O8twGB<#J25ryoI$jBViP2lCSad%(cQXj+kF+*-Flv#Ci0U_@U^}{mJ=x zd|%GXUvS3*VLO(Y#$Yzh90>qW3(idXUKgV%(Jn0Y)Pl3h%(@SMO`vxA=;m?!WiK zwd?Luu}3)%iZftK#R}e%+_2OV)Glr7Vv>ko~Yt zV7RW|IrlogCFjoLU-!$#GUomOX!}583O;FZ{7!1;muLDAjaqp8xbYLlpG^Qs{_nd( z$ooMRbcI}x`O_zuQ&fDYbjuu$9=fQ1-fP0Y9x)5d$0TeN`+*hkfmR2FeDq@>AGue^ zwJkz^KQ%61S@9@nUxd1YL4PB7@mnFExefIZ|9mzR@&4ux<3oawaYj3Fsc1QI;l36hA^^(DONh80;^uiT zzs{wmXf*0^SJ)SSUE*i(e)xk=i9jc;bp@fn=Uf9gn4gfW%aL*oy=3Hb^0 zG5}lKPsFFagn7WcJd%@aas3;s|H2t~=HDwoc);(ked;x6<^oi%?eo4}0;?p?1m>RW zO$bas!=B4|Gd+kge!h-}a=Aj3ouSHdxxKI*x~gsOc)lUmtLX&&NqAfwZ2i7@MJk`> zY4G{vy!oQn%Z}d&{!k*FY*MfFj^8*>#Tb~Mx@^zCxb^3AHMxGWsV;insq53e2&^qo zl5@PiOnOzv?^qrOseITWlak)o&%v=gF4Fn$nrxATDF?3~P~0y7Ju&}Qz&{mjI}?cf zeg@W?rma}~LEk5jIC<^5`}G_#@L!Z&so=$bj!K2C!ENJa#2Jr}C+%mj+_r$La}t%4LKjNUBsUdqoQ@6AZrF?^GMDy4%nZG!h?0m zb>K058$8Sz&GdonEc0(uY3S5lsr_^=jsV%J1L&;Ph?MCAwBVTQFr$(vpKT_bUTUl z#AhCTkflgXh+d?PDSfCJ@`QB|ttTk9ZS@1ztmc_H7U1!?-)CBf|AziQfc|O^VbVwX z+!m9MzQcY)o08T<@gMeiv$HOc)T;AI&v(^w27e6eC6wfP%L7->PgQd8t*@OyidtAY z-vyqNEg2#yU-z@2^Hbz2eb0rG@SGZ5*!o{0{|K*s;z4#FiRx$guc0dFf#<sy@=->HR5YS24S+AYu1d+DS`v>Ed9_?o4Xq*!2g=R{qw==Pn@xy@Q|j@8SnG;+|F;>#%Zu6MpZ*FZ^Z1%V-WK?{j3yVE8$I4L|1hM0-E+ASayT z@9+7k$anm>gc&;D<;MiBFV~+2ejt{j67geF3_r|?UTvTE3v}sh2Ha{~9B~+}Kv}x5 z*5K}MOGxp{(R|u7^oqfSu+=58`f9$i)pGqT(53ne6^O1};DNsU-0P>hkkMR`#_$rN zV^E+=Crh7_s5upIB@|9e=P97$5r>XY6#oiEptqMzag>4dSJyW=@;i#XVO)RrFVW{a z(M!^Li?J{B)fkYKpP(If2v9phsvTc>?O^_@RYL~Y_W6K77d!&2hr%k-al~m$f4Rbo zc^4&`d-Zvp=3QDJ%-8pS((#d>az&r@qKBWprK)b_e?VU^zQz~Pw<3lK1=J-w5>n$-otT&KKQ1`p@F~^3k2@_ostIpJPQ&-@di^7puI=H$mSp1Bd9l zOv&d~kALRGB>0U{^2y?9`c2MXsquByzk@}eLq$*P=jna11{n;lNM26yIk#VLQgtgI zfxi6A6eYTDiJ^;@fvE)3vJ5MBc2S3&GEtC&`1AdxH zeMIsU(TDRX?#T7;M81+oiU%i;pt$1($YVd~rq!tbgabe360Ql~YiAzbo}c2ve?A7N zx&b>s=Mm!12jYiWf84=0r)YF$KHd?0=sKp~vFAQafIt7g1pkxv;kUES#c<#AL5up+ z9$%CIDSO82Ts{ZRou2{+`XB900*No;v&loz{`_>_YBfKl+EgEU&w0KoeT0G$Qy=o8 zWtii{_g@Blu!{#z_u|AXD__G#k3$}Q5la_IU+a85KV`n`VjQwk&G8gMj>GBIGciT^ zRlEK3Q-;3+&{{%mYooP2r7GmqKyAMu4UxUJulC+Z;FD? z?N7sXlNk7h+x}dJAnzZkAmMx9YSGu<{yC~lC&WR6Cz^7MKU4kF?cYIOi1t5L3if; zkN@>5pZSxE>ubb-rfB}3!F6RP#Cw7%1`fBsN&Q3nNiY2v?HxFBph{Al+@t}M^*Sn# z1ELXePK+g!`X4L0_{YJeoFxNoC}-yYOvhYR$KATj` z-|}k}?tu>GM-#4J7X6Nn=7+ZXf!&5w`VAcB{R17mf2f+ArK;Lm%ukIxsyV#8&$D58 z1#7Zj-tJ~dGT`}4xM4h3%G2<7;bHW;R`&2LeB$AI)GmfWUwwK|f4>U5gLos4r$;$Y z27a(*a1jNc`tv()ADh}a*TVCjcYhD~;N6^;5Kn#3Uhg#F~&vy;r+({+`Nbx?TpVts?pDXu3XEWdev71n)IqSk7-y|8)QF zFQrkasBHFD|lk#v`fjSSU?>6EGZrM02a4OKM@BaNZakx(cU z#xYZSX5hHa{I0=_ys?vKO4&J>Ic_=sjK@z`ysROA+*m2QVPj)iQ7|ZF_h4}9w48jp z>=_JZ7Nt+jETlg@^dDV@GVEneFLAmnUy}K%v_FBmjE|o5?(}&SgVx1^q*p` zV6qqvFmX95#@BdBB3<{vJ80y-4TM7#^_06K(<0jE%*I-bw3c1CFrF09hN^&wMG2BF4 z=M|3|J0^1?BSop%6DR0WbMVgebmw|3U4x%hRn0XECyu9oD33q(3MU0fiPndjB25dc z8duO?uqfQDT7lBx=4JZk!ltGweHj@CiBm-*lP5A^s1>PFIMCk6Y4o-nU8KHY98-cK zxMJjVIdRfrU7%r-x&)~WH51VYt_iZ}-@=-7Zj<+yfq8$I6BO{MflmIUYf#+~YN9Iw z*qBZ)qHz8}&`gab%OhL^OmAq_HydkP6uFQfby!q&q%Ii3YFt$#6#?l>n$wwdRKv3^ z3VTbF<|`;^U5HKDbRPwlp*i|u0xrNCHCic~{?w#TT%POxF_O+Xlz}c^-hv(e4J*{Z z;&~mWh_oKI0QNBqU#S*$h2vCT#}j*{pW5C*`5W=k^Mv3&Pj3N+NExB`Bh$Rmz`uvf z$Xdi4*zfu-W~i|}08F{yL3|Jos4+3Z?ubeuLH`m?xd3r*!e66oj=*Y3f6jODsd$0h z_X6sXU$c|s6;kTLc@A(M6>=BKQ0f}d=R3G?p5ZLH5B5R%N48fydR_sXrv;Z`IA?2n z;KKQvf>VRFa5W!xH3jE+@ID1R%(ba3rLH*lk$!#srD7>^-!mE>&R?;klL{lXt;BOp zdl4(Vp5uYCqD;suGlZ;uNVWUYtA)H2eR+4eO7PPHmSg_Dldezq&$jg*5jt2I3a z4y>7lvjT7yt1?tL>7+PtPNy7{S?RWm-8`>d=K>Dm7~zFnRwR|iX&34v$uQ>6M!wl~ zB(IXQaDOA@26n0HGJMWfWhpDEK8}1}!j)ury+@Ze&>o!Y0Ovx$K^aQe48hvNrw3HL zU4|djaKImSw9Tx~c8U@GY_g#SVTfjjXO36Lrz)|xnxy#te zUCO1Q@|KJ{#a^XBfInbrhbHhuYpau5_4Qw zSktN6W$vP%o{oiA&6XQ>qo;=VgKxgYl`TB1aaDKr;Pveqd+tUxd%!5yPq*_YJD0Qa zT%CseBnQqJv3jF=DCbL*Rs4e9;29~V4%F{|cod%t(7vuhJ~0xXZ1gX5$P@4tuh{Cr z{w1y~KVWmKwGTvi`aT$|Z>q;J1+p6Z0Jz^xJ211ha83rCF&?FTKaB(DL=ER;4F`Tu z9?!$^f1}dt)zblIvInQ1*(c=aiRx?(XSxR`i=z?_oI=2v>cGK6E%eWo!|HqmC%i$! zfuG3paw=!&fi13{34K$~WktU`WBtVH*&5Dr4F~d~XZ0Q&*y8FEz(E;G{dUHYmj#?9 z!)N*7kn?2efi13{2RJB0sef`DI1$d0)r&P8@;7<5?zC$u;DosxfAx=}x2l^p9IXEv zdrUKPo{Q_Mmabm`-Vz7i(pY<3t!S3vkNog>rXLOOBEW<15xz)e^uI3-ybCx_R-+8d zCF~&0-07~R3uCGJTm^%^`?tl~o$4z&UxvSM;Y{$u!5p|6J_nVdG+=BTIIB5JhM&@K zz;_imbLhDZaNu)L8A=1<%!8`ea#o<%XBrOVl4DM|ZQF(Qx@!0wVKtW%1O5?5F7IVH zvMzvn=Wj^HVrx?4qZ=k zwygfM2ZuwAE}WMD=Sd4j47xH79A&>{HGCXnS5*+qq31QgdESBZd>lQX?6<6bO~b+X z)p04WU0(vuD-N6=CGd zf3;d~@R0nBSGIqC@Z%Yr8b^+m{g!pm``ix5A4e3a9Y!zi0UYcFq%xESm&fTJWw&MZ z9u0^1s-kxmP7PvK;DJpz6RZdQarJafC(f2AYx`M+Ej@Z_uxA4PkxfGavHe_=px}fz zYdB~Zhskl^*UX8aFE`}ZzhaP3WWz7j5Jtnqn={W^(CK_sRmg{Aj9xY!rGvE*C@x1`! zZs;?y^XZytTwm6a-$(OlvU41(cIcf2JeiPFl%X{2v^ew@aF(o@<-y4;qE!$F&LY6c z<#PNr?3p-l$~Bxt9vrp!g8wTa=Tn7z2>#6##|wG&wfH=V4}AF*ry@2O@nHWFSC;QB zXh*4sVc3sx+Hsn0M~iL;&3AdV%JcVX&H@}2Kj6X#9TP{M&d_kq(r_@|IL43LmLB-O zi1pZXP*t4qrtG&2Locx%+e&`4x70(GExaoM54@(bln#1Ju$S)BCzo@Utm~@jB7asd z0$Dh#0S9`S%1}DEFi!if=PX&XTEl_-sTCoI9;_?Y{D#Z%*TE0R;rA^X4(z_M8?>B6 zcP%~l0?tibj=v5miq+dScXO5uukq+n3wsWnM*s(NN-9I?(CKmLd5E)Q_;w8kdYe}c z9Y3_@DZs%V2`WSB(7AE+wzA(c47#{o;ImnQwC#EeaGn4hMY}lkv^aczo>9n6y{8b?y&%?VR;0F$#!SB5h_pcN3bin<& zQ_Oxu(%yZUd44}?O(u`J*s}& zYJk1@ms_8244qydsUEe^)i1R}G`)X8KkK-0e)jC#oT=V}Nwlt4I}jg5rmWeAYh}k( zBPPV!yISleG-Vj>_dyL7tKh{r=ngd*F5>A1ZY|;zwc`~M`j%Jd{gu2R^)=FURL@=#fM5`%iqH#piv%abls0f}i)B+dIQ)Z!NCtJse#- z>vO9+YHx(=$aU{&`TH(70~;k&=VcX?PR-?WYh`WN!E+j}&vfw2)Ow^NJj=W~{9NBg zg(ou;r{m7Zp>xc03knK@cqK4oKNC#W@(CZr_9ysVdy#|pevv}|*j~8$z?GZxy*he) zCT#jpHuem_Cp?Z9E)IRJBGj+7So>r#bV}Knsc-2ETgh%;~ zYTUv1u=Zx5lf(_`V5FE6&cIiC3WFB~dwZGU$YL4U*gUdk5b= zxK?(XOLRX^pXbpLKG<(lNAq!Z4o-M4D9XXHU^DSP}qzf5dec1B4ly;m5n4$EJtJKFl4;!UU@>I~&;{_2!!?51~* zu3Wnr8tm0E{;oFAK^jM)0Sn?LnGfh#OANFU<@V7G)P@&tl5BJ!JpC zLs`sgL$Lp$Qw`O4FTt}qez*bt*9)ns8bUDT#1m7}Cyq!tHbvjjl&740Qc7xSL)D@Y zUWwF(NTYdi5c6{f^3!lLEsF1$QvV7 zU-{~Ts+ z7Kgyk$Tcdz^NQH`Ye*?!8ThlN1M^Mp7x+V#jwZmV0vv7YI$s+*zY8@m4tebns7L-O z=F<$vN|6I^1>h|Lyc;!LcgN1BLu&pWNIp%|h52GL-b2x%=ZnlA*kYXAD#LpJTG!FB z^P|v8Mb~3zX*h@%l;_P<{b%?DyBazhYs8REN(ryV#tT9hb5@|&#~Kd!)UvF3Jdcl+ zSZ~7`8?CX^xtWzh(xD3rdSJvr(1e zQ%k;vj|&;B?~8qEh)tcd9%JeS$S>kRzjU&Ec?s>g8+ey1jECgwapdZA%&U;(t}NZ2 zAA;rdmUyol68>x$ZHrk zxDI`RuV_2m{f2Ws9+LUwV~f_VdmQVkR42RuWpzxQ?eLAitR2RwH{pnSJ!Qvsc#moa z=u`0z*e`yoXF>inYyzLb1D%_Ie6nLZ@!7~XWEgQA+V5(Ud4J;;dxtNm9_?4P^%798 zKkltn3j`Eg;DT)!ebTGgqm*K|N%W|tQhnD=Og(<~h_JP~D2VmkP1u-P{g-4AX% zPvAO%F1u>FR80%44MlZH$agXo(3V{fFfRcHA41wR*ci-zUANT^i-nSXQSSjd~6jlFP;0N7EvI>3IX+;$N)wmD3IUi%Z z(^Y!BnfBj=eC)&E{3hhz6_vjR`Dg3=bCLh&DEe*(U3aMbntS0_H|p_V;NOk>`*r>! z$UirVzV*m|Lgzn){MAwT9|ZmjHU7(R+B{wwL;uKrviv-X{Ht{Sb;#cqRUdqZFGWdK z-HiS72~qh^asO~WWK49;h~n=v$Ol}iUkx9#>*-PLdjW8dRryuvLUbFc^{S!oCFD=g z`Oq8PrbXqyf_&xc%c@f3<1l+FZQF;jgMHVOWYxjQH|NzD`0wKWVLJb4#5;_C@VOT+ z4Zp4O!x#rfkE@-O%1>iHegGMKlgsf}_lhV!K|X0;BlbSZs^@^`@+dw)J|V}Hcu!2v z$D-uq3-mMh9P-hRJ->|N^EarE`&7UBY8?A8QLi7Fc7Bih@NKZ?L{{I1e6yd#ab=TLVNLE z7N0y~J&t^JO0xPstl^sByZ68o&K!r zqw-PK_9s6bgpF86Zt&cItb@KVsn1dV{;b0soc8rS2K`#q3;PzI(f#4#IYi^3b#j`A zVLv|{3^M=L6|(q_1wPpMI+Uf;xPi|?`#&hj>L0L=X`x@X_LIGRsk|A)N%SuDB0hF)5U zdFDUCtDCX67wcJ9LN8wR7kuFFEWsSEX%EBv*}iOhVE^liJg|cvkLpLrC;U5`2JMX+ zkDxs~Nd&rdS49RN9hFb~&k|A%IqY*eO8ZZypMQ;f_&=Ah^k5&y$=cs>`3bv>BjNWZRsR(CPoK{@C*_U$dg_bUcXcOx(~sq4FPzJ==sIzMOI0|{5y7!Q01%dek=g!;3oGY-e1Kg@?V<BX`T4G8OCq%? z$3(YlA$$Q-hugm=h*`X<&+z*@@DD**HEy^-mqQ)+ZJr`_>0NlZSBK&61m7}>rWXSO z>`Y)VXg*`EkY|=b=7-~qIoO)-mf{1tzJ;#%4z}{!tMP%H{@jV%m*TYVc+i^RVd*kj z`vt_mJ;Xni=W8E)0o0LqW1h$D+ZD{jTl;v{$nZ~e;7>!@JO_TZ_G1bDZYVVU0uLX2 z%nwZ+hW}HrtN<^r&e7}O3uid+VXGEaIPfdA|4Hz7EL&1v)rw;tK&KpL6kn|87#(d-QyR?C&>p4s}XX^ODvjDJ~Ec zX81o+ej4YS5#?*|{m7BG?H(Nmg!d*7 zmX;HQ*)obqoXKCrb5ru#H5kL)v3KoeA=`T3_k1DW#~gSe#=t_%@fPl2GJf_iZuf6> zyB`OhNAUU3L({E?nZHo~r5=+)O&_89fJz-u`=4T%@{;A6?>mU^`K>b$4BD-VEE5tJer&lNuX z(acl-2mXKU!PIh}m5p&oSQtO#i^t!ODE9+C`yBj>qvbxes=2OZ1o!*5Jl^o1P9jdD z`)R)MhJH1$l$-<^ucu`+{v8T`1oP%dCwv@x-|a>--$na>AbhFX2##PPm40J7)PF}m zM|yd%xZL(&W(HpQM@o*`P2;1x$Q`$9eA}^quB0euYH10* zXI)0dXnZH*8umXjO%siuo|DYH9sP#+x6e<`ta6zurwbG zUO4ISXD}z={-|>BLjk%ed57i$+2Jjg?v$2RI5st?zft$)a{RvN)s%J~;qYM@=gVv1 zsWBfA@1wboiTAM#o&}m)@Jw?=!NNJs97(Wvpm%BgwGnd|+8fcS(2E0c{qsNv^6vVB zuD?i+6GP_}$p1#jb=!6Rxmw=b{<>7r8My*>#?Z(dr^ils`}kNh~gK)fPNs|L8<#ttq%-89|nA) zAHTGRq^A?_Vf=_ubN*kD55FjBoUu!eT&TX1k*BHM;5YWU^ZpVR4mD70oXp?_KO>JT zn&>+z$6H5Yyue3%Z#fC&uE99ECyMXHPte_xf&7O#-{m`jWWKj#BmYK!KBgp!x35t# zow|#_G5S4t9BV;aeJMd;DLJ7B;wG{l{_~Q4h?{f` zyLR2bc&3bc7-Nex(6)=fr>lOhxCyqTkFKk!an6&QKpVa7sd6o?wY8xz@0)YS3FiMq z|Gor0p0OTHuR;#u8AjGC7-x~Um|z+C3AC^BI5Nbndvy@ci0t7yvH@`=ZHI$usTA;| z>h0cv>7wY4iF9!ef;LhnsY{3ue23r+Isk2IaaORXG#^W?$nWp|MUfoLzi?ZX(pXF> z{nsm%$dy&hk$?^G{rAfC3Qou7W>uYF(?4B{j{M4@6V@!ny%E05oB=w#TfHd2k(D!T z8k5|OArU@x6)d5H$}FwD%_Rk{GK#XZq)hg1aRa4v3YjMNASJAs)YD!AOCs;K(D1>* zWL~2VHnld_M#AA>E47H0$#thw1^#<_&BCf?%I*fTsXCFVt^k!TnFn;9o4(}RmN7jH zk8rz>z4ltw+qtKkS>EH?d9r;G|TSpIO#CE00DSv2KQfnb_rH&_$;=p=Bm0S zjnnE^(Enb+;I!sYh{Ol~`g;EsBRCeSr<(u}YSqW=QdAZ`JMeL*8bYz&2JgZZVoxl*7w|8v9v4dPo$3E*6E z{oe?LFa;1yZY2Q${FcyZOYyo@%|u<3SY|W{6Nc@(K`5ct6-}WQO_(7QZRu*NK~Io> ziTRh+JNuwRls#wVGvxkQd%x&nE+ZTMsP)WF&3x1#c#AIZ;4Qie|5rPD?BB*e_U_S@ z>xV76j_b&VKhu5oCukd!?%)|*k?~yP;Q2l3z<)Mr$fw$VMd>{s9{2*NBO9LA?bu;P zoo)yG0K=C>_n_>920GSZpgiecv|jbWhcAFSvf-~9{!R^_>JgvTIq>0cEPBd=KQ!?G z`1B;#kqs|v_~ak*)))={2?svx|Dtys_y->V{V#GI+3+t5U-tq2uSDMS*w2VL*CNQz zBFufS*ogJ**AY`W5w^F*!}UvCG5>(y_77qai#B_hhK@Rb_HE)ivH|`e%h@(oYqpI9 zjq$)gws^io9Vh-j^c3y?btGqq5u=W5cw6JyVr1D^K8*)Fw0Ow=w>j-8(esLq@R0p) z1D^La9_+(saiDMj*=y4tXS^?lPqo;I0}O50|33W1J-Ci+_%HA%|C7|DA6HP(PW)R; z@doYJEbfc{&isGq`PvWdpk0eG|3@9!@Nq2vl;u|ZBU!X~4n-Yj{y+4A{qt|}5nM+$ zd}jIQ*ZG=%iw|?~VE(^&ywgwb9032)xsGi3O5^#_aeFi<<{SKR+n&j&GsVHPMbG0p z;v3=xs3RM`10IU6D?MF8UBmDZue0#sw=d51;1BD(fBmx<@jTR#4L@l3&_BwuX!uy? zxA147tP}S?C}IEOb8#7bWyH4j^#(j;2V8r}#Bw`|Egrfj7h}RfzfJJ74|!AD0mlzt zjCl;o$bGavMR2h%#9BwLs_CC^;mXAk)N$he!%sc{{x@^SPkM)V)^2hUF! z2C@9yA@QQ$^pQK z9j}K?Z6rTMjRVrR76;QqdiGHV&!6!heg_iO6~jN=zdyA21+F6-@6vd%uYRB^Gb<@YMH4zYlis6f3)A?vVd>1?`yj@03wYE5f1&kg{371;bES^_=K29lyKJQS1+{mZ z8wgMoQ2)_$G)4~V561rq9(9K=-oIZ~k2oFb$i~|=9#`JAV?lg+>=Aqr_ghv3TX&=g z^!Z3&`wBh|e?81U?-jQbzKm_>@t_&w*`&i)wlm)R`hbedde~#5dyX0yYZvRYgxm{1 zU|)*n2cBEw`KdOJ1cd3yu=LO^SwD+@U~l^faqLmC`&R1<02iNqQ&6AA;U1b1a~%Z_ zK7?)`!>KRhJW0P}R_py6)Zbn?Szn3&HH=#}4Me#QoqZlSr>=2neLX>O^-lc)tUm@o z2L_C>`*woPD0Tbh`RLI(Xy0%l(jU_H81#VieBOLL>=qKoDfRpQ@OM=PO%S=g*mpot zJ}T6<(FdE}*KX25cZjY5AHDDemcX{|1Fy8*GI0$9N7k@>;$r`PP;9B+j1s1oDm&c~}>!$~zA? zF=G?|=fMj;MgBV?Z?n`NTHecmA^ru|;C>tCOhkw=Tix55KLByv3 z=?hzVt=op;gZ7`|Q@9s*vY!Rb;sr3c^?)AbC{TwqetH>89lD=_7Ks z=@EV>{yjmD_P-E)XbSBPmVSU^&w?Wuv@D4}OP%ANtA^+8y6Cy$hfZ zg1={=@cTXz93%(O*dYb{js`#UjVZJn-E^OA!C(gYdxi+V9|AKR3?%=9^eVX%bshRC zYmxu=pp4yJg*i3!i?UB=v|sIyi4WTEf&qxnPfAp~oTlZgK-KmB?&l;v$Zux2S~N8L zrhs26@(Icgg$^BKsdH+MC)y)Gx)iDnN%mG5B4~Fn{-J!teXg z1P#EjcLM6JgwAhb@9|AuLVL9H_msdFM!)lO_=}ZK!|xl63w~givz4r0@~^QE>cIlk zcg1%q?j1Kt{*n3)?X;>dZ^0dHKquWF((uK2Pwn|i+%b>XsbACfykH^o_IJN8_SoW1 zPbs-ZAJp3g3!u+~>V2p3VEadMxPC{woR2oH)0$xYUT}rza~=511^kW}`m7awz7&0a z2+WT$(CC9QQ1Dym^OWfGZAc#EPue=r+75m$aD7>aXm$Lr95>9 z?p4^obF%nV+P?H2?N#wK;8X%WHrQSjkR3o@I08xA@_zu_sk?tu6tdq>fS=>gF9_PFum$qhPUNqDApfAvKJ3t@^{Nc2(g|f~9xQgGm*1ynGy{J!lT}cl5 zmRNt{-+c)7Yz8~o@i_{%YGXMcHe1fmbK+AV{!-ylh>xUG zA;w4wope6zMM)=zuJCx~>sSB5+Eq=4@YFH`4ZoAYuMe(~N|zo-#jvXy$ME+6hy5&! ztE<^^N3UVv0s9q6oO%>X{&4j=OX*cOO!zeIhmszCp_31LEV27msT>rJ!3BM*(f+{} zUXK|4mcsKy&KRq&S}Ne^i+Zkbw2CwR?Xf0bbNy#s$Eq+bc(YaIAzA^LK_uXW&CL-f^vi*G|%JrhIlwSc4lSooOY(euz4 z`Kp#-ej(af*{|u2BbzZ^!lH7!_ID_+@MEbTpFJ9TVCry{VcZaH2iBzis=<^OwBv=& zd`QoMP4|lyBE1p(LbO-Te55R03Pj76>zjaorHw+*8NvD=W8ic)r3pP7WSmXsN3{R5 zpD<8Bgr1)T+i#5Z`m?_0-A< z|3Vr2AfmpXh3bP()VkP`OOTh*$3O0fPYo;)T%ynG^>@~Ja=nW7pZayhSK^NLrT-bB{DJnL`j5rv^A#Kq_>@rk!dBED zE50806u`?v^7le7)R#paq5mX|j}`>$i=q~Qqs}Zk0C(7FV0|$DXjgc=wd5kQo-+wVb(IH-9 zw%0-JuidP_+AEt?L4kDFbo?NH{GubgL@fPRbX(|D!w4^mqP3Y6Xnkq%FvO!CYj*zz znYb4n2ju_@tS>#F>H1RAz35bC({ zZ0F(!msuWUL6|4hODUUOCHY^kifo73W>J<_z?mPsC@(kw(`0F4a4Dj*>M*dz5Lk{i zuu#wZ;(Db8hC}fZW9B0wauPHxzY8rhY_ehy3mL zjlX*r^jG7PCLu|$qN^PKH{tmX%^lw@%9r*x9{*dJ#xK_6B|kcuQCP8@KRR;O;o0d2 zcU>U$Y&<#lF^ylm!NkL4dggzx!~an{Kjp;Zvi*q16HMb5e+vFIkArbKV<3U#Y6EtNa5kHUs2|HgZ1}^X`kAM zkw|8FUVd3#VMKFC>O)%t`X!A?88bX(LSL0{w(vXks-NOVa`ZfOvGn)JpZT*HTdU(L zo%Q?4G)3GG3dB|X7;x>j)N7kSel*}djgOKaSxn`)qcgv(;Ybr2XD&wHvjF|CmU`Y; z!^Z=Tdc6XEFy@#&GY_oc@ULlJwc<+L{|LAxOd_wcT81%@m#l_I?7Qmf(Ql`%aocz=>#P0yW^*pJjhg|matH2-qv6jxfC(}bN z>-QXZqzC6c84kHTu7P?QV;g~aPliJ->$eW@X~B6#x;^4d|!(n^UujDMi zvz>WQt$!`xs2eMu!X5TA^OzbAf0yPZE1-Y=e{epVo|n|{2E6|s@RxA^3G;P&o>9YB z;63%**1$fPhcNSo8jklgA6W4w?ieq0=L1>)wTiytHSlO~<^wf7@&)yyN``~~H_kkt zhF=Rf>Vc9`fMf2?%-d=B^?)A@__=^%F3!xuY52{64*+~D;E11@*V6D?0Z)bg8*v}! z%u{Ljop_IWuJ}vb(N8qT>v zzXkvHxL*OdGta{Gpvy=3EEx=X^r_r=7KS5TiGKz10pbvtXJI(vP4!F35rB^j&a*K5 zZNOoll0yMs7o2Bd`1>fg@arm*P;((1G|P^oFr4CndPRqWZn6DbhJOn9y&4MoM?&;S zSDYVa!a=Wu=r_VHuy4gC=yxmVKMuk1zUXyB?j5w%_TyPT@Ihe^8)y>W5~uTLsQ{~N(CIT-B{`FmJbV*EWBIoVr_alN(p zHtzX|5Ayp1$g>Z%2n697K~}3XEGZ9Q7#Gw-qQ?7`qCLTQMB*ruw!5`KtK(;J6jTFA)3W zLVwIRxZ_p~zX)*T&5}&emjUjKTQMBAAwRKl4$`}Y;}`1qgO0|nDr*3Tzw3@$F+JoG z|B727;{wO;)AW}p{VG-fJ|Qq}rSeyqj4M__4$Af5+oe8m%4121%D;QkZFvk1F5tbE z2Rz|TZf#Y6+^d7P%04Jt=K0p*@zGNQYwFb^G zwh8<;34RIYarb8&4s>qtOIGiD9Ig~~PgymtKSP(l;JOCN!Fvsi!?kaF$K@wY9X+7K z4R@@oW)Xh$RN&sO#PK-Xs$XiKH-9~@e@=d`+1af}9wXS_ol%~TU757S65l7=dDLX|YH5*W<|f%+k1WP8=MP^RXibZ9)n9zdE!|-48jNEsZN@ z=#Y?{?;JThkhWd56whmWOfzy2Z{7Zvb_&uEHMRF8WG9LP@kiQ}qFyiUi|b_k-i7)R z;~uov4f9a`YML+j-pc*CPdq;Hm5jIK=VV07+}r~FD6OG`PRG*UKrgJn)}j3)at>;o z{8D;^rD1try7JsyqvTQijXd<-O9yIc@Y_Fk&XI2>f0p*MG)?8Xxku!|)<)h*pjG{M z7TRU)e#kq`(lnLl<|2_t=_>U~=?KuGyz9{QzGmc6I;i?Wqte~fNe6!*^#$ zNrZ#?+zC$%Yl&ZKoP>wjkl^@@d-VTqbA z>Z@{$)e~dGcc$>2Wckjb@eP-6j^HR^v`VSOpXSiYq~bFrY~D4WVK-(ta0 zxPn-hjBc>vi}OE7Ut(1@mQ9f0bR6^3uC zC&T)x^HN`TS-YvKQv<-gSH6x9CF*1!;Xe#d>}Mc-js3aQ;e9Ri zsl#;(u1VNa(;xS@aD9vCgN>|T?ZL$F2o~X&?l7XdwhP%WdYHnADqKO7a@WLuDuRZ8 zU!k>e>Uu%Qe$itEN8xJzl>DY#!+sb{x8*u|lF(QmrQ6z2xsDDN9EHn2%sw&F8}o3K zZ<(<`MQ{1V>7t*z{lhze$LXp4q;tE_Z?K`-o`=qGj0w>BUY9P!_{9^*d|m8%5r(6` zK<9g1+8Xeu8O~m&u^ak_bgtT^=%eL59|Mjt4?1VAtQ>mO0{&Ukes6RuR!7jP*g92n9Ux<Yk4^-iaKsFeqCsDSvGCytafJ>p(wW0W3#c%@oQH(FN`b&)X{f@wZ|2`N$SD=aC?}2xj z!X=_sZ-(NBdJS+2e)KB9H^zwH%>ut85IqH>!M!Pmwnf zY~=n6{9eKZ8Vc?E+x3~8U(s&`oPr;H1MqVN?&eqJANbMFty~ljCDtt@U}EHc4u0?9 z0u6BRqGUDY}c^pbkbyi_;f&Ht}@}4bf@7@U3}&KXuL!IzJGMZ`qLyCy);v zJnV~7?SfN0TD<=!?z3@W z9Cr=IR4P@Q!2*7XKPKMLp)679OnkfeA$y<2a024~CE*TT(+ACylw7q~UxoFqB z4vS&$$pS}9s{L5xZ%k#`3fjnvfZrrs%B}FawE6|GyYz7oy6ld zNyqQ(_&c@idfc7%*Oo1kxS-7rgypine^cNs*Q168^IayC2);@`!*}f~f&rV|;&tcq zZOdWj@{dplybSrT;X*!WV($arysq95ez_*>Zvxb9JLDMP(q_HTYxe~uJ?DW05n zEe+QP=s&TH)Um1Q!iwds)1AZG^2Pqi+ZM{wR=b+E#KK6kBq=9`2&h^Mn zYZ=!sDeq``X}cKtk6k* zbo8k4cm#vv@!zILvgM`dMURZElJdM#P6(DyJ`nO}c^a-%T&lffCEDDp`!oN89nQDB z*bbPN;dBuBt|eFx_(H75bkJRdtK6}}2l{(=|AQWxmKWOr>wj5~veN2^=t6#58Py+D zHc(zEZ)X-!{5L3Gv2m3{+uU{Kjd)y=R@8cTvu3* z_+Dxk`t?8MgN2sYURsCxY18<-X~A+}kL-gHN0iMKDA%jkA>J6T$6jwg1HWmlLJoXc zT636*z6JT%_%5oSh4;Bw>y`C1Xt2g(=49M|hqXm$$4U~g-U#r_9_SmOpSxx`zVUK9 zcwCNi7pT4l-<;(bi==o`{Q#S@J+8y^wYZ$NI&q}e#r={{7Jn1zbqH9tDa{of0o(! z@JpOf2M$<`m|X=4B}lh~iD(O#mI^Uw)0}-#-wKZM@c{UK{r7DjMLg z{{eA@pN6&Zeg(i}#DE{&q3OOdT3b}nk=UyIPV3pqH>%(GOY7%pt-k;HP-lG`{6<=L z=Hg-fJgv?5FMrXlx7S?$ulB3$>9lw6p2OnoSHqd-`#k2~-He8F+s#@=oF?yVJM-Mb z`EkrV58{~>@U(x6-{?(MO%LP0kOoii&47-fV}Aa}U1s~I1XC<4Y8cR%*R! z#U$i^;8doW`bL>W$^)IlDE$12X~0$E{G7Fqzg*5|aD03MupW;YI(o(XLFkS%(7b-_ zWd4BkO$*_nea9XXzhaiNo-l~#R}=tV#611o=K^mZ`%D7%z?wnYqjEX=OYZrCw0{J6 zeg%ArifU3Bc>UC#lV4;1NW~H*gX*oSt^ABX?m33)I|Kxw`Y#X%nO6Yl_$_pfp@Q?e zZ(8qK*&XdX##7Asgc`mU_MV6M?LypM0^Hd@!g0S6aLgktKLBv#2Y3Gn!_ofJ{*mSI z5sJqH?(837`1ODz|5d{mFRgeK@Jzs+{UZ#ATwZVcIN%shbN7!h9CAs&%1+>c@dNkVJcdIq=~oF~s^WUU zopbXT4!Nw~Ho$L2qwAcT$8g9c{VFQ}zZG!j+&qRqrSz*D4fvga>vQvT`aB0X+Sc8$ zBb|lUTAzcb;V%LH1>mq_acyw_2=hm~MEgf}!;W-Tox6X8;co)I6SCh1d=23G+&Rr3 z?`i)C&Ya^j<=p)vO#i;3-;H#@9uj+=oTkT})-zXT!u}ZZH0Q)=_@{uQY**$2J}S6> zg!z97_|br00yxUGyMKh?8v!2xIQ&=aAqngsVfZG%(Rad`XnfY0Ip<8v-vT(&7iXN& z9+JTR5vE7F(*6;gS>_ibecb&c4Bv(LBY#%*K>Q!X{tV|FFoIWlfX~A{5pdYo-9N%` z_#!oMrvy8zecdP)2!Ul;rq{9#8rtIOR# z!t|iy{C@`M*9G^FFuc3sza0J!;t<$B!f?c!>z6*DUxD#z=bSEvCjn0OISTM?fIH`O zF&yt{{|NRB`^9eq?(837IQSC%a>^s1I2zr0B;Gn zbIupT@t*b*;G0l<)|b1VfZ^av_FsMo==)heZm*UPyRrOI!25WC{RB)u3~;JnM&jxO zxU-*t;doDTEjSCG&&F~06EJ+VqF;Ux=+AK4Yb`$waI~wH;8F2-a6bXlqpyed+Jxg5 zH$6Y8aw3EM%8SshP(J|c0vtbxfhNA_|6@*waS3qzpX|B-mIpm#&d9I40C4>s7_Ber z0n&Fl=6_J<*>+#UQ4dgkvHL;j{eE!&1M^2cK=sA$M*-gu-2cFE)B{vs?0y{ZPlNj( z7>;zJ`eOG}fTO&-=XNk0^)#m^`p}i9z>jdw?O-_i|6HFh1pT9coAFEKE6~0M@?Z80 zLwdrV=KN$GKhV+s2b?WMXLkhlKQMplyQ98YekA0h!(#jqO}|X(w;XeJmFSQ==XS8K zf_)0S4y5u@^o=l1WA;C2dc3DGf=i#ly$o5uf%r;_I0jE<@f0OJ&(OoPePX$aoq>y zn#`lDIwpDJT|eBi9^?AsnY~|)GF|z1C5p%Oul{w%_4OPINsPCTq4GHu59p`MDGlp$ zH;U#^nEq|xJdF!&6@p)hcB@k6@cBi(l-ErRH-Fsm;!2DURDJ;4{fYEJeXvb`>I=V# z|LeFk|MwY}S%b!FHABv!Av*Va-$6Qs{|RVCw^o-69q_n5)BI6J5lkPWjXozatUu`V z_(|n=iiXnvs9 zW_u*JxF{M-hY>9T?>dx^MAg5qnk;lzYu4uOS4BXLHnyr97xF~a zA8_412|a-7LkWw<&nU2;@cmp}b)7co)RRx7>2IUI;_X+dF+UET^{7Za4YKAYrZq5$-k$-P@@*ky{ z@&%Y{ocw#cYL!9!iaHJU+I;4!@zn%%ojJjVlnn8Qz>v*q#|!*IWPyyeG!X)Jyh zf#127UqXwf>+q^C6n+<3e(aCN;+GA6=?=fsV(`lle%Y2E`=PP;p|4s!!{JvQgWqi7 zS1A0j|5+SB!_nk}D)4jsj)aF~otSGs^qUdWsS*PgoQ~rYgagwzkKA?S0 z3S8b%sc9)RoMZGuKc3dME}KT&z;9O!`(4H$zxsE=5B3v>)F^29q2FKa_z^8Hvg_=) zoWWn@^Q!4S665VrJ3hql8yQXl=}c>{RYeF6|AG3K)c2&&1Wsd#s!s5$Zv`M>u%wIzLMZk=ua z55S)icss21@Y*q)&U$4y?rY!j{Ex}uO7z;~d5H`!>M3x{k0JPL-wndcH!_@H39Ym* ztLfn{)u3%z_OR#QnTR-$zx6qo5F>XzYr7x+HnqzEufK5S4R>}_^^xDW25WnWD*}(h zT=VOW!{D3gg=Mn9(j5<}{z>V5`Eim?Kk$d^O7j+ittecTwXG9#(u2&XeX$S`GW@|e^S zq88p^3f_FQiPAtm1>j>vLnKh^^0I`qabwTH>gLIj$N>YZPR>;!tu;`K3TN^R{@9!{ z(gU2aAi*r1;1vgCI;b!)b?iB5DUtNiY<-0oJfDU|;T29Vp#{Bkq%gvXrwnDz^di>s z1T1fGo3UT?(Ap;cv{N6?iP*Bhe$>v0`2ZpLUvs88z~c3WE#KmZ&LoZ zNRH-DZYz~I9%YW41)y1K)b(2GbNxupo)ZUaNI*`#rQ!C#$gvBmSx%iJ2mZpGt28%M z+nZ#4>~oOw##}aZzodcvlGNjTJlH3O;uXLH=Tq-C6mR$rnm6n7t&|Eg^6#@eINqBh6OzY{9CAuzVoJ)$2xmf;i?LBt z-~Saf|Fkj&Y?SqHl-}F=46Hiw#DO{J`vl^NKCPySA%}Yuduu&^ZYSVwPQl*Lvc3LY9f=yO8^_l{Tt5l=SVU`rzhZT6g9PJD2<7X4EFJ)~RC(4kG@v)$6f zkfYL#>K&w)mNOS)EOXmBa#n=oWas2$h2k@}wWWz6$JmYXgpq@>zPSfGa@L3B3>-M{ zq_7-}J82r0^P|r1$s^&ybD;F0d}!og4tDNQj-2;pe}c~Mkdqmflf*RsvSQem>Vt2j zT&IjlLGNYkh$*&0WqF4?^3ZpmYido*d+wF>qgqI6cWQal4( z=!Mmme$55r*#F@VC{+o~h^HTeT!~xKxT1YhUZi@-R!0*8U84k6or!6-BcBuJx zm|C+&W#wod5J9n>3l}F4f&F|Jv|I33z&t-kNIY5-srm9`qS4eH6O2IOJ5C_KS8SBK zCw>r$L<~P@?pwA@aXI|n6^O12N*b54bXfI7M}w-WI&1$31FEXZZT|=Zs;XLWpM)9N*^Wg~J1UB%{k(Y-ZC;L% z|I=wYf$~53Bb5K=O~-{f-g&t?H+x>*z0Kr*F88tfS@Y0-YaU$gzm)n#l{@XFVc<#R z!%t%QXzS;p&p)rik^iASKheIUr+DRl9>xMR50?LRK)&%xnGeMuJ{rrPkLS6#F1NBS z=_>m{H`#Yg7cc$`Ef2Q)cLDihQYVh!b1P~fw-J5Cxv;An;ckz}v**X5X6Ao`9bEZXg}*mG4(QS1L+i3pU*9C)<^fJ9N&`lf&akzpdFtFpJ3ijtIzDg z(w~W=k8XnYsn0gcJC;6FFS9<#qomLLR=AMQ<{#+j6Wu?3sGZrDKJzh$qj|GFy8aOR zQ16}enU8gatj{5M?t<$`N1ydle#t%`$4)P@4;m9vCvJMp?_qfd^3Ry@$Vd}m9hHCP z|3>sV7WhZu8sO-o^AGi(HyM3ObE3*1@$$?3ewJ4teT@9bd2ElqA|HMH`9pA>ZRO|p zmh|ENdq{p{cHg-2&#=5${tr@qAbPvlnvzYJHcBmX|9e12%`@7Ajs(X6t( zf*gD@Di_~{iqpQ&ud%!t?1^tM<|7~oykt{4OuVvh4Wv*^}eImchVoG`uziwUT%Ia$Sf5c6>g3h562Se&LDx)T=V9tf(M!fAsps@($=VX7~h?fUdnT zx5s*I2i+FbgDtJS3M==iS3zc}O~`omszKYYc{jmR^I^*GgP!4p<@^SJm-XBOK5cOw z?CAN3oD0{y{|7ytgw^qP<~3{J3uvBfH{JgkGkhW|G|?t0=~L5D=~L4a&xhf{dI%kg zeChv?KJPV?&!VhQRF?swZDJQ!zhkTn)^DTKpBIjRdch3G`t=t5P6FKkTxV(RJg?}y zecG!s3+kB+C!}%pJI%@n*zdv-WItFjWIy;Dl%6$c|7u3yI^WUnxBIkTWfs{FEBg1_ zeq*f+uGjSVUE0tg$+Vt>>`&>6z9j2470(#EugP-sdQbZG&HIP>Lx*Ij#*p~MX%A~I zw!B#%?XNmLKGp|*3G0J?e$6agPCp;#0CXnp_`IAAG4mPqcrx-uqqzE@|F3xm^4B>j z=_66+M^YwCh|u64%L8ta=v9a3xwsk>jQ$o+ck&mt*RdMxnK@O9MZhfVpjH}-g zD}(WNM$Rk9sA8dPr$wv}{q}3nX4hQFApTQwpRAjpdgkjsYE&_a?~$^;NoSmqbRuiu z&b!pCWgh;rYhhoa!?{qgSJOzo`K=@W_n>wBgwn=l#$(*_eWRt}`0Y^Tn zMx2j0p9O<2IK#XAmfRhT zHB2X!a>h8nxbb=raEy1by`1rafCS<@iujI*&o{?=U&7XIGYLr)#E$gQ1=JYcbO6uQ^xB8+E|w05$wC7ygZg zw#5rxOPgPAQgE~reyysHTf835FV``xzu+dr0}qs6Zjcuy{i-y^-c5w%*2JKJ`D~ zxgPKS1DR;Q>N4;SV_6Nbb^RRN{~23@|K@EVUd}v1fCtr|-&1;h=e7Opd|r2pA}8gq znRrRP%=Y>?z|H<3zT1iK*7$s5)XU8GKbBR~r{>bZsh4-wm;`p~W$?E3GUb&mmV5K{ z@`77by-d^&J>|1qapCZD%s8eeha=a!wQ+)2H`@!z9xY89>s36<*& zs@|_X7&#pIANgH}mPgWlG>2bpR|fgObwY@r)wdw8(9sv|Pc8B9U`Si?cj8?BNeuIA zk1;rSh`}GLO`G*?bEl1FI!Cd(R z8RpkI>jmS;FUZcQw&?*MkonuOjg}XL`JW;D&oVmTAx8XEdKVPA_B&Vj4>hzcvCk%` z|7hWVuEB}TU)irZCqvnf`pmVt!hg7-Z8ymzmU_T>uBqJH6GnI3s+X?Cy)m|Im9s1E+y({em z^~?9g|JD#o{S)m)?NewkYM=CeYWd9Z{CZfZ9g4>v{RGy3y=EA?6aSZp|MSiBr}{5O zd&GPn3-Js5Y|bvy9+BRgYnm9|ZI>X!v`d_p&sqV^w@bB4RJ%mf4n5_ASL4uckak1H zArv*^w>s53(BylL_j~-_uT{9hw0Dx)Ax%GrDSry*q!dbyGWq&z=s|hg`ZBF1IQ}v7 z|3dhGEv)$#;;+VgvZFYhkeRR*w({3(jRqi5@DL*qSlJ%m4S8xL?q zK%crJaP`Fn|F!OHTp75EsD7#A-i@hWsQhe~aVnBee&RvE>VoUo0MF2m=E{%be>TTA z(B3<2QQqs)P~Pj#_15)XrcyUtpTyD^^$6>Gro+p9B);jy_x$*LW7H$eSGDslN9VaY zJ4ijk{#lJNuv>qCx2Zokt<+cm^KQQWsOzHY52AMHDV^2+|Jd|Wf7DH9Fxg9oHve?S z8|r3*5AqYmyJ0({wE4ox*Yv(_rtmPmd-_fG)dK>jbJtG!^t~ zuASBC{)y;e^-aW3dB9((`;*84?jq&8XnB6!t)Tx4uD{`;I{6FFU)U1$iG)CXf_Ni_}QEtocd%t`pIJ8Kz#x~kmonhHgQ_LV;RS(Pp*~v zguO^ZPwD(o9D2!D;#!lvbZB>hb50H0QH=}u0XN)Bu*cx&c6w(dQ$8Qz?X5x{ydD?Y zu!aA^*ynm&Phh+}6W0X1e;m30BfLYtq`8cRm^)gCv9EfSU9>Jg`>yMeh3#PvDnnlw zmhFl>d)^Plb74D%`M`BTjCzX34d>)Aj^R)BAowq|`nQ`){GI;9Le-b{7Xr8bH;xDo zbUkn#i)%P8j2SOP-L!Biwf76JAHo}nMj z^F#db6Xj^(B`8M=XL&hWm#Efem{zqJzO_K#0e|o+r27Y$EBS+$;LXF;0Q*TDwfGhI zL&?Asn=}prKI$%vGyd$r_Co!@_ByW_b`-BtidRwmc)9fh;zehj@%-33$lK@-uYgufcs7Zx%38xM;3FT@xG)tOv( zK8E{?xcp)BuLz|A6qf za}hm8Iqe7A?>^!Gz&`lzjO6A?Pt)*!MEKurXnQR5P_OFz@vMSV{k`Z6#_91_=bSi} z|Fp;t_ygpB=~hqTw>y%li;m&{hRC;L5j~#rTA2Pi^Z$qNf5YgAhZyO%Es~#^tC|kO z|3l&bqM_}v%NeI+{xW8;@I!-x2RjGpR!j&UrSokuN`;lEk<|I5%GUK1`qUop&I zxY^*~f$G0+p(}Zo_OBs5xj+=KU~49&}J<< zTG3zQ^uJi|L-@VF=y1NvAJSVp1;*aku{WFHdp8^ky(!OD4Kg-YKaJgKTw@XG1iQiZ zIvjD6H`MBXw4?tiz-#Lf-0F{hDAAMtIGfjBbfCarL%UA4A-fM?*8ZX)S_Ium|3UJ? z*xh__aPOBL5?UoV`9&~|-JlEW-B$qk1i(jLU#s^Sj^2+#R8NLmy+*}^}~ z@~;W=pCSBJ5fsE2^$hsyhKTqtnv81}F3f!{T8ry?Twg+BuW!~bZGr!f`HXt>KdFA8`TnICOKik?4aawO1^ldM z6TcM_zgdP|(lF2Z|D3OvG0b1I!rUy z3~kRlopG;4=ra(U+Ka^-kq)PLJvW8rKf|;>aGgN))^qaPvb->G)%+4q^&U-Yfvfd{ge zrZW1{F|CZWw-$K}eMcH2UosM9s}$papr}VbynYCtvtXY<{&IQ${AZJ1;&;GKI`o?A z#2>|k<6m_SbmShc*K38>E*Sq^OzPVrMZYQjpyD9$-=>e9M1E1@%j~BP29DOJp89-L zKDR1L{Oxr`$j@4|hhaW&oe(M?8&r9(@22?K^!IwbLizD+w3DdUXgy{9LBbEXkLaeI z^4#SQp-!!jK5C5i~4BKn~D~82cN7)?TIOG5I z7owcjPx4;+@C#ML4HGe5OP0p=4D&^rv%aI7Q5OCD`Uvrz7N4)%&nG)*z7w0_tK#2` z9a>v`chndIyZvnFZ2H+$X6rAqa+>s$O+UN-aMjNyYKNZESvMB+ev{?JcsgIr;`d}P z9r{1(me&gKp}dFmmps+~ZLgInuk^lNIURm|g=Rr_vM<%-6o#qt8DkxzmTU6)LXnrR zDDk)dhoq0xk1Vg2VSfEWgM)`qd8PVbN2D;XC^Je}hCk-rIX-g?ZU2pKIlEHe9Z+9h z!Z`i6I_(L|U&HVH`YR0&JWzZul@}(yT0G*f)@|zYP5RU;zr$sMXH|-fzUWi2z81It z90yUDo6GoL28x)=kQ-E%!41j~F8udP?Vy z;?N`Ck>6VX9pjL_bQrkH86RLf%J{$F3SRFP>I*mYbC@pIbixe7O}^a;8I*Uw5HcJo zcZge#$)4L8=GX5uICzN3pSJ5WlIFko0O9|Gp&c|h#DB3GAMk$#oe-aIjB>+#Pi=-Tzi!SBPPxInncmn!elG1p zAs>d;Us0V#`(oB#4gVL3xq$O$cO#$cz)zww0s*D$x-B5tPK@gAM=mRCDTISxJYC6(L7xr{^UrNfkeJL9fwZ;Kx0P=h z`@lb7`^*#8o_EoYPQA(ctYw(L_)3F=2h!(v@+vUKi~R%e#?b z{^FYr4jy8pH?6bCQaC0)@FO@rwjG%2u8-#U+$H?ix%_+oCfWRy_-u`sa|#UqzY70b z4f50>wBFK9zlVkYUkwf(Li*D>iSHu%oU|-#(3@FQYS$GnenI4{H$0}!@Hz+OD8Il5 zt`icD3dDotd~f8a^VooG;`6S^dBU)mdZpJfDCbRv`HSB*ICu!fhx}8lUyWwxp#

    Thv(Dl3vu8r~J3%A|wAxk^hR}J#}5!o}Y^RFAWYJDF1yfFC@LNJ~t~r zC!-LflBm}bTKPR?h}nrR##A_ed|-G@{mMJW^h+0SQgF&AjpNYIe$*ql8J}H(R*C3o zf6kI4P&beBrk&=Di*x?k!MuIoI)U`vCBH>q_ULP7w>hyW9b4%#4q@0;!ANPd-O8Q zrxiKtw3duD_8wuRXHL5DYz<-P5eIU53~ab(rn4q zhEwo?_})W&?~22h+KZ;&H)Otl46+OUYR(R=8I9}BX1;F--p0S>G`rJsZW2$^FI`fo z{9B@S=qbHz+l=yKc`+XHH~D)@2TT59YQ~ucL!11?@qLQl6K**8OP+>4K@U>ue5`;zxuKF%}p!P29V z50>`!KKuKnA2DQK`KuQEB+d=+l^d|n^mo{!^t%#VpEan3qZP$Or$@EBpJrcVmXxj=9ft|0b4)Cw~3an8O|Xe4Lpcw9NS zN^vc~1^;U4bzG-CWc0`o8RLb$=S?pqJ+$3bed_Lmo&G1UrSXH-59&Ot zF#Y+koW%_AmnvLAt4kg&Pi9 z(z5~W!O}au8MR?OUlbk+SNO!#(>*(n@r<0Wg~!uE?s+qoJNx`NUEUTPg)4}?69e@D z<%^#p`s^=mk1$_E``_pc4z>lY>7lisR5v*D?MCn~`C#Jrlj#1s;XdOwr+>roQ+;iJ zslpY+-rWQ7qkQ)xpTnWf5)&*fr$IGel-@ebc!u^Fs{HDl^rgUDj>qV*o`8HcvM zY*3kt&i&9KlHql-dW=;v*j^J@@5ubH9_J~X28AnpV(76MpM7@DG9*NkZmQo+V?R1X z8eDrs_%++(>JOktvKP5Atj9Ek)1YvLPYgXaL65+hg^nJ1!bABU^ds_TvWM$u?GYS> z%RicoL+Em$*6hnIO}MrU)G?;iu?wJD|n0C7 zG_QfWpT-rK{~`wY4YqF8xW4ZDG+Ylou=btKc4_!0d?X2+Y4IHH_YFCDsQT_a^q}*+ zFpd`riiI8@V4TZBD1o2N`Q!2Xh0OO40Y>%*`cDlHqW{!zzjx%@(`p$oOm}7D@I^bv zd~dU?0#C&EapL>eIDAo0#~62DzD|EWz?XkCXNP_FOTgQVJ5YbN;StNd`F=@5wd$7; zwL?$&;qP(ik?vfN$y$Cz&G;8T=JZQAzOV9ozd_*&)80qemA)o_)2CT-3BlA0{}$Tk zh0OCV-s{ZUG5_}jN8t)$?;~u_NBD1x6c%LUV{XFX|CP}G)9}ye6E2@>F5YiYxPnOK zQ^#8PZG<0;?%3FZNqyit0r|U8R|6(~>U>9F>2YoF<)HN;88ps` zF;*QiZlL%|erY_!=tFSYbDi;4Sf6f8>I2saWN$4^^w}oc%+Zz_{(Tky#*T(G;{zu> zIleuGe_w-x2a0cRc_I9NB0npVTaL*;iZ4y{Xzz#Q(Hd;pYlppePEg7lefo<&$}b_j z%rl*NhsIMCT-I~>^|QRJLp{4rjP*U4+55nC0@*{a0uy~{KccKaH1f|B`Fg8?=Vc|i z>2aRO@4#y=Y3){4N@!lX@f?w_+Ii7|?3F6dA|L09MY;4MJ#c3eI}1fT(d^>6Wlw`FOAJ3=^dn9BU4uSFCedo6}1<)PAGb z6>Lg(d0l*NS5dav9yO5bINl-*`2+74kzMM%mRBVFjo%N`?VrNpc6~GE|FZHk@(Rpy z5u{%`aq)R$o-q- zTwV>o-t%W3%`kuF@u0s;zE7;-SUobe;1;^Rp7XjjD+G49AgWhr{Fi<4ES{+ zIQ+9YWq?V)yVXS@`54b*IL2ce@5E^>R|@<~q#s-aPWJ~C|Bpw*?y7&M&TZ%Km@~|# z2k}{tOWMfR>q7G0VR=el=#;oThPW!w=bkq?MHui}%xQJRIrdNDO2vhG zj=rxlANBJsyKxV+HA>fC@~_E)h+Veg4sW}|=os<-lxh8C z7mJ-YU6_oI$g`DklKRNhaSp%D;PVmW0hhu-W8}LOZn}NTpoErZ6CLdMWh6Nzd3b7M z{J06}xTmG2Pl=?ZT#%CH@Fo4e0pH0?>m7EuoMWx?>kbuml%CYXXi= zO+a3Ik%zgY#vKgj9-iS3zPad6F6#mQRWaaQmHZvRgYMoDJwo!qm*pn`4p$zV3WM^& zm*aaB;DFGk!+?IEBl#a~1RR!X0tX%Q?*lmEh)sV%{&>&)`vKma;XGn);)nN4Uj=v) z;2(wPkxoQ^%Rc}=is9^y82ZyBJ+pD&ip? z>)(Rr-3-sa2fD4i5!YB;YjG{Xh4`-2&9D;ni~cqCnT)uc<*}|Lpm$&S&WX;S(_l9$ zFSBsp40vj=yr6vg)zJ6d^KoC{c}KOE?+Ivnvb*xx{YLoz{jQL5tl`9WJ^1J28i+-@ zq57NNll)tL5BOxy8}j>*{9;A_@o2!a0pAiTuVwsgIb*x2XUlh`wS3rl))}6EYraC6 zx;)sv;M>xa|0wv&`gpXsD42h1!5{6O4$~LN_eC`Sdf27Z^VfC3y~^{Ze-dnemo@M= znl!mLP!An@^9nga9@?*3*I)D$Pqb7z! zfbLCsNpbs!*BhUHQ*_@ET~>J%Ul-w%4nXbD86rIRjeES&FVcR%2l=(X{8-Ol`>}dn zhH~r^Qu;+T8~Wg0{p{VlyInZ$=$xBxD(!N^9j~?%nii11iJ!f_4X97ooPlo(o<(OY zoHn35S~`GNH4ezgFUu*-7%*t)2?GaUpG(tN*@{KDravh#9tBW1pS zpuD2ps`R^q{I1NJl~L*KAYFWh z9{@P&p53VbD$#DX?1@!8E*w5#{$jvU|5YHLwmjA24x*vQT916p)9yz7S8*la(`>!S z{4suzpA0zazsiw-&kNC`PdB4@LIsp?zViu*6R%K4fu4x zQU6sg1pG}~jsU0N=RXEG>c2|#L0fJ%{29hPPGCI#z5IADG282)^7H0`jI3FCg*gK< z3Zr?dC?MX9C%pLw{`kuWdx@L9_KI!`m4is20O@?b_7%^+6J>FEIqOu;f~F(C|Q>#05?sE+Pen%?V4XIpL22G{B3eJm0;xUsFeIB{lzbBe`Yz_ zVu}a!Q}yW&k%?0#Mn<3vOpJ_HgZi{zbUFNuC zXIL8ce|JVA{>)=zJ178Gre)(6Zp6mapvDqm-X}fLMnSZ@%vk= z&(D40_~t>bw&x-|FSA_R=zcHFtM2TVbixTLa|i5+vY=@=ei(;>13Kf*jJD;=6-?ae@Zo`zI?y#}`#> zq)f;6Ccw2l|BUDR9DT}ke2ITWQC@b^aRd$UhcBRMxc&S-f`uc6Gb7l8fp7I$Id?m9 zFkZ0yDM!w7@n@-D@FP(tDQZCp$a~zlo~NdSAqkl=U*MU&*Rw{ z*H64h{E~R-i8@QuaQx8Eu=4nWNfDOwq9f-mJZ})?aZ7wf{LOfB-m^6PU9L}EIk`op z1sP@7*;1N|lh6b4qdJE2(-*it!{wB>#IL*_q(zf@jPlE_jDDG__y^N-qvfIVZ*G20 zRR(sja6D1wO@8?S&*(4f(Doq7zr=qxzVI%9K>BU7G@5^AQF&oD_7>8-g6jVN~v5SCp?=8Bv@#UY3J~ znU2NhbXjqjBj*^<46t(Ab#=xjQ?Pgk7IJp4f7<2yX%oYb+Aktrk6)BroDBicNZjuSvL)yh4frO2i9*+MB( zTA0*pM6aZ|3MUZH$(B~<%M^SW+)9)5oaD&67&NmSdB^H}N%oy@00B8UmWJhQjzrEG zJ848(>Uk;DAQ2JAE7Orv0Gdj}&TGF~{8cK?drvfgfE={@nuhDG4;?vUlG8`y8;PlD z>9FUBabxin*s*XWSZHyF0wfd|X@ zNIZEMi_tW?oipi&epJec^we=<6;GCTwIdI0{)%;uyrH_CqjYR0es@?J^<79Oe)u%) z1T8W$8XvMxgIgl`=T=AVec*u!bsY{Y_LAtC>&52e!WYmqEcX*fZaC}6ha@?^4>)q4 z0uPxhAbAJgi-z zu)zxC=aqwSN4l>-TC8yVaMI=H1dcD{nEK~q@c+hg>~O7jDE*3SXZQ!2hU5E1jQFB= zj`*tbiTD|Ln?SqWk@uwcn|;bdJFaQs*f*KKr%vq&Y-!}}fi0bOzrz>%j^D}_mPY$e zR9+$%Bu7XFs-H3AlhaexjD?B|*>xp+3@vvh+W(c^Y&<(2ul=Xy%gxFzmWJzDjB95V z6%=C}rZiHJQ;Ug(|pS=b-@5Aql79>R?6-D_OWnj~% zS5mK})A(O6FvNz~UOf6v>1}nTC%3*@d9;9e(cB%vX;jzpF>&57SE(3VK#aO<=~zLOlM{aFcL zK+~{1e8<*}pO!~`5tfHB0AtSz&@Qm@Iv*zE)$!!bwKTEgH)_(@5vu3I@~Rwp82?&{ zf~-U5v-cx@jh2Sxp*?rwcVY5`vE0akDa%{p$h#D@*Jx>;*ZJaox92Oburw?W^{*?B znwpUqi=RAsvXV#R2+}WEc|B+!cI4IVNB;byrQve8At+Ce{U=YDkURx>Cly0V)Xrt0 zjmx?V7wECr#m_|hOmkN`*ID_$))U+LMkn4FyIlEy`)OVY~;P?ug{{ zK`I?z6?y32uY3oW8s}%BU7vUQ%R8!)diPGMHu82=^{bYiQb67Y%Y)_N`)Ep@w)X9ZK%1MBul=)zN^xZllG%Jg!8O z4>X+Cr%IYnm9EXmUj<)4^I|vQrlryL z&&C1OgTaRRpXTr%30&z5k(49u7W=;q{n4MW<8_ff7)vcID$T*z2Zqt)1M+V9T7^1K z^U`u@l+Vabb>w31W|gyk;D`@IF0GS6e$b&DSd1yPT4UZDf3mthGz@2UF zcw{@VBgGT`T}Ynno7Axk**DMfV!0USr)`$Ro$Z_J$i@8EDz2H7>?2Rs^Y@U8b}THH zc59NJzm8nzz;iA2BVX5j@sIwfFw2 zn}GKRT(>)V{5`H7!e&49Kwnk!mUKuab7Y{UV?EIBQ~R;%UR-zMddTY0yT7CZk8>G2 znhG^;{NYzt8QAcdXo_aJW1D;`IL%gYV^8Wl3|B(vx(RNWHX-{L^t5GzS&`^qAwDtNvwq zGu}^;u~H8yJ>FzJh>ySO16-dgp7i(V{*Ir3cF5F&U)u50Ri9WI8Sk4|hW=v~dXuzX zj`(nV;XiQtqEEl-8(iB6qQWsNWxS8-6Xf>*19%CD?uc=d#-?K`Dne~2$DBXPS6Maogf2JXy1Oz>eiMg>#-@!N9nP; zh3L^0_#JTZ%!%l+SLWScgC75)sclRWC($AVSVWmO!p_@NWXYtnlLn!)-ha^axb|6% z_Fv0jy?%(K&!R6dsBPCQnC!FK@dH-(#Bc4q0He<`XS|!flgkVcA17a|KE~3B96d{x zpEp~^|4B}7oBvj$?OuI~iaY&1{vDAMyPeUKZqk~Dc4jqv0nJ0_yNvwGjOr-PMN{sHlAG+vMVr4G^^gwz9+iAY@%{k!N`=hib zzwDju4SBj>xq3Eams=VAPZqzA;*D_|r(I7{j+2UqZfXPhENXd4{f2lK7MADdD|;i3 zRPU@_fNKt}C63&ite_JX#?AME=rqaqe_>t;9dAQCsh@{@kAvJWF`re&a@RR>9|rD|R_?$( zy1!xH(NiFQVJtw?uzk^gEiTQ;2KxvaW?P>;%5wbGF9QErTyI#OLwo4;SI`gsxy&tM zF0~k*Sf4()_wAQ7s4wfk3%_FYzgNFzdFpi5dgNy0M>(CTK7}vA`Tc!7)9+6lJuYq8BDzogu}ocwIn$a4Dr z$glaWcI;faYr0t8tRMOpIRANO4jfz71Ld3bNCF<({54MdeexGl?>z@SUWMgDs^k=A z_f6^#A0zZWT9#2-#_0jN?^!>7)ei)uV?aN&|5_%;2lM&ph?Zrbr(R0VH{p}LRQvC* zLHn}??f;t54B|hhrbzo=40&_=%xz0yTD!K~p>>^MQl%&txu#E_Xwq?g2lnlMRzcsS z<06r&q%)J?8=cN%SWuR7{v^!&jG97d{gV2G7_nz{Twfv!#6H?Dsi1G))2Fq=#vBT4 z?;TbBqWuauX#(+1v2oydZ=w1E>qK*n+oR$=T+#=1@R~8WE@syJ=ZuxIp7TM(`|nNi zsVvHg(E2RJIub$tDM0GXqd&nAq5glTf4S8~+g}U@izpj&zAF{|7Xg1h zu4}CQ&&(71Q$6u^bNYi_1Zkkm`u}+Q4!|mk?eCdOBB2*i!5R=16afVltO-p}1f&Uq zUP=N)LxO||*dAE1QvxI;q(DL%U9db+u|2zD$MO_AR!~8z=KGye}~r-LYTpGJdIqy~Q{makX8v#*{}&*XLsSh4ZDeVyw1|`5)y=wByzm_8;0|QbPMg zSqHNHtBn26g8p%wuetVLoM_r%vj5pb+Rs~1)mF0pBl}-=ZL#Sug}1XcU&_^s#(vBT z%tf0&_fyyYOYC?k`26)hDc|V-TbXPJ))m5!v~qH4>V(v(sTt#W{n*?Oj2)QIpNlqs zuBRPO8e!HIk{_Qj>y9uC)JxH`|Nn^V7#-^4IZl7N_^TI>uh~ z$>%l3d9rJ7@(}ZUJ{Nv2a!oQ5Z;2i8+C)bZnwBxKqcJOBJ@$M{yD4#Wn>LA)vnD3C z!A&rJ=tSO_mYJP}hx;6#y%Zl1BME%*K|jLU#_>VB21;IYFqUU|#Q#)pQM)j&6V7%x zJ>&W0PfWc^{f>7$@tHaK#?-0g*tE%0(p?`Xwn;)5pfu?ivEEF$WGIpW9L#mfx1=f#JGLlML7Gre(!O%sprVfw-3uspP`3k=Vh!@=ZrA<2>s|j zJ%;q^N9!NSK3Vst=V4qw4`b(fqg?y?;3HG?OX7#pOY?)YymGuu(sMfgWfs{pojYGQ zZzRjoIe2~`?NvBDxaSa3D|*o%;q)61x-mFC^ZtF_HuI7+5BvLnsCN>}(m7*{-Kf7= zZ+OVSVS{`2hI-MDz69&XxPIOgoHr37$ED3o{r%tQpTV+p4xThfKF73PPl-7D8~p~> zdpqbbS1|8Bt5vB>@ewup^9+stZCK>+SG?_VQSd=e;B9d zdBCM}O@HdYi8to|Axr0^n|NcKfHj}ACQ|&JWAv7St`O%+PrTnU;{@&pwc~+GdvrRp z(VgYB{Oz3{G%e9@-wxeQROjN~Jv=@@NC$uUM85($bj}px57Z;QdJiAiA8VxePXAc;J;l0o4(c>cZ={zqY%nIU zeV9w&^m-liXtU?N=do{zNiV8D-im5pVC3PqvR3=vW?i9%bBukM-ySq@2wuz>-fu|1 z9_&K4?@hLkjMDSa=Fj`WwQt1tW*%TC?Aw2K=g!?acPG?Wk767To=`*U2h^h@@VcF= z#iSF~7r+m6=y~7b{DtrN&&YbF9wj}0p4YjvfqZ&?WS&qHtfP_g6%L~sjMTH;qvv<< z9PsFA>(LWqz305WE)VO0-}((17{)?9zu$Jddgi+-Bt92;{C4o{&S#k=tu@=2N6$x_ zkN2*eqwa8Hm&Kc*FFzLVZlP_=O2+#cEp5Hx*3a`BF}t4M4E~^g9oBdCz{8JTy?Y^@ z`_V&?AI8s>UDD8d!;-&zZKRwqJJ^pj3MeBwDUm5Zj8ZcUH$wwMMnJ| z#%}oB?xQh3(b$FliA^VZAHclB#-QVe(;$mi!Wwxu1^jRZ^qDrADC(~d;P4N>r;Bkh z_AXKNcbM{IXzqjG1D@szs>;OOJTv!M*txa&hP{(dcshdJqp~P`A?gGG^T$TP z;U7-NMZm9&f)gM45$!b6N}bRt;79PW{3_sh&T+!60Y5G=@^M98Q71eU$S07?`d0(T z`-dl#1@L7EC;jVjJ_P)QfPTcA{qrbrtV2I>Ucf)Fi~WPPbN=m&`~3sGZ2!~1QTc-3 zk3%op_Z;vgQE<`=`xeZ?wNR&XV_0;LywV{RaF4;GYHJk8rl{58xj&4lj7hFT#m` z!O_5f03K}TpqKa;91Hvx#*g#y|7GmMb^f>5?_|5*KFT*_|AOYg_XFP=z>#k_f8n|A z{712VqQBpM$~T<91_A#kcRO>N5P?&^8bPnz@LkPBi?M^iNOB?o)NGQ z@n-+D0sb5C#{%|&kNtBh@IM$&^!jH3_*nkw>;rYm?tpyovHWhpQF2Z_Iv^i>EdN5_ z$hpAV_~dsr^09_|!HLlSjgjxE#}`PSOE2h(bf@tUkEhJy5E6#N=GO&eM@I>xzo*U! z*b6(@-Xze$lGE-A*o$zsm+FjD89#~SIPwt=emXRCsdncE_)*TmFZhLwx27KsKk^CJ z2PnVu|E1LFH~8y=1;{6ypT70WeB=|(Pbt8UVBDXdkWV;2O#)s&3JyPWeo6=4 zI0_EEl-}h}0Y4qV-w9DZ4 z6dZC{|DC|cVE>_%fPTnj{d0h0+z;IEAIN3>4*t73eZWJ7HS^oy$Il%V@^g}M| ze;jx&<9_{+%lbC~FNlIeF6-Y6yf_LDxvc*M;H64+4CW8WW&JM$uVCD-A97j$>%cKD z0)9UZxvYN+@U>BJ$YuT8fp1W%(=mbgLoVz83HalT`{hF}>)#4|QxyC?qyJOjo1@_1 zqx_lE4)`qK-2?d(d@O$_@Yj{_^tp3${kVA(zr8 zCl2_hQEywF6%!Y_(8_~`XQI~C$bNS-;YBs>u<|Gh{Pcm`spAas0;24=!abB7rY_k ze)*8g`p*M@(8zFFn$=p<7$>OKMi_53D`Lrb7G!#kA3bA;A4Ofcjfna zHXuI*IL4v&=(FEnKVZ&V&&#$b=FyV_^3#E%U9!iexA^-Pm?PHnPzddD=~HGt+NSd~ z;43VuRNoWKys*V*07pOD9)0f)$iD^YSOFi>75(ii>IL%uEQ3D;ni;@<58(F!e@^NB zy>NY5sR31y^JY2su=Ws&kDWJ@>00-(hnw$6&z(wdGmHR<7%w~8U1v)Pq*)>#30NSAd-Vo$zzu;0^5ICGW0?sM#E zhVfVR7F;(V>{jTia&`SL@0|F&>eq2q_bBms$;9VXCq8&RJP;pAUn0~wXb0_a)%R|G zy#Z-XbCEe1cjM60$WJX*-Mdsv-hVJtwfu) zFdp&Pfgc*DcfY}-ulj1$#kapX?7oufJY(lVw2?V~3P10|w&?HMht2Lhhn)to8DYms zwY}%Q5OV<(57;O9{ znUAcpLYaqe#2ePhCft3oJEPPO3(- z|KaWHX?$fF^}-=$Ur+MGUe7+&HlO69+@Y_yFbn6kaB@VsYj-f=i{`)1uP&)xV*I}l z&!88YXa6;{{-p5lD|h_4k+Fv;Z&Qr@51aC~Gd!U8RaDlJ`}ZH%GdT?ZQ+Zp6X9)|3 zv1|0g8Myz4brY`NnSNHVym{K6g=jYxq77cS0P@J6`^7qv!t!r&61N1V7G4O^$=)(>}N?qX^I5{;72Kb^vviuA}NE5KepKGH&Xf zM_&fsK7v&GW z_KcG1e@S`N<$0ieO2qx$`SGyofAssve%K}XS?Bb8O*=nqy1hTz7KK#5%z|?0(-FHc_222$Lt>2 z$=aPiWGC(8>hPiMU$_T+nb21kd?iS^XnfxC@|EzEzd`v2$)4>Gc<(@0JLjZFpb52~Omv2j9YbDfO{l56_`79`McCI>!DFZa7__*NN{z zn6s zzj2R5_Ny16Y+4$R+#$ny_Ut`mi15Onp`%X2*#+k%I4{R}70&TE|ABKk&NVpi$B8=O z-Y=B?R?4QU{Qq#r@j}_Q{#=Cl|3zoI0^?pa@nZjVb^!1Ck40DuV0pN{+dCNhe4#Hj z!uYM7M?Tv7Mco}SD(*{DZ;^bw_hUJI^3QX5SpFYZ^uUJwgGmG1-_;`@?fs%lUHS2a z-uE?Vf6?({v9;*rapCdV*koz!jCL})@6*?tW$4N^RNi3MKCF|QmYFgEVp(4gkG}q{ zF1l+`VaC_Qx1afljrp(=l)q=PNYb9`(LBsO$bp9?deJaxFH+KO8eBbW3n{D-Y{<&Sd+)7foT`C8U$kvNw?t-Au8!A48 zeV8?Nx`Tx{GbuRMfetl8@p4JBba8wWQGa>mdfGe7#a9iTIVa)Ie6@7;nc}@9qeiA9 zBkM(yi~5M|y~`)f{XudINN!#&aw%VCPQgy-(=w+fUc`Q|a_73DBJX1PK`JXw=bGCb zwwj#{;!jNp zp*xg1!hR2u{aDQi(Rz;AlFl5GB<1Dc4cVE~goN6QJD3dvgpD`mP`Q#--BeaGtO8owunrgdlj{GkS@3U6C3O%ao zgs8r7%Dox)FG3x@q>rWJa{nIZhyCeJ!S|K>&qVg(M9bq`^`dWJ`%d57fl|*P(BwQiSoI) znR=_$w`fgpBq5ZKTI5psgxtkKBIMq`B=OcypQFF!zlOfdCD!) zbn(%y?1*~PE%)!4au03~{{iqLYuO`$e;c=9dT|H%3i%QKvPbBBZ@H)Vp3V@_dXCx2 zZo!XI?ydZ8hPSQ58v4as?nwT*hDK-&VHEjM%H85YhS%+y)TtjRcZ-t^%^;=AS{U*C z-TK8nrF~C#3ZA#zExy9=p&t-9^x~ngJB$-!#Km{vd<5q+hc0*B&pq2;?nJg;e4(Qu z?j-tdp(%HZ@tk7uH4YZ#CRTo{rF@_rXStK2h@&7XAIqqG6st=fp7AbI9je^T0=eu%(uk8Xy~XkPbkKD_-6#Lek%aGrMC z$Xfavi+f7FL);#IDi5n%<73u4Pl68RQMj4D&U2o6hyDEohwH^py7KV->EtBmXD#*4 zhlckNhq%sHo_dGnzi4QL)(}RK@2z)`ZusV_o_!)ttV6^1SM}`gl)n8LrOnikqww#z zvHgw3-x%AUakRuG1na$RhUOck3maNG%Ku-x?6@q$Y5V`V=$qyqV*+uBRi1J?F^vYj zJ&rh5HS@(Y7h`)vXKCb0_MMJ=k&7=vPhXeHfzj;S?|c>+b|g@QLe@Kuy(d7-nXY*0 z*M{Ze!U%TKr8m>)+!GwyBhFH%`R%kb!g0SMd z#_{@DWNYaQIQ3m_7l`2+%+k@g9sBO!>b6p2E9Fw7^_7|iyTM6tIY#Ef*sTmMGbdjr$x+ z_L6_ogq7_@eu(gI7-BMA@iFavP&DVt90&b4;_aY+Z-XqMa|O~}2;pAKU>Qa zk0gY84%WL5?=felg(+ccwm0T#jz(X%z2}(i=8J5IyW15IVYeBd=MvrhIPVbJnB{XG z;~Mn#IAX6lhrbhyxi_6_=9%fc%egP1U3owePTzTR>z6`@&dQK0tcKucyi0M-L;t(oK3b zL3+X7K!Q1TqaT&K$yF0!w@ojidlskkEn?TFW-cIC<~&Gn-O--(%B#b=_`A+|YawqQ z$xky$S=n1oQzA^CM&R_fTPDuedN57He3 z-7XIu>hW=7vm)f>QF+EJs~&dBYhDAv(|pD)LX>iE3-Co@ZlTMM)dDFwx4|%3P z0(yHSbX4cM{*!hT*$A@G2E&JhyZ$?m*%)8)0Ps!$e6Zl2dFq4-D&ANA@_K7|(Ujq5;&6bMj~21rT$&E8gUHJTuG4oQzV~O~Re4RHoUblvQwe~Zy zKUtb|lU@6UgI9V|EQ;;Jeg@baYFairrB1n!IeMKp+|it{M4jiiFAK7w&E=DQ*|0Cv zT8&xt!O1KkDM~;1-~=o=5&4e)t^@B3M`z4>J5T21b>4LjZNf+DIKO@OK$g%sM0`W` z-B0=A-rC#I{S#C11uf1R-0uk`I~@qeUi4M+Jo5#vKe3V2M2|mLisroA98(hhQm6Rs zT?$!3=MeD?<>PX)x2X1ZbWd0eMoY^59@tA2L9~8PUJk^SxoRTpHuX2tRe^Sy(8lWb zplzf>gWewXI;ie$J@5$!b33{Obm;pK?k~qrfnVsX47pPLKcM)(r9OWB6sa+ymE*nm zsxH0~M=3__=$m*Baqk2?m%vzM=2^HOf-tn^E>ehkJ~lS7c(& zevM!KfAgzmXMNPelwUB&h5#qOps$qoo+~lJpVse0hjjsYW{xO=ZkzPa^2|6nZ-XPV zUPfSil?R!I&LQF(JP*&?Lw@~1-Ex8ci^G!S`v#Vf^Ti+5ui>dRn2)aG%~ujVH-4W( z+)m4lczfP5Vg`_+@ANF$c&&fen6h0L+42?Pxti-)%i~TTO#u!lK-&o z68V+zI{aJ+&r_~?5b2KR35JgN%@HyqN&4AC+QB_p+2b;&&>n71eYvCqlj|jMh7I~_ zR6Sq$UeXHkh0Y=38>GXM(~u5Jj#IzQx#VgNi}V8U2jeg#MVn;&wSHaF z6k-xx@e%$q`HSgJ0j(LA*GhLf=-Pu@rO$gzWDL$#{_kBgZIV@Uzb&wuM2rJcB|3csXUz^acM;d*E zzW#3maE6tB67|=n(<(K`k?KI(3A8Xq$ zrr)+4+z2sI#{W)#c$?I-=$q1^mzeazef{UDzh?1r!Kt6S1Y=M1bqV+9mpouX$HdMb z$}cpoUy`p@bSk)(!=vSw1C#N&+zf`P{PXl}G9_C2Bqu&|A*R@o9JBsiLUd&~mkMpn za#GE>2E9G7#YbB=-tP#`@%|X{9-u8i+WO;-vCa~qvohowhwwKj-v3lXQZ5rsNoJvk zp)~yxjyO#a)NDI0J`VLB#hUvy5`F$X<5Ew$u7TKRU3C%hv*}NC|HApS(AG+avFs8v z&rvJg7SO#ML!QkqA29CiYcy!3`nZ1mSa6yr%E!0~?Hl3FxM|5}f|K9#sr{%9;5CB7 zCpsTvmpOwC?iqJ3`4N0V$HdMblDD7IkH}WllHa&L-R*jYW?~ zl0>9A`SMGM``ytHJ6{srUYw@i5Yx{@_b1NXMvtMl8f>|QZ|KlVzO;yHkg9q)e$F@J zSN0>;pDp>AabNz;Zwem@og;*A;t@{s>G{o7`^!JLpTnZf@9e=kG;$R6%eS2nAMfU0 z*IgzDTYu$az9+wxt1gxwnC?W-N~;;uuS|C`=uA6OtGsrgOBCI;(wzx9nU#ptYw|hr zE*%>B?a^S3n&A51jQ=^G&w~FyP=q`A9C?=xo*&4c137g9_;A5FpJP2L%7yTI@_Bw= z@Ch9gJAY8`=3hzacbV!`xWT4h$iZ>L_0Rt4#|o8`UY9`3KnW8% z_nv=mm-&}`q8o~{zu*!6wSK#WaSeKVG(1iv`r60*@sJ~QmPW3qSM#S+yi!&96)zsa zfzj&KJzf)~m^5gZc4lh`ZiZ6JfWFAJI+0>9qeY58XNbBD!pxGUD}|ry ziB-VQQw{G5^at}XZ<{Z4OziwYeVSiN>9M%>`gHH)aamMP&^*lcBjf8xMH+G|36K9U zSC((uZqgIs7wbQwTZR)2$RX)4pP642gUx=f8}eSoxUf-o^RaVbE;_-Il|k`#0#Yh>MPh^tb8t6~j7z zBVXxH!%x&Cw_JQDIF*Y6^zrj&G47_ynJAY7a3K}523zWLN-PvDrc(i`VUKAOspsCzM_}?u@$)UDmZQsPH zhkl1me>oc6`U&9$^>9l6A&Oj6pA#MC)eEGSjifW_fVk74^W8pBqyC<9Q_xay@-yyr z{tiXBlMV%aJUGUMkk=?D;Kzbf5H55~?EFEwDM%(iwo?=C+bmClgZ&gKH`bB0l^fTM zQOZpLo@Eqtb~H!&!_-SmcMfRJ6xzsi6aO;Z`Jg-dFz7A;o%APa*Nf)`1sBGUXVXi@ zr5gI}(J0?jZVCo)FwZ9~Jre2ll_K0tufc*-dKRKRMwQHVCwbxpr?lqqq$u+x`zBwXGA(uT6cE__UV!=k0^j_1~SMhAA z$KLB8<|bEs%zQ$0|G+t2Xd~!sx|T4m3*6@hjfblf{qcGTa)i!ROm|ct(R&01bJf%@E72{%IiI!FPFDoF#WCn?{9j~T z7u+Ru_}lm<8qa(4-D=1YI)_NO5Wbn0106EonPla8c$#ZKe}Tqeq560Ss{UTc8IEfo z6>4w-&K~$p=|^!b^Q-*7{&Mnt8Du~2N{g94wlR&@j>e_IeE$w)37spD?kL}XLiyvp zL*{$-54Tq_^8G6i^N}k)!d_dC5*?mn6nr4G5p*`)cQLLD+&)d?=YskEXUGvcS25jD zeY4{*>YM$OuQ%0=Ti<*Q-rrntvFuygglTkvwDR<$@%JjjmoJyX4nyY(q&xV1X?^&8 zX&rU;x(zb&h*sbH5jGVfo@3Dbnfn~WnLjT;-dvjCijT0@`km++;fxpB2s-0;v<-A< z$hAk4iRw(J|F!fS4wiNV^W*4)5$>CRSeghuLT6>j74^~5&J^#H)tJ|R7E6Nlk?9Yc ziq>t{x66Eu=?kNJ5|OsNEIkHd+q>!_;%EH8bR9u^s^O35e@Q#ebX`DqMhrUZukMU% z(A%TQ64k}^*BHU6zq&L9=aGtVzrXq*TkSAOIx9o2RQ_%vzh)h}{Bgx+${*TAoBy1A zHwj{9xZ)$?WBo&Px8lqe+6X!u?>iXROU--)el>0GEq~J9NW3c{FNJY`ywQFwjn*zv z`75S)FF17hlM3HvC*+$$l)rl*Ht#U}Ky-yT7sc>{^%v1C$C)34&iYH{FZ9xT9NkTO zd&}Q@94`La3VC-Z!u|fjGxeoH=Lq2&$|rA;zh16ApJ2X}8xnY{W)}JmGjZQb1|h&@NPfGYNeO>)%`Di#cC%=@6tD14H5az`km;|Us(F8&_>W%zi(xn z;$x4dv(+6=y;mrq?Ds;n`AaJm;eNk=gRzF?_Fk#p+fRP~PW2pp+A@ZM^&YJQJ8(mm zyRQD2_&6-!q4F760?6!6dHob(e{q$W=Y6uR0D^sV7%MN8`2_T1qpv$#O7f^}HSLIz z7qJeI>HY-WZqd!}-FwynT8r#>24gTfH2Cb%>_~N`>tAW}d7im20eNkJBHS6znfEFL z$9NR-{P=M!R%4wX9keb`@W;{qA@9=qg2Glfg^r2*e-8291o`VAz8Yx8Zce<;WhuI_ zsUxk~b*hE0-&EKRdWFs*;v1C5!n2Ss3Qt!F8$PVzuxS0Jefa3GSzyTP z*>z0GB*T{H!fPOAvMZj;-|zUEYi9b5sVQM$4$@=3pwNuFIsOM7GG`r4;k_(VL%uzl zC#Zq`{Cqp)2%V*oE5-+fcgAB~WO72S>jDmBIR(jy&rI;TZJt{{rOJjVPQEK;Rl0Dd zqq_M7b&}t{MUW+Q4iVpw|BJ}~xoT3vF?%^In*U`#2`=fpj_g@Y>&QZs0FJ#>pZMng zQ9s~&LHN99c+k+ne!69#b>{y;hw>(?2q4dvrx)28UHBkh=}+^AR9}C*FrQy2bPf^U zpdVDYnd0?`df?o%WW`!=976Ti9{PM8HrSwcoz{^dUevzub9{-OYj*|2J>jb1{1PpG zME5k#M}^jwD<^*2W&BY{bkE~_($cxI>CW-PD;%ytZ;$4mt5I(G`&w`se-vRJcIj3{ zxWD{;06juyWyqD%|8w%w*277Ep84bSFNu==Z$QkyUGXvfLv&x_{8(rs{A1F;s0HJ? z(CyP4`EfA)e?^>y&Q(lzNdKZHh*wb^b>nFX3mJ@-{*tfL39p%jHK5t(vwemu*2~<6 zd4d%SR4DFbrC&y#cp2AaKd_{~<&UhNOE!+3t(|g({8A)+0oKd@^7Jcwbd5k8FXqMc zKgny3v%ZHeO8G0gjB#DKUFh()#cOJ)ulyCYh8&@Di1-HmyQ1FY|1Rp1`438OEL#80 zls|gYhWw9brFu;Vyc3Cc6Q=J*AG$Br$~MM3C7p1NLs}##J);3=+A2MD49*+LJ-iRg59HN;8>rbF9WWcoL;(t+uEg6;y5$#mXyKswR;5lja^ z6eU?Y*9N+?^#sa39Yk-BqfS*5oqD23Dj@E!7v-Y-y`l*B)e}Xdz;7!mD}}C9p3*2i zuc^H}$$mxIRG>_Lt|twJo1csDOsZ%%7ich8&@@G;*c<{4mA;*4p#)AJU#=BSV|~R4-*S|g4$(!nBaBZYg*?xjidGBG?aqG4 z8^E|fKb0X|=&X3TqF+>0N&YN9#QP3eGijQFm}0%>SyTWqD_!yIAAWzt|Gq=f3rwRy zZ;zvI2)yr5^dw{nou!d0+4~IH`&8}r9vpjaS8h$nUV2ZN>vNXj)FbO5<~dh9+lzIp zX&F*iXp7#4EYprURCGi3zEAePd#Lrx=XM<{oaTKJXQ8cQ z@5>Og)s-I8-anW|7oo8<$I;&e-hV0j4zh&K(#RG4kfLA7-tX0(HP1^gGFm@$UpO5G z^VrP)K8a(tTVJCcFOszYtRup1TfY+BE}TD!DY525iuN;3?`YWLnEI-tQ@<9=d^6Xt z#gic~R}pUZm(9!wn^&K_&$WvFQrhrYnR10cid(}U#jVus)g8sMpg+)F;@Lz@)WO)>1jRHSpT|M9+~{gAqk z4()ldd9KZL=-*7p&KOV3wmcM{&!TiOU--{47YE+|D#m=ip>wI|4&|Zv92$om;{CJ( z;okNIHOIcw!Q0Ih$M!{eKdpEG)9B*U9om*%RX1PzQjGDxp>v3Y3*r3^<2mMj)Diam zFOz?Z(bp*M?}~Hn^VfgHX`%`A_GmdTFitE+`)}whja(@|rci#o=1}vbVj%VB%zALs zopPRM6%U4(VEgZ_uWh`D4()%j)BgKSa`J_Y`8DL)qvfNX`QhS+Iatc&0+h@Bif~^$ zReTfl2%VK7SM+;|@1uC%sus4+X~}`XafCe2vJ*30HxV7LmqWQQ@wd}cPW@DT1H}5; ze^F`wI{A<2=HQ$qNJRZ){Y7+W|BIdW-%2uk+vL50;syL$L%%&*?)3Ugp4W@NzJk2T zig0J%vG{S}r+Kaw52GJB(%_zX#o|)%37yp;SMuj0o`=oyyyw`E z*DGMYp)DSAx&F(Cm}*x%&-dVaUYYo+$MmUb(^3uO_>t(>;j9pV%L&@u8^@++6RnR9 z&kKr8za?gQ`kdwH;(Vh>saEaP<^J;iBIF32L&P`ePZraXT&CBgWY z)P)%LnK$VCs%&{-y5m7B+G?k34Z2n$CqkZ$e?P`G zKU*DallLwMMk`kbC%Ea4{aE5m`XdXVhLOXG-)Run%>?2SVYkT#B}9jQSjjntKbF6U zt_M!(&(tpOQqWxzL!R|lCgU3V?Q!fmfpJaAaL5rlOCwkE*LCEt5$e`ut@d(YG=Ci+ zf0_Iu{^I-+)n8XY+_kQnnEoQV@i<2bZLM@@Yf8o(1|9mpC8pw!kZ1iRvw^zg3dhD{ z#|Qm&3*-o$L&P`aues!}+YXVxQV&0W;Tc59ovxY)yKVj=x_fcX7TQ|r9s=Fnhe3z_ zP|5u<=&ZjsGp23laiSJBD#-pz9Xj8O7}VF zK0FM%uR&*?gV!qWd(eFmL!R;LvSy6yk{5&ye~;Z4^y^;CTUQogzQpf%Q1#BF=K z>?nvk)pEzqhfH?{XiYyTB7UacWIFU0mbEkbW3#8>q9mW?L=4^ofLd0ul^wEiCEQ_@TdnEo!jPNON0 z-+M#MFjsm^e-ho*IL&ywR=P0gt`eCMbT)Y;Nu8f6%5rl_#wKr>F>EB#WCn?{GVl9FT2apef-gZd8uWqAxG#OBEF&cZ=(3Gtv&q@+SI4` z$4LJ&h}r0hj~Oqb`zOxzLR%}{GoX7c2Az%nhm7lGWsdISFAlu_uep+54Q&aL>0t21o1SWIx|BDdwzRM|m*oP~y-elRgqIubi z4(;)ig5?$MxuJ82+?#myEL#|3Ut8`ErjWs1Y1n1w4VE2b33}Nlj-=xksAGKdEz5p~ zUZHb{gbU%cuh6pHDsFM;X%36#r$53*F|7y2cQKx|kApq+DkSl$S8AfC2RMB=YTI6WU&ev-O1cfnO09xXom z@bwVu$609OsZWf4#*UZ3ioVXyUzPr~Ax?Mf=&1N#-*CQzKW8V`XVEs_ksZt1y4qs; za~#u<-S#+sTcDgQ?*dsuXKCb$`RnD^kiUkh+n&E#MyJ8&DU|R22pfkX{o$_-9kItH z>fS%fvFA-^ydQ+|7s{!A4s%nRUc-6?D)Q zs5kZ$A=>EIyz;)%Tbsn7juK4k!9z~|caqKNr!B{Gk>zIWPV5osX4*@p8w1)Aq9lTD zo6Jk24A7xLZ;uli(eo3F-@?ICUJ5{`6yg5zl7(=gvohpL<%RYiSe|`|@^YP9Ua-!P zpWm7c>*Uw#Am%1le9ZXdioMIPb7)T(5X`U0Ps@eQA>td#uM5cD`wx|0jls0u0Q+ou z)~`gAvsn-~&s7s)w@oji!+OEx4+(7qolTDd#%bR=dz^5yH@&2Nl=MQLNBR=(PcP)r z<)$6ALikGQwTj|jeTei*AD@{qQQ8UGXS;Qjd|C`KYhCFv4kluoyS8qwcK`w_5ob}lB*`dZkt|2_c~59-i@HM>GclF zqWuN!al-fB^!h?@o_9xmwY)$P?oY4J5iWFAhUbd);>pyo*VeA5yXDK;wMXOOHL2KaJwp{-k$cu*HaT#PTP6LDk~HIx9o26rXb`K3xw{k7T%hj8X5?nu^lyuK1Yok=_`s!LY}PF9hn5 z(!P)-be2Z0s7Fc%lf9Q6sva>0AG+ShJ4m{8kgF!bZc~pi-7wIadGQE3n;z2Ud*5w3 z@mEhhQhEdHlJuGfd8a7C{pmFc;X>ycMt786wEutUb+xD0ej1fO?p2zq-?r1G zVTkcO^N1Or2gP2{+vB933g7j39M;s8_hd?MgDj!5G;*c%qW%9%XVsowSm(+H^K;y0 zcz*%)y9(0ZS7wOUNcA{Q8De`Oekm<@|FIav{@bP zPoMR`H#@j{L+L}$A4)gWzOD@GHl+ff`V8-3+jbf8a`F@UDy2`jq9g3J@glmXaUNp+ zzf{_5U0UhLJn3`Kdo`sWbGW3-e#paO9pL_Sc^lzE=Liulgwy)J(syfLuSnnhNSA3_ zvtBU?t1MWA6YrNHW~(dS&I<&;`%(IbXvTK}?2-6-V7+4LcaSA?mPW3WPPG27^!wWP zlio|;(MabHGw}EXpG>mFDER%4($65yozq7C!uSsJppM-(y@+lX&Y#4Th;+5(M8^E| zetOU)Gd^Ilap6gS;0M;lA`LLw#cCtPHuL9F@_&LS^;T?ZZEKjsufWx;zKl ze<0o2aMq@m{c4nxUVlMMW0x^je9ZUgbm?CXZJXw*l~XU2C2_d-`*3Z}RCWFFX$@UM z=Lq2&q-WU$6rV0?)5F7yI4s(D8}YFl&eqJrejJ#m$1)0yJ~-{eN_*YvU8mvf0e@1w z&~L%_>Oy)d#@1(o?gL<+y3%jNfA-7SZ+7v8aH;jG+aD+0Wxn>Go?AxlH_LPvgZ5m} z&*=ub;C{1ZV;HA6*`rNxf8sKX{|%j`kt^ms%V^(#vVn)Q9-m9NS&vWUI~2n7ugx!I zy&z_&E8doq;P)$-ZX{^06k4W>vJRc;t_9t251n@%`Znn&mr1&5(A%R;c3?ez*<{Fx zmcE!XDw|F5&pfR4_*7$<_4uCpg5BWs|I1P!&NIIf(+@<4`Tw%1p7=%c1JV5h=X4L9 z*AJ%tVZC>P&dgiHEU)*m91VJVwAroF-11r_IE_2XFqSFZuL$>-SG=EDCUjPYTq!@5 zkw5cl&rf^#b-8THP$ob1jmS@!FDol_#mDp`(JjZBFSIf96VX-REcVcO{b=*k7mU+< zojux~u7>;i31yE#j?h^exyB*qs6;8}`yp8(r zZK|`-7kGOj-dVa3^L%FApP-)uuZiw+oF7_wt_^f&`=7|Gbm+3xe5F5aH>m5}e7%puxm{gx7U=F{+@G(1 zL%7g6LWB$9yU;&9)OSA)jCVf#;na)YgLjWBE>^i*VcLCs3&|d*oKNq&Q-0Z-o#C!z z71%qjDEkw0$HH%U<%<5m3hEE6s8>t>4f9=iiWltntbi|9_}YK6gTANYUk|?GOje~q zZ;w-k2ENm<;snSNI!hy0+RBx`h9%=rKBHWk1R-ipMbXJC3(Vtr}j{K0M zc5QBa7Y8O`)aW_b{(WIu0Kq<}*>-GvU1y)CXOVvE8$oCN_9^3f#j}pg(~eV}+;S-E z*w}C7)Shirg!{`O+SnCB=Lq2&%4d5i-ap2E??#^2n)hy~e~*22JrTEg%!xnjUh%7| zCL&%oe-YguIDZk^TIukdWX10>=!~BbcRKWnZG5Far(Nm!4iNGL9pb09p!<|@Cx4YE z3QqZJ6ZV-}@szb^HlYeMG ztMXQk~Y!xb%v9F%98}Adc3?BPOS*{<)3o&2MwK- zAyeNcKJ)N@Ebj)#BgDG2BJ zD)@OL&Ocz^UcCFUcLeSq#F~u)d>^&Bhxu=~rS(anXOqo-As)YGAQ}(33S;_}=+Ksy zOMfD=Jd0ngAYGneZ&imIR9&~6E=9lW83$A9YE_?pIr+RC-?{ry>5nmYbRYGMcB__* zZ^!&5+C$ohth|&$u)hb|93D6Q8cyz=+@oK3(7?e%lY8{<4bYLd4)i5BzhEAvFHlYB zj`Nk3x0MRM!e;eroK6}JW-}1d;hacgre>d=tfPWRx|Eusb%ix~;U9pozhvIH@^;V$XAivPH z{AcDnji{_$B#-f4pg}m~`f=nJ*4q^P`+$!P*hS&U*A+8xMczK*0l!}>FwSOvT%k+h zBklfpwKO=c707Y;D7qg%-r%@G7JW3_k0%-&*NT?-h;`Qhj{L;>+W|kGKXUEoM>yrn ziVm>;O_a9k_K;Nz7Y0(iQ?rvOg@o)N$i zJ_%`5F%9+FG{*hq2jT4hTR<}jcwvAaX$yWjbVWMj=hF`-{lLfN>nGq1fqxk&U$cyS zTq~yF<9z1?f!;3I%P9mt>XJEdRcrNEI# z@$o-@{)AjgpUQ#2kw@v{fWG+U8vVFd+zEU~K!1V3ajnSVkHPuNZ?VBEfad~F4e*yL z{qR~~rKFW{SY&=g0(5zhYE0K5SBnt*)BW`3iCKEmab4?e~>gMTIS`|-z( zd|WFk@R6G10`fN*9M_5sz>@By2EH{4j&PR02l#uy^8@m?8-83XK4si*|4)KfP6YmS6#RE7pOr&^&%oyWjZFEJ zdFjEudk+{I9yp-C9n#dmURu9b0ei~-RQeNn-me;;;_pvYV9d{U<65x|a=QiWt}!^S zkfrc351-#EF}FedbcHPX*o7ajYj9jEYJisp@CF9Q6*3e)n&9V0eb4fb1YQ^T_W>N? z+%B8}`TZHs@aBukV+=p8mFTHr^MAkm;|z{#<&nSx?GA10#rkoDEZVf)&wsMPajis) zfz8kT_-O{m6|!hEZ$A#ZS$-Gb=t*MJX+MtkjN(_72pl5=+LYQCKk!kzT6qcLKM0%I zHuAT7RG+f^3qXSw1DhuM%MXL*a^PD6_92|(KOXo6z~2wVAK}DbrGQ_>`02j*Pc-~lz(--z z*MtE7^`_k8TCtt+fj)ltmFk_!n{iHzfkUt{e54)_9WTG}OG zKlCo@K^~K%#X@;rXY2n;$YuMU0e=y1dg{0DNuwXv%5vEhl_WX*;A8uq2mV+T9N{eg zHQr${sYx(RKHZU1fI$Gc@%$p*nCnI2Rf<;ajguybPkU4!#K5NV5ZIPNQQ$W{#?#l zfInZUmPh-`SrwH}@>5&j-+_O*-%nNG<8u5H@WsGi@RwtA*WP-o^Ho@`ZBMgpf75Yio^u>>lHaM!S9?tKx5CF95V^49}~ zAJ?i`z#j?7pKEYjAxj;zAZ1zrIB%K-mM!;foKG4Q}RrwV*5e*^FerSMXj z-+qL%{7t}18Tb45al?;m)k@%(1^B_o@;3wD06Z{%Q-yGr{~qwQjQi!kVEA#ZdK~!T zfc%#Yjw@s-yhP-;|8;}oTJ-|(Ujz6SgX3EDGG3bK8Ngu|+rJg~>%eCPaD=n{p90?m z{E2}75zhSEfN$ZKGW_--ocOC#fWHTPYk>c2!@mpoR^WktZq;_v{_F(aLaD^SbCar{ zO!?gldhDep}#sfd|^ZYVdLVE(HD) z@Irt4O?p{=SB|4I$NudFye0760sWngd|ay&8BbF< zYPL!JTn)dl{=UFFM8Tn#{g(v1p;9N;1pEiTvweerw*?+}zETZ7PM>dqCjeg+NFUhE z@;?LK75I*TeAvbD8w$J~7R3hYuj>BBeq5_NGtN)ko%q2nj^7C2eWT!z%l3Z>yc_U& z0sn%J^-luc3;2eBe@7enxWX1*lbxM2xbJc3-<@%!l z`SVlYUH$b3^}E=0(XBhkz;8TDH z=9#Jyo(kTz3iByFfxn^Z`Q#&BY~N<^PgArA(Jvo%(R1aEV}OqV{!k$PbBz6Sfu{q{ z^Y>3_{)Xp)a!|f51zzZ%2dV}i&-3I0AIP|`e_9Pb_D?C~-wFKPfPC<={9@p9fNu@R zN4l{53g8bget|Fk;N$e$1UvPwZLDJps*)*5^{3FB6j zmkw{Z_?U*`BV-djF`r0rG*y^*Zdxy5{SEv%akZh3Q=um7gq&ufid5YM@>d$xV$fW| z$^;dPpKb62r5{rX^$4GdH5ZIG1b(Z*8z}v{YQXsY=NP=H3N?gLd;tH-;5qO$^K*@8 z@TN-dCwn1(;V^@@G#FiAOGnX9zlh&^=#|H-&}h}9j;!AqKXc;rw2V$!(^AsY#&tyX zs_4CkYsM)(>L;bIU4Uyx#IGF$X`C}(D(u~tuWQv2LyvrNZB|lUnZzpeXxLu; zm#C}RhIM=2_f78KDJ^4sCoJFVG&X%&c>LJ$lTt;`b~k^o>?C@?Z`T!tllu=1_e}2D zw|BU&tOMGLHArPR7m2Qw=OG`VJUU0B$%i|g5Wexg$FZ^p$IVYbze0#(|C8{ix9arW z*)y3SxUP)K-A;-_8b=~LAw4?_4&(J*cyWc+v5wqD_9I@6A*wsh%Uo5wF740sRDOtq zU?1H;(4uXigXs=nmFHA6M)Z3dY@Vw0s*Cxjz7}nmIhsyaEkhPxd8OdjQ054%ZZa(CU?oRg)Kj@xLAMAMEhEHx&PeDE{}vj{jDq|5ud$-uQ*F%Z;$( zc;Soud$@M^(k0T43S$T5kHtz~jddWMgZ}sz?C{p5))=gdU->%ak5`rReaIdt=bnT8 z@iX}YA4H*AF2%fiVrY1ux=^o(?@O%2y2O=lxq>*o4`!v^lqzA4AD@8DSR;51*4CM$ zNmJE)yn_QHeDocR>e2mF;{(C?eF=VB0a+<@rTBdluUo^u8yarj!B8-MRR8Vp#gE^` zbLz2=AY;2LRPy(fX;V#*W9R!O9^D+;#$T%z{(1^?`qy~s4u=xubd{!b*dM!`lAXVh zol{dMkDcPA2JaVv_}QA;u^au7l{JnAsehx@)1X@w2ik)|8!diUw`ELU4c~=66uL%_ zsgvlA;tyP}Is(_Og7M!3v(EEzq(WU;XS?bcq~nnu8hcCeZ;kk`I##{-&F#|li`h;| z{3S0^yMp~P@ZN>wz>&Lb{8yo^!WLf6A@PcArb&~IDYGhZ2Y{c&-L_G zUvrqg>URgD{t9|vrat*LEdwoI#`x3@*bI9@>eLRfqr-&su@kd8aQUhgcl!qDC%8v~ z+drjtbd<5->XAqz$>~iiR6~A`gWFjiJs|&~o*0ERLtD~xz9~mx^hvL+V$phJXZYfB z6rEtd=*Z)LY29+^b!inP)sMa^wAUU4?LaXpdcO#9%$g+qqG12U(@$E3J~iouJYPSl zPygg0eLel8FVRo>GDmc;8jZM^wuD2@mTEmpe>a2%Rj>YzsX__DqraZ0nm(xFeC2c1 z^-71)_l`JFkF1(S`SZ5e@54Iflj9uDoRXRmPD#D7W)`>Y`dZk#`b_w}JI;Kh!8te| z!->At-+AzUVbY z@V(ctYCin-LzGyM-*U-si`2x5qN|u9DOUR;ejA^jnUzY8Gva8!U{v4|0fagB-wUyJ ztV7}SKFwbfQVbi_OJe_B91?0I`6kr(ebuzTN}zHf_)>$n=c`_ga?vcX&&aCPf`vck ztNVxQt$$Md)~P3+TC|FRp@H`{C|~?W^>%vZ`0LTTMu8wY`ra?ScPkO2ztqe2#1DCW z)ngPQhm32oQpZoroSGG$mdWA(IsPDew7IJ`82VWLC}BL*Sm;8H-%w3{Lzmj|$1{xU zDc$9|dzotTj;iPS>vNBBby1iLb$A`zJq@dCF$@>v>UwP>EXHJ-a7w#kE?F60aL&VId zudy7x+l+U1XQ(E_y?*_T@lexZzS5s2$9nNUINW}BPp>{jHU2}z%lH4h@$|IEtLq6* z*pA`(C;lKER?|Lws~f8DzJ2pJI9fVzzQ!w%DNZtQzV@YqB!lBO6eYdtFRRG)Cxx#* z3TG3+*iU;>QnRLsAvx_K>fJ-Tna`VIf$?BpE|IwFB#cgoqhkB z`xzLDUDZ7Y=XdV+O-!98ZpODdCuG)aJDR^sMl}a&cCJUR9*G*o@H+lNo3lE}70B{- zU^k#~sZ+z56VRKSn3kE5<)#nO_rZCQ@NhaDB#q{`nFpY@Z1v?hdwS@i_;GbdWRO11vzU$vBI6Tx;#=-QX@ljs?OL+)2Y7hV8J)I`4RHJjKe%^-pq+diP=G{Wg zl3@$#B=k!gP^fntwp!)|b=VzaGb8O^zxp2X|4pi9_HoTPFzA1p@A;AH8*I&tmPuNv zaa5TzIkoRASK^$>V-$T&H>JNG0+H9C{-Zu9+9~w8{fS65qU$Zs*)lhs7G7H5UfGTp(HvD3zy-`4*` zhxvll(u+WOM84ZibSR~^Q2~oj|C69!Z{>h~7u)Y+@Xd~P5fnFT#G~*um=NSCaEl&U6QI4@? zgA!Gj=8d^OckROr=|5z=q4$JT(|5h~%_o8%SS#0q*Q=&as5)+a{<#SsYOWY3lzZzV zY47!V;SZ@C)fDsQ+`n1VRd~L<6W@q$4qxv=<%}Rb^y=HTwZnDhOKbl8Jnr8qGwwWV z`*WCImN@D)sJqsfTEAuv(sAyEy+;hactTow>iM0rv!-@JZ8J8VCYn(PbvoX&k1xCuU@K>U-vlZs&&2?Rw^v@n@!|Wn|AdlR`23-D%?Z@j`xPYWjpu z=X5+9?3lYw&rV6D337Z8mj-Axk3;X%P2>gDAwzp5555#5FRkn0%~koKb>ndO(gDMI zhKDDI`}Z3%RO_bLR}LGChUqhw;q zgs*;N-_akv<^;YcUKO{%jH9WXSh;BbL8I4TM8R@@^BYFoEEn?)My_7dhGjZ`;=VG^ z+a#Yj;lzh0M`FKeyO6H>gGQEwTHG}qtX_C|yp26k`1sGVJmBbN??dq_MB^~{dq+4t zE;}uKT3Uu9g31|ew)A?9%)JnEd_$UF6r6r*vTIETy5%EoziL*O%e(c{B^<8TjDlTM zKkac6ljm(%58CiG7vcmB9dYsIIkMN^eHqegE;s(h`_c3sv&|V{z>eJmA*(mj^Pjk5 z+<6MthqjT@oALx5ah>cuiHY}Bj1#2S4D_VG^~)%Pn?4=$zwSOab<+AmLO_=cr#)ce z^EiG(#@eHmel!X9x8X#-*C?RA^0{r+V@>Cd{W`8yNp-&DNxf!2Xw87MW-k1=_NR)Z{yv7}&xwk2cCC8mFpu7dd|>iX&;A2@ULGDAmU4ENNB+aOmll`h>+@`W zg5Q3}c3f!_Gg4C$)3I;9*yoMULgv*Q2N^wk!$bRB(VNtW9?Ua1@hHIk1~(ph0(uZQ zqFA(XSV)5PN3f`6u!RJ!_rxggnE>b9y}~5BUbZOIeER zE}VI;?*HW-r&pmTp0Huf+pY$Ezv)L&{c$LNKEu3v#A`UDSiE1 zoM^LBP(}`0w*BQ_@oWa?Ln=jm*aqPpEECF8T#H^ctb% z9|~VDNWvY<#eQ??#$aQwp#jehd#ggdR06jL6d!a)^qLtCM)S|n%e9IB+WNA7Xk$w} z+Xf%1!Ft5)EiY^9vOEo1DKEc;`z82?bhshBf$7u^7}mc( zrGs>dv8fR!tJ_J&GsBcLs)fk=LPU>4={Gvo+>f37h z>BD{2l(CqQ#68?2_cwN@pXiTIOPdUlKI19K7xm^smNg}Hyh{k)>@e$hT!gq}W3tI3 zjftpnb@|}i>~Pkk%&8u-x|1@ePac~w6P+txeKJqV;l>tJ52OA1DO#eNa)yTn|Gp`cW@e40>1olA=StRZYcb|u z`?4Fqgr4SoLmm$pKD1yy`B*Ptc{qLtZ^#~No~*F{FbCkse*^dLd*qKX>l{h`e&XZi z(J%iU=FuBb$8tXm<3fMlGx5M&fTQPQ-2dk4sTXg?4Yj4y=ggxw!tZvx709c+kJdEI zcV@k=^aFUF^AN@#4^2SYK83Y>9l%dE>{EK(5x9P;^td}*8~zt}>`%yb;<*R+HLm1( zVY800ws;<79=#Fc9**a)fr1NhtOxP1de&i%e_cb5o((b9nR)Wvx_Zo`HzpZ9oNnIg zK=iP$Ou1c$vGlrDuAch!51nr7Fh&E9-q_jb*%8s;aeUSt>50#Y;6eLtkNT${+HdPl zW*&WTx}!(xf42e^J&7JYXMm@R)q;7=frp_7eGu^Ijs0D}`Ri%pH_WL!>4W-ZU6M!7 z^26}kMa-i&4mEmyiLB@;AFMmyqo+51U*pm9xtWKpCBLn^jCu6N5w70?EhLw#`%0DW zfw5r@<`^Crru3K}u!a_O*94TShh^^GRs27`<9J@`i6@?Sue;7w(rDOWq}xR1(Hlp* z@eK4MB!8rM^kjku`NG?&NJQ*M7sdV-?o29KUwz~iZlj=t3fL7*Gnc(ONo_f6o6{Y&U_H(`8-X zKJoNKN{jaX_@W($488F!8(-6}qWDrd-oX0wy615JG|pFCeJxvi^!?nfUAr0W&uG_f zX8SYGYTv#Su1>##{C#jnhnXFO*XM7{Ky*?gibJyTjubOc=+52j(_SWW3U;3`cc-rb<`}V=Ut9uW|^e+2veIxPTdbF+U zkHP6_UynW32hX*}2waZ)^U&?tdOQmNkA4(omi3_D%j0M} z_$E8auOl2it^#w{t(ocff({z_xzGAZ3~J0Dd5&k367Y-DdB2QS2&~!0vi16GCe`c5 z^OgQ2;FVC{eB$~nLnE|?P(HvX<-&|kfH-nz8J-M7j(NHPf%(Msw;39tHH7kob(VX8 zhw&Wl$i3h2%rxYXTc+au_TFn~gw_zwPOgVL>jyj2?#NwacdZBgX zVw%y)9JwV-rq{cDlKP{9a*GU&(DDzOS9Q|uU^a4w1Uqu2-01a73^}$MT%_Xs zeyB2XO&JqPj~@xW0ocy0ZY%u9b7;FZ8o4f-)?5u{vfVN8QI z)ZkwZ9o^{lU*h~3=U$~Bse|(roM#P-w^XE?4%WYy^wabce$N8Wc$_nFqQAC2L+R%R z;mpSA`c9bthQGX?1mno{nDbaK&jey zN%+%PwK@Np8yQJ5YNWdz=`WI7U+jIvI@KeRZ%J-Tl6zF`a{s_8<*E3j;nc*7*bi22 z!=OO#?^u2~f{EAAcRnpU;}#>DMA3{|D1EC?cKXKd4FHu|9rA{ zH*=mjGp9`5*5AS!Wmsou$4?jOJf4VpImc=tLP<{`YDAZm&V@VbmUY z^)^fP@&sA;2{-RI$U^^~bvX{)L&~}t$D=r2jj6YP4IsM?qnc~#O%}!qSv(Tkadj z{yoZkW+yx83CwthxC02YJ}~!#2C!Wfk#%>@S!4lhs!052g0flfCavk>1oF zJqD1}jIaHge(r_q59Yk^E2$^aht{4>`|GuTvtFL&bU{|Azc(!c(cs@K{{TFOIvBg0 zM)exVNjyJ)QSyO*nov7O)#Ce~T#k2aInrv;^@FPR&xz%Di<1Uf?-&+zPACV>hj*YH zD8k6TUjCox{9maWjtHboCAU%)%najJ&+>Q6jyN+RI|2q9s zm&c<ZyGH6=0-n5E85d;zWbmpk zUV(1&%_cdJ_ZUl7b(baP`?v4_Hf`_b2FUr=;`+3!pUu}$ja=E%{03P&3`N!b+0P%% zAeCWEEl2-4A^&XNVE`Pk-_m5B!+0K*h`!D42*^DS-=N%I1#c`;^o&EW{U>~{1mmMu zk@nSgIBrlu4z6=fQNdzq5)H$DFd%H~{Zy2>ECBxl9UxO{-=5K5t&MlkYl?Ae7}R_6)MQ*WmOA{*W-=%D!0h z?FRp|`t;h5iow{5dP`{jl6|dC)0xloQ}4orb}9R6jky-GNu5T7r~eAQY>HT0Ug>*- z?8`VU{nVeA$d^ZE;3-%QB>iV+a(zj*PB|6ryPp4K59K65wrf*04{#Wb<6azC|IS8V zl|7G0SJ)A{xroij*i(21**6;2s=*euuRK?d`jVZAW1OWWxriHQ=6K?p<#%sy$*kRDnOnUtIp@B}L?y_)jJNAI0;h{yE9Kh55c2 z;pj!g>BSp=ZN4j_7=QeQJnZ<3ZT%m|K7Ra_ohjolO6{d5Kgmjw-uMI7|KYEsHxn96 zRr}VkeqRdOG#r=V_>3V9a%n$$3G9M?lJ&BX=r97iDz_w+L0A=gcEIsBVfR$i?Cv%Flt zIEMy>-h2-2h0_OJ&r%ImsYd*~5RDVFF@DhcqQs;#p?l-4>>rsgVZGq3%WSv1gk1Y0 zZT^hcK1sg{+igX{UdyV4Q?E#*`mF) z>vVsO#H4Y^VB8iNVe~jn=J-K&g~6*v$J4mp)5A1k0G`06?K{EXbUV!B_2G`OCTso% zOy4Inp%GrWWcw}b0pfi)v{#2hS_AjpDzX#pOT_*k8`92o?@wcTBfWpIKX8=(RlV-L zLHfmMO+ddBd~lz%?>(Sn&_>Lx{P0;?7pApi3h52pK6U2bTm`+v$AZi+K)+Gn7cupzBkshreQAf%tKfVKGyDMq&08a`x0RPf(?6(((B!Z|LNen z!jjcq#rpogp`Q)<=Z?O2vxM~RL3g%Qdyh+y590>x)39Oh)d~DNgWd#tsYVN=)}aaf zyJElTeKxtO)h0pz-I4xykA7cZ~Ku6kMHB?Y>H1?X;2fby2f1+HR{}bQ=IqJNWkU#k~ zm7jd9CnhadCX^rLg8x$prZvJ~G(CYo(sB780Ucw)1FlRc-$czHXXr>B@L&Req@(<& zR|g$!!vUoUbd;O;?^p`@IMDw{;19j9d}yOd#|L5;DHYb*=T+7pMFrCag5Fi9{}KHf zy7H^APBrZ%BIEoj(ouVa`)Y1GB-0!}^jp)OmpJ-8uunpMsHb#ajrR00{r80Oek}EQ z=ZCtU#(o|&!>GLdC>1c6mSIgz|%hCKW4q!duxub;LvQAI9=ReK$t?J<866(z|)T@VpSuN$LKOw>H zD?rZ%UAJ8g_D}G;)l8?v!L(&~Z~3YOI>s~LFLbP7Q0GklSb6FGzqgJQp~Ig(xr@{D zPlWyr`yJ7OX-|0fRX4!?)Gq(Pejs#=aP9q6L;nTo+cInZX*fXN4>j~Z(5}HBO=x(Z zd+wF_Z$bKt3>EyBg!K#42OrP%=wB!C`<+Y=$W7Ruo5MS`iR#~fp3XH3b#*_dN3OTq znGgRoUg!4fQ#q&arRz7&(L#v8t_-cLW2(+ATVlbp!!kI3$I$FDi~pnWyrlozzV zz4|fzD9x`ql>_{~*Zh)fowwy|3BFAYSEWwvp}16e!obfKjyY>4KN23j5`q@I?MY!53y|b##?@xTF zOw-#7{p)c!@1@dn^!pq6c?_~~NA&LxGX`&yQ0Z&cew@A!e3F6(~V-6Kywiv?(&?(M zUCyOvF}ifi=nR2r{62jYjvKW6<8h7pYeN%V-!|D8z6iA!_j8(HdN%k;KbA?4MbHDu z%pd*<{=xJ~IM5GIpX_)AZ|eFw74>Ki_MK(Yjx2g@Lcifnj>ZO?%kU-K7ER7+(yGas z_>Z5!=+*nykpqU|`|*8yj~q69z^J|^6;bt0H7>{BnRbIssJt(88G`9(H^q-KGDi+J zC6=;rxnBT|%8mLr{Vi8!x=I_N`_2EN+{5|~>`U*2_^-;nL6-r2B<$pZ_dYK!<4n-+ zb>v{UYk!$O7vGgc`!PKS=PB^%2V6hGbj175C}RG^y#VTy^$nz_5KKp1NxRymAAx%v z%wvhr$1cZtAEnMx`*8a}?|)@_5uW8jTm3cpS1qM3)$ezGc7K}(F$kW0)1yPKIR|l@ z7feB4Km9ixtxFM zh_L{bALWvI!p~PzMU>}ar=xAhI}5n%(>LSVn~zmLTled!>fv^mM%ITj&gYsAj_l7{ zJ^t9sH$zmzztwx|aaAIJv=6sj=F-i;Sb9cH*a+jg?@z?@){pCPZIW~YsqScbX4IBv z`=2dW!QW`ltG}(sIZ4vd^WHWc`u`b+VvKWu6t?$eHD>!m7 zMtCq4cz0V{VNIFr51_vH-#laug&&84yYyLG?Z@`ui?e%=KZ z{iz9ieUYG_Hc9w@=+apJmw5bPTgyKS*x80VHbbcAMhK? ze*v%s9{&XWL;X?xV~lLlIR4T=v3=o>mOuK58LK@0kA?LE^+)-axHOi3S9!*R`J+Bq z{umR?_|oy;r$d-O)Dz|Zxl3dDU(%Il*~}mH%kuvQ*q=TAkB9ju?H|wi1+aMvA`uaQe*<@5UQnTL4%j|BEukH7hD7V|&Z5<>bz+ilV?|G#zT1T>X@ zW;2ifiTM9i%SP3iChzm(bi145@f)Fq__uLsr2OYzaXy~?CnWPf$>V<(u-q~VAH2M( z2IHvwyH9F$vW)3NJlOf+f(zg>Wq`&K38kzr{-=%A(OFH1yF(e`f z&gU90pFT))i_qw|?npg9N~K5iqb3dOaYt8rt^_a5)ppAC_zwkkjK_b4zQ4ii97)QD zHpZ-L&&2%Qq~&}nF2A_D7KP;)?&WhQuoJv|wwZB1>&tp#NMHB3G$KcLlB3UnVOoy6 zJ^l{@`-J0vz!6#w($mf(PDHvRh#KPm80Hd#FfV!p@r2uPS*K1H(F-j!|A#&P&w~HU z%%9Sz1IBy&+v-C(Wd4Z{2_TPurLR-Eg?H#EMU^Io^}E?WF)kp<(TW`Qvj^j@78-jw=2B98p;P8 z!p|wrEOKdWK7EJ5n~)FsmzmJlOxS!dwOxhK?aMer#_#VT#Q!$1K9Q&C|7W8ApZSZ+ zv)+5LazcUxIe&6##qUJz8K&NR&-ny1w*a?E1+(_?^4X;Qg7mqC9>?^I1{m}EXG}|z zB4o!Mju+#$Q@$_*GA8}bs)zh2Z?qxLlFhfFFUL6c;Y+ZVz8BuBv(<3@_s^_fFTFo5X zvPpC7rp9xOEt<0k|GGGQIMf?;*#O-!F`j=3g&=Z&xOkcMI+x<2B2@GlumVO_dsf?a))pfj(!} zEjZB5n=m9@ub0vLGjp3Ce>`lENp*V|9wcprb#Aa6Mz3xj1_E9I#Im%&+BXT<0*{z# z_|W5yq;-F)hZAs6yMX@JgyHpceW3eWKiG66 zrz_Y{w0G2B+x&-y^T$}g@U;3GIx?!S`*k@!0scuGbpJ|+k!Bx^LOwM`UwnsPwAL3H znMy=D9NnZHp4rv^47@3mcE>`c><6qmM)8Ba@YEJ9S~ekl>d2;Tn;dif;A0GaZ&tXY z1WZyYsf8{4oMCBGzsM!P1&7?)2-$mv z)19@q=$qU90T>4kd|U-jVEyF@j6>-Ab&vjv>vh=Y>-BL)_y6XZ>qWM<^JGGg2oV5YRZ(e!s z(c|J0l;`v2Vm^7i-M=}7iCv2(@SIlqwv+Ck>}{ve_-fWKE)Q!TeBNc)5Zyna?s9#k z{u}H6v-kJPGh6GYlJfMx&9W+&2lHr?2kUbi^wS&nsD`0TI%^s)JpP0VdOwY^0ot?P z7>msy|G|9k$&t7((*|YT>B{+UU$LEbie6?nM0pRw!7~WSY4lcoAA$5z+FU>3&#!eb zcB1zr&;oIf9(Tw(F}=Zo^&j*6{p=<#4`(Ob%p5#~{bqJ!U7llsI|hfF7N{|&c;kqz z#$k}w%;TxOjLY{#$BXmbt(_I3k{)QDP5v?a3>>H8Xz#Q=W{`g0ij2S6U$wW>TM1Ij zi{~i}FV1(Tc1n{k#^IcA7vM0qnBCpW_X#~7=Jy0hJ>h)Gt2t-ErqnmaorWjlb~q%YjG<5TGy;|#-#({CYt<5O95E4XhT&V=)auXFzW zfbWarZYJ^1m|xBPFW43RAh$2bJEgn>9S_d;PtmuZGu6A9ZBe%{rkjOv(f7EXjW%=k zuPUwjKQ90O)fLM9uQja(>i>XxXm{X~^gZ3*(TDwky?=dW&TiBZ3`TjMnToWa zef$>aQ=rc@q{mo&&?)#XE9UwAn<4G7Dh==O3x5B^B$YGEkMRrJ9do1E;4#}<=O25z z*%t))G4B1Z{O&`?i}kcUvlqTwLOq_;^Y?YWIlyJ%kZ1F_V0V~(vvfcEZ5j_Hj)qVc zGdkhPz8+6t2HCO+1}JUYHbJLF14?>b2?k9(93rCr_qgL)y6#Nui1+=N9?c(b%wFWm zCUSJm=#9-d!v^#bIr3NzDt9ou2#4MSND+5@pxa6ElRalp6o(LhtTUQ4%)f#L+jv0> zkZd2+2e!{=z)}17wPu3To#S->P5!x!(ls|r9+J-{ho!4fz8f4b$(Kyy<@<%s_h;aK zz_Ho!y7N^p-#;l`^D!-($n={UB<2@0Z=d~#*|IHZt> zK43@GEZ(m>NA|n({*U!`EQH1WU_u>Ex0}{QCC@#u8TrDTL-_w-P90ko+@8SuISlGY zNNKp-6vmi-@_^#A{>U3`ceFSap0@uCd@FI17?gh`*EMx?MeWuG<{UDdq^y zGU1B=@smiNXDgFOe|ns~8KQjuM@p6XSNL zz@sk({WW#)FNyW{6T}OS!S<&b;&VyfEH!4p!8d5hU*)RkfVP)#e6o7H6B~oEP(yV3t|dPEN{#rOI%)2^ zmUD^`@ulS)uOGtUUcj8s1RK$hk^3j62jFW${jREwm3uDlUZ+B+VD5o9FI7Yj%l#K< zf-^kiTpjWIklZ`e6+bjNjU(IZ7yoFa_zC15C4%qy@t}0`eLWI z=V`$Gq=;_o<=oanr|(P7$C~oH>6$(h{Z368XUs*vtZ@vsKk&1;CqUnGk5-MdM@#S2 zGg*I;IdmA7XUWm_{8*=x`A#SF&3y*(Gjh*u2zK_a4f&f86DvRAF2&JBuo0Y*|0<>jb7|-!p+R?bo0A{+h!I5oh2VFZB6?We?6+rZ1)>ur%#-n*#0ccd=wE^Ds%^4{xNN*s^P#Tv!jNsfKQUvxXhIILmLeMYbm zoRMn*(*xj5IOIb$E-V+;9_9+pVC0N;axQ)6ckV~(_R>k>S;_kI9iwc+u?OD|G~Z?9 zc7vK}e9X)G&l_Nu<+6#C+sH>av~6>z3N|Hf9&q}B@d(c7VIlJg=DuO`KJ;XDkE>s@ zHo^649@bOlUZsfc+TX7wJwyrSe$UrJ_uJn!pb5@q!WZNpUyvTxsbTjj8O0>)=PO3p z3drAg=lUlKwE9~HHlI265qXXN2nX5cmI*e3GkOtOg1L5ns1BX2`dYortI6rfgu%R8 z;J29R;dW;WXo7PEqbvB&yfl(~n_ANBjpX2!^y1tKZD&aj*|Ge zeh0XDaJ{)Q3XRr#qbI_p1NXP^jMEcjrx48jS#bDm*v#{vc}+Q<^^`|#&i70Y>1kdA z^VNh?u_L{aK;2-d_N} z3l-7BddL0vd4e-Mhg7iL7?f2X#^*J(D@5Vpt@OSIcUsJP$Y$aIv?*zNYbR#(&q>BUa{ClgVe!Jo;)cT%d-tUUrg0 zCnPg9G*8k`IAVrClYa7#pdFySF8x^RA)*t5YEp+mS`P61)DWX@C zbpgGO4~Qw>d{oML|5#qp^7;Cz08ioX63`Xda~HWe#P55+xlC-IFi+Yb>+|@`Hm5+o zCN%mX!7lR;Mm}C&Y=D%{{6k@v`3=-BCB5YaZDKxjKZ)#O{AN>4u78R1vH4hgnBUlP zj>>JytNStFO=w(=+EY(2^Rc!+UvLH^XZX?lPE_7@sr_i@$ib$?pni(h!gozo!C#-N zw6uwMuOrsS@V@cj)3?Ly{}=zo`SJWCA;x(w$B2LGcA9aWfo(6?2+rtL`lkSR6B;*D zjh!F&;Aoy#%)bx*wM`M-&MW5Yeva35&^OGjuNrrx_b~f*$v`;-XY!D9b;OS#yYx~O zf7W=8Ba^M8?ZSGi{*c+84UN;QQzJgGe_B&H>;LF9r;e>pSAuPSmt91DqbI@*!qHc- zTtENG7;I98_zeT@W)HV3gLbxYLe;UUJ^B=YJ#*~9ZVlO|NVV-zE+t7eKCGbm7sV1BOUdDtiFG^f9fLMQnb1mHJM5#8zU zZ%uCudOBYV-Ph0WNGCX(315(Yc94EHsRv3X$`W~U{a^v#=;uIRKm1;Ny2otw^Bvgz z<+6#$ZQ2{c?ZUA|uo0Zr4`ikg%>Pbs_&w}*)xzpW%gpWaf@6XEg6UR23y$V=bRRq@ z#8_?qMosthv)};ePH;98zQFu0wPcF8Ta-c;CJ<5!&XFt0f z`~pSvQ2({yG{g(e@Q^e7a=}GZ*eHHEtVupjt?aF+kq|LFc(0P~oMknvy2dx4AvIEz?);#mp=Dys>H zkM!=(E|C6F{BkgG$10+S_1O#Yx*s)6_)PljOZx1cTAw?I^~D!_IaP=c{jB@ z{(f2b`)2I@%SXFM@48*^hzizWAK!xMIOk!+2EQ-eE1b>0`Cr_;pUSb|Lzh#mK0jp) zmD_~FS9|mR1xtj^{!s*;6BW_J`dol`!5JQMCVeg>edeatr@s$4W*vj{xxme_qwS{g z_aZ4T@FpDohwtwjgwFDO3!a}UqKD-vN4($+4>^-OpHX!@szb_o;ZA(MhBn(H*!o(6 zv|l=QvG(|uF~Ncoi)~U@9cKMIN21xkbFhxRphz|8l(7F}!JlYnZF27d}H^acv%7uPyL50ghk2~p&XB-*A{R6BXLueeHQPwzZznq4g zELiZP<=Lbw%^SRaJ!fCYCpZh@3&@vqFyzbGPd(TAyYD%!XR`a~_I3vRXzxyRA6;*| zvU25M4v@$#rb% z^$7GEgNBS^h2s8j()9@bmcfaReQbHVGKRi=U;;)=@I$}6?NB7a8H}9C4i`{)+o$$3 zl-D=_?|*|GjEfn+q3lqZhwjtoT$g&>hY*7+wphKSfOX(KBm47)1Pqka@_OE z8L5u=Nu`I{*_`_kFE~>MIg`IXOnRPJS-(N!POazt(s|2K)-p5nV_sIz7I_kpni*<5-AehYE5r4|<-C);PL^v;DvP zXZAsu2GZ)2@}B89NAzjxCE-x^99d6@_s31li)1q4sCw#_aJ|I%BS&xsBWJ3YzmQ(P zRL35ER0&7+OtP=>uU^9kYsHdY>0a!$mtve&7wdZ12ZXhb;Dx(zj}H4@yzkG1^OFC9 z1(T2t>!mMVf$`tXs2^uwKGPLEufg{So&b-Fk|lF-m``@92BpgFDlGQtg=4EnOKm6k|6t?)mFbCm-_3oaavAvvhqf%Yh7=`MpM*OQN39r~ z))Qo>Ky}ZAW;N7PPETz(n%6J$jsb3~B6?U)M86I**JCS=L>8W|@c49{_JCKR1 zr_?Uw9`D%4$;~*-%X4KtA*x3scZSFXya~--RdJGb5uCxundG{b|*swxEpX>A=n7c==FA{2jFYM(XCZxSg!-YM{ousXVU8^((B;V z`zLo~I=xo1e=@f>*o|=6M9Qt}730PNE8~fHzqy-v1;Cqd^gFcQ(8&Li&}qDpI~n!m z8AbGPeR%{l!5JQMCi$Nu`5#Lye?{hi;d%pUW&3Ay?*+SOTsE=t6Yd2ZPYO1IGxAMl zdH}vA9CHxuUo>>-A9-A{up9W@t%x3$|3k#n441bIymbHQIO2-@Ya^9+ed>AT4m)f^d6Um8bJ2F?e&x7F%4_Ns z;jliD`?+8vIPEuiX-p5m*M#O>6ZY}uZc%~88H}7suH7Wpw$%G#D~4M`n0_X4o|gMF z*z9!dla-gAzam_o!g;&H(p54%J@1ltB;zQZ3C-uIK_R>4?PqZYBj;*}KMeBa)m7Kr zxcB)SnQUCR!wxslzVFwG_Q!UhshS&>*>*p#2H5Gfd*T-7|gy^C6Bd-l;f-^kiOnN$x^mJ-!J#Dwc z=gReT9N3-hvWbUgp7i{m_4Eq(9ixaI z*3&hJkD34H(fmK}I<@Z7hX!&E$?hBdHOfxl%zY!CKao#iqb>zAj>zi{c2$`FGcFU@ zO6LEJ!~8!__p=dw8a?UxKkz0Tdy=}<>FEWImi@A?V_s8B5k0IY%$4#4XL!gNYiD`S zke*+eomV=(3H-Y3d>2Ft5wIPp~O* zPXYH(6>ymQGZvL6OR3ly4~sbb)mB#7J*+^MRdEqlD9Av2-K)m1>Y=5X7rTu()U!`szwnOi3e#`5FvF*beu=~#D5U+2-QQ!KtU}N=7xIb|G z5Q8&%+{W}EZ;j3SxR2FP>%R*R7CPx^;i2GHqKF=99~NSKp>dW8UqDX_>q1WptE;@~ zVBNMVlhhDrr^`56=3iqlewwU^Zrg>0BZSWWeh2s*s_9<4u&^iM z1!wY*Gud$n+3}{-cEod9W}scE9%0vo{arQ@xpg~C_Fp&%M_<9F#Ni(N!kc4oMvr5d zPJU&=@wI6@Zs>Oio#yciF>Zj~iSG2M`$g6x+J4jtq5FD#5b=UDdB~ac_&n+H;naGp z7-b(6tyGWWz)sKIDU(?J5DxnV7wUTmDRD0W_p}r_f-`#3{XgoY3CG{9@Z6uFOaI0G zn7gU~djIs*`anHMPTcZ1J zVjhv#_#w49^Kt0=@)4YoJD-b4ZIlToq|-W>q08J^4MaH2&N^$}X4~`$9Gf!9qPg!SxBBsoqWxdOd*Jk9_JkzhQb<5C4EBIA&&l(0=68 zdO&{N_~#w5-+|}<*5Z=d*gbzQB(HBd#@d~6nE&V3)9DlLTZQ;F1@4d-oc4RjN+AGW z6He^t^Bhf>8$!~*r!5JQMCcV)7KmSxUB?p5I3dzP}J76#EA!c67 z>pfRU zZt};(;EX-*XFBQ4gjOf3G0vVcUzhn@Hpae1is-hV%%3Xh+1}_IQ8$F{^^^IS&ug5? zL(XLH|B$_3NNsPlWA1*Xyay##->`lDWS32>9trmrj+X_S5{JGuU&a&h^@sRP!SPNE zKcnw#rjw7F&}yoB*y($w&}rSG0R0-~&_s9oE*CoMo9GW|y07m=h!>p6L(bF=(Z2rt z#i`q&ic$7O4VAV-ScAx4?y`y1BjHxzSSr|*xKiNsdV2(C^!Nqyp*n8DNgdSvPLCUf zPI_8=G5F0?M0a}pTj(^7UQ{1#$m{Clg$euo@_$D7mh80jo`F?79GfR+Ba*$ z$xGFdp>_R5`#^TV8H}6*#Mgm*i)vT4KS*Ati&Sy@WET;yx{iHpd8N+}fH&dfUEaRZ zMRJdw$Lotug8Um5(L?>pBG_8v3=cU|8Jd$kN2ivDo>LtyNn+(`2sW%g*v-xuBP(eVuCqU%)aZVB~$(Llrt&St_FsPBvD z{{N!EsrOs%8fvOL-M_K*9YbCG2}?76BO5I8)-ob;>-x^PvB2svL8sPZT(38oHY5&BE5D`%p>JBauMzs9FIs5A~+-0 zi%ch9G@Xs3%Y@E$Ujcp@is<2b^e*BBXL!gN{o$ell6#g~-Q%fWI5OF|e7n4V z4zEV${W9AyPTTef>d&nzcq;?XAQXeetEe|Gh1vfv{-b`Rnt5J@-WH-Wv3`YeELz}l zj_B3spK$p&bpM$WR|s6L@QmP$out1eJ($q?Bh}pY$BU#NlJ@&2@Ox1aJ#44X5%2md z!v`%B;x~|;zD(WT{RKM>95A>qUYQr$-mC^2SqotPvGV-HjdC_QbZ5QRe=4R8fTffi%Q-@L2@N~AF}qNf@7IeP~hg_ZH`b) zLhV>VQ{*o=mn~gEuLaGZ*McMC^~&oa_Os?_pOQcSRnP!znmhKf`aFR#0q`cY>Eg9d z1)YRW?NdP)9Mu)k!}>f6@q#lvA!dkI?1t(l|#>?fj6Pe=hTjQ^WcJaP#(b< zjGW0%ACsNlOTACFqK|nk8~l#MVg6rG$^0K{BNPJQ zO*nOw>gf8hjU3JER*PZp{A@*ZJ0B>JzLNF0WDNMsRHyBes7K8IHO}NAXYwCf?=SdM z72o-i48aoT|K#VJNrxHG|*svxZOCm z3O0h%{sh@65ML8ct4s3&Lzg~I^zic5c&tL5Ig@%#BxM%T^(8J=os?pf4 zeK|hadhHH*4z1S+lCw@a-eb)5$W|sKH1aR50e1Cm4zYg1xJJO%6Kq6&txv`^1@4e4 z;Eo0kE>0oB&*)3{i{NX*X}8k*@Vs_sG3K<31!pjFM*Umdk@R(1>U|kIM%jj^vV9q} z4`FdzmrbPHMh}EL7sr``O^LezxN~A~Mi0_|(mn_iPMhvM$Fg_`NAvxXRUJ|P;8&oB z{pwoeBRIoD&ZMWCNl!PZ9@l+Y$dNr0o;TugPlnwGs6X2WKdQ_7OR1&0YfTz&`=RvK zzb*w^H%E@z+31UK{c-f<+*9KQ0Vm!c#Tk7KXFkE=ONAePPtR6&yLu>dN0b@7Cgc6q z9hn~1*ErCU%`vGS(*FF#_o^C$%cS=7MD6qffB$0(^kwz~YK86DP`^`k-3~!tiH}P; zy^RL@haAIL{~_GtI35seN*w0@i{s}1#ty{q1sqSt@H6_I%=BRKXj{fJ&Q=dP{k|!5 z)-Tox77tfMxBbCly^cWZ?u#);M7t?;zg|y8e6n=}(m$;uEY3=;|E;8d8B$n(t*ZX9 zhOv0Il`ZOLMqh+mfMbSWWA#tCd>oa`{}&Su^Z&(_%>Nhb`9JU`oKdXaar&2ewD@-g z_)S(scly`+;Yj~W9|rwRP51Q=TQ3%z$wSW6UTh-$f0Mes*arQF)n9pgfw9QqpImma z`Xn623yZ%OY)TxS7g#K-O;I}6hPxvD4*D50)Hh^FHe-b+2tFmJAg-`}GL$J6sVx zY^Pp`7o26n7Z{f>xrOZ1TNQQb_b10Cj>~!dCqq7V3nrZ1klv4A=wmoq{PbzyE>}di?c|cjg--MHC6h2-->B(c zJGtaO&;)1lkTd4zOCBQqOjOk;rOoHa_Lz5gf!mL*T0gx84m1sjzwQXz*l_{-^`nkK ztlUpChU$R{XJ4$2adJ-*I@=v{z$GIT(Vg5gh0bzASD3S%Jt|?ow&X3u3(n*rXR5zh zB=>|ee4K4fM()w9B8zzp!4s zerVqIht`kzLTeTLsBkZ^m1hAsyNLW+PmH4?)R$64a7Itfm>vLcLfgjP_nit`2%YtW z@dETpbf+gho?tzp?T224?(6AP#0$>kA!qnqA&n;rPgmpj?<*~S(sdKo({T19{oIx` zMUl@0*!H;ac(B!TcgidxztI!nI^sB6ij)$E{=cx2{-5(j|6f>1|6i#4f8b4Md!6cP z`+>r%Ia=!P1n_I7i0<@0PUx)niJ-U8bYJg%5id9f+aJ<9t z`oA9Rhu9qB^-nmAZwdzrHdg`hQ_1>;Hulm`_l6y)9$gPt+Ao{}YAI zem@`lE>}c%`jjB|F#VCJRNmy9I%htTURgx@1r`Hdc*a-3jIIhs0eZWzwZAScNB1q zbpDC;RqGo%q(JSB3FmZHcRGEaz|o@b%b>5_is(+?-Gt8d>niY3n(pfp_y3m)&g3Cy z(&vRFOxt*U%DRJot_}64GCiIQcIUZl;`|Y9Yp}F4j`o60iMtrMPBA#6$IF=Rx7p_` z^PbmUdX>$h;sqy#HNR-r zmfl5rzD2cp`G89~I&nNk;{y1tzA@_jc0)rvPw#aLSVZt{liK!TX$IIEXGaFH`XtM#oLDI?1D9xrEk0JBKk4y1>rux@rGbi;ywmWuY*T$M&HwzPq0+hMd+XQ zQ@!u%E}bQGt`FaW-vdl{`raUP)+fri^l{Z8JyD+ph!-4#?GO3;XQa<1s_TgHbvZuK z-*vkpA1X$>Lf_3u@N?Fuj5^O|IM(>0x$FZ^sxG#ZQ7lSi; z|CZ^&(s_czZ-?66d!m;9D0I^MvVFmC8q=-bm+dWd()%*%2WM%z-=1#+O>j07zJMPu zt4?~~UTJ%7Zc|j+p8pDVf4gjA^$6TD%+HtZ6l_XdP2l##;Itm08w$ZvHwNl3Ry|?c z3+RUFtj7+(eXEG>^w?JDtjA8E|ETGn9+w@4G7FBu_6Kq-I~{sl)+&CTL9g=;9XYID zUz)#-Lmn9_SYHok)a5ZJ;Y>O?2nXi3%e=PP=JPLJRJIPp4A8`nLOl7e%_1h zbGdr&!tXBO$e8w;cfwJ7?R_`(t~L6`1)RgQD{P}{T{aQ9b$h`$*m;?ZCt}+l#`OVC z&x0fUj2>_1Jjq8)IJbjp<@|iG(Am$Q0lyB4=uVGM2%Y`>dC)s)y06FE5idBChnz`| z50f76ir1rFuL$iZB!90=pTodb)&e-Mi2O#MgnJOjcqvj!++)DqAA>Xce1_?MeLHuw z_g%kb&kLRPiMAiUNpz=A-7m5}b3q@h>ApVSM!evpFy@!^nN9k9SKWHtsv9{v-Y;d{ z4c}dN_d96_A!9x3ml(4w`^aPvKdz(s?6OaBd?46ZJrZsPj*nw-Mvu~e(LC9NbEm6| zonPj1wAAk(!0#DFbf?E}gwA^W1@z}N-PdC=;ss~&kTdD=OVZ=Ycs)A546mbArq4xS zTk5il)f3@9$ANoM6jI_g0#_b`Gy432>A|u^g2V55d#fv*KIMKr>$9i{_|0Rw)8`+O zp7dGN67<|Ky-4Pnyw9%aDD*uKtMhs#d@pO+Zlu-oQCkYSNJo5q=o@3DZT08hz_j*= z^#VU{i19Cd=!_;O5q*>$m7Y$ni|w0wIIO2BUL*={{dx;z_{U}7#~0N?QFQK{Z<6nw zEkpXE{c-4dGvktcFN<;LgNtN55iPfAhlt;yI1Z39MdZ_Vgl#AU;A_Hp{Z)pwBXmze z?06G!KPaMGI~Fw;I?ZEnO>>zry`@KQ1B){*WJBLw?Xc-Vb!WV?Xfkul$$xFKUBqI@_FM?ZPIisB|qWkql zccz{%;J)>6da(%i`>EH_iqM}Id21k%dZg zzKiygJ}klQ=Qz||>SL(gL0xzUV-3t1sr*6F`Y`+d#edkBnT~zZrmdz%dQKhpSu|G< zUP5_fEWpKxwG-j;aLks{ro=4M zsT;cV-=cTi=Pz2Nh;H@1+*{{Zemv;w66no@|LC7#7tE!z4BfNK@`FJW9E0so8seM4 zF3TIFp1-3$(g#SmKGJg&=DTy=MlEBHlogSsX;B|=Qw}F1Vru%-@8}Y7RG5PR&#g`8vJ@u_jPx3)P($kN1 z)6<$pRb=&ZE!f@SvWUoS{ETozar75#1ZVtgl*qr_t!;G5^44h}GX?Q8tSc|?p@{DE zbC=NB&*p%BWddF9GxNM-c^2yN&8pMJL_foR>*a!DX7&f|?ecd>e~+q{n>E|O@yX^L z@H75UFX^wWS>ik+>F0W_KJNkhXKjx0{zkYLaXcm1xN+uk!cE2@;|cJ?cr?kpgK-!y zEZ6hrh<%J5iQoG;UN`x=veK1pPe11P0DMj8^rLd`)r0OSh#k=%z}JcH>^MW{JdVWt zA7jZdeU3+80D4veUHWUTCl~?+@SAY@-@NqOK%b$`@1E!f=+Bo6j=}ba{NP9OgB9_9 zK=Y2@jUTv)$A9e)3z1C~=KsqHhxz|Ae-qBX%6pD;`8J_byH|{L?&TjVqC0=65IXxq1?UUHbl8{z*XtDx zLEon7WQU3jesO@`BpN?0K2*|Cdr^D@(#_H7{Py&3&;-X|`;&(FgWw0n`^LAY-gltA zZrYAli!mlEKG1TEt?!I$0POyPjngx9M-g!7Bkv38sT87XkRD?ShM{;7y=^;~?8 z&{-cBfREC2Panl6B3^I|wm+ngGf5vOr_cxNu}SRVY6Z<>-S@JS>gi~(J>BLMuP4G` zy`Wh4ud#YMPvk5<+VVVqw`%S5Bz?Z<=~nPNOcCAbX^^C6J#7O02u=6(bUES$XETvh zi0@B&%8cK4F83SBZ~ONeruTgb}-?Bp6UcA-+Mx5`91{CTNTm6@}WO37M$TB zXR23kl6)_#lRwFp5md70IJlkTWqQ;Pyg$Kvj?s)Sik}1<-FFl7h&;NVXWTo$zA8nC z;EY{AWO@L+2^UQA+nXgqXSvaz7C)+p9+n&XABqKMc*vRLUPyA!QKP@R;wO&mndH54 ze`Vjr5uA}<=KMi%iRIb3gZKTD;!PaS@~@~5enpDtwjEs|eIJk0R@@8v1*-G3 zgnb*u=zlfNX2KWM5Kr&rTcOnCN8Wgm;}Y**$@*{j#REM5!@fqI*68i4a2ETtFBsYS zvK8!Wx@;qQF!~}~Z5+DaO^K@u+}^@7g4241t|$b>TLp*T&U4i{)~_(ep&)ua6S!ZQ z?({16&Urjk@(=oo3QhO=rxndn4#6=q`vbpQaT4kESher$rqa46Yj3t^$cLv%pVZ#i zd7|5=xPsW6XgSBWH;iioY)iq$>g7zP2f&-qB~6{|^y1B*S76QwJrX@s-&SB;vqEr& zhnz|7OR2zJDywg1I|}?Hb$wfbHH#J9Ts9H8wEr*;41)CB#7C0GCME%L=>2Br|Ab%6O%u^>iKan+msXv&{!dHmy>d)Jf zp2l}8K0^K4r0IVBc>*-SnLOl7dVG!a_;kD;sa@J6dh`b)mFn{WuzkU07po`2y^7;G z!KTE$3EWFDIHS+^neOj7@3PrjKZTAch(3$K?`}nOr_ZHACw;E`1oV3~-Ph-A#0!qW z_J``_BGTu4wO9Qn*KvGednDt##1|={Ws+dttA7}maeQFf_Z3tYHz$qylkrc&Ey0mv z_-V%aWag7AWFi`XuL&2n^5zvQ^gNd8C%VjF#rulr;rjU*;ss}T$eHx8mGtmiydGrU zVD!MxUB8C)*b>YQCcKR2uW!Y@8`$(gjBB|JM}T%N`UkX?CH=zL{Tu(q>K*sAR%~`T z#QG=Uw&VCiun`<&q3`zT;YYAymF0Qi02+tN{2%&|aj~HqdzHw%UUYF7@+nr7E^H5s zKQzuHmow~9Li7KUedGH{de5=DZ)IM4YR4C3my#;X{~6Z+*!?BzSUteO5y;*qT=;^w zKdVIdpKSYfz$r!akh~?wAzpBXhnz{?Q%K(9s+`wT0SpZu@2%O)b1 zu74$jI~_+W!A5Y#52RlVfH&d772f!uB!i>H-o3%^Fh%sR{FqN`oZ%s7wCg4GJ>imz zQ}4Sb`3LtJB=Xnw`bW3r+O$7Z_ew5v+3@=8?u@~GM@w7?cQvrxbv)^LcgB!`eUjjA z0PYHdle77?e&3s8sP3C^QB8G;?H@~Y|H*!GFZi`nL=Wp}DB=ZYc*vRjbQD~cjk})oui2aS95bjPKdLACZ89&kezt`qnbe;G7MTzeJS$>QUN_s1z zhvi5AuW_zmbVYtb{eQ{Rs^7W4iH3S6d0*4E4D&q_*H6*+$6b42UzBY90R4JN75e`Y z!lC~!sigmB+?&8v(*Kv}{vUV~F8bUXcb4e>o%MzOzvNy;^sv6BBR*OGPWqz$y=129 ze_FxkoI#?#q+iqD1EG0-=)G3yOaimVD>3jnxO?aId@MYr&?(;rW-6AF6=c3Y@Gb zM8{!9e><5^P~!UeuHSp#Ilx?(0_%6>nc!Efh;GNBD^C?V-A7o7{txqLp?l-dm9;<< z9E0r-^uLnk#VZd>t$)<(gx6Z}e*4Mjzu%ssg2}`1KC|Xv^N32Tjx~{$({P|8{$GZw z+J7b5?v>44N#g9vxMP9U{cK7c?iZ{)MtG*gwE?b@`9Ew*Awd2nTs%y@VCxrbN*EqvN&ZMWiNl(L4x98h4;D>Oc*vRB;;%`r&r;v_*`5Kp*gep1Ccp24{v}ws!Lg6XWBh_}-{JT| zuo0Y*`xmDB{Z_ZR-aegGwS`XgV%7fOSEPs@mU{iv@u`Hh~4-#$2WKNP`fJwbK~0r;BG?RRyOtrzfh z3hW=N+5`8CBD$>?tIifWt(&dBAM{T&-RrMbHAOiD$6)(|@ye>xpvP55sfV7fkXd%( zK3`fN*^=QtAg^a43Hxoi3Sn{32R64w{F8>)c28MvFOfExmw?mr{>8aqjU9jxjs{P5d7%i9l+ zu^I)j6UNZ6E76^u?i4zY>pn$2X_r9%KN5Wway-Kf##M+T?r8rjTa>TWp zgv0*7Rd)ZMD+67b^@mkob9}IBwxwVCg__{(^S#i?KC6!azbT67);_Dz{2*|9xcX?& zr)#>ee>@|iaSXOU(EsW~p#Rl1)G4*zAIkB``XlH+@rAv6#^HNyH)6hgqDo7f#QkaT z4#tVxuXM(l{N2f9v;4O%_yD!lkr5!T#auN9$MVZv84=|ErG$`?fa6s2+5C#<+8VJyWo8 z`e)n)z@1YCTvy;^JQ2;;=)VW^3BcEc9>00-Wrt2Fi2m;ZzatdUo&N6cQxr zaKmuiEZA866K*t)AyvTL0o;ffoYDV1Ob<{_6E3^Md*3p~*c3$n7$=|(5Z&qjA))hl zoZ8%a33T1Rv3)RKg*_#`Zy&T@s|Cl*><`)JBeKtnsqKUMVP9~i6Iaj3N-!Z;=FeBj!} z;EcYzGCcra6RybfzOw)wQ4oD&eF63%y3@C}zOV-OBcOkw`})Ls{+eX#3#3n4Us#h7 zuTNR`9A!2LSE|R$!LF|nCEmZ+5bkCiHwiXYkA%bge@!Lx|22C4556W`d9E5`+ZE`B zg6MGy_;po8cY6Fl=-h663VL@<_w{%`;swWG`$Kx9`Td&bE7hZE)@eS%V?!FBJcfhX zW2(#Kha@xBiq=fW@fGH=`{6hT#~2*gN4D16e{IX~UtUydN6KIK-NZW9K7@M{M3t#MytO}=1L;#LAz7=tr< zl>VB0$b_r9c;lcopK&zThqdSz*Gy4Fw|ZP#P3WY@wY5P1RMUMuqU;)H@{lw2qyLZ| ze^Ilx)R);*%se4+150?G7Fjm7>%3Gi*2q|Z^NiIO;kM(@>*pzPyMfy(BBa8t4S=hN z!D;uqSs)zR+pxE3+|jQ&q!I<=i9Tz!pt!1f2wDFxC0K=7-ni0<^?U+C=rBS5dE z>AwCuBVKR}wm(?!UE7=Vf04R+;|C{le6n?WtoJ4?+hd)gQF^+YC%b(%+6Y#!=YV|= zn`3PI%>Bkyz;+XCtp17L^*D6@nG)9vxNEAwFB7;MV{pb!1DQ_!n+aEcuO4xB8Y*;Z z@7KPK<1|HdXQvTD=k;Ffvs?9P0$t{+Qjc(d9d?)Wz8$glx7M4}nu5@K!q&b_cASvf zj#zI@+^|z+JB|VShi#7Wb|l;rI3@}<);@%L7RRGiz`Y3EQ&qrC25wRe&e&1zPSF^} zglopA$e_w>K+P?SY*47NW3;tzxV z*ENd&K0N7vXC}Yim(uyqGh;4q$6f2{f=yG)IqK&|-eVXO0B^!|BUA$??{Pxsbpp(R zu&zLKC$IEvLG}sAPx_GS9#b_#`#;v54w}~onvAHvue+G!JyUII)Adr0PaOYOjTJim@`nE$V9C)hZDW86i+omT}M z)=SoPj=>qd>-B%|HQ~DFyzelrlXYupXD7hV-d99-dKX>u`sccd$nTg0`Z#9Gb}9P` z^yAcZMTvH~1@VG2Wso!K$vXO8(7Hit>WBCu9fid88?wvx46@6>0fYNuJcRGXB-%ya zKA_~L9Q)SSML`E5lOb?gDO949@6#0@DNJZ^CtJyyy0zLkg_#(i_3= zN=0<1@5w^vdVqN}>?3r)UZX!>CpZS%AF9_cl0Ki0uh+CcQX;**JvkMdOfl#i`}`GZVHN;#rIQxmG%T<(;Ym8O-`U){WMAYZHdFR zo|Efl)zr$Rm-o5ems0yVUtJG+Sg!BUH`(Og1wse<{r zDwx+ozN52<_+gBf+Z)HdD!5k9HM|`EwaZw&BL8*&xNPF|3SCj4@|tk{lfGUXaX8G)+Csw>%@S)N+E}K}n&XjV) z=S;Z%E7io+1Kod8{Vv70r1Wq_^srnPAYO2Whn&+8PtX6CUZ@_~{q;zWOnlCm_v>Mt zHKMm)4Imfx-HvxP+|=*Vc3`LH?!+%5mmY60?s8z|enJ$d+c(HWApqWl8`8b~#^|Fc zh+Uro?o35=+h3L5FLau3mp%l4o}g}Mneg7TQuO~C$6)(|cBOPY>1VL2__M}y9G^HY z6a9o3yl1(TaChSv?ctKV z|Bi7OPnF(baB?=k=KeEuMj-&+gd19`%bou{#?dlfz+|EFAw4Y^)s#hcQ*@R1cTTj)a?sW17LaGSHQ^<3f%P zN?)|}8=g=-oE>G&U+lOT{GL-pcXpKdI@8O(0exWteY^0dcBqW}nK z1Yb})w3+PmbNqYM^f-GsKIbxmo}U?qHQqmPU`+Gzw<;L_vI_3mA1qcNf7t!*cU4-o zp*Z?u|J*DbSjQL>&h`KFUuExaqjHpbbL&`tAlx<_ze#Z-xDB$e8*^+5B%cYrYt!=w z&?&tS06M3@>s4i^pq<-W5xts3J3lBpNpNhZGr(_|;P!ZSD#Ln-#+l@Du7>!Quv6LL zl|84BF?{q*Bl&&gu+!UpFipkU>ME?ayxd3y!!Tx_^b^i#cZbanXOZw<@b+x%eS}Y~ z`U`Vz%22|FHua<5mxaQIF6sR=0({te&nasyIRZ^>86nz=*~{pT$BC7^gAUz+xbDz z&rq39Bs^bKb|K;gXUZUFtRt6=Av<5M{<-Y2ejJ&2KY;3EMdrxC^rk5Qs6L`?310g_ z1+Uk{*!UG3Gw^--y;QIn_oo;AhV$BRHvi^-dve<1`2lLM@qE)!P1E=#1Woxn$@=oB z4zRw=&F3_hK6y@KilRYGQ|k{MAht-%#pCuF^ZVWdhYatVIoQr)$_R)1FlBv23dZfo z$e{O#0~W^J3fuq>2Y&p%+(dq(fForh7=ARci151|xZz=3?;%5O8GwBZdp79yU_8?U zl+%RF=K}Y>$Flo`KBqBw-G_JES64)L{`H_oM}L5Cfrjb2Unc)5{|@xFs^79i|3ZIX zmaJc{fq3ed%br)c7Z3iOb84^j&LJr-%a88>i-Y0$wV55$YZ&{IndxD`|K7~bE{`Jn zwgK*Q&h*Rw zD`>KIACznRN%=QP`G3;omzQCO1lWW3*Sqq|K4RqM%CE;yWj`3bsGILm^dk(- z9VOLgE#r?NfQ8Xt`F_kVDBENBs#`Ai^k0sCpURj8-`RSP3UbD(TW(kBA^ny&0H%@f zDYt7xx_u`9F#6@jIP4&{9Ovuw2fA`BBt3d|ESLU1D93vDdfPsdT;(m;4}Z~i95BRY zGF;qH?ZFzLLZ3$dT;5ve^{mayTmvHsmXNBHy{k|KZ}e5c^$#Oe3l%&Ee$Sx}O*#)_pi4|v|C_Vb zr^ptRH?jE)tWXD~@p$n-DOdSrmX_oKB6DoIoZ1_R416NvsF{EpzBd|d!Z|>`6UlGy zS0l>zDq(7SosE7yNK(H0=<@2mIDsqd5Bd|t_t6x5;fH@=&@-&ph#|w8v?V)zx&wZl zfx7uPlJ-==uCG`w2k}sY@=kmmc~le>z3_4KjW~|G@Q=^48&&UzMt1{qkLo_1pV_!_~pn z_Fyig_C{LNm-06SQ!ZG|hV1*czHTr5{%-1hC2G&6nmo!d{fNy^+Iym2D1*`c`d1mNqU7lUsfpDBHkM0+2e$sxUP0>k}8u*b)cjb|O z&~J|iPEXX&Von>ByFL>URGvc3)aZvg1c|cc(d|5yr#u%&sZL@~>3n6&yPE$ctO)~G z`1;$Z=?5CJ8d#dBzb`aKuo_6^mCm1T&aofw;tqo8ro82vuPx7anwKm0z)hb1*2_9F z&#%^FU7_qjHE92Y@oo8L^dD}$S(gW=YKX5!<=UoZuQ}{YCM4cBq;{JCl~q+=M* zSIs!LsotMi-o(|vpTKsP%ZmGtZ5ghg(j?Zy&WwyfL;CckC^Nq)r}Y2e*dahaem%zJ z>;3fpcvIKQ^|cugfR71-4pukVaSC)rf%*&6w-VisBiHMBE#?h^`%VoSnV{G8n3HH6 zgX|B+FYDVtuj`wr$G)0)8OJAE&)Y2D0XL&b!twobqgQI4Fn{pWYxP6l2VQ@S%@Ofr zrzHB-<8Q_vk2FU~nmD~P?quNfzIn#^`t|u?f93iU4L?^Fx-$NYF**hMp0NpoZdbQD zJ9OY^T0clj`w0HKP!ZkO!K5+$dH}on^k97#w8K9dm{Qe`MXQQn^S@XH{l3EUson5y zC$wkjUn%wsOTSbFeJ7)Q7_&@A-K(*W+9#AA@ni2-!Nw&jc<6f2FIW48=+{X4ue*cC z#Y*k{WkUKJ@J`QPK*RZ6w8^!1s|KO;nVSAQFxRO6kGD60&!W2i|L;UlP()O;xPzji zWd{M*5<-w@AZZd1*GFU#Yy`?;ajjbQXRU43uUhr1R;{(JxRhF4t5&VG)~dBuS(1P( zVGodmkRbfu=iECpcP0bhzP{h~Kk(!}&wTDVpL@=|_uOUXna6bei^Ijw423d(TWDi` zuy8VV$$my-E;nq*eh&*h2*3umB5+RK()ZbV6G;pp6` z{BgOQf|0(f(07?rM$+jYW?%WF{V*)o4-^j;Ugh-Fp|7a8aCH8t!cb+T?GoPEBfMTfZ6}vRK2oy_nT)-u(*c_o?(7-z}~5P zyTb$vy!pWaGng%leKmGmUwAk8FSI=R=YZEzIQ6x_j@ybKcwh==pI7RA(OZ=BLSqiB zOx61%CV1r-@S&FDpxaaOkJGNko8UtB6Mxskn1dcn;ZK@i%5CT-edZ(ZCc7^YmH%0X zGf!RkxG@KJN#QS;;LchTRQ0#~2M==f8`?h~oONikE8oFqrf~G$`7!ctU-RH`DgVCi z_(>_FIrz#HKYr2ji+>h?|2Boc>u}PAuY-S@!as00>x_kOfp1RXADQ6i*tn4Nnazr8 z9FF|+iNi@3evpBqSLMG{9il%e&v`9B{#y91G2bdq@h^7rqzjq(gX>k)h#xuCza0F_ z44m_-e;xSe;J2joQ+Fy)x^S`L!=xr7{m3a^2fjQ5=e+9Q4qgNPaY}!^lP6tRtN1ku z{Y@5MWWeh(aP&^?OZ#qTf~UK-#zju}7j;0M33C^IhW&8c)JW7Io01CoDt`c z@1*o2r}}$<6A8gDP3T8X^@|TXXW+=G{(<0Kz@JU&@9FfDE;?NC>l6CXtNcU2dt~6~ zRsP}NT{Cdxlz$|6cViCipW;8w=_g&(Q}G)U{6if+4tz)k4zI??V(RC?3>;o9Un%l^ zGjQazd==pIyhC42l@B@9e=+z_#qIpF#>W3e$f^E`;KMU;%B}jRfR8lhuwzsDFL3se zE;>!|r2X)!|7U=Y%fR84@-1EgUYvo$E9G0<1-vu^uXN=j#TIkebE)#dtL2{yJ_S70 z{};`6jR zL5Gtrx*L2|3V+1mq>Jt|=7>E~_~Q;IT}1moBHg~8bohMmN5Icc$>WzP?6)jh!1y;` z@v{^5z2N*uy6C119KGt_*TJ97z|pJq#~fhMw0IExU;MMR^}KkI-51E)?^@cW%x5>|3Q9(m78MtbJ~KDE@SNhJVbOTFNXq#x z{e@U12diDZgL-F;>+h69?csXuBK&paiz$1)aOEXk^t$4MMNcG;ocL>T8}QFE@G7UD zl(Lv3H>C8l7pMHBix#rGk(y5|TIq1oMOEN)Qu1pYPP&Ns?ooHA@C^nF*fU0)DdDNBt-3 znWpfmLa{%^kHWXO1Ns`tpYq4zcFy0Vi@s1i(Y{4a>!Xv_iO45$&a3{z!6``Bhf?M3 z?Bq$|68sv!z9sIe{>#8SW#H)5_5#oyiG+^4qqaPWc@-UGeT zo=+kD5PWX3JumL>)<0*_e~540zH;;PS`)1+7u&UaKwjH_SPzaVFU*aTHDP~sSJb|x zA1>}G9Nj2%+ms`tr5A3XZJRC$RLS|6l~yMq5Q zW$y@wj|D#iJk|adW9J0}OwjZo@s9R;%ssAs)!6j?6Eatq* zPX-?gerrme^D2J@_<4%YNyyUX-JS@?U|!ZOjR&dFJ9zUArP( z%nTj;=0y3?EA4K{$>4v@z|pJxOTgdDz&Wq{OTqsP{z|I+oLBkP;QvsZ*6+2S6;6IV z_*cf9*e@lIoURi#5tlAj{QC)ci-6Oh2mEy^dl$w zmjvLeGjQZY|B|-g>y7z#pQL_~6a7otgKtutUKZOga;kq{@P-T=In}>E_%`t8Q~Hrp z{Re@6qd2P-Pd{?1{}8o7$Q=Bd7Y01>e`S#*tI~ zCxGt{{)<%kkyHJ>zzlT8Aw`PW9)5cT+skf0iJp z`U}C2&A?A~`isC%0RLgC{HMGALrPy0{Q5-s;nn_i5Ar8x;P7hsMuGRrz~R;MeHXl6 z29BKCKL-3HV|uqul@B@9e=c~w;)(dZ1Uc1zA$Va1j-2Wr4_*ZR{gi&>RR1LKQHnDg z^x7YCs(&i@cQbJ0RR87RW55@s^dqPGzYl({;u8}3kyHJ1z%R_ekyHIwf{!<*&+wFf zJ8;K-@| zJHf9srf+H=Y6)_x{~_>e6`z~XkDTg%6#Rw^{2r(O*WfpUzmW3J{jU9zE;(KCpCt6d ztL^(K@Ov_Fc(r^lg8w)JhgZw@JMf=o;OJHR-vhtXn11=G@;&GHNtfKOII|S5{lP2c zt2z|?sSF%mDPPqH@P{(+S8VyJjs$-+1AoKLyQ^5wF-JH3$0q09GM|=tcNOb6=IEyX ztmM3V33kc6yNdN3b9B@H_sMzpl0Q59NtgT@{KJ&}e|0!1x=jC;6#l8hNzr8n9FoHS z;c(I=e+54&g@5I6(j}jQpOeCuIGl9JKfqJ-`Xx&pPP*hP@YFutk`)doU9to`-TzlR zoD^MVz&9!W^$sURml=3;3g6^#(j}|Gi&A)l!%3H{2fr+ZZ*w^5l1<=0PvTX~x21hb zx}*X8C5LKc@8#a&=o2DCp!J4^ku<^C(4JM z@(%+)E(7oH^q&HLv@wHI`!`(Y)9ZyxZ)ZP&{lLM)lI#B}m|CS+tWCqT8 z;OD%`Uj$AZJNdMfJm*z@8aQzboM`X0ckEL6nc%Gd zPfqQ}S50>Ilj1AEpGe4G=5W#~*59pgc-4PbfHT8sg~O}myAJ%k3>-Nv-;LlG8FR{2 zDf_N+`bn!UQ~a((`OvHUKLcldj(ieFukzml&i+Cxob$?m8~99PPCX#S&v})<3;a68 z6YGd7cvb#haMpRJ-j$L^PRsWaIFjH$N|YZt)&B)JBWNr90jHm|>NaCeJ1nLDVTY4e z-3vY{g+JzS(y9l*uT9}kIGnWVVer)Ttg5FSPFnRC__~z*JcpB3(Q-~dB86kO`sY>f zr@_xp;V(P?km5_hixd7qPW|^L_)8f$=T-lQ;Pb#=OzD5e$&*&StoWFO{`Vce68y~! z9KEu?*vxec_9D$0`z7}mt3G!8q*bqizn$X$%;BU}AA;{l;ncg9et{3g$_$+Is=pb08F*@*Th-{~NvqZP3OUuk1AKD^&Uw*ay*KyOWCATI1-|`nwGMRT=U}I(|}oV216NtiS4`9Zp((D0pffUwxdzNvn?p|3ONga;bg& z!H)({ji1%MUHM6|Rq#;>`;b%n27#ZLfpcEK_3< zC<7nn^p6Jb4?ZQOA343ASVlizpt#-qhm%&%1W%1` z)z>+kwE7D08&dK&I-IonD)7|x$!h$r{<#bMI`Gs!bTxKs{oD&)rugK9e}3ltLs|`j zd=f{m`tJeo+cI$UD*t2PHySe{wa%+XPWYEf47x?}#QeVcVW*$8nwmpCiKAEfKLdXt z1AoHtlUCma{!z+*Pdl8n`Z4hBDSV#8NvoeQ=FHSOw)!Q9lU6?sesN0vWrvei&jU~G zQ&zv~@HfF<0{>G=p7YxN-T}W%@!5&`;k^3yeejo!8JUiMoLBiTz+Y9IR%W8>IMp9I zdD7}P!Cz1Df9!D5>i3N)%1hz+UHKP+e+Yg`3Wrzgry2ZV#S`OuHF8qE8rHGZ?_}Ur zPCse&$KY?I^e=NbDY{J2<`llt;iT17{5X8y6u!pcq}9v7r>5`?4kxW%sXs2iXTpD* z9Zp)k2K;X+`9_D6R&Ox!qvJ_={38CVVclN689X(A6u*f7YPy1drnsHo$n}5j|1U2n zF7(SIdeon+^Q*t`^1FCn!+GUnonPGup4uO%;k?Rsf)mB^qt^-joL70S@53cO_MEV% zhVv@Vb$+Ff!mmjH3lpi_eF9z=k{u`6zAMW&%)(lkq?u0ygmH$ETp&2-Om471m zkPN)k@srk^X5>dtll&D9C#_+n!;ga|@o^3(ttkah#haQ798OwO0lpz6f3d@-fRE!x z^-|ZBYACnvXH+tu9HIEl3Hy;#`(_|NG6P3W{W}}{0`Sy$T7#VGp9_Am;*3gO`$A6j zUjsfd14mBvUk}bq=euW_q<@f8{WpPE+8>)s=toZV-wHk>14mBv-wr+-d`U__a;pCh z@VScLl+cfy>c1QOnhYE{)qfxO_4;F5$?_wo`X2#Dv-}uWLO*h<|8ekJGw=tU{wKk2 z2VZZJ`q8WH_g(PeiYNAiYS63v&w|r))s)$-MXzh&gd zR+9EFcKoC@A1F>OdHoAsDc{mI;9q9o@M`(ifq#^N!>i@11OFrgM^4LE5B@ngU$Rf| zBd7YCz-tu0DuE-X`nQ8G&%lur{Ywpat&tz~Na{yU^e=4(zD{v^X>7m9iT!0R$_ z{G$3hf!Bk-mDDeK)qkDA7b~7G8N{)j`uKJ+SoclAMQ9KFilLw(R1M^5>Bf_FlHYCXCXIn^&d?4o$me&kgDK=8ve zaO70~5b&->9>Slr4>{F;8hCfb|6jsBjdo0ri?+3tpOm!>i@H2D~Bz zN3WLedhl`Jsq6AfXFGn zlPe$T(n@2_pPlmGtqvz$dOi5PDg1Va-vNFT_~$8{^YZ<-Wwei~{>EI;K6yQB>D^AA zbm^_&ho|K4b2#bJJHQK5_=657MVCDEHCg|UIGl9pec*SdaQve6BmQ|1d_f9-(&3~_ z9|5mU;mpYY!wI40lm9=~|;2-Hj%aZ>4(q4aFb^-0D4fr!D{qV~5=Vg7t+ktZv{vloZmf*pFgn!mKybgSM2G04( zWnlGuuleu{ob#%`i9EF#IOj!vSqJcS8TfW5Pr9_OH7<6E{$*{zn=)|DtNq=T6{+iC64!rB z+!6e?N@M1ZGt`o&ztqP6Z3kfA?ciS|qt69cb}{jTE0I?nnEYPhPB@R6Nvd(|ydI&j`LiKL+#uY18MoKn@Zvddcb@;~GxLgU-W+?U%YJ3m?fis&&wq~0FYYsS+Jru{ zuAJ3peC6z1<V_!`mCu_drzUCSh?`8|J;OSPntl=fqt{| zOY~Ofa{0v-x#FUN!qI%6r>t;P(dglYWw|j0CFMm$xsg2Uf#;0n(c$yE8%#ncE?&?QO5W3gtrsC`)yQx!SXJlW|tms z4wiR2X&WP{r;&%4;Ori}y7D6Cp52L8J6`13<4u#-?+H>?CVTKK(}VCs`)a4>|CsFj z0JA?X8C@{4s5CdKa8yazx&3o}acgnOIk{0~`J-~>MWgt-;pmFO(G}&nlF`NI>a#Dj zUYE3zJ9A7?PK1UPmlT|xt2no`(3Ni&`HMyuRm9rmp&DWS>rLKa5AwPLClY`19_IA{ zFP^#g^*;YcqyGhQ>LsuLFL^baya7D7cu!)?oQk=KvWMuz}Y!6;f@%}R8zd(K(@^>S9KXzP%>^{7H#B=2KH+ct5iR6ve z%jd29TU7SlToH9qRyL;8I4jt`eJfNP}w$dk$Fi}$4tN1lI z_XzzMeElsx9~+ma_v_{$`J{SQAKho-q$~PNpEhC2)ET+UCtW^$=9RRXqXn;x$IY*g zjCH6zpS9*M8J!zmI3j-x-B{a#G=UsXRvA`Ys1|9t4vWf_ci4ZSv%goa&8D}O%jfMC z=g~N^v=wiwHmW=)Mmz!cZw6lvjd}Wfn+I`mBApy)~`MQBDDH zY=L&m5_pt!rpOl9<_H_2G4nzNNpCCMqOD|N`E?l{yVUV z*J@r%c`f1f4_=)APahIz$1>iJ?Pc=%{DG9Qdu$t%*O|HBf%NarTPfc<-qWuS9Qf}q zjq*Qaf>96fx{DWc+ELe;;4J3fXZ`!>T4@yiBF1H|w+2OPcyax;h&f9UajWRVR@wjU zC$zmR992|Wlq)@F_~_i|+%Sp!#fACWHwuTJDdYEv>c?YsCrgy4e^I-t4u>GGWE@Mn4c^C$Q#EdROa|BJ=v-R)GGUFo+z zkMx&Vxy_?pdcNuKqG>1n)Y^BxJr94doM#qp{ls*5O87%Or+8kcaKghYP-#FJDUdwyq2=fYzSTm9{wj}ymi+siwIIp%U#j~d5n!#FnGF3VY-PZ(cW$uhik z|Muh9{mLu)Y#iI@;@G+61uPuxx*I=D>}`Va^O;w%u6UMxy7P%?KY7Chm8(qf)64WZ z7Q6CK@ci4z(=IBHWxn=z{JPQv7k@$di6OVKXLgV1czRdjl#4hfbGdNczZ}_$6XN_G zdAO{6viov<`}O6}&%T{6W9LC8xTw_S+bZK1bLjFytDpIBq<_2+tFR#e2 zu==FP={XE7)&d;f;VDzwP#mWBYV|?CEaD&)QJFk=Wg?$x8qY6t#49`E8^HNXjfkuA37#_Qqq1JTV-|h2>{05%FJg0IJ zKO!@J)~ufL!wNkUd?&&89r&0p#`RTHQsCMf`#v#GB|Im_4%@fBl|B6gP3X@@Kfb?k zrPHtVRaBl|QE{%?@q(wHcC*6H1(ampWp4jn{MD2jSHR>ke?&?@z8b^a@xrg1er?ZZ z7Zn%tS^RW&tpA)H=(lqLtN$-v`9uBZr1Uc{7&8R@te@ik!qdoXe+kZIALX3o#Li=g z-FBQ;-SX$Kfu@tBD!0v*Up{+rcf}<1AA)}3MC||K^1{NirToFUf$9%d+=Tw2l;7hx zw3EAjvpfHMpRs^_#0y{X%P$Xu;xqcWheOIAJl7lj1JQ5i5>jk={^ov?YPojw(`%9X z*)RXK=&wTm?|l7bYy~;}k2w9bfph-Ki+T!=g9dy4**bOFtl8tIO_Z zNigoamgju-XvW+M&u?O$PR-_9@=4|Yr+C7CQ^o!8wMqC>!oO{A>;q_h^&!QtE9S>M z9UpW1uF{@&RbQ+ZD~RX0W~X1xUjHP^q=2@eFL~Tf)!PoMt@k##QmlD>b^a@_PtiXg#NG5KNkJJcltG6 zos)0p57@m__aQ2$&YInI3cn1|_4KY+A17~mcGW}_YJY6elggdYzY+b!!@S?Rd^&&7 z?O65y`LtuoAFNDjcbR@uUoF+8U%H* z@&Gb2#s(GVT_^XXv%m5Dj(-20%iZ_T&wtnT(P!4Zp*n)5`^ei>-T}7|X?-r8HmA?XUUP>G z$_*OWYsQ3L{NVbWxxM79X6rSnaxiO>J9UPt;sUp(uRx;OH*eD|_+2PpFObNOzq(&4b+c$~`&a`^rHk zpy>tQ3`K6nuH`C;8xh~BydP$QISt62irg(>+~K`Mu*`FY@$@56)9+{d0V!uV1H_dOlJ9aj}fme@;^W z7*BtW_me&SSNr;Piq~2{V@N1t^^Z&HXO0{B|9iZ@+|z%XuV1HJRzLO4^Y3H1nY^FP zxjU@v@&C;gc@E|C{VH<5@Z_%K{nea%(8)dT%cb~!<;h*od&Z6hPdd3j`f|JQ!_6wA z0(L))c3(8w{^mf5)uZj@MvsSaaOFJ~lfTDrcK3nExZ&I7#jTZh#WKpX1)h>I6+V&j zur`YP&fdq$$6}rygTwwJJf0tXo?pf?5f7IzTk$;T@%)DO&wD)A`aHYv+q1EZ@-*;u zE7@6n{gWzWt}dGC#DC-n6Fxr=)HB9@8$Sy0S{{Q?)ZY~1?0 z$HTmLCF`2-IANUc&t23T<9{flJe$Oyqt7fW8B<#BJgWB8csy%)za?bhd4e~2^NgL* zv^+7-Sjjp#lu@2~;R$~j#OhL>^&U@?30B24=7bmgezl7@`AsYn+jCaQFul`(3-;(! z9_AcjzgpE6nSEoT6BhgS#Dl&ck5}y-%P0@yWI?Vxe{A7!?guDWWx}&+FOTN{KF8Na z%!%#%cHl0KN%_3?zUo`CjPhu|8e3RaUgYmn1*@1RNA?_r%<-{3Cl2)6!Qco;@N|!5 z;`%AgKeLdZfMKsu4z;J7$HTm573-?-IPqe?eujjnllJtBWn#Yx4>!9wBI&wW3JS~1OUlZnU9yKDhw{oi!p*BzjYD?4 z#pLg|$C%EN+W2^~ywxL7kIZ#LnQT68BXV;a4+Ro?jDv%42RcCk;fit#9v zN$`}G6_t2#SKD}4KaBsYyz#$haz7*rhzWZb^FkTr(KuCBQC<{}%xaG}{;y*EU*(Pe zJ+JZN)Q)~pKuqv3{)aNkvr*$=;iw#U2ad8MiSl^k|0>4+Ro?jD^GWwS9ho=n=obaV z1P|kXD3jzFn_oOee-cXX>sv*8tEK;}A4a^W=CzK`lSqmCYxhJ4_DXE7mA3d)+(!N# z@6=9j{9h&U${YWCex9&13djjN8UI5WwNu+Wwf5aHh0aXdue|Yp732RZZ~X7YPil!h z8ZUPAivn_jhw(p@iQ9*)pUdL;l#FA<*(gph{;%@J|6cxlW=Fp$FeP{x|3ew&(Ku0Y zUidp+T2A5d#{X4K)DQbM;n8cHA1CZyzsh;>w${Wl%Cnp^43?BfGwj?3EUizrC0H^NRkN@uK#MSVryAc)?JTUplg+Oj^6+A$~_Z*CF%&1hGe- zPJX-?kU4*;{ZTBVcC8Zsm5=0hPZpGVPj;?k*jcm^_7kOlF{g<5Zs#-m^x@IxG;@f& zxAAsB6n7Hs@y=K#ZXaP7auwzj$~;*3))FsP5$D%32VQ$NFY0nFbG7v+kz#-C&zLJS ze_!2?7wvs5GOO2zrTX8eYA?DYd!L5u#aLgTDSrDLkR7+4k7d*^jpAP&U&>WK^M*)2 zV`lA}p8mVN>#sWoWXG%5Vwt%8=QD>YX2;S_rIcrZ$MZ*I{^Iex<+uL<+41UwSVnp5 z{zf!c6K%@#p2zbEGGBQ->-@MlAUj_DBbL$ju}1tQ_UOcLcwuoxemF2I-)9~l(g#WVR6ytv=6Sw<{k#c1& zcXlDmh2%a_tv3&--9~%P^Y~^Y+Ff?M+7ZhrpRT(cA6NhRh6G<&u{zbhme{`4%nMiR z%*o&P`@pX^d(VWRejHhSXe^`k zrt?ECV&~!+mGZEE5caRtjM=Nb>*amx{rSMa%y_l>_*f=x_s$?!WaT0LL_EZ))lseR zyzZ`kyC0YtCsq%PWz>Hfhn@epIA502O3NQUyiEI<#JY%&z5CT;WB>Il_T%ut%($_d zbwMbz8$R8WkT|^dziGe3s#WxZwNLV5?XvbAUZ2Fg|EYKCU*dXXFJu1dNikc$xe0qS z@UjAyH_jb*a=+@vq$yUOFc1=*j+ zeEmlx_%h?#>Yv0i*?a|s`eSh+->n|s-N^pZ%=vClg=R})~+EAt|2z9IU~07KlM)SB?d+IGXAgj#{U6>6ZU4u zcgFuvCLaHbOU~q)S2&U=4{xF!=C3zT%8avX_KjuKpSo_zuP7YHS8m)CN9>X|6Z&%v zl;8CTaZ{)aNkqx;aq^2^GKxHceGTK`F#jCdIT*LdUqzyrPg`W+`_ z#?v*7|DlZX=zi$1g50otzV&MjQhU7de+}dRnkZWG9kcKF`=KXAh#yzhF#d-!vESes z&R1Z4zj@>S8rJG-yz37GznAb^X8c-{AIm6@&YR$o+ozpDYR?&---?j=uJx(>9rz1> z-gHuC+*&g_mQfyUZ^ENrQC5?bhxJ@kKdk@P zTglpW4ZLeuv#z;`c@+I~&7Gvofmai+R};h5Jk0AEUJJs~{NGdcFPxEoZ$$rXvEGvo z^W)>7%(%7Y|HU#|kGk$FC>r`KW`9S53ZTq!CYOK$bo0#CoR zvkyHxwtDx2>==|Cm;MyXs2v(-nIVV44|U4(uE+B?WWJ1f1|8$a*+JQH>GN17?#H9p znXV`qUBn#@sr^^E1{(Qo39>6ZzLG>g&W=yZVi~nd<9b>CIk|E+dJEa@a&~d;B;r|v z%odO5YCo+41R{SSH?Y z;@hosC9Nms5TQM5+pvD?;OYOnzuz=CGajrZW`#29Hyz*03i+Zr&v3A}x(JV4V~Tk8 z=d-sDKWL{vP7ThC2WtP*uCBh27i$SwFRi|c*L^0~K&;!qJXGe~ zYgrrAw#2smJKw2&>`6xU^@7hEhX?!LE7&nOGY+j~|38%R>?_SbXSBV3*PA&t@j&*0 zWUpi`ZG7ESUd1N3>=wS8bPefUJfG{}R+a^;m`kjB9oc)3>w^3UnCpC$qn<$BXb#d9e(Xkkh$CA8Q`yf zhGfRUwRglaT2Cvz^-rq0l#l)!@!gN?qaI(*=v_qCe?v0k;9AD-P)6<2aZ4sG++--h zmP2{iKZtlFZg~3vLw@3qTSKzrA>(%_6Zhkavit&j{Z97EBK@rY*Lv&!A;0(g(U9yo z$ofB&QNQVaxa!Xpj^(*Ha`Dr9Zh`E}MSO1|`)6yb{2lVOzppwZJ1(*Q4`r0ER_jUZ zaeUD<$@-5uMa1_pvR}k}C-3Kd-($y+>^Q;PIF!+P(shEhFWec^dg59_#Ip#QuRWeq z{rT9C>^Q-kJCso#y${6lu-aE;%0rxuc$lBAt@C&;_3r~2k{ut2*P)EppVWV$=ah%J zM8wlTY>sKn$^Vt;PucN-_#Mim{U$f8%TTQ6cMOU8eMq7FTRnc}4C@XLQGS=~56++1 zAMPWpYZvE9w+nvHzRWcaodL-BycYY`!m*Bhy49?~*B!%{gm2dU|Fkb8yrF;BanIg5 zZ{2_LTEAVMoEfjy9T&@Z_VQ4vR+mM!ABcSsA7kgbsCDo=Yu`%nWyY&@jMCUae#OAIf+!tSoujlqiuU?AM=~YkBrspE{WUaDbKj|>c7H! zQ7o&xx-a0Db6AmoAHq835fSgD$jGA!%Jl2uwKdmIy&r3ai8P~RY{P$(_pH^D%o6lb# z>(F+k`w`>x3jzgY=ayEWUU@fpyxUpNwefiW;m`NOr%fe!)(5eS^5}fu@)V99nJely zWVG_^hmM(R#-G^}Su3d+X_M+`}$_6Fh~njP?WFugbnau67Oc_*nC=KgZ*H(c7G#>X=1M_mV4zVeZyRGIS7?joM4 z$b3KMIU{_Iyz_5Ae%7?>w|I8E?d{)u(=U1;N5WqlV;PNay8co9x%`5Hk};zz zq8p;so^>8y6Jwq?FaFMY?|L14S@B>)r&vb)M!v8=hb5PBcRls99^b9UzV&dfXFp-X zA-os^H!wbJVC>j1ir2ZU-ljXA4`M>Icdb)hqiG z8;*+kh8_~G1LOUP4Tr~h)NY+`SU*dfso-Lz=oK47y&Jmoxu>VM&>QdZTULD9a6&Aj zJQ~+6kGsp=^5Dmarynw5r-g3l_Y-lwUsinDFesMs+du6xC%abiT?Dm{|{x^4#h1Jc!KWG0(70UOU{ya|bp&8q2tL0uK~C z;d-?DMzQid?D0H>%-bH%XdIsOf7d&;^BK=h#)J(Y$J&QY@$C#-CH3vCTQ~eA zmWk^v-08Nul;;DF=kLfY@_6p{>n&`BDISU4PA29l&94}lY9Igbco^F@c>4mw-tu|E z(KE%fB9`%Zib@M}!^XJSs`f1Ncv$~$X!Pt^=kvs)Z^CbzV;MId(hdtomYg%1ONnxm zR6&J(3cc%J%p;=y)nbB;-hF@tUA%e0&T!O9+O^TdGO=BwOBhVJU)8H8#@di)V>@Iz z#dZ}8_w5QttrX9`u}sV}b~sO%?K6@FlKKYAA4_jS8txSBbwGH zd5Ei_jPh(2p8h<{X_O2q8cmhQ+xOqd7`@S(4-7B%#;sj^k9{NS_fST8HVKboaiK|h zrg-)+{%^e6vuCb1Pumeq>y!2{{)aNkvq5;|r&3_kyTFTd-)^Hf-fyH`Zv3&w^SHmy z6fX-B?Va^|D5G&{jqsEe(yK-nl;y^a;8I`spbE8%HXhZ}&ye-T{o$YZ*PEm1bkeT> zie;2|=6d$*yWbk?OfQ_vGH@+0} z74}H*MS&{ePuBmTOk8i`Pvy!l=8L21M{oVVk@f$^w>+MTM7>3UD#7!5ETeYSiXY{E z2fjBns#M05l45S!lqrt#z2f=ty_ieh6<+UOuZ*VK#(zHd#=r8+*KtWXlXyONp1r?i z8_(vRI&->otDTI;)Lp>yuH^_ea&Mv25%A+!0ne9?p5wl8G0%;iuKALG0_3XN20V`4 zHRWL|Mxp>BLoB2F)!V#Qe=av;{Ol=mMnAWVuN*(~a?KFd zLtSV6DDvSEl$w4?J9l8G%@^jeDfLjdA9mL5Yi^!-!|8f*Xu2NSwGD2_Z^wUy!}8X( zLF|B7U0B{(lO|YyxOP%^qUH(6A0EL^O}9k(>bjxJ<_q)KRLXa(lrc9hVQ{kO;dr@Ag2dg3~Zx__`u;=7&Sl-CLVR;KRPf*t-${Q>&-IL`VZ1UPS zMR@#%c2hT0%6qbTqR)dDDUj7}C@X$I);UPyngyG-RN0neTY4`^g87{!n5fALDo3#a_Nwd`Zc&yZX$v@KGZ;~ZAGYUmlWjAL;&$EBnn!;BBrHQ-vpF)^z8TM5zF48Wlk)w#ZIG9r zmw)N0$97Y2XgXd{&)X*O#Lvs83bo9uf4h*_$@=^4Att#0RDP>$vEh5 z?j2rVsJ4ImpQ!$CgX76qz53@H#tnBWs=qh&L{N8Ily{FC%@IleFt*p(d{HJV#raqM zCI8HsHEE{xkBk3x^N@W#R=3OYeP)@+A0B%=l`bFa`8t~~%wtojzrUv1S62OfGg14P z2u1#rI{GA5r{!bZxwJMckELo9yuCx6?L- zrf1SF_Pbp^Te$Eqc5T{2?AmS``rd0-{TFAwKkkyxVk);z8Y}NKe~oW^lr;P4rQhFUq8E#I7T;Yty%OZ&$P0 zr2%W_F6`RW0X5$Ji^*FXw^_)Sqzdax^PNWCfff(#l7}g){u(>JY&uu*fc)Xn zc2nA}v(aPog?Vf$c2$U7qs(ouo_&y>%<5m-4zExcyWIRF?`WA)Mdclanlh_a{)XiZ ze-SB>?;P^Fjg~N9dVa$1fVy7>oo@joi>g{ZMpY}Fo?*Zw+@XTRi|$;-~0c5T1p`vEVv4hr?P zvj1+Sliv#pZQOIADNove3wmt6&~lqfz5ZP6zjgQZYV8l(Yj(X}i<+Ot>cjH3s#nR! z_4`e3oDB1|YOk+2yW(pldkr?HCGC0?JvLuVEbmxjY??22{mLvp^7C-M(Zo)|5#G7=MD5fPP&e{>%k^?jo-E8_p^dWiD8ev>l6Op z-|wvJV8`tv>GUi*BLBYu|B_h$F8we0mhoC>^J>0~`AE2yQQK_R_WPLL(R_Zhb*K~} zuO0XA)fE}R6YHK$4frF=@x-0YZN=|f&Gx-sv-<;C>mKpDTlnm(JwW2l4T0DlFMDG9 z@%^T6Jp1K7PjB55B9XtOt|b07dw$4R|7e}IBd=4iD}T8)jkJOAXg|T69{cM6J#E)N z!|>NMBY3p_+3dz$UH^;#pXu-gtP}RK&->Vn%Ur&Y!=`OHFXQg!ZsvQpaHpUgL+$TJ z*$i%+X|n#LyEE_nR@=6&zxytA)4|BE(w;Z>2(>sp8U0`L^&;=FHm~~0^MB}f&DWoN zCnocy*PWVgF!}m;`TY5cixZlU@qe>F{==J&6PxY$DE%`$+HWxz#r0#aZ`t};iN20T z@VI`?QZc(e{S^Fw6mHkif&8xC7CQylTYuNjuRq4~J^Gihp-%_Yd<449We&%{k2Y zVa%rfqy0?dr%vT;)E*_@O}wtLd99yYum3kcpm>1Z@YuV~oS5`0>wlLo%wtp5kDKrL z7uJt0lV@EyZGy@~5IRM8S2Olnoc@Bb8I)`eyF2`5o1uf5LV#YwA_Wb|Lxx#On_> zujXsXc?R7OEw>W_j%3qisQL#lfIF1Ht_C$D(9S3IajN66yI9(W3YLR zrt+u5?Or*z*mbMM=`AuIeQG*9kt*jFiP7=;Hd18YP|huT^L97oY{s9~o%q+q;gmnO zoS@o*%{wFY9p;&DCHmu*L!h$xqD=aR_P*s9DaT>P+_&O9J(tzqw@Nu=V|Nzi(EV^W zKy@^43j61lPN?Y`tJmvr4Q@YJe%Z-EiTuYQbA$yne?9r9PUMGy!(I}PH~3hN0G{yZ z@P({DUAx+Hnx5ABs6U4KXfc8(+V_@Ikhl3l9bVej?u1i!! zuqC<@Z}z<~6%V%*!E5t*e7q$dZn;qWfBx>TpGd!&!A}_?vh#YA7nE}mkhRxu-(vnh zhJD*#p@RMHx4GWO60-)tF587_{M zYCK@RKV#6~VY^!UH`R%+}7WrzCV?S-nRlL0K5wzN$s&Rh3Q&}W`cy#=kAFuAQ zxXv5zLFW}l@MwJ4@`Q&!4SuKT_+;Ad$2f2Eg&bZgc0bPNUDS*0Z)!Wfbf#=@MDZ*& zuiWyqstmT=AF1y6f^Wxb7FRp|0OdWV>TvYuaF5Xq-F|$7}ih?vShFXA*bA`uIfkYCCG+x-oWjT$?WEN1V6$l7-MuQqGTg_dog57Ic^X z{M?pf%O4|(j$3?xuCTaVFRO=g^GdVdkJ9B_N>OaSNJ;!g%K0@PcT-OBryXu%e?lln ztW5ue4&1StJC&}*4HIyD{=(n506fN zGzC%nXAG7@=W&g!+nCD<9>tsbGaTN;eD!s3z6YqdHy^EM|KH`)%+Vj}wqEuj>ie4x zKfUf|J)bpi+0HK(M(fyUpInEou>R}WJF8FZ|J(f>S$OtGaUvh<|N4Qp6ruklUq8uL zW8-lBRK)}IhR6QjGAAd-w|e&fUA{1nP1#?mpD1>XGL=1jFG=2-zB{4 ztkR8pwjHUz-1w%vmy$1+p^uD_U$0XnJUivnEZl4PW;&WoGf)zqmb3 zQUs%V;+jSMFJcO<_iw_xbyE2rB=6leugy1Ob~sH-=zElWZv57KS@HBYswb$2-`RS= z1#;aX^wT>Q7e6&{A9DSTM)1UZzJ5OEZNAt5d6&oeH^fgb@BaR3!b%f1qYXtX}<;ab2K(tz{;Ecy#V&x<>7z;Q))z?@jwSlKqaYrt_U< zuVnj>vBl;Kb=Z`(Q^USuPqVqRY~>I2(e2GVLi?sqp3J(|>rawzPl~X^GKTr4U2^4YyQrRt^O+vv9WG;{Pv>P7C)Yv^T;xxT-R^jY=NJmUv5r_R=v zwzK9CuU@*hs+h1JHyn-Hff1AS5A7~pFPiUU^2#8^v8#H~d}okvke4r`UNm0;`A+rn zWz|cO;sN=?qw^XwI<6Nx@3r+Z6MY98!Q*;iPl7}0C9gf>I5E@WUR-H7kKerC9IE$H z#+8Pt;`a;8L499&P?4;0WqZ^e7(Y7N>ttbHaOxs|^BshSOJWZ7<2RL)Y%$}0&>;C3 z{~P@A|C`q1isq{%pY4vWeYc*cH_TMdK=M0V4=OW*Vn5z$ac$p=(N|;yPsELeA9CL2 ziw%%>#KDFiiJxxR{eDf$%*(?ObXWJgHZWIdxFuGv{_(Fn)!6xD!^4^dA^`5=CK~B5TjFZV2)#vS~u`NTzwY=N; z^>6F%XnbpUOyQt`{H{y~KjqDT8tnKOpgef)kD6ETr2n6X%jVMz(I2trw_?wWX5K*q z?F?yXhCR)M+GyVtd)&;Y2}O}TPoT!mpj5ruL;rPRu|2OV95lrH9^blJ?#B%4=Sz#T zEt}WA2l4J0BY4uDKf`77Y3Aq;b*-;b>OS=cjW`mEXEMI{s@?@O+n64~_@ z>b$u_tNkK3UNlI)FL=oogX*(s_&2g|v7QOYA0Azb%(r9vn=CH>sW|95WOT(s^ChAvVdkF29e2u&|*t}u= zyKSGi{dAGZLAL?zE+ef<|+u5()k2Umrf9E~rq-;Usx7e>{9^W{G>$vOL!)EULc6`kx zN%?>Hw5$EG#T|2ZB-`(JT9k{z(H-HQE< zBV+Ypdvsz^dt@&~4)Irb9P*wQj~eaxDElsX?Yj_6X{Mn;qkrrrIN_2jWD?Amukt+|x!=L6iv06a)I1cc594b% zG)495_Bk5k>m-NH_uJ_!wq&x8-}oi31I?kIr{m8HoVWR6LU||cRPH-$d};UgY@Izp zcJk$lgRJk}sFB$JXk-tj@%OQMwI^de+W5KV3CJHFhb}fpC)?@!=(733JT_&VYy6v( z_k-P!bCg#{IxVk%|6RK{PHqa6ZHMc2%cJu!IC-rzD#DjV^iKWps4)J%^SUO zU{~eenrE3B?L0+399C%9Cs;r$*~{L~*0_y5rbU`B`A6E(H&QPx@q9jOe%w4~TDX(E ztNDCm9scpOYk9Yay@LMa`uWx_nkkU{u1tsh(;S;9@7DeJ&gRcOW#L=OyY)cIyLErF z;ak6Kai?3)=bPt50b1L=n=frcAoTB6_8zt#94eOnPF&w1-_W#2RNlk&b0GO$nGWAW zuGfb4eB0vl@$p61>wCcy?PKc+aM^sCA^GQDncrM7W&E^D?f1$;-eT1fZ0#CpJA9zb zZ@hZnI)pM+MtJ;&abxS5;>T0W4UgVyqkYzTwAypB1Y6^F za>SUle#ZH&HlJ0ee|J%STqN+$>$&gZ5xz%9Y{WOBUu+1r&gJzk^D@~lX1sXsBv0kP z@oi+^TdGue!{dkx#Xi5EJVcpnzA%qXrJuYe{p5L5{QH4+hL$zIlIy#%-N6IwZ9K@D z_YvCV9~d(pB9{MQ9BnUNLnnFSPrD+`D*O^cI!uqi{9`! z;<9x6`Vc)fUzmq9qkT2uQnQq ztxMpy`9d9DD)nE@=iRLHTCRw07~a)7i#e+t!Pd_;l|M&pm+KGCPfdI2dA8SyQ`9$m z$K4xK`^H;0gV}tM5`9BEZOW5!)|*F9_|^4#E~}k3$^NAs$@Tlqx{J`8W)j`L8Ml|! zsB4aC!ubdHW$GrTlv~>i`I^KofAB|4^JnY_ZM?n7Y=)S|u zj{W8K6*R81m&Q0Cc(m`(WaEnXQw~`(9Q$g@pG}8TmaO>0y1c0`{%ktZT>3$Kd*vZ( zAHFg4=lIz>YY+1G;UkZR{jZ6=kEZUSDb7F4vm<}H{-^m)Aa6IDcUOMYe9~5q^YZ!o zr@p=czcA%@^vh zDRauE67lEA-QTak`s;Ex(e%F8m$+Y{>2%cCYXPcT?csX}GSlqOnaJOgk2QFc+qdxZ zVRkhBH4#fV0`!K*aTlk)*Vi;2+~y1O*i`!6bg^rKSv-E9c6u_a-)(hv>G!`hKGWy) zZiTqKj1NuT9AQ^+w@LC{&TEn_!fx|1FKD`K7x`*zd~Uj1@c_Nyaoj31Ffl$h-GCmO zFU(_8v0uK|*YqQ^^?N_QNl#|k-(=Sh`hA$XeZqMp9`NdGE^2O#)$h_S$tUg4?T73( z-(BRp&FXBG&)Odn22FFrx90e6@_mEQKM&$3f81^7o>v#*kC@;B`d3R26D%Hqj)Cs^ zR3ZL2&)2(+ay)5*Wj&1HAuoFhQ>35sQ~N4+u=E%bgP@Bi4C<4H~BMZ*ho z1?Qf54$m~o#rBAv1w32OZ7k*WaL*yFaqW#cpYnZ7zWLm$P>?DQbAd^}1p5qsT@3z! z`@OhO9y!MUVB66=$MAOW7u|C^LmWBP&)$C1JK)VJ{hU|*Rp9R%v&V5M{R^EuY17Bx zH>Tu~Q~k@pzW{$Kg>zo@uL1uI{PUFll}?_tX(3-BJt`%Soa)~Iz6`uDg>zo@H-lG! z|1hP0vy&%nTFI3N&TW%__rO(D~~5O4Nl=59sj||Ge6nuh7|t+Cb*L}vTcwF zss@2Ky62v`_OT7QJ89e7PN97j8`JKl>e|x{r4I80pK5|{D)rk-w1H# zm+ik!+0S|9KMR}zn=k(*%g=e0KM(v2WA>h$k{|8lNw*CH|1>3E=5W$&XMxwH@Uadj z-8Pyp5p_@D@M`%k0xttUGlg?r%XbO*2=JMy@^N0}F9RQ|Us6iiN4=>0Rp94=H>Tu~ z)B1Y}yfZapA0@D)xOZH{BMFk0sfOz`8cos`2zfD@Rw5j z=vDdm!Cy9po5Yj;<-GDQ1Ahtp$rS&GPM&nzo8W&;$$#wdh2ZZS!_CD>{h!(R)m#TY z2z+cReo=0TU(Kh1p8`HB6~EA{_H6)v75w&;eN~R1blZpEkEHmqOYL6?zR(zMeodB- z^J0H<5Ab)u^HcV(aq^qNtH3Wv$x|*FADTY`KMwr4)cAm$mcJSN3-Gs7CHMO?2nR9^|d2^BRAW1 zOX=gh>gxiI^3G=^%iG++$&&)h&31|MHg|G(XYh95Yf?DpCl3dE#00l>GUlLD-0#J> z`9L$gT0Zsy;F6o6lKMHX$X+Fr)tpJm`*CEJVibJaft`L5tiDgEfxcyI^u^Njh{e#v;yjGXe1M4tT# zZr(}q(@#`?1$a;Jc`5xvU4N=a|7XT@X_M?v&G`P#Mf?WvY!fVGebJ?F3Ws+R{eUC5 z`+D#rQ+Tl}A8GSI@S;@tN*zwxJQDoo6i&I-z6-#a?{O1I(mwpE_DumF0=_I|A9Cvd zi@|$dp1dDTA=yp)?rZc6FrJo0%Rq<+SMKb?|?SLG|gF93fpCC_;+-|gTP;OY9E z;p9o1CmO@e14;YvyXwCNyb^qB3ZKjGJHG%%y7XPe^QAJP{)?RAwd9$dfnV?RlQz!) zpP$lylfy}yS>JNeI9a}19ZuRz{c~|OiDS2x|8DS`^x|d`zr*?GNpRxMVY5>8hn%+m z*TMUO-O{^E5U{iTzs{hP)`j;dBxNbTp%a7hg z@0wuy4_Pn0Z_JT{Q|%MKY5V&G`6rF(_F9VnE$83Q!S4Y7EahKxUySSy^2q~*y45E4 zN18tXr;Ix!ANM*OEmy5MqW1R@ry~#gE@b{|@}v{5kl0 zDgCvsezt?Z0KOw--#XX-Na>@x$(^vj&f;7Q51MN;@OnERYUzM~J~rmq@Hya=Tkbz< z#x9u;wRGbBY2f#y+7IOt`&&ALe+iz7|H3Qnx1}BUa_~PV%iq$*m5;P}o#MCnvx)kbau?%)9r&Zk`JR*;`|>&zgV%$V-d#_0~m{LOSw`Kf%DMcPoy zQ1s76{swS-w92$oe0n#B4+ozMF6%xIpR>;4Bf+l$mwUiG{I)M0PTeiL9$fCFig7+T zyj0%m5p3IG4zDup^g7VQjfx8pygVHFM|s!L9RHQ+*nZ~%o4@566XbCZR15dmwOmNX zfLFzN*VXhOz4A}b@90Sc>g>%R8XN1wU>DJL_RyqD>Fv-3XYy$_#OIIiLhxf%bI zK67Tx>{B^?!uZNQ<12Z}?D#(Y2KDaSXWG>1v-(e(IIGVkQ>XR0blRLgBYVvqGAK7_ zV6PbydU45P&fH#dRoM}8eVq)(pt@f{L8|{9iHkB1 zZ_M$teB~9xb0c%|9QKw5TV zgYEQf+GLRTD|9`@i~7aAN&gNy>Z_H9HZ7le=A+O2_iL&q*e>?`!m8YP2lo8;f6l+$ z@z-be)XOK$l0^&0&PU*TYX4k8$*9sIe#PO;+~~q{ii?H?!JfIoaU;fz-dnCTb>*=R zv!{39HyHS(EP4KYZe$SbpUd&w;|br(&CX4nG^~)5qW{#kCR_){1mriHq!@^zB5Q(plV7+W+-UAYSWZaPy!?$8YdwyLj)) z>oORtR7F#5qw5hbvR4X7$X;K?=G#f<@S>gTmG8ENi%_$)EnHl52pa--z)ckS+bI4`Yyh$^%9n?7777XcZ0t`mNk3Z_ zy%v#T?NcS;oIbUFiRVS4zBXg9cR zVdNzB9V*TLB;{A01w6`^h1RcRdib;V7BQvw0NM6D+b?AJ8SMA^@pC|ratGmDnvMS8 zi+-h_b}jtswSfN^peM%c*LG3*p;7SRXcNqM(lYtGt_9*4o-VnG{kj9j&phn;EeDPAR;_;hLWKt(rSlfP7yrNb z9Vaiceh2My`(AkzGi%wSAWg`gopLR-x8`c8=L4vV`~s7n^pbGA&iffIpYIiZG39g0 zU+s~`1xs4k!vQ}DCw%D_$mfTIe}>44V?ShbYh%+qI4~n3ZCb?u-IL5i(mf^;u&PJW zZ6R;U(hx#`?%xjGGa}&$^3zGb?Qn77GO2N6$(Qs)e^mvq^F@(>PtQv^-)sGhdOoOiL`lMntVftqtRo!d_1XX zUgKhV&Wz09o|zS!7Kx0npMZ`)!^j9UhfhCoadR`h!c$TtGO2p%B;1OWNTj;CO})>G zkQU|oCS6cZnffDF|}@dL*t%_l5v#uH>hXGw_%Vvn4TZ&l6aoEMqL*oqS&ApgE2y!rPc z?_sP>1#f35`q|RQ5dEH2-^~Nw@%16R3gC@E9>ze=Zf~h^7~)qJ!aGcOmtih}!q9!t zV@F`QkhiS~2nG+ffh5XB!UJgRr-9FwK<=Nta;0|NZ9 zPw*k^q3K51DY;j*PtbSKzKrMXYzE(Bi5hye@vj(}MI#iXFZh2<>pNTKx3w%#=PWFo zQ#6>eFOPmnI&&iSwp+VY)SFrI^zsPQRw{E*>`06!IQ z=yd_w$oSoD|D54%fYZ1Obi4p#Kk?&~JvIMjfUEZ90<@v=N11j-$_H;spPd(iJ_qnq zL-fmKI`6y$@O;3pu;Xhi|7_4BzwBHA_&~rPQu#y6KNoP=edm>c{|4~4tRKMikURfz zz~M(+uqWU@g!n@)>-RNqmSMfpiy{3Wm-69G$lG};;9rF3A(!=g3-IMwkCYtJ4{}Mr zu7d$T8}NNY`mL1ubzyvO=edAS3h}=Q@DHW^Pkb8i|AhG82KW~W{v6z#FGKdf6Y%d9 z{3Q&3#f9Rx2Jjt_y%u@!9l(o1`r&=6blJTV-vYcklt0!nJqhr3-U;|KA^Jx^-xu*8 zEWDFuVLeShmA`fRJpuSYz=r`o2k@bx_&p8y-hdYaejMPF?f5LG?{k1toiG~k1%Nk) z?DrDjl`8&UWB4vJq~B|R*DLsDzz2umNY_@3>mhh2Zh@P)IVArb#Gmr#c3dm4-XJ5S z{|A7d3fM+m^8t6(gR%awL+crm|4I1CS{h0}&{6*DN&@{QfL{`d-zLR>GvG+SEN6U} z`6FMqUaI(~0sd`>9(0udy9z*mA=V?Lg!0E0=1&YL4kFuG@5uZSZ>nFq;sJ+qlXGCG ze(3}p_UR&e_+m*E-XJ6{E8WWu2BA%e&;$N zhVL6Je^jne{v`Ynzz+_k&uGB+R`4ePpBc>mU5GczpQK0qG<<1D{=v#VRj@%W+S%5S zeM$kR`f)Psb0y$shvGj0@G8mwq*I)BTE`!B3q~sV>43i+iXY^HKkJ7&*tWx(zLxcq z@Ueh9^J|>`4XU3x1NrSNj7{GW(hu)XK)r+Dt^OSF86o=}rRedCzN#2`b%_301;=mK z3@rb?Bmif=SRd}kKMVL#fG;<2hOv&aug}k2^F-2Y(+|-79MgTX{Ris@NxFq1>4!kS zBh(LDj&iy?=5XE-;%Jnqe$_&(gg>#cPI%+4Clv|QBb@2D7|GtI0EHoj2E{>$@hTSh~#Tdnj!kZ2I&nrTG1)H}t3h!dnr@K0kKiqW< zu0OIQuj|2(#6haP3deIj)9}1C=xuPuk-(GZ^)bKgr+$oap7lU;+7E_5&GY|6?{z$Z zzn7W(Pda&B&++`fgroiu&U!Y6zXbTB1jg^b@b^hu{{YVKG5_wT4FmrVfRttC-x;QG z7!iNGuD67@N1$Ktbh3efeCl<-$zx7_+HJt!f@?hp!};{Uu@}r4`O=L8m#kX-Pyw^| z;|~I^Y>VW);L2T>Pk&MV#9Bb;PkPDm?d?2S#d(wS#dm=Jmv#5Luz#KUWhNY_>fvy{ zKz?F>M$vV{H0U2uACQB0oN7O!&~ZDV>8M|o3A$;DPS=ZJx=k43Q01)~^%iLS_(`g~ zZH|=V+vJDWM{4Pd;rQM)-b24`jQi_&b?4%_P|Bdc-gZ^LQ~$5Cq`0_P!rXG*-QUu1 zx&9$2hA3E0KSvJAcJ~m;i~jmJmz#d&cv=)a-FTrtscGeSU3t~vk%scaiJsyEAHu|E ze>|(cHu3kLQTd(fpJ;lzaz-<)pMZ9p<#e>2qEBdGIVFx9~;-oyxB^djiuOg&=3V!F> zZ?plr^V{8*^J}iR{j&`U-I#gfZp0mEHAb$^Y#Xd@-j|nSZ6hWUK@eBV1dCwQJ8dSV~{hw|fza5bGCd<@9;;?iw7{7J~ zdEIWiA2@fxiC=3A_mZf7Kw0%MUnu!w4nl>vJ;7f;zz%-gRlv zO%Z6(XZtg*GX@H8Io6P!xlmJ?U+>-HaW38%&|_XIc{Hv^Fz5Gg$ov@e^MrQ=?$3n( zau)Vs{P{gYXKtKy^hG~@O*%UvN;v(id}(Vk|DKArrM+cg$9H z_Qhbf1L5irS0WN!JNsfE1`)0kV#sfu-FPyv41a2~;g2F8=@3^M<}drtKK33vAqxKv z#IJRsOh=hdd}LwCf0!YSs|n^4UmVIX!gWFv{$#(Cn;Vt=WIm}<{Kp#7xTC}RAI30W zR2dxH#NdBY$UZX^e_O8N=7;%9AJZ2zegXfJL;RO1{?|yrOV?7DnH0`-u>{&?%!MZ=~zFRelE&{z%TNB1o`6Kd+>XX@Xm%4 z{%Z%5|ISwNKgvjmJ6|LP^YbceTp!|# zRnS4=xeBQJ-vD?c?!)cB1amvRgWV*4Va|t9OOK46PcqmSS4ukkjV}(K=j96=_lN#( z&*0a$XW?{^Z9b{ti|3K&cNYZb^(+j3 znaL#lW#Bv$f^T%-Uo(&RKZ!o>`6Rx05AP+O)LNuk+D0=Yz*u}$~90J?L%ZJRDMf^X)@opEs!eeCf2l4hY5N|J4{CmpEG=3i~-kmzui1%`w zP4p+VybYeJuzc$WPv0kR|an5BW=W9ZYuJ2Y&Xc zyYtIvc5QE5(xgmF=XQBMj_jhch|Hw(kv9-*hFbOMIy-fE&#kl zB(%UK<$hfvkKg(p+FwuNF|zqX@ol8|9@Be#JLb0PlELd;RZSohQRYk&?|QJCZ6v6E z1IIgBH0L95r2hZGOKLY{@-Pf%$Yr-~q~D@(1DFhHIsI&*PJkIjssafOi-0Zg%jt zM_L<~$&Wn-@Zc|acQ|-mktOoUsW;Z~8y|~ubx8P7oMZFbBmA1byK&S%!0#eKaBKH> zB%J&-?*m*56dY-Qkx7~-@xAA9Njxn>{=#qZaQ?sVy(nh9y;R01n&0x1swX3hVb_cV z-`10Unc`^T{RG&(ZpHK*KY(8FZ#=?#8`momw+EgsZyzw6@O9|Zs=gOP?X$O?Uvqhb z!{vL}UkrG#p83+?X&&;I;*azHeeWw#II{IXei<$P?Od-S_DDB3{`dW}XZ+WL-S<{Z zk9ciT@h7}(xW1LRJ@9n=I~neK>kZF7kBK2Be<&xD%cZ|N_?;&RZt{mei0Pz#(2qeL z>4PRZU>`pn11}QK2;m#p$1i|={7li7_K_^P(d@Hb*282V`c9D_s)t<%P3IFIW!{(L z0xYUW{FR*;4{fk7{Vdc2K^41|oe*~l^j%sV`fL%Ct)2Gf*V0b#C$PtZ;MPtL z#QoLA*WN z2A)pGvl&kI&><;P%(dzGH-1g&M8QYiCU~%ZAbUzYMhgB(5VGj?*8grhg%6Ddp((Gj zAL{#dTp;PJ;P>Esez z`%3dcUh(~Z$oZKF6kfb^KGsEJ-9IBZ^DMsql%$VK(zNE+_g?@Pw5R?CT;G6y8mO>BCrTm(8d5NEm0HhGf`$OKII@1@y?bdY%SUJWs>a4n6WooD?nPhwG#D zvL6_i3pvQIBwK}~_eEwOH9ko1{kXmi@qz1vGUvWj*4JH4^YlxT--$AQ=9|ihqFtC3Oie$bUbE`EkVt2RG69%g!HRfxjPz z@rO9fjl`AWs>O8_L8fw1Gx86{dy3y)1mlnlw>&8SxBT9ZJ6K`xPc-?n4T9l6S@9oj zK*?EQ{u3GI$4xdkxQWJpi9s;@(YCYxr3RFYe8aoXl1};l|5q28dK|$1Sq;cFTe}3!~TDaaebW;Kx1A zzwRGD?o7PD2-j7(Zo>5xu2;F<=Gj3V2U~BloSyZz{51 ztA3IZjwxT&+syy+Fi-VN_3C|8udWgQI{Jlk`EAU4I}|_Sk9wQ=-_Q&Hhl&3~vH8cS zx0$bO+hZ_~WtSfHc0h5r-i8cQZ*y8dU`55Qx8oMcdYh;nddeq{_Cl}gZG4B5^EOj6 ze)6eKz0L7{p5Oa%FIs+4>jlgkVZqVD#rTx4DVJY>|Kqr%PeKfmSJ-(YnO<@qpda_e z&+x}N>}{vWiA(b1O_`vw@Ez(D<=e!)iX%a=7h+k5%kS5{H}U&Q#ZQj5l91$^Y2HoQ z7o~#Z@A^siigZ)&v3<9)Zcdk!o++RH!~p8lC_h#CeMslcNqdr)svR)MBO62svS#3N zxfS1yY;J2ig>s<6GYft}D4_GJ5?+%8gGlER(+xgWT`7`0<0lTH+a`V7;!stMU_%W#)aaC zD*kewfgaM|7Rf>W=;tdum%pxZ@y_tar1bojx%1jg{*RZVMSlFAhBSR^m_Nqa6`ny7 zVowa8=|{yM^9gz(pt6rf@Z(45TanREB%1z4{O{nO*)$bmrd_ws@h=zNdD74IHWY)$ zS8~0TvHv9WiC00t!}0qGn&J|>FM;Xt9_u(w@IDq<^kC>~6g|#Uz|P0gV7WlW53H4{BqD=90h*0f@9Jo{;yHsSo6>Ey9IFgGZ^d* z#_tA2e*@s~M`$oOARlM`@Ofu<1AcuJ_#KM>9e`gA_+ugdcPsdVfZqc6mJs|t#UEq; z@$gq@5HTSCK?Q#p@VleHA6ER|0Q?T@M|95H|Y1{!XaezrpnaAyC~S z61RllZ>#uy4)}xU>^a|KVE*qZ{oe!pF^112W+wl9q~IR`{&p1j1_@6q0{p!w@XsNC zK4AaDexv6AUxwPq<&XEAzkUGD8-Sk`O5bl4{kMQ`V0ga({SOM>!7@bBH6i}n6#s32 ze-8RvLi{@n?mqh zh7)VzAu?1u~Ot;KCrPF0Hmc&nplv}od%kij$X7I&WdV(4s?|*^FBQAmTX;geMk`PFrDK*tK z4K>x3<#Im=(`mjf;CT$w@t*-JLiTA@@uA-uoKt(ZijSs0f#F2UaV|VA1Sh-7tmY>i ziQ|6GQF=>yqGS7~!kLv>@qY4Az@jJ;Mj0XtEM(?wzu+2!O975q%p z>E{aXPLxARy-U?Ol5|ca)1QMfaxmbi7f=el%bjx~8Gat%rP!m0de?>1Igt#^ zjDC3@uE6;*X*KazElcV?V%6$c(vQHSJ+Fu&~X)i805IfF_0S?p`7|YN!%)bNtCsfuE7v{gw;g5Dd zLH6reNW!tIy^{QkM@QrTqopzY%O_j@n;rh}8|*56_`l}{`H$56*V}p&4nly2^(K8N z9^v@t}==J<_31fu%A0tE!FueexXs784`3!Qc{SLzOcqF z8e_l8iD<24KJIgf!+#QR*+U^H`XNUJ>{rYQg!gVa>4UidO~d?us*fOh)mI*g{x#`e z>F`Gz-3RTQ4tWM=HCJPsD_aFV=mU{kFoPUXqRuy|Qabayx;$LtLf!~yDicT$}D$d-^z}*lg za_hxJ_yU@jl?zlY7v;ssMf-&@LlaVNcG9JtoUWerZX$dEO=I|zQkwq+hkrG2DZ(nG zydUP@v))aFFQ91*e~K!2u-$4M{%Cs>W&fQer1lN-?^*9A!WYmqhCkAU98>0xy2RKA z?!x|q8exQmi53oI`y7pPh;>N6u3{r|*M9l6WH@j}87otxiI zu{4JNbcg>*4*xTOd#=O(!5;ia_TY~>08L}~Q&hG7XF2>Y0`5%?|L=S7FX_Smua?H} zN4k(h%Ju!jsL#-*CnA3(LRR9lxR4ie&`$J2{n*#BmzDhM+;P4@`(o1PcF4Zp@=hDk zBVW`sOjh~A+|9sUlzefo_LS$TOyeLYT*nWCroSPteujGPZa^M#d@zPlQqwDl<}VwpZv^vzvOl=S(`^5Xby z$1xvDILqDO$o(3)I~=*ksCH-_>hWi&9;Xh9lvaDLUkkBHV!u$z;A=t-~~> zo9~kfEl(?7`H#q#N&S_4wCzcI;&R&ejEbL;4>mt5AML;9Y30j-BFZ;OviY#j+L3>a%D1uX4}a%p!&&XT}^}7TqfJJ ze#z+b)4UXLb)c2Rdr@E+LdIa zLGpTBx8XhNPD-yNn`d0czskLd|74CI$lA@)biwkOJd0`lWKLPlhvloD zkFr0>m)o>$`p;AH?fQS8&lf^kP+OY3Ov=Yj1wZ**tfj3IahpQrGkLL+zf8-fUy>i% z-$41lQSDcxw5D`F71xEhuEd43PhQLA^BrTKvz31H4R0(5Hs}Ay^7luE`N?M+9Na|N z|L_yVe^KLN93f-)BTiKQp@$CfIQYlr{}oRBZvx&t5uXu`|6iHZ#{zIC#E8E-vBAh+ zspMa1NaORu@w;B}Uuke~(_8%b{2`fs(3#_RrJ;@A*NxvA8^826#P3`YkNqEk_9yvn zruCE87#_HZ5kGYVhl$@yO8#wz7RSMc((hr#|0RQio8IC#ipNhl-=sn3DqQf5IWJK@ zT8ryzT&VZ+N8|dP%lFs0H}U&G#c!?Q8$ZR_2SDvt^4kpalRq#xxQP ze_J?yKQPQs-ePcYv!`z*sQSm0ztNWecE#VuG5$|({_JF)-sb()Z-vNwQ;ec@;GDnJ z5I=bWeo8!eVZ9~bv=3Xt<0akqkE-8|A}dRt>mlL4k?)xvW5@782p(AfpMw3X8_{O> z2tH&EfS;R^BmVQ)owqO??_50g%XiFi{L%CT|4i>pkM{&5oyYxq_@gOFxC$(#?1#`v zZ88M#1_4)Q1LdF7r(YGGj<;I#2fkYOtV6{JYqvugpYun`nS4+1V7!YVN8(B5`Y*+M z9L0O2xMjq($MEaYXz^}6es+s&9J)7iysW#IBnD}62Q#amHUy zA-scejh0GCyG9l@VoweQliuU`(FeW`Sxdx78}Fm}wahQ*ry|b~JQ(j9yq9>Ihy0~@ z&!Bi8(R;i*T92R8BDbQ%jQ2#anP%C?j5p!^9+w&z)Ob4LCf;(+z)zWIc;ZtYqiwuT zK2;Wfbp4;;M`i>E%Vs=Waid&Iv&-$9VuqaLQ&f zm~?LjJMO8d_cU+a6(zqD-XCx+aqv2$n1h@6X zwaj1IXC>f`B6|$AgVaA)`+!m~o}VJ|q%!(1`q3#@kbPE&a~7X@2){1X{b-3K^Xt;q z#wD^Mp>tF`Srp`7#mm?S?M8~Ten6+YW?<5NB@_B7+Q9h4%E96{Hr}$2E8~TAbSdXB zJQy#`%cn>@rG@%IeVXzl#p@pN#tlPf@Y_-~FrZLaukCZ1=AppSmk(39ln4bM^*p2E#!oxUz%6$^ISG>o8_s}oEdm4C;#K6<_rd%^X@^$F@s9S%? zJ}}!ewLkc+6a)|2^S^i>=qoE$WY4e2p6`nHzMIp0>o!bi@BI@4M*Vt2)BsQqA z=PO|Mu~rrK56KPSZ3ONI61P{pFM;>TFTnd2c%R3>)Ap1;fuHh<(J^PXm}2s0s%*!o zTzVTZZi%*t-~oH4qI@Yl!-Q|*@IDLnOpOy;@6A1k-$gqorJdU$WzWonxIq4tERCJu zkEAABF){5#c*v)zeH48xyAvM9_fk`1;3+#HFH!JQwo5$x&3QTmhI%y)+Z z?*)bj?X(Z%NIb)YZ^%w#$WDWMx6^jnZpm`oyPZTwTaRBLt2@+pd8T~Y>iquqbbd-54|aM|4-8|*pYUejs+Fqrigz^drv3uFS-?9o z2A;O3bf0|asYC9c9RC_)9u&x)6#Udf1;I_bnR)}i*CUZMhAH7ryP0}2=p-H^n?Gdl z>&V_0h(CP#&PDt_n!SJGPeLzPf{clI2Jf*waR%sSjFT=C-YukCJ4PC?cJZe^V6_Nm z6z?<=e)XH~O*tmL)j5mLQiW{N8}d^xwPItYBjH_+>tc!9E8aE0lXDNT^AGV`iR;Q3 z{B(N5U@s|Sp)H@8% zyk+tnV5(-lX+QuEE4Kfdok`-sw30*@?Etl-Z2h1CBrxu1%? z6ViXecUfuAKm^a4&-;kW8&-^XMbBT!el30Pi1vM@p$sJy!gA-CeT=fb_!RIq@YsSq z8t{4iOUyoB3I77{KQVu=`5GbOmW24De9gLE!smPg_<3sn(2d8pDju*k$Dy$U zX}N%}4#A5Qd@$ezfPWT(@1^#G?gjlnVt92xzrhNAEa;1(z=tXRlL1FQL+2u>e=+RO zZ;;Pk0{r-3`lgMR>6>{1>0u7H&4PdF(#09Y!vu>#eY8FM*;p&h(F@Z`Exno z@Fn1E1@lLnvJaKvD(tJ=D`cN#fTP?YcpasHj|ss~Rra|M^bLSd3)yG6vd<-e&j5U3 z$UbK){Z;@zA8;JXPQpz7IalhJiS>O*Ly`OMko*fd{fLC}n8>yJy=DHoMCzBh6!2w$ zcZKv@!TL%1Q}KPN{E&WED*dhm{c^zf59xQUglC=!_zJ+6h2SfN_x{t^_jelhMxKH1 zo9-9jPjb0E%4|XTdj;Po{oJ%y-rT!|jKgjCg^!kbFR-!t?)M@w8TOHT0#Qe&-AQaz zfb|yOE5!uAV2q+)gS=mieU&JG#C^Himxz6flK)!#UIqRSNca_Y-=c)CW8SoPk?9qj zUJ~35e%d2A&oaC(kw#Z(lOY1eYy5QhLWqlpGaLOh?2}J>4n}~F7T9Na(6NU`k5GON|R&t@;i^ac%LE7gekS7`!xx2-ANjJ0g4CogTI7vvMs#NkhUD# z?>xQ(9J(HtaY_3W_dEDr{&__0Yv1g=!!7$+S`O7?J0Pc3$=S@`>zh_q6~RKh%4+)L zSod<_-SY_WK12S%zS4Ve&IP`2Oy3};?*w}bgXt9%_A5NmIv>b3@k~b^Nl&tjy+hSG zExp7uJ;Bn*b%vGl!(j9wGR2DIq{E-p_DoO5bDoux_&ZgOXxuXT_s;zEzLtjN{GjAa ztE_97Dn+pz>?JUA27qP{N6t*OkDBGsN>UlzbW4ZJt!V=BsjaJ-sPQR2MDubYlp2{S$bbek!Nc94n`1 zzbZSy>w_*CVk*}^5w$~4>Aav9`t_XGe9S3RAbaWHO;hU|kQe>*<+zZa)Jg$A`6l!` zocU+A$LUN;xK4m>nhJ@=`KqJsMDraqEgyadwFk(LI(TTmcz&Pd!*3w@55#LQ&R3)F zv%ZFWkPH~5^TiDF(=RbNxFPwPO381B{H5|kY-k^;toi9*F@O4Yk`CV46#q5gpCs$| z^y?W!xZYjYX*Bh2CFob2zdgV+^bjjQNrTA# zX$-z=L#*^8<^PW;|9>F3hPVdiu9Frl;&oCiSOsc_tW0_Mk`muSB~vl zeu2AKc5uqoPh76#Icv*>cO=eZxc@k$M}^=upZWO4=lvLK<@)bQoa=(K7ruJ>|16d!g6)dOPD#dg;(-owKfk({Zc9k+=#^&Iic{ zlpFGAerP)-g75n+ZEId~QnQ=`)a54wV|rYMzSCkvpXWt}&-rp2= zX=E&mQeM}g{O16MJfC65vIETIL#%x1lutL_F5kgX*wHJLql`LIvQ~Wj`tD7P6|H=R z`I4@5Nk5<`bK-j>@txi~U$=ZNN75zD_T318u682;GoY&F5WwbF0wznmkc8}8;{Qv~QbpqNw)0(UDyS1e)(z2jUmOP1S z%5R&LgL0rl;+-PZw7(fhf1}45+&78Dr^0%i&ZItYoe$6?o{%Z z8B%Nq!}>ESCu&|l1Lyi|bk?94O!)s9w^DvyDekGclX|a+duTkH`(t$aC~BUCbL7Vx zfkyqLAFslNvx|OwN_dZAEdA;%u)=u6kM9WYnSso0m;KS}PNbFm-Sv1n_}D}?R8DOavPQ3jyd1!}B}9oB;Fvodb< zm*dyfc8Y!zz|^3mQn`#7=_5NIBY*XJnv z$RB#I(*Iqs`=5~zeSCuQ3E`1X`h~>pQJ?AfDPI8iIwbul4zl)X=hy6SXS9Rg>w@6M zKACwIp4lJptqShgClkJc!qYP3FYJ>^>jW~B#Pr7#q$d&0J{>$QwS;2h@@Wn~$_Lpz^|aWM*vhxKjl`YkFah!X{O?lSoxQD*FuIaVJW!4@G5@dd7}@-x_>Z9Y4;IJV`N+roK3e>LLOmv1FzD&7Q_M)w@xeYS zKXbU@EaQWDA64y|{KI%7fxDl?<#+?{qQn_kpiN@*kaikvUVssXfY+ z?H<8{@rM7c@H7wk3tv35f#N+$-1W`*l2f$xAwQx1(DBB6zFHqbMIs}G7u`7VKN#$$ zSTP(goZr1rw>zr*WD;H-uEQj*j(-n35gzsnV%~{^#_M4x8FwFibx3|nEVKDT`l0Nf z!6)@$SAy&EqiM81K(=(@er5~$tM6zu{N8HL!Q_4{#suX!GS-TvtpvQ&j3Y}p=65hI zXM(r4IWJklmkOGXq~K$&Pk8vqEQ9(9~j>ToPv+BcF|{B z2#&M=Fb>Y}v}-Ypz21(4GyOSg9Q{)8zY^!QIP+Kx$ND2W7dP`fz-KeOj+hz!;3F{n zX5gF?1&*;#%mY#I)6NEbg`G!W{+B8Fmx2CF!0oxG(mwDNXdWf=DqNQW{-Yh|XL|HC z8Gaq$mtmgspb&hOg0Es80)>PfQ=>n8Y0$HNnb(2dp1UmN!{6rk-46Qm7`}{<4gFmV zm-O%-DDyaQx~2T#9a#kW7M!D6Ve$vhGjJRpfNe0ob0=YAp5ZMu&p`784F3my(a-WJ zol##p=Nn4+qkyB`PJsRnoVXF(4Swd6A~98D%kvArQ|A}ra6djN9fR)h|0wvGI4{?~ zK;6Sv@o*cMCphFc?|wdD`^J_@t5#q0PlZQg@$d7!oF}+u*Pcg6P4*H!zd`=y_i1JV zpguC0ROWQbl=2yPOmGg#nA^aBQIOv^98a$ z5q|bF%+@;w>m)YZWksZ&qvp3a+jn#ad-(&=)MP&?#JLkg*Bd|J)-!LJLWVkXrs}4#Cvm61@C82!ztQN$O2uKokoy;!p^4F*;116 z6BrNY1!Rqo0M3V@_1$FGEVRZW$0A<;!~MUb*kv5v)dG(ETnrnTc&l{DIt28S0p9@n zSEIno0G|i=M^cX-^c9>gepWxwUm1lSY0^){fjIP_htJT@nb$FJH(ic_Z+C>0ANUJD zCTl(!;aKs^#c@Lz=12J+;EtAsO(tDZq#uKoRl9@0qzin^;{{(O?={Bpv(QGFcxm`0fPY}+^?Oub^*EfC^>XoSUsDnnz9!Yfgn@T_E z5tSY~k32`h6uf|byS07bpX`-n;VFv+S_TJ>Qd07ng(sJa!T|hJzy~_;I6>8j<^P2c z`=?6yP(YHN7h?nPuY^EbX+jV9clc7=1R)MU-I3kjp?C6aHu(gwZI6I)=ImVL+w8t# zXG<%~MXvQ+JejXfYHX2x4jzxY2H%W15&eXpMxgs~1g?{C-HHpg+|{9bb7BJR`cu`7 zEe}5-8u?Z_>y3T-jcrTiID}5mAK6}h){np+aO9N7M)S7txc>kJ-bqBXpw!{|il^O1Sp5(0`!dXP2R^ ze8%)2$QSdm8OtwFZ3iLc-C+BF3?GN{(wzDyZuxlAd1r+2>$xqFo_Cg$rmLS@TEz%y8J5ai@yBGs&;k@F@!ZrKMl$(jQ^y z{cQLO2zgjaY3b2!Gk=>`^Nv>Mh;aO7DtMyhUoYY<{m}}JdP{{od|Jio->BfIH#K~; znx|*}%?d8lz|THGdnSE9z@bNb%=9N2`rNH9{b>&U$&8cxl}mpnzw`6iTbJ;UU3yuU`S~(^2_g3t z7cPAUKi}rj+`HZMy-4x5>63dYryu$wUq;w*D;hj!_OPNzLwWu9y2FPRm7qwW`~d#N z;PUc@`bf>hs+#FIqO80Q50&&VM8dzRteIXncvj<*=3zy}!-}vGuaRgeQ2L-f^?cYh z?eFp({Y^bZu6I#zKYJDX5n>YjYw{&}z;EXE*c*lMA8M0(z;EaFm{|K+$U{BgXs6S# zR*dwZ&;x!i+7tLXI`n`)fcobj8XAQjun{c*c%VJYepLBMH$%T`L9hE|>k!{d4V||U zW3}0@pyBbjpKAN7Lrea*;povz-#mNO>NRpq&(E#^?i-R;e!s)*uVOl^wPm5{w{JEw z_=f!^)j!R~o&lCyf%M|}aM``bae$RI=$R4$)`@vjs)aXG<-dbxK(^!4rR}c1gG2rJ z80*opHzMvT|8YCh9+^>&ui}&u|K;c_V~pr1jMGj3QFuqg*S-sFcH`< zGx762#oE-qaaJno>l}Jw`)sbME}vXk8<~QW0qbUtj1=R&9KV=RUa#UqO#>g5XAZIY-D1S@YQ`SXbC&yz*PkfaoQayDFYNJT~(A-q${8I+4%*-urXL2q+EM3Ctg5GsPU$oCeiI*oZ_@aE;V0^l_J;Bs!toguMGovT zV}_(O@tJ|VgI6r)9!JipkaM(_g1Tylli%FBS5-`iOsuPb`~d$Y;NQpL z5B=5Wp*S6?Feh&K-wXa{YVNSltE!w(`QAQ;&x56d=L7t)_IQTsi?IIN!GB`aRLW3f z|0=BEH~b$1f7uqZ5Ui);9wyUmBmF0rp>eGa@V@|cV!NY1_@gbh{4r;1_+zfPWQWy1 zBTLOg^inVPy+U}O)j0frBKt@IsF(e|D{w8sg*_yFt8k(2?~8W5uj8*;iNEe0+Ye** zCVrnoF4|%pGU`-)$?+@EuY>7>^88sj+N(oU{_U(TFIRm9@b~w_+=k)51^nTE=#X)W z`c71D_In)sRh`G_2>aESDfKat@l)wD_FgK+2?^6u^pE>-WSKrYh{kw)60|oe_{r^n04OagNb!C;+;19ab zs^JHWj7%smE1z5uslrP92z_)UQdKi)q!Pt+vG`*z;Aik>C@|hO(tiRQi1k0m(f<&f zKdo>HKjSMg(9m_ns6Vg|VB=Q8Qv5qACso6Rnb}VH$G;F^PM6R;Bf!>hcRCO)# z0Qw~R!5_@agui_+=0FBQ4$95CE3B+2ciz3%z#doP!XBIvPoeJk3cd>bG^DGa3;$sq ze9_(l$)A2K()T%BZ{b>xxc(i#UqhFF;`$h8iQkIL@de|Le?NwV##Mwfxm1$fNRmf}=nBra6uukU7Kgt2uwv*OsB_ z4d{>df8#R_|BlM~hPujHqyNXf>CZ)3>eW?pfaDV5kM@7#I*0#`%Id0eHjmUFbMwaj zCqaM5zsOv!#_g#7Vf`Cs)`s&p+W(Dr2KY~^cKM^+8vgKKa-8-#^G>IIZZBRox}M9}=DyLOWsEE|oRn%9=VkYTgr7zn5 z2JRg|F2%F65?-E)52bITqd)q8`1Yd-nJ+o%8;d{Me=h8xV*We8emO3@DgAfU1j`578k2wj1^u1&AM=W~eT$X;X#eYP3-YgV%g0oQKjs{A-c?HCCu@RJ z|HWuObI|_R|JC8&*-$oqlzOK8JH_FT`aIW}*~)5i_{Z>%bI|_RyZyQj`cSLde}?ws zgY$*=;ZE>Hdx8D0e(syNJ{I2imux(C)t&5D>ikTCVz)EGK$C&P{_HRS_uu z{Ovd5!2HV`{$x*{aZ&tl75g$?I37+vKeqtrv;#;|ohPK1AvyN?3m z2Xk0*euwzS@B^{;+N)PBjOMQ!9M&KGa>IW=@ITby-|_Q)Y;H057fBk;U%MTyA6xlz zer$|#l6$c5>NXPyyHj4XvcFK!2bfhoTv(n4k1uGm5VX*T-4=j9unTeAL7~x{}OHIny0YW0%JcDXA5sqCcXn$ zY32S}cWgh*O_}^P3%Zt5+Sa<8B#pFRZCT9(IXJ}mYon}3VvkP24!xDX zbHV>&hyOM^?9TdUJNjeZGWQlo{~Oi(X>a^7PEfZjz~7AIQ~Km|f+xn;F)`rh=HWtL zHFr2J%x&c+<5G2lmHO-5as1Mq_+dOO_dd%%`voU|J0C1}+u>ZS-K%4-SJ}CpW-2gq z0XEDZ?rTb=eQR(-hv{L>u%9mHQc-pqfP!~YrZ-|X;T zp~gQcKgaMZa=#Vc5!+n;sM#^XNN*^-1*hJg*PR(Ec$k~*Grr#PPbvWaBF!D=sH9`%CIagfqdm{t4gA+g8fl*f zxa~Du0?Gd4opGHc>~>95acZQ|0)*vOSztO!A!k zlZWyDBU&B)9n(-&rH3WUFU@D*<5E+rDk9|=T!8yoQ4y(}G6@GKlt+kNujMxn^KnNU zfDDP}5E9Wb>JyMYXI1s--B7W=@tN87hcEr?5 z>A*Ap4;=nifd3_ye{PcC5er%VN{oO2|BJytLDDeZj%oE%>udQ1^G6>=)gRvYP2hj6 z!~YN`ePX5m)tGnuCcvN0DY5)9&Y?iR1ALsCI{RR9nZ&VwoU#H<5x;i!x^!EoQ zf#(s-)6Y}mb6P^?LcuMUtaoD6ANPU(tCB+7M^#T$zWo914*xG6i72(Z1!O{ja@)uoT|T z@nf_A)*tIxVIMyaep_Fxo6LKHQTb2C?;ZX>L4P@|6x1K>f9(oM!+Pu-f1vH}p}c<_ ziB#60i;5 zd&$w?6MNE=F(wpR;ujG$G`iy}5%~Ch|o8GGAyYay~fm&VP z!XMB33FGEy*ZM8Nm4K@T7nU9NI|JA6Ew5d1$L-V4r9bA@d)UE=57wJ|wd16A%08ot zRZ_BjPE__OLwpKwIT10+c$zx~0VbBV(19DhK7f0N=r1?i8yqW$)=0@7Y^ z(%(%-SO4D%uV#m&k?|Z~q7#_)N7mdKJDgwXA z{#bL+t9`JZrN*7t*fD;j{Q9uUpO|Z7{hOitWLyV3_8IQfAF=d52K?1nrn1jyt3UET z#&DSb39x@Y_;bxJWu$KS1@<`({2vY2XPi!8wmNt^TRkIs9YgpA*6V zE{A`|_>yrdfq#+xu@*zd+o=cK{Dbzt=DGm?QDapCP=C80=HB`ZML9>`q#w@d$VtL@ zND2BW=inK0asBSc^%<@=F;*hGWj2EU$2+!Pyt3a_kg*Kce9JVo;uoa<^@!g^f%uI% zP$dxY@4sI0zZvO|@xp$pts#n(j$cr|?*RYP9RBTSKgOu7Yg zzc}N+h<t>@X=GzUA77cN=U+&o*pMm_!u+Ci+8?6K~MS5N+o{AVFw z_h+p9mY{sZ@eUQwClSYg;JQ;OhaY?=MEXJD^+?5X!mHQ%0quW{u8);`>_iU5AF`1j z+0LIr_A9uQ&qi7#zpTbJDLvrBGT!T0YjGX$o_F-@>x_TKs!vdMYLX?5P7m4{-NPQJ zPgoB8s(zbsIrAOKOVxbZFG)ApsMq{~_P_dnN+0U~w6`_QUfkHS0IxWIV+;{`Q1J5; zpvPBy&wr8+4en>ieMpWcl{~{>KYuS?g4)#MyaM!_(X-%vgZXI^7wbd)Y?V)ic(Rl_ z=B#&TQ&Xgkar8P{Ki`vbhF&G#<1dX{#X(=oH=kjC_C?^^qVdiwOTjJk-QLzjJ7U+@mzBysnj8;v`O2{*Kl?oJonrY?k}}_RPDxhT@a=ExLb_9sd@+`X z5eR9Ak^G+4SBHcCz80O?6@bTr2)-ofzo~! z@txZ{U-$f@b&#w1DqlAmYeAD;3<%qy55JZ9=5(X5d;Sn?Ed6%MLzGUbkMFn0 zbN})O%kzhbn&?sfHuE}FOv*RtWvVh?b?IIO@N|CN3vw`iTde9=u#n|I!!dqN@O`m% zXw>bvKSRM^Lo}1|O!-2#J$|p5%1gd^ zzX6vg`0xZDU8>m1CE?}z+%T3e+g3k&slmZbbomRz^3PKIhZ|a2a$auq`-_5qBgD`* zaDTtj&yD|eOzUU28Xhs_bAu8GLtFEkti68t&lmE_Ol3@JQxX7N?mq;xU zY393qoc}&#IzM}+BNA@4pZ~FXq2zZqMVgxAE9`6CGo7<&BEK;Dya}@Jh1f$@Zv;!Z zQw*~9{zSs}DwKQ(zL?rA*WTYT%+Ho>u0mvCgXDwKXFJNHavF^M&m_j~D&BZXIgH|q z{91r0sdilP#813Po+IMZyPvc5L4kz&*_9SerMHo&xxTm|lYX5Q1o)n)vVAbl|{w!(6O$6>x zX&bKZx9I&WEeqB06VO+}^!K*e#Iv%kpJ`9p;%`oWr+hYt~_;; z=7RP1r>p&ZJkH%Tf8i2Yr~~|IKItaxNx?vcY>(<^);Cm4iHx6GADLb`p#kkZ%Ngm& zfqz;c{TCLN7E$NU^cD})jWaKky2<@lJ;&iD%)~m;%5o`hFDq~NcOmb0PQ1pcdCk|5 zZvUnBb3z>k@aiHHr&gC^G;d19$iet@+S2*Wk*4{LOO^~PnzM94lj_ez+vnouBlQ1g z>iHSd|A>qlIia$yqP&5XV0*xi({?`u?Lxs6N5B5c?(YcUzYl(@B*kMLd%n;2tXm1d_5zaVE;#{>4l+QhtLCTI z3$gY;!Yjl0W&dR1jrl@|F}HzVqDX#~&d*`LZ1CW=MMH)ks;k{0R6d;g=0+htQGFxE zhrOrQ^~@(5H#&O1488y2=>4d*_o#u!mLh&E@T6TCIq`WluSNa84JMyd%&4uZEU#?9 zn#A%N%#qbpVW~Y4g!Gryby)v@qOPDgYkaAHFuyFv_)RIsyzYUWsDF9~?lHbL0Anlz zXq+<(dM?KGdtB%r4R~7e`?da3Ion8=s^1Plds}de<(_)IP4`j#9Q$G1ufXZI;#?|j zfqC3xV{kn|q?oo^(W*E#nX2uvW$@sA8}>c;;ITtaJpi_&5J-Ql|C{!{elPPa(!XcE z#eAaHf9L7YOU;cFWvZ^95yy$;j{ehN+cAxxhon2qdK7U$ z7neWpK#T*H{u5^wi~v@qNd8o0CWZA^+v)=L@1FzxY0v_J+xt$cH`Fufd%w}wFE|kT zc1sxj#rM{d>9>0w;{ANI_M>Dly>(}?2e&N10Rjt}nuqAtggD5+`hKSohT%Y$VL1F{ zSWsWAbDKI%;Zu7IeW%x{XVUj_N8brZf9cOiggw-}Eby?FOxL+09%Hf97fTfSoAjW? z!@4tzw*>s0aeSPkmQDE5&QZ?!Tn~SM>Q33FdB3-Hd-|W{IkOUe2-dZs3+=+^iPQx6 zg(j~cUN$5txqu(ljO%Cyc}J;yh^O>*BAxtK;_uCbpV1#Oeot#CrLUWQg-E7z3Mr5; z#=reASJ)qGnzTPwiMYZqTO&qXp5J)&^dS+)B1Oo?0I1NT_I$9dLBhYw~iZaEQ0 zU-6k(I1j6Nabu(rCkifH5Lwi+FtWILuHJ6ktDn}t8DnM81N>25z;|PK9aAmbHdHm{ zR4l^7dXg=sym;d&9;Zv)=x^=??tF8J0l4*0|28{D$8tZdgby*Hjt=8;7EzIK<4`c( zN0h@WswbCKm(vEVYGBt*t!;>u)mG|d;-p_WOsU(`B=jHqUu4t}x$mmVd+xK3ylXfXb-C^Wne767J9l4!)d>AK`q@({;AjHnqz|r+F=@VoA zzdzQ<>9Jm|59;lo*C)-<=PSrkYvW0)ynW4ia6GO!aG=z6^y3(3l=sPTbpEDmCv0X3 z*y%3l^}M8$=@UC0*%L*R#pzSz=(Qbsy)AjrU!2z=%Q>YZ)|e=JdgX<{bNsQqGNpfS zc7v~|$LLwV^|8`XYln1%|3LE@1CGE|fa`obW6e~-I$SHQp1bOf?K9ZKTO=nz{&p)Z zZ@FrZHraeMVWSWOo{%`4ULDBSa{FSiKBswul?so_JM0lX9|i4^i2mbvtiidr9rI$? zLvim~_!01_a%MH_fV+gayhXyh*UNG9{x{+Mk61@~yOBvp*Wmm@>mLt5Us=zM zaenzYW`2fs(NV8Ioa#e==v|I}Wsu|aGxNR{`;bJ6$0S@P9{U1M&MUA&*rO-$C_l$o z=QjZUww@bg{XU72pN)1T7yLe@dXrW|+bYv|-*xpYA9mn+X`fS}*IPmiQGIgGPqFkF z4t~Y8@lt-E8pup{sybVRRGyDxC2PTCXIx zkp{})0F1ZlIsRVL(P+o{#~*r`kt>pKfZXF9x#y|<>na^FzUB#41_+DO@h7?Oa>+@1 z1ofE%eUz`I^@*B}VSO%g^g(}Xfc1$)zdO`^Kvn(*z@Me^H|$%ZvrWes?cM;SfnF=Z zdZE6G;U~~k#CTfLhjbKUPPKZCfv+*(uSTZ8JU)9>oZjbHyyQoL=lC)GUU2*vN=MaI z3Dg%CK|jS>+YRFYJ=SAM=z9tS#pwJeX(_lFMnyItF) z^@*B}VSVOVeUiJN&!dh$zg784r{f~PsUEdHyiLdUCC&5aL>4tsJl%TrKInxuiURwu zAI40_@~VomI!Z}dD=B-;u==I!2Kg^ZUi3HrWVIiL(*0Nm5B>ZB>m0n9&N}DzIg1yz zM$r>vG{6Ti)tcoZLBB zJGDWUI~q4ue#Dru&?`&wpuhQ_I`#JUrpEd6XEipVes$#zOod!M{zP)AKN9Y+XxE84 z6%fq!7;WXIoQU%jKeBQQ5>#u$Nq4{f9t-kqp zjOJ&T;7rLel1{c8$F*SQ{ zhmO+Yw+@{iKa56)qGIIz4s>eFnW)XU8QZgX8CEHcEXI4*6Jxf94s#p>CtG=Dyo%^{ zj2k!ZfUyi@x(gh-DWI!y==8XRmB%XwneIx54sHIxX%3wp_aM5iQO09rx|H-z0Q%E4HS}Mn%1dwZzjo-)0{uCbK4pSFr@+3WJGOg= zLw9}tNO^2_vf#Nk?VsN=~{T}$01Ftppgg+2t2O5_s zhF|8a!Y; z#hOB{Z$A&`yT}B5#xzn}Bliqgd9n=gv(?idh%xzrH`3cEdU1Jh&c~rRVSS#exBY?7 zTUw$YKGE4fh;6@+j_k5AIJUWP0lqS@DAL;8 zx^VHys>bYL7n1MlgFg4@dz|?GWOd;9>irq0_xhoIjpJDtoTawE)KmXG;2Fn1aQ9gd z-6BIL;vNuwVS=W@9KlMb9J%{Th;Eic_Y~;T9J({~xpQ`WqTqP!Gx}8GP<_=8?TOM? z#34-za~%2>M_)G`iLTP2`wnz!?2o7qs8#-1Pdf_gvub(q>7X;E0d%9B{!-BXd>+1` z!x%>45J%4(ht6F;MtXw3ri+8mTu22?g)yBm#{Y;8{Ut@`4>}ig2Wl$x5k7G264Eno zyP*^QcF-N_&^^@)-B%9Xji7VJ1IFB>+FP=xyFU?n=6&GMVVtbc@y*99bJl%_@*ABa zukGSv4zsY{(Q~%yKa#wT5%&`oyY7p8m2p@TnA=*+*58S128 zSkF5gx}!ih&yknz(%FP%J+C)({y>a<7cR7PqknMqblNwH-=z*6#$^f}zhm@&opcDN z`sk1ML-&M37nTP+V;77G6u$1z-RjsglwWcmbLiHC4z|)^x4p85(;`XS-0H~hGJ;kBJ zm_Xqc0~RB9cj}3-JoIObo*3^c+~LrrIdq}+8t3pCy22FU4?@1v0pBc1ru!a#6X|K& zX}>TVbc`t}OK`Zjp$qHjl-t50&}B&)`dji;?Dj8rsG}$583yG$bT_-_6@=`W?$GTI zy4@VQvvj`+=^oY-Xxg5IV?ejJLwB50ZbNiANH0ToFzALkbW@#l2-AJ$(3OME8E+`r zPZSXsP6yfEj-%Fv@=MO!4&7m(bH*D=eCPbPP`S-<%3t9TpmW9>ivJfo{c=`Yd4v87 zI%mA0_z@=^LghB+I!jkL19Z-KL-9#!J(%^Yz3RWgFGO2Jf%|70_UO%VhF7KR6Dq$s z7h1W4j)7d{TOEpj>-Jv){WFo{l&8W7=$bVZ*8S%>bm4T2Sb2qWKsVpg;cQU$O1szd zni~<9B*Z=Nmk_)WM+nikpDJ&ZuC)%IR`6Nk@Ojd$cY^sn2kB_?ALa=Lo$AnCuJRF$ zGi;IbCiMNa#cVy5pOCRM{chOlGUzOa{>T{Te38DpTe?DwZwzwU{}Dr-{gR>jCkN$U z%PagN=$!U{{~rYRSfbTG)GbhS-jo5LbK3v?A92Q$zEkrOq4NvjR}F%HtwUhECQOHX zV(fV*=w8%R&bSNZyYGU<7;AfEdbBz8Sl=?}4Ts(x50UlXSc)yj(`|A>udDzrt}5BZI<8AE@wP)>mCy@K7{$;I(axc=?D7kt%lw&d{xkwU`-hM ziK6HJR?uJ1{=%WdoXl>h!*m$>m~-BAs2vZdjU_DOX?J#uhpc~`R{lE6U13s?e+I#LgwyZ8U zuw_fSTDhoO)eQ`&T5GG>l3WO6k)^f0AWK5Ug%|Nk`?=V-}n15KaX|y&iS8n=1ebl<|U2`E%y`9 zPG}sW>`6bC;qGDWb67n*0rTlDE(W+NhP#TTPguJ?VKu{1x-Mn7O=_I!w0EBL9M~E4 zAe>dQKFrRnUzj!pe3mmljjSINp3dm+xO&e3?nH+3s``=Yop+q_xG~rp^!IM=?Dlti zWjR1i@8Yw7Z)bQcq0z5M?Z5;S7#D}}b$Za?a$aHS6E^=dA&KFNf$L?sn?-Ikc`2x$ zTzOa@N@wkI&T5rDC}Sz_FuDbx+syc%q1tbvd&NV$RGux^!pCBt3B z(#vTlI&?dcg8qS)myUi}I?APX*817Wy*!X|WTWtKV+L_Y=TV;MuX=b?7fn($UIZv?iA6AZ2DjDuv z;2zLg;yczI3>Q{Er=VVP?SuYMI_hif=KO%ohlS&^84mrSbmT|vaOYzRJx3~cDX9#H z{!lvdl6G_Iqqhgi7!SL8{s(aA|7ka8mg;{UBpvB)U>A>drF1qAFq@w@5jJ0#`~<`O z9ypXw?PmX-&BKM&$I16H-2K4q)hHom|DL5sSUM(O!*FQRGFbaOd!@T?PbBYPbXb2% z-_Q7eQ=Jb6+a~{j(Y*|QV;Eg|^mIx_{iN-cej9KYhifr(Sng^Sw_0hVJRs^3(nS{0xTwiNeeNHq{W0qxK;AB!+t%IM&|JI+vBZ z10@ zWbnZ}r*^Z_+4w80{Y;+9a9GF4Ks}({tavpKKz4r58!W*mr?@P&qo*%`QIxWCJi}wY zF$3+mb~E>?c{Qbf#&H-sJN70b}>)NXhIM(jZ+`-dpfsT%Ydz9gtfZN~(ijcz~^BtW$_FRGM0`PqZ+4+1X{}S-I*zn2P%f_!?gvHmC ze^2_=z+KL8f9CTaq2)J;mHTw;kIuM?;eO5PjVSp!3HishGy3}(zhL#%TG=|3{Wab`#^nH-ge+_W4IiK3)@eVl*Mou_h#J5aLK%$3bPM-w5~ji zdo%7eIPV8cUU)f+V>pa^GahER=h(ch6Tf-7oF%e$Hyz{5477*Zd2eR*Qdsd|B5&<{dprd8iY#eKLxGOENT>53%~^ zQ_KtXIyab0+^GyVAGj$Dw}Yj}$22btplbIMmoeND;ASw~`Mkbi`;966P5Jd?pkB67 zzO>8ys;X~vJNA8{>pM@z_d)wdF=>se?-E&g&cHas&edFm$gE`P`kvEoag_HL>Gm{{ z%@buz10MYY?J_6v^a-7>PMpNzy%D%$G)f5jadsYfSU)^*EW@Fmw<{U$X4ao}@_nby z_X(J9Qt4`~$^?$J`}UbEUBmKG!s{;1lW`t!%}m}}jth-n!hbQ`MZm3MxZ`#HL%SOm zzXZ&Cy7}+Nz+qgaot?q#>BP_7S4sCtyEuwpK%<1n*vItz)Vo|xDkGTkE!{)w;(iWX z7sEX)X3?)m`%Q6wtikO7uAkv<(dF5^lYB_t1q}B);Lb2K8Q)`i?sI#{j-IA{wN|A8 zcP_)VvHd-cUEKC6fz1_!KHt$$KU21JxRN)W_tb+I5yr-f8ijwIu~cHq zy4G_jF8&iHZvZ&f{-?dB=IK?vgMO7;@5txvV&dnj-ib%MW>gRgWqna9t-L1`a9aOs?<(( z8aX+R53_Upe;RrAQ;_$VLrec-jTWh(aAD)=_`3`);}zhbyLK~P6;wT`d4tgQJ^p5f z+XEaM|IfIW)gNK~9-Iwe?1FKq&BpyRu3&nG?fbyD_y+emaBpiZg}@#qRS&>^`@097 zR?o>t@u&~obo>ao4;fB>w@u^L&x^Lm$WnYM{i+Pk#=4!2ewTLB-(l_L{>C)wW2`Mk)9uW8!A@Y#niw_+*F231uli* zR&jfV?jMh5<;zBWoQZy{cGDN=`VsQN>i;-4uC%j(OV=pGFXJG%cbT4;N6nNe=3sHQ zcOpHx`IP|Eag?ezsz${z$nG zF&ygUOxFIV>TxOJ#GS89$Dp|z9KHzK7XwVQE2G;%Xfgj}bqE8{TjuR1@uQIZc*-)|V4eG+hN z{6FmqU5`Y-ZDBaf=Vop&G}HQYJ!0O`la$B$vo_{)GugO*THQhPT*Kr&4BRHeds>XH zN6b4{9_!EAmS1$9Qr}pO|8@ICh|vEI}kUP;l2Ufk2DJM=CFQGSbZM*F~ea# zBJ&!C0Uk2Rm45z=plk=D5Zh(|`E5rQ+xIehT=DWh3&b!UKO&Fd$nT-O|C)4D- zO)UC7x*f8wMc4y|_GtdyVOak@mX$~QCgA?eaQeH;2=!3xMMhrcD&SBLYUh4Gy3=_B zy*-(a=uoWNUL_KHM7pDQx8Y->ADy|!r4#P=uaur=x^&j6I?%nq=*;)DBK8!Q&SRs0 zo%t%G)87L}iVts(G4GN2HpA)fnj_(m2VA>j-XoK>|L*tNk#IRK&Z?w#m9_sN-*1PN zqu5jz=dm$gf;j-!x!1dr_(nVLgW|{9(@d<#drdLt=bT7pvOJjntj9Y;#Q#7{ z^GLl>FS>eTecp?9LA%NN{1(#Nt(Qw=y*&P6hQoTiw??C|_miJDv%jRMY=ILd<(dAn zRk;+ndWO^I=%D{iJt#Uqw*d8cfy#3de>#&p1Nat(54rcn7)0XMx;Sf9HE>^LIDQ{Z zSba4f{RP)PSpWBek9HyV3fqX{b5s=e=+EK8J)iWi0D}P%|w6GyP47Pd!#<~u50ff@KPx0AG4qFM?cfc#{cg9 zRw|u-vQ3DEHwtUc&m7(o&*o<{F<<7r&zdIT3OyI6FG!yy# zZchBliWWHKCT2UsVLv10xLr4q-#Zu9FNwK|;jkak`)d~_Ci466+;ZylpJGgT^JIPx zxVsoG+hPKEiPP9>uVHAJfKg*jMQN3&Xkh zOPY7|B-3FD!(m^c_iu(~!ZtQP8P@Z`=Wf!#s%heM6Jt-b-uV(UZiz$8gUB_lcn~_vM;* zF76eEL%W&9NU*m?wrY{aS>yi7aA-HPVhk`v-!Ht=c}MM$x55qgWL1dxYC2Dj;`=AV z2bGa0i>(K^_dPQ{S(6x_8%2DkNOt)AmhnORn8n)vkb9}a>XC6bFdW*$EZgNTqTZKH zdSA(KXb-cbr5tS3d%_8~mEmRq$J+noX!o-d?lgwW2hL=bs`6Pxf1^!qQ&*W`v9VBeu$+!lFTLfG+!>!i)!pu8*lJbscIJEm&%M49&k(y`U z>Aa)-ku}2&_hj|rutG6j_TR^qFh0vchxS>!wOUd3OtI*)C9x7qL^s z_*khAGPZ6%y{CW=>klNo8T~t`aZ?y>J#eV+v`cza&;O#o^l|?{Cr+0nlasu$A2D1v za7aJxlCIYC0)*=w=*#a4bfcb;xIGMq_4%wmjl$ab8M=Nm@90V5USK$kf3uL!v`bpS zE<0hN!+~*cNK8oG&D&mdVi>SM^6%mcFawOUjX+V zLxVM5S^9_8H?Y&L(D^2}|F0*Vh(EPY`;hPRoja09-j=mO$b7LGvd}hickFhRepGJW z)8$5GhC;h-ywaS`{1Cow9gjg zcPS5RMOhfHX5GecdBUb&L+yijW-1)Uq*+)`$-2|vj`@)7kJw*WSjf_U%S45H5STy1 z-^*~%L`x6ILqA>W`7|&uz(34zJ9NH5{KDjI-YaoLY|Y1dU)B=_H-4{Pe>3msN#>hr zEZ?APSD#^M5`1d^+fJ9a*s@86TQT4tyIRG=3HM~Z0y^lS-T3F-`iS;_Tg{jY#Qtw` za*y)FnQEQ(Uc~3|&K~gfgC&M82fB{ z^M+toz|K~;BOxAl0DnKjZ|do4ACNSXQD7k7o^?hMaRRTt28Y*BRx+P|4r&#F1JU*B z?=^b$_eRs}N$B;r$a<~q3$&l+4b|bmBcOja0)4hulG~+W0IjFNbq4we?8PwS+QDGg zK(O22*V!@91ye<|8|n9)lBWTi`y?RW?(gdeLoxlL$SDp(I0_DrD;XuOG%_xFyl|t! zXyR2CS%T;K;Ek>O& zB!OlAJGh*P8TVjvaAn45ON_}F6<9<3aWB+>F zOOLh_*xMJ_6zm!7_l7gK3j^Jq!F6anRDFCU>iz5JT-SPE<1+uMiWQB%#wB)kH+B=` zE3j9^4h^QAMFIF5?6foIlmc|oOZi2fcSK@lMuSigv_oP?;nru&tx1=j}!hNm>*$oy07T2+MQ?$!yOs^ z%xwn`BM_{iln7Z_@=Vx~z=*Sk(pH3gdv2~x+sf_S zxrZP%ntA2zI{tN?5meDqqe0R0>5PnY6^;ho+!q`OM8;~VZd|+i1A)^<6xkurb(FUb z2^vk|U=Mmz2UGa$2nHNBc^rlRrHrU@6P*<{QVq13LJzK7+FG&1--a9Cah+dnMH?y= z+6apSEIC^TdiJmpi$Fk7wWj|0RBXq|oYLTHX+eGE$Hh&!*1TSph!)G5zM_W8R0Tf5 zRUs`n*uQC|uezq%vQk#bfCd7zv{w6?ENiO2qNbt}r~l*VIsC2nwXX5k*Q~6mx2*C0 zipCZnZk@8M1b<~qwSPrhV~xKRKPU5_h_SB`w+UF*6#05pRUJ;Cm6lNN6aBu@1@jEI z6uP3T4uR&?WZaSf#r;d_n<{Y-UPWuoYG12m#j4ki4h~5Rsc%`y{?;|kHGWKRpjKb! zA0Q>=oBrS#0s5Kf{M_8Jp}miOC;L}JiFsv4=y<=svZkrUFC!>*Z>(u8p`R1|er#21 zZEEn>`s!=^xJLmmC;0v9vMQw&-X-E)U;Fx=?%J-cL=*4#*Y*VhWG#n{{&iZQuRG91 zFCleFW7`sXO!oWhD^@J2fl?#~>6d^vO-NR3WAgjsi?+;P=eYVfzrQgsp!lZv{mrc_ zs(rP!P8|JXg53j9k8%XmZLM2TgDa&V0P3~)PQ)8Bl|NyyyFa+T8;uFb!2?;K%8x6w zTIiHle@sbHadB};JybvdQkCu-v#c1ue`SNTQIg-U(pGXKnRw;*BWtUy9ER>{+24-b^#N{lKPU0Z0!=n>PIPBy{6(ynUoB#U$y${ zWI9lsbbbg;)>Ne6>eYT+#ZLMhWj8Q z>p#Sj$R=z1JA;a)?%;32JtckXQ*yJ(Wj9CCysWz-P_aE1!Li!zJOB8Uc1%q*bwMAjk@%t-JJf7 zI6In@jLOc5&>VE+%l!0i_$o?8llUICdI~(9XfqA}wTe{nJA4}IWTA?E6jdpWUA?9z)$tvgXS}Vb zHqezA2yC=5BI`I!S1q`cZ7_(>hcQ5BHS0i+y;fF&TEB3PUbnIo}=`$==ix?99!vM>uSYmL6_;kGzJFkVD|7YbIAzq=#Yog|FEsYh;Ep<(;BW{60lgvqv!>6Inf1#ZOrw9-9`)Mf} zQ;`u0@iC!#>0iU}TZif${8V0}vJiz&D8Iv(V;ued->*-jj%8Z9+k5*rU@{x`U1Ee7 z=#yg_+=ChHYF~@RWoJyI%@@5s$QsgAyO@JL==2Szk?lEU8}uhP_6}*xX^_xAMDv4< z7n~NKW?U&+ZlfOPma&vAxoZKpZ9m9x#u@ogvwuh}yA$8ToBz(ny1x*0N5Lp<0 zPxe=YH8xvVWol1N^6~Dz2q%0zzWv6xKiQLoD18k3U0c=nd>WsC@Usy<72()7F>$@S zzskX<-~hB65N;zJ`c3Kz;}5<^*C8BxxzfOY(oJd~h~^KzkZ(=82Q**7zVYTT`M^QG zRZ2Xd>*Q0y#@8N&oe;(jIKWq9TU87{G7u7`ZuFPA9d9ukQO z2cCq^_ru>r!T38B@vD^2^1q}^XMc)*gZ(mAEaIc+J=xgLo$b@1*w=N9+RySX*1bN( z3})H<5(<^L7hIgE-Vadw$`!1bj%>>Y@~!Uv9jjt5?oa+uSQXgcnTxzM?^$7;@Ucj06=SlH4l+FZWG5W= zNqKU1LS{fDm5AwM-0*f2o{i@dJ`_nw6b?OV{tADeBflUI*vpJAvDNB+Tck(jF}OeD zHW_ABrs1COeT=R~WSL*EEA(3OE@a*UerMsFxHT{ z?!lOUk4Q`uX(oJ&!_ZLdL9@v3=dvCOR z(X-`-IyKeiuUJ`u*?K=){i$fJp!=S4G*_8Eo^0HsUhp2|VSnN`Z;@f1>{~>_iDaKW zkoRG>{7{iTCMZ@|*oAN&oO7sgo@{&x+MyWx(28yO&YHW(gvlpceRp|>lxxkq!VR|;Y#7?E{Or+lv)4cJQSXXtf4=>C z>`R5S=40=1&Z7>_jmM2nJWlU-XcoLE66(bmx<6L7JGj@SmMcfdN?0>pCinvN4ONz5k zh3-K-w7f(4wKl`a*9)!?&Zm`dmWm^%S zufF#sJ!)M#3-^k7aKH%V zQ>@De`of+Zr_PkbDc@lH(5AZlGC;S5@q3){Lz}7SJUQrt=Nd9GAwiu3tlOblIBJMg zWuWU(s{2sa3Tl7Heie1m(*j3-6uR~IuYVz|!g~zk&(Z(;eBZw`yPyWxSV8W?N*Lz; zY(LEn_Tn^%AT99tv*e~M%!Fa2*f%hA_&;(6*c&z!uBTjR4SP5Zx_Ypmr@gbYFO*HR zF>d&nH|lSP0YzI%PF z|0mrjY}t>I;d`J5jXN$^_&kwxxfn0|Au{|eC%j-A%g)8X%H#NI-A(fKN0b*nR|=lI+yz`HSY_(=R48t=mv5`RluGi{5i zsV0gLd>Zg8Bgj|r^HpJgQ;pnu;}V77(LbKN)D4mkJbW_R{>jIMha-MkKZid`krBf2 zxy8WGj)4D%6TiBO7XMNdLoBRfOG;Z+D>eXy;L#_WoEnaI)5ltV5yF$AhI=LPa+HLI&?nki9bA#f-{2x7JL{H~Clb zkDh<=P5Q(k=?DBC$G!?*xu&_Ir3HKCTF}4b@^ilr{E+lD^+Poc(+^fZ{0MlA2f35> zQSz&r+x)(U=K31B`HKuKSh2atI$^8%E$(w+`lt&r9;7y4q-k!WE!dlvj@M$70NlYXD)CVN~ zo?PVrylDuh{&u>EeNCiG`81Cx!|y~m=AD+-BRpPUSROC&nDcYO??!kg!l`OS`0Y-( zm|da5Vf*sS5Kc8`vWWe$NS6F*9#Zmu5aAfJEj|j^;_NsyY?^IKp!fz5wC)4!4cI!`kY^9oK{mruq|183b z5l(Xp2%oFMU%y?2Bmd@=BOLR!#DBWOpLCP%RopSLG~0PjtrBDCiS(1QUlHOp%waA2 zF5-y1keUSx@o&RBKf(AHxK7}|S{7(Fj{J(?e ze+r)l{KW{r8{x>`SMvN%;b{o}9>S@PLHM~U|I7SK;h6}>d`d3WVd43g!e^o1h3}Jd zpF((e{-y97;D3qmXAvHre<>VwcRA+Ma;c3B&%YF2jPRQg{*uGr&A-ZaO~@N?p1c~& zXM6$DUE9ecLeG$SJ%lULkK>sCNXXek5Hl93S!Jqhe@){6+dKmv2$={Q= z7~#t!ghQsp*C4zN;U|UR8x$UWguFEo!ogGGA-nufNS@Ea@TlVuKPkKXkqF^yFc0~@ z8$A(!shj^O?|Jf0M)|%&hFjz7f$vfGYf}ED@OF$5P>(IW4E^dnB!7lF$G{0Eptbtf zNdL=0^CIX^7m4#ljO^!2d{BiyfN+$rU6mp+3;*2o+34auc|qU@MZ!BAk97;hpLl!n zwhBy@#ZY zd4oHNe~;m5YX>6;!K3|8<$<7+_z3rZXci1FV!MDpNdG?7MtF%vI)547YVAjOLRk2d zu>XtDzZoBI6v>^^QMN@Yel+gee;PhZQ@@|b@0W*}uf(JejrS^1MzQ{6)jx^+^8oxq zMwi(C7B>Bo>lPodc}O*3MEC}bWeL9o^QU?L36FM0ySUi`wHxpw(FcnmlHccwUmx0* z{hE{WH||_D&vgglcmFW)gZXeEv0k@vc2r?YPitF?I~D7Ex5?r)3`WlHKN)qtG+c%| zRZ8({#9CS73KpfqqI=KK)gA!dUPiaw%v(31?bZBh{|9uRGCC~Q z(Jxx>ZAy`JRxQ#OO@b#s*3cysJ970Kd9J{Hqp&NRAEpGS_%>xLxxa->tZC#=(Na*4 zU$62N&2K+DjQzuS6Bo(m4dEFQ=wb@Dx*VR+LfCIx^hF8lxEgxny^nm)75+d zI%mF15+}7Wy5BImcR+VMve&rbZwrl=9seXv_0iTR!0-#&1Bys~JFApCtbdO@;kY537DBr6UJ&(Xo*Sl~XS^wW zmQPfC_k-u(;AwoL+~mg;U$s6OWj$QA$69BdI*#7Uo%Ts`oKE%FF3nx;_e!H*Qjht@ z?$fCr`yWQ9<45|k@;3cp(7naz{$SE)xhikd?*z?z@T}dQ^8R5+AC}Xl{%0#YZig)N zC+J*M?T+d=#Pm_TCErUG->bnh4t|2>D#TIu9Af%}lpbaG8=2j|3;stL{zuPd_WT{A zL;Y1Sozdy|QTjZ@=un3h*oJQEY*WsbKda(}dZ%C(d?BMdS+)CAehzKlkdqgkIvrhl zXWx*tBlg0`@`E~}pcJ09<5QmznIwIfeI*fjOB}^pmrtB6UT{2ot%=XHd5r%P!}OE5 z?y4w}{wq?MU30*H8RP#8W7id!!_)QL^y#2$W^}J8J&4}|MwbY>Hba+M%J`{vFcp28 zf)n8}N2OirsfQ<>idj0XIK|N`6=!Z^yzD7(=2fJ~RINYNN6!pX&nY`<-o!xK@f(W& zZQzYPAq8jZ*r0B$I`njs{CPTEW$c=IIrwj5{C6OFfH(}mXyBS*lLr5phn|M$5*lW{#=;5z`mCPn%~s&wEl;XPMSBd zkm+=hiVyZ075q2+Zp|I{Y@K}Q=_L8{bh?Jw73Y!`yutWC#_W0vqgxERe;PV_JWHoP zGP)wreaPrmtM-)g-{UG?GlU0Clc(SlM)zIDZ?B~D6rkJ{#=<8Xx=fGif6Dy=&KZ{! z>YOV$%6_5Ss(}yn_x?6aJxKjMg`xCnjhFh0X{769c-D?*o}%=n{4nhNi_2G~mXjY^ zGaddEOC-=-(WWj<=`+gilvUIE=KO@+PmF{a<%dOV}U8dYH~qg%!JH9B-t?*h$S z_yvsaJ1V`XKQXHLEQ+gXNu(XuDS4O|DMWrNtTOVlET#X5)5qa0`JSox;;hZWI`}5W z*MF$#!&@hnKHqov6W6Id;P1{h3z78*vnMNeQ@;v2HupPo97`Wo?xvz|Rrocd@645q zpDK4#%RtisujWvRdgdjEBYjK@BJHTgaZ@n|Sctl|aI?`1SxwE`jkNqYyd__(2UGuQ zY65tk1<%Iuv%Yqy>BCzkCwqcL78{2}}`jPByYkv^v7AUn3H@^kcckVSqwlXu`y)5qa0`Kt1B zG{z`}JK%q3_|7@`P}7IEMk;++`8gW%PKAG9{2yZWWaS63askk&@DQl2;0H3gWcE$+T9Vzk>8GT3KJwZx;mRf z6*rt3!|7|=8mr{FWG*J(mS@*R{a#sbHO(GguiSh(>;>oi((zt4enGhW&pqGqe>I-; zv1!z3x?5@wOFXYZdTwV1i)41GPWEg@C6n7t7!=L5gX`7>`tof$EnXg5(=UsuIFJqg zMgLp*MIId()sTmlUt|t(j*vJ8<={rdOPg0?5+0XB3*JXfJd8~yYuLgMn71IbmIFFRw8dt zXLGGGoZ?jhkMTrqIeYDloA7ItJFY=1ICe^1Dl`G9UH{(i_J)`~S^-L;JSj8i|X%i$k2<(z9*=ha(5HfQwhw%gH}*@#=LJA#k{ zId3lp*`kudA1*>?vz@&>~ zw6wHjenIhqvIV12RWWQUY$>c5Va7PPjz=m{nkiG`dYimrdtrH*T~a*XE-5OVH;Onb z4Qp|mygh{B{LwR9RZ}S$Zr;4PaHCUY7ou2?o?%VFMjY3)$Zl!x3iO|#T7glqJW`1g z)*%@2PuPTdB-GFIp`Yiw3;s6vU%~%7{I&2uRh^b2_(#gez6Rep@Mpkpg71Sr6@Kjz z6s%ZF`70o6DSRz_B|N@C^_9T0eF#TN6Ug^W-6=eYIHPFgO_2F1Jo?(cE8tIr&lT1c zWx}d^0se>Z822&fnf4I&GGnc6(j~%r6*heJI~Z4{z}xUx(<*L;KLx%Q{(HjObst0H zQO4g3IqC4|-}@#C>r(7tzYBTdj&-55N8*>1??Jo}@4AoR--mw#ei!_I9|?(}JV}1t zU*R8vN1W^Kg1-$O-`m&yER^<0{F3pHgRCB5mE9sdC0N%l^Jv`RRD3&v2xjT(^8)j+p6#hgN^%h{tzg%(Xb% z>#|S{DL$2d5Z1Rb|FJ#^G0Bea$`5pzIek*>Qhg-4yf`wz%N*BQDa{X}+X`!zh)1RJi$ zd=$zSmPM`A6XCHhY4vd!L!)k6gEg+z|2X{Gko>NC)QdZW)jLOy**()=$KU^gXZte` zzhs9atv}UY<<1j`u_(tAZ!*%7^!=RB^Htd4RqS~zMg3b_h37hW%wLsEf%n1}!ebxV zB&6Y_M+}d{@kDw;mY&bBVzGW$;>>MG_H*x3>sWit_eUjD4UPQHWcQHy6nQNMj_+!# zQA*Oh3F70*nS#BMC7AcpZtffE9#<|0djU0#{66T-p>mol8YK(K-|O-hW3V1uf=X1o zqABYBRnEWI&_v-+H+vZVg)VQgoXh2Y&s9=scu76}XGlC(t?=RgnEDzy|Af4t?I_0Jtbh_ZGv&mzXtTPXl=E*i zG?M?@v{KQ~RNaQ#OseYXBkz8_BrffUx>Cvw_^|D`Ona3_6B=G z;)OFDU99ALqTxFQ^O_~BEh~CMeMiXk4j3Al&t7d=<*)SN{)+mViWPLmNlo>V8rtB5 zJ4$LCBcR-S#yti9pzz=xZ%@ovJYyYu+$VTu`(<@}4)m@&6g)e>r~N#`vEnjvm7QSBA#P|HPlxWij9DYrrir zVfEB@#{cKQ-p%-5r}IB6zu0G_X`J&0!Cz)gxB77O#=dFS-ssbnJjnPypuU4;_MU=# zt7&As(T2KsT_>zR7`E}ZjhDPGwV0g5pC3_h@GXfst zDorEt?;CvTQRtt07=E7mPMM`&$>)Yfmg`-}7YALvQ285UA#JDBZ19jJ#*tN0H?%!2 zjWaYd|Ls+BO!kxcuQZ17JqDO5O#eQ0jsnv^waL&>{7cbybM-=9Qff2&B}4OHDe7NM zBlUV8dO5v(x5!Dpsf;i7VVAP~dL=ig@87vz7aAJM*N7MC<|$2up9Q}d{zUkI(eFq- zN&V5kckS|H$UVVGE4g=wT}0ZwhDPGCuUO+tYY?`a;h$D`I)CZyuzm#^T-libIWIS* z==W+KPXBCZd%v|m*y%qV*Lo;XQV$=~1K((so?`SsI!>owvi{r?+U|pk%Pd5XlMN4< z{_kOR%~$PTP6v`zI^Ty&xu#zr(*6R>X-w|P>bz8z{-qlXjpX|Q7UeCaLfds1$niw(^XyEkGH73xa+e9PtQDZLDst64l=(RQbPo_8(| zWuI%(*&CYQD9qB_@}#kWit8oB;|pvVPqIkmpkxaiaQSV_wH%+=phit zYhVXjo;O5}7=!)Pnnsp~mk^&l@bAKZhOqJQUikkT^V+DlAWz%hQ)c7$95>pue<_ZB zNqc-;PA8(mg`}qkWyZxwx_wT1P*qI!L0k;~eEgor_;;%QBzBpbY(}GE8h~SH4AL((}-CHuYNB2?oRSe|;0K6;(YW89&x9D60d8 z?f=L5MNa;w_Zuo;rywIk^EOO{-=O8$oRjg%OCXr&}m;}eW5xuA@RT-IgOR}`p}7oQ&JqBQeFp> z*A2`jCU3tQU&;L7#Dzjbf67+x;b=Y#U1B`L?W|DH0mIb}aEa^_7^<&xy=_ipTu z6rXJd!{s<#V`r#O<+p4pJo;f}_(q}(>o;X*!=tS&L)%jJYk0IDWq-l^GW4D@U04T9 zw2VTde3J1zo7wY9$hyWbn^(%>8B(9Ie15gT$auc*q?^82iMUBQKW1{!=9k^fdpJLQ>v+ zOy1+bvi`xmYecH#FYU+M9hOe`7Eoij{iq8f`6G|H@%g(+8+w}ept2wJKi&-Mf3N~g z`|@;K?77wOh&jhSzt5BNw4re+#*3JpV*L2=&%1BFeE8A=Us~Wx3w&vTFD>w;1-`Vv I|JN4yKREaB%>V!Z diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml deleted file mode 100644 index 9d3b489..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.core/D8M_Camera_Test_bsp.language.settings.xml +++ /dev/null @@ -1,2547 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c deleted file mode 100644 index 8b13789..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c +++ /dev/null @@ -1 +0,0 @@ - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp deleted file mode 100644 index 8b13789..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp +++ /dev/null @@ -1 +0,0 @@ - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.C b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.C deleted file mode 100644 index e69de29..0000000 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.c b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.managedbuilder.core/spec.c deleted file mode 100644 index e69de29..0000000 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log deleted file mode 100644 index 2f46da9..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test.build.log +++ /dev/null @@ -1,9 +0,0 @@ -15:53:28 **** Incremental Build of configuration Nios II for project D8M_Camera_Test **** -make all -Info: Building ../D8M_Camera_Test_bsp/ -make --no-print-directory -C ../D8M_Camera_Test_bsp/ -[BSP build complete] -[D8M_Camera_Test build complete] - -15:53:28 Build Finished (took 237ms) - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log deleted file mode 100644 index 1f0e53a..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/D8M_Camera_Test_bsp.build.log +++ /dev/null @@ -1,129 +0,0 @@ -15:52:33 **** Build of configuration Nios II for project D8M_Camera_Test_bsp **** -make all -Compiling alt_alarm_start.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_alarm_start.o HAL/src/alt_alarm_start.c -Compiling alt_busy_sleep.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_busy_sleep.o HAL/src/alt_busy_sleep.c -Compiling alt_close.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_close.o HAL/src/alt_close.c -Compiling alt_dcache_flush.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush.o HAL/src/alt_dcache_flush.c -Compiling alt_dcache_flush_all.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush_all.o HAL/src/alt_dcache_flush_all.c -Compiling alt_dcache_flush_no_writeback.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush_no_writeback.o HAL/src/alt_dcache_flush_no_writeback.c -Compiling alt_dev.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dev.o HAL/src/alt_dev.c -Compiling alt_dma_rxchan_open.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dma_rxchan_open.o HAL/src/alt_dma_rxchan_open.c -Compiling alt_dma_txchan_open.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dma_txchan_open.o HAL/src/alt_dma_txchan_open.c -Compiling alt_ecc_fatal_exception.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_ecc_fatal_exception.o HAL/src/alt_ecc_fatal_exception.c -Compiling alt_exception_entry.S... -nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_exception_entry.o HAL/src/alt_exception_entry.S -Compiling alt_exit.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_exit.o HAL/src/alt_exit.c -Compiling alt_fcntl.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fcntl.o HAL/src/alt_fcntl.c -Compiling alt_fd_lock.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fd_lock.o HAL/src/alt_fd_lock.c -Compiling alt_fd_unlock.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fd_unlock.o HAL/src/alt_fd_unlock.c -Compiling alt_find_dev.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_find_dev.o HAL/src/alt_find_dev.c -Compiling alt_find_file.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_find_file.o HAL/src/alt_find_file.c -Compiling alt_flash_dev.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_flash_dev.o HAL/src/alt_flash_dev.c -Compiling alt_fs_reg.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fs_reg.o HAL/src/alt_fs_reg.c -Compiling alt_fstat.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fstat.o HAL/src/alt_fstat.c -Compiling alt_get_fd.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_get_fd.o HAL/src/alt_get_fd.c -Compiling alt_gmon.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_gmon.o HAL/src/alt_gmon.c -Compiling alt_icache_flush.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_icache_flush.o HAL/src/alt_icache_flush.c -Compiling alt_icache_flush_all.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_icache_flush_all.o HAL/src/alt_icache_flush_all.c -Compiling alt_iic.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_iic.o HAL/src/alt_iic.c -Compiling alt_iic_isr_register.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_iic_isr_register.o HAL/src/alt_iic_isr_register.c -Compiling alt_instruction_exception_entry.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_instruction_exception_entry.o HAL/src/alt_instruction_exception_entry.c -Compiling alt_instruction_exception_register.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_instruction_exception_register.o HAL/src/alt_instruction_exception_register.c -Compiling alt_io_redirect.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_io_redirect.o HAL/src/alt_io_redirect.c -Compiling alt_ioctl.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_ioctl.o HAL/src/alt_ioctl.c -Compiling alt_irq_entry.S... -nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_irq_entry.o HAL/src/alt_irq_entry.S -Compiling alt_irq_handler.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_handler.o HAL/src/alt_irq_handler.c -Compiling alt_irq_register.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_register.o HAL/src/alt_irq_register.c -Compiling alt_irq_vars.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_vars.o HAL/src/alt_irq_vars.c -Compiling alt_isatty.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_isatty.o HAL/src/alt_isatty.c -Compiling alt_lseek.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_lseek.o HAL/src/alt_lseek.c -Compiling alt_main.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_main.o HAL/src/alt_main.c -Compiling alt_open.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_open.o HAL/src/alt_open.c -Compiling alt_read.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_read.o HAL/src/alt_read.c -Compiling alt_release_fd.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_release_fd.o HAL/src/alt_release_fd.c -Compiling alt_remap_cached.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_remap_cached.o HAL/src/alt_remap_cached.c -Compiling alt_remap_uncached.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_remap_uncached.o HAL/src/alt_remap_uncached.c -Compiling alt_sbrk.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_sbrk.o HAL/src/alt_sbrk.c -Compiling alt_software_exception.S... -nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_software_exception.o HAL/src/alt_software_exception.S -Compiling alt_tick.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_tick.o HAL/src/alt_tick.c -Compiling alt_uncached_free.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_uncached_free.o HAL/src/alt_uncached_free.c -Compiling alt_uncached_malloc.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_uncached_malloc.o HAL/src/alt_uncached_malloc.c -Compiling alt_write.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_write.o HAL/src/alt_write.c -Compiling altera_nios2_gen2_irq.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/altera_nios2_gen2_irq.o HAL/src/altera_nios2_gen2_irq.c -Compiling crt0.S... -nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/crt0.o HAL/src/crt0.S -Compiling alt_sys_init.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/alt_sys_init.o alt_sys_init.c -Compiling altera_avalon_jtag_uart_fd.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_fd.o drivers/src/altera_avalon_jtag_uart_fd.c -Compiling altera_avalon_jtag_uart_init.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_init.o drivers/src/altera_avalon_jtag_uart_init.c -Compiling altera_avalon_jtag_uart_ioctl.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o drivers/src/altera_avalon_jtag_uart_ioctl.c -Compiling altera_avalon_jtag_uart_read.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_read.o drivers/src/altera_avalon_jtag_uart_read.c -Compiling altera_avalon_jtag_uart_write.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_write.o drivers/src/altera_avalon_jtag_uart_write.c -Compiling altera_avalon_sysid_qsys.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_sysid_qsys.o drivers/src/altera_avalon_sysid_qsys.c -Compiling altera_avalon_timer_sc.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_sc.o drivers/src/altera_avalon_timer_sc.c -Compiling altera_avalon_timer_ts.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_ts.o drivers/src/altera_avalon_timer_ts.c -Compiling altera_avalon_timer_vars.c... -nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_vars.o drivers/src/altera_avalon_timer_vars.c -Creating libhal_bsp.a... -rm -f -f libhal_bsp.a -nios2-elf-ar -src libhal_bsp.a obj/HAL/src/alt_alarm_start.o obj/HAL/src/alt_busy_sleep.o obj/HAL/src/alt_close.o obj/HAL/src/alt_dcache_flush.o obj/HAL/src/alt_dcache_flush_all.o obj/HAL/src/alt_dcache_flush_no_writeback.o obj/HAL/src/alt_dev.o obj/HAL/src/alt_dev_llist_insert.o obj/HAL/src/alt_dma_rxchan_open.o obj/HAL/src/alt_dma_txchan_open.o obj/HAL/src/alt_do_ctors.o obj/HAL/src/alt_do_dtors.o obj/HAL/src/alt_ecc_fatal_entry.o obj/HAL/src/alt_ecc_fatal_exception.o obj/HAL/src/alt_env_lock.o obj/HAL/src/alt_environ.o obj/HAL/src/alt_errno.o obj/HAL/src/alt_exception_entry.o obj/HAL/src/alt_exception_muldiv.o obj/HAL/src/alt_exception_trap.o obj/HAL/src/alt_execve.o obj/HAL/src/alt_exit.o obj/HAL/src/alt_fcntl.o obj/HAL/src/alt_fd_lock.o obj/HAL/src/alt_fd_unlock.o obj/HAL/src/alt_find_dev.o obj/HAL/src/alt_find_file.o obj/HAL/src/alt_flash_dev.o obj/HAL/src/alt_fork.o obj/HAL/src/alt_fs_reg.o obj/HAL/src/alt_fstat.o obj/HAL/src/alt_get_fd.o obj/HAL/src/alt_getchar.o obj/HAL/src/alt_getpid.o obj/HAL/src/alt_gettod.o obj/HAL/src/alt_gmon.o obj/HAL/src/alt_icache_flush.o obj/HAL/src/alt_icache_flush_all.o obj/HAL/src/alt_iic.o obj/HAL/src/alt_iic_isr_register.o obj/HAL/src/alt_instruction_exception_entry.o obj/HAL/src/alt_instruction_exception_register.o obj/HAL/src/alt_io_redirect.o obj/HAL/src/alt_ioctl.o obj/HAL/src/alt_irq_entry.o obj/HAL/src/alt_irq_handler.o obj/HAL/src/alt_irq_register.o obj/HAL/src/alt_irq_vars.o obj/HAL/src/alt_isatty.o obj/HAL/src/alt_kill.o obj/HAL/src/alt_link.o obj/HAL/src/alt_load.o obj/HAL/src/alt_log_macro.o obj/HAL/src/alt_log_printf.o obj/HAL/src/alt_lseek.o obj/HAL/src/alt_main.o obj/HAL/src/alt_malloc_lock.o obj/HAL/src/alt_mcount.o obj/HAL/src/alt_open.o obj/HAL/src/alt_printf.o obj/HAL/src/alt_putchar.o obj/HAL/src/alt_putcharbuf.o obj/HAL/src/alt_putstr.o obj/HAL/src/alt_read.o obj/HAL/src/alt_release_fd.o obj/HAL/src/alt_remap_cached.o obj/HAL/src/alt_remap_uncached.o obj/HAL/src/alt_rename.o obj/HAL/src/alt_sbrk.o obj/HAL/src/alt_settod.o obj/HAL/src/alt_software_exception.o obj/HAL/src/alt_stat.o obj/HAL/src/alt_tick.o obj/HAL/src/alt_times.o obj/HAL/src/alt_uncached_free.o obj/HAL/src/alt_uncached_malloc.o obj/HAL/src/alt_unlink.o obj/HAL/src/alt_usleep.o obj/HAL/src/alt_wait.o obj/HAL/src/alt_write.o obj/HAL/src/altera_nios2_gen2_irq.o obj/HAL/src/crt0.o obj/alt_sys_init.o obj/drivers/src/altera_avalon_jtag_uart_fd.o obj/drivers/src/altera_avalon_jtag_uart_init.o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o obj/drivers/src/altera_avalon_jtag_uart_read.o obj/drivers/src/altera_avalon_jtag_uart_write.o obj/drivers/src/altera_avalon_sysid_qsys.o obj/drivers/src/altera_avalon_timer_sc.o obj/drivers/src/altera_avalon_timer_ts.o obj/drivers/src/altera_avalon_timer_vars.o -[BSP build complete] - -15:52:36 Build Finished (took 2s.792ms) - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml deleted file mode 100644 index c552249..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml +++ /dev/null @@ -1,7 +0,0 @@ - -
    -
    -
    -
    -
    -
    diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log deleted file mode 100644 index e446209..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log +++ /dev/null @@ -1,61 +0,0 @@ -15:53:05 **** Build of configuration Nios II for project D8M_Camera_Test **** -make all -Info: Building ../D8M_Camera_Test_bsp/ -make --no-print-directory -C ../D8M_Camera_Test_bsp/ -[BSP build complete] -Info: Compiling I2C_core.c to obj/default/I2C_core.o -nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/I2C_core.o I2C_core.c -I2C_core.c: In function 'oc_i2c_uninit': -I2C_core.c:146:15: warning: unknown escape sequence: '\I' - printf("\I2C core is failed to disable! \r\n"); - ^ -I2C_core.c: In function 'OC_I2CL_Read': -I2C_core.c:612:22: warning: unused variable 'DataLow' [-Wunused-variable] - alt_u8 DataHigh, DataLow; - ^ -I2C_core.c:612:12: warning: unused variable 'DataHigh' [-Wunused-variable] - alt_u8 DataHigh, DataLow; - ^ -Info: Compiling auto_focus.c to obj/default/auto_focus.o -nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/auto_focus.o auto_focus.c -auto_focus.c: In function 'Focus_Window': -auto_focus.c:80:11: warning: 'end_focus' may be used uninitialized in this function [-Wmaybe-uninitialized] - return end_focus; - ^ -Info: Compiling main.c to obj/default/main.o -nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/main.o main.c -main.c: In function 'main': -main.c:233:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] - printf("\nExposure = %x ", exposureTime); - ^ -main.c:233:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] -main.c:238:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] - printf("\nExposure = %x ", exposureTime); - ^ -main.c:238:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=] -Info: Compiling mipi_bridge_config.c to obj/default/mipi_bridge_config.o -nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/mipi_bridge_config.o mipi_bridge_config.c -Info: Compiling mipi_camera_config.c to obj/default/mipi_camera_config.o -nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/mipi_camera_config.o mipi_camera_config.c -Info: Compiling queue.c to obj/default/queue.o -nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/queue.o queue.c -Info: Linking D8M_Camera_Test.elf -nios2-elf-g++ -T'../D8M_Camera_Test_bsp//linker.x' -msys-crt0='../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o' -msys-lib=hal_bsp -L../D8M_Camera_Test_bsp/ -Wl,-Map=D8M_Camera_Test.map -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o D8M_Camera_Test.elf obj/default/I2C_core.o obj/default/auto_focus.o obj/default/main.o obj/default/mipi_bridge_config.o obj/default/mipi_camera_config.o obj/default/queue.o -lm -msys-lib=m -nios2-elf-insert D8M_Camera_Test.elf --thread_model hal --cpu_name nios2_gen2 --qsys true --simulation_enabled false --id 0 --sidp 0x410e0 --timestamp 1622558600 --stderr_dev jtag_uart --stdin_dev jtag_uart --stdout_dev jtag_uart --sopc_system_name Qsys --quartus_project_dir "/home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16" --jdi ../..//output_files/DE10_LITE_D8M_VIP.jdi --sopcinfo /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/../../Qsys.sopcinfo -Info: (D8M_Camera_Test.elf) 84 KBytes program size (code + initialized data). -Info: 5096 Bytes free for stack + heap. -Info: Creating D8M_Camera_Test.objdump -nios2-elf-objdump --disassemble --syms --all-header --source D8M_Camera_Test.elf >D8M_Camera_Test.objdump -[D8M_Camera_Test build complete] -15:53:25 **** Build of configuration Nios II for project D8M_Camera_Test **** -make all -Info: Building ../D8M_Camera_Test_bsp/ -make --no-print-directory -C ../D8M_Camera_Test_bsp/ -[BSP build complete] -[D8M_Camera_Test build complete] -15:53:28 **** Incremental Build of configuration Nios II for project D8M_Camera_Test **** -make all -Info: Building ../D8M_Camera_Test_bsp/ -make --no-print-directory -C ../D8M_Camera_Test_bsp/ -[BSP build complete] -[D8M_Camera_Test build complete] diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 deleted file mode 100644 index 6ad8dec..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/41/700f9430dec2001b1f77c40a2d51fad4 +++ /dev/null @@ -1,302 +0,0 @@ - - -#include -#include "I2C_core.h" -#include "terasic_includes.h" -#include "mipi_camera_config.h" -#include "mipi_bridge_config.h" -#include "system.h" - -#include "auto_focus.h" - -#include -#include - -//EEE_IMGPROC defines -#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') - -//offsets -#define EEE_IMGPROC_STATUS 0 -#define EEE_IMGPROC_MSG 1 -#define EEE_IMGPROC_ID 2 -#define EEE_IMGPROC_BBCOL 3 - -#define EXPOSURE_INIT 0x002000 -#define EXPOSURE_STEP 0x100 -#define GAIN_INIT 0xFFF -#define GAIN_STEP 0xFFF -#define DEFAULT_LEVEL 3 - -#define MIPI_REG_PHYClkCtl 0x0056 -#define MIPI_REG_PHYData0Ctl 0x0058 -#define MIPI_REG_PHYData1Ctl 0x005A -#define MIPI_REG_PHYData2Ctl 0x005C -#define MIPI_REG_PHYData3Ctl 0x005E -#define MIPI_REG_PHYTimDly 0x0060 -#define MIPI_REG_PHYSta 0x0062 -#define MIPI_REG_CSIStatus 0x0064 -#define MIPI_REG_CSIErrEn 0x0066 -#define MIPI_REG_MDLSynErr 0x0068 -#define MIPI_REG_FrmErrCnt 0x0080 -#define MIPI_REG_MDLErrCnt 0x0090 - -void mipi_clear_error(void){ - MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error - - MipiBridgeRegWrite(0x0082,0x00); - MipiBridgeRegWrite(0x0084,0x00); - MipiBridgeRegWrite(0x0086,0x00); - MipiBridgeRegWrite(0x0088,0x00); - MipiBridgeRegWrite(0x008A,0x00); - MipiBridgeRegWrite(0x008C,0x00); - MipiBridgeRegWrite(0x008E,0x00); - MipiBridgeRegWrite(0x0090,0x00); -} - -void mipi_show_error_info(void){ - - alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; - - PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); - SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); - MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); - FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); - MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); - printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); -} - -void mipi_show_error_info_more(void){ - printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); - printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); - printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); - printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); - printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); - printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); - printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); - printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); - printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); - printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); - printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); - printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); -} - - - -bool MIPI_Init(void){ - bool bSuccess; - - - bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K - if (!bSuccess) - printf("failed to init MIPI- Bridge i2c\r\n"); - - usleep(50*1000); - MipiBridgeInit(); - - usleep(500*1000); - -// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K -// if (!bSuccess) -// printf("failed to init MIPI- Camera i2c\r\n"); - - MipiCameraInit(); - MIPI_BIN_LEVEL(DEFAULT_LEVEL); -// OV8865_FOCUS_Move_to(340); - -// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - - - usleep(1000); - - -// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); - - return bSuccess; -} - - - - -int main() -{ - - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - - printf("DE10-LITE D8M VGA Demo\n"); - printf("Imperial College EEE2 Project version\n"); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - - usleep(2000); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - usleep(2000); - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - - printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); - //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - - - usleep(2000); - - - // MIPI Init - if (!MIPI_Init()){ - printf("MIPI_Init Init failed!\r\n"); - }else{ - printf("MIPI_Init Init successfully!\r\n"); - } - -// while(1){ - mipi_clear_error(); - usleep(50*1000); - mipi_clear_error(); - usleep(1000*1000); - mipi_show_error_info(); -// mipi_show_error_info_more(); - printf("\n"); -// } - - -#if 0 // focus sweep - printf("\nFocus sweep\n"); - alt_u16 ii= 350; - alt_u8 dir = 0; - while(1){ - if(ii< 50) dir = 1; - else if (ii> 1000) dir =0; - - if(dir) ii += 20; - else ii -= 20; - - printf("%d\n",ii); - OV8865_FOCUS_Move_to(ii); - usleep(50*1000); - } -#endif - - - - - - - ////////////////////////////////////////////////////////// - alt_u16 bin_level = DEFAULT_LEVEL; - alt_u8 manual_focus_step = 10; - alt_u16 current_focus = 300; - int boundingBoxColour = 0; - alt_u32 exposureTime = EXPOSURE_INIT; - alt_u16 gain = GAIN_INIT; - - OV8865SetExposure(exposureTime); - OV8865SetGain(gain); - Focus_Init(); - while(1){ - - // touch KEY0 to trigger Auto focus - if((IORD(KEY_BASE,0)&0x03) == 0x02){ - - current_focus = Focus_Window(320,240); - } - // touch KEY1 to ZOOM - if((IORD(KEY_BASE,0)&0x03) == 0x01){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - - - #if 0 - if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ - - current_focus = Focus_Window(320,240); - } - - // touch KEY1 to trigger Manual focus - step - if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ - - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - else current_focus = 0; - OV8865_FOCUS_Move_to(current_focus); - - } - - // touch KEY2 to trigger Manual focus + step - if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - } - - // touch KEY3 to ZOOM - if((IORD(KEY_BASE,0)&0x0F) == 0x07){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - #endif - - //Read messages from the image processor and print them on the terminal - while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier - printf("\n"); - } - printf("%08x ",word); - } - - //Update the bounding box colour - boundingBoxColour = ((boundingBoxColour + 1) & 0xff); - IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - - //Process input commands - int in = getchar(); - switch (in) { - case 'e': { - exposureTime += EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 'd': { - exposureTime -= EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 't': { - gain += GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'g': { - gain -= GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'r': { - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - case 'f': { - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - } - - - //Main loop delay - usleep(10000); - - }; - return 0; -} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 deleted file mode 100644 index 20385e7..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/70/707fa8e6dfc2001b1f77c40a2d51fad4 +++ /dev/null @@ -1,283 +0,0 @@ -#include -#include "I2C_core.h" -#include "terasic_includes.h" -#include "mipi_camera_config.h" -#include "mipi_bridge_config.h" -#include "system.h" - -#include "auto_focus.h" - -#include -#include - -//EEE_IMGPROC defines -#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') - -//offsets -#define EEE_IMGPROC_STATUS 0 -#define EEE_IMGPROC_MSG 1 -#define EEE_IMGPROC_ID 2 -#define EEE_IMGPROC_BBCOL 3 - -#define EXPOSURE_INIT 0x002000 -#define EXPOSURE_STEP 0x100 -#define GAIN_INIT 0xFFF -#define GAIN_STEP 0xFFF -#define DEFAULT_LEVEL 3 - -#define MIPI_REG_PHYClkCtl 0x0056 -#define MIPI_REG_PHYData0Ctl 0x0058 -#define MIPI_REG_PHYData1Ctl 0x005A -#define MIPI_REG_PHYData2Ctl 0x005C -#define MIPI_REG_PHYData3Ctl 0x005E -#define MIPI_REG_PHYTimDly 0x0060 -#define MIPI_REG_PHYSta 0x0062 -#define MIPI_REG_CSIStatus 0x0064 -#define MIPI_REG_CSIErrEn 0x0066 -#define MIPI_REG_MDLSynErr 0x0068 -#define MIPI_REG_FrmErrCnt 0x0080 -#define MIPI_REG_MDLErrCnt 0x0090 - -void mipi_clear_error(void){ - MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error - - MipiBridgeRegWrite(0x0082,0x00); - MipiBridgeRegWrite(0x0084,0x00); - MipiBridgeRegWrite(0x0086,0x00); - MipiBridgeRegWrite(0x0088,0x00); - MipiBridgeRegWrite(0x008A,0x00); - MipiBridgeRegWrite(0x008C,0x00); - MipiBridgeRegWrite(0x008E,0x00); - MipiBridgeRegWrite(0x0090,0x00); -} - -void mipi_show_error_info(void){ - - alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; - - PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); - SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); - MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); - FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); - MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); - printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); -} - -void mipi_show_error_info_more(void){ - printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); - printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); - printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); - printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); - printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); - printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); - printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); - printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); - printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); - printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); - printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); - printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); -} - -bool MIPI_Init(void){ - bool bSuccess; - bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K - if (!bSuccess) - printf("failed to init MIPI- Bridge i2c\r\n"); - usleep(50*1000); - MipiBridgeInit(); - usleep(500*1000); -// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K -// if (!bSuccess) -// printf("failed to init MIPI- Camera i2c\r\n"); - MipiCameraInit(); - MIPI_BIN_LEVEL(DEFAULT_LEVEL); -// OV8865_FOCUS_Move_to(340); -// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - usleep(1000); -// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); - return bSuccess; -} - -int main() -{ - - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - - printf("DE10-LITE D8M VGA Demo\n"); - printf("Imperial College EEE2 Project version\n"); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - - usleep(2000); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - usleep(2000); - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - - printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); - //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - - - usleep(2000); - - - // MIPI Init - if (!MIPI_Init()){ - printf("MIPI_Init Init failed!\r\n"); - }else{ - printf("MIPI_Init Init successfully!\r\n"); - } - -// while(1){ - mipi_clear_error(); - usleep(50*1000); - mipi_clear_error(); - usleep(1000*1000); - mipi_show_error_info(); -// mipi_show_error_info_more(); - printf("\n"); -// } - - -#if 0 // focus sweep - printf("\nFocus sweep\n"); - alt_u16 ii= 350; - alt_u8 dir = 0; - while(1){ - if(ii< 50) dir = 1; - else if (ii> 1000) dir =0; - - if(dir) ii += 20; - else ii -= 20; - - printf("%d\n",ii); - OV8865_FOCUS_Move_to(ii); - usleep(50*1000); - } -#endif - - - - - - - ////////////////////////////////////////////////////////// - alt_u16 bin_level = DEFAULT_LEVEL; - alt_u8 manual_focus_step = 10; - alt_u16 current_focus = 300; - int boundingBoxColour = 0; - alt_u32 exposureTime = EXPOSURE_INIT; - alt_u16 gain = GAIN_INIT; - - OV8865SetExposure(exposureTime); - OV8865SetGain(gain); - Focus_Init(); - while(1){ - - // touch KEY0 to trigger Auto focus - if((IORD(KEY_BASE,0)&0x03) == 0x02){ - - current_focus = Focus_Window(320,240); - } - // touch KEY1 to ZOOM - if((IORD(KEY_BASE,0)&0x03) == 0x01){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - - - #if 0 - if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ - - current_focus = Focus_Window(320,240); - } - - // touch KEY1 to trigger Manual focus - step - if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ - - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - else current_focus = 0; - OV8865_FOCUS_Move_to(current_focus); - - } - - // touch KEY2 to trigger Manual focus + step - if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - } - - // touch KEY3 to ZOOM - if((IORD(KEY_BASE,0)&0x0F) == 0x07){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - #endif - - //Read messages from the image processor and print them on the terminal - while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier - printf("\n"); - } - printf("%08x ",word); - } - - //Update the bounding box colour - boundingBoxColour = ((boundingBoxColour + 1) & 0xff); - IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - - //Process input commands - int in = getchar(); - switch (in) { - case 'e': { - exposureTime += EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 'd': { - exposureTime -= EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 't': { - gain += GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'g': { - gain -= GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'r': { - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - case 'f': { - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - } - - - //Main loop delay - usleep(10000); - - }; - return 0; -} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 deleted file mode 100644 index fcfe7dc..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/a8/f0a55278dfc2001b1f77c40a2d51fad4 +++ /dev/null @@ -1,302 +0,0 @@ - - -#include -#include "I2C_core.h" -#include "terasic_includes.h" -#include "mipi_camera_config.h" -#include "mipi_bridge_config.h" -#include "system.h" - -#include "auto_focus.h" - -#include -#include - -//EEE_IMGPROC defines -#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') - -//offsets -#define EEE_IMGPROC_STATUS 0 -#define EEE_IMGPROC_MSG 1 -#define EEE_IMGPROC_ID 2 -#define EEE_IMGPROC_BBCOL 3 - -#define EXPOSURE_INIT 0x002000 -#define EXPOSURE_STEP 0x100 -#define GAIN_INIT 0xFFF -#define GAIN_STEP 0xFFF -#define DEFAULT_LEVEL 3 - -#define MIPI_REG_PHYClkCtl 0x0056 -#define MIPI_REG_PHYData0Ctl 0x0058 -#define MIPI_REG_PHYData1Ctl 0x005A -#define MIPI_REG_PHYData2Ctl 0x005C -#define MIPI_REG_PHYData3Ctl 0x005E -#define MIPI_REG_PHYTimDly 0x0060 -#define MIPI_REG_PHYSta 0x0062 -#define MIPI_REG_CSIStatus 0x0064 -#define MIPI_REG_CSIErrEn 0x0066 -#define MIPI_REG_MDLSynErr 0x0068 -#define MIPI_REG_FrmErrCnt 0x0080 -#define MIPI_REG_MDLErrCnt 0x0090 - -void mipi_clear_error(void){ - MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error - - MipiBridgeRegWrite(0x0082,0x00); - MipiBridgeRegWrite(0x0084,0x00); - MipiBridgeRegWrite(0x0086,0x00); - MipiBridgeRegWrite(0x0088,0x00); - MipiBridgeRegWrite(0x008A,0x00); - MipiBridgeRegWrite(0x008C,0x00); - MipiBridgeRegWrite(0x008E,0x00); - MipiBridgeRegWrite(0x0090,0x00); -} - -void mipi_show_error_info(void){ - - alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; - - PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); - SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); - MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); - FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); - MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); - printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); -} - -void mipi_show_error_info_more(void){ - printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); - printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); - printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); - printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); - printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); - printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); - printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); - printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); - printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); - printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); - printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); - printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); -} - - - -bool MIPI_Init(void){ - bool bSuccess; - - - bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K - if (!bSuccess) - printf("failed to init MIPI- Bridge i2c\r\n"); - - usleep(50*1000); - MipiBridgeInit(); - - usleep(500*1000); - -// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K -// if (!bSuccess) -// printf("failed to init MIPI- Camera i2c\r\n"); - - MipiCameraInit(); - MIPI_BIN_LEVEL(DEFAULT_LEVEL); -// OV8865_FOCUS_Move_to(340); - -// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - - - usleep(1000); - - -// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); - - return bSuccess; -} - - - - -int main() -{ - - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - - printf("DE10-LITE D8M VGA Demo\n"); - printf("Imperial College EEE2 Project version\n"); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - - usleep(2000); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - usleep(2000); - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - - printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); - //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - - - usleep(2000); - - - // MIPI Init - if (!MIPI_Init()){ - printf("MIPI_Init Init failed!\r\n"); - }else{ - printf("MIPI_Init Init successfully!\r\n"); - } - -// while(1){ - mipi_clear_error(); - usleep(50*1000); - mipi_clear_error(); - usleep(1000*1000); - mipi_show_error_info(); -// mipi_show_error_info_more(); - printf("\n"); -// } - - -#if 0 // focus sweep - printf("\nFocus sweep\n"); - alt_u16 ii= 350; - alt_u8 dir = 0; - while(1){ - if(ii< 50) dir = 1; - else if (ii> 1000) dir =0; - - if(dir) ii += 20; - else ii -= 20; - - printf("%d\n",ii); - OV8865_FOCUS_Move_to(ii); - usleep(50*1000); - } -#endif - - - - - - - ////////////////////////////////////////////////////////// - alt_u16 bin_level = DEFAULT_LEVEL; - alt_u8 manual_focus_step = 10; - alt_u16 current_focus = 300; - int boundingBoxColour = 0; - alt_u32 exposureTime = EXPOSURE_INIT; - alt_u16 gain = GAIN_INIT; - - OV8865SetExposure(exposureTime); - OV8865SetGain(gain); - Focus_Init(); - while(1){ - - // touch KEY0 to trigger Auto focus - if((IORD(KEY_BASE,0)&0x03) == 0x02){ - - current_focus = Focus_Window(320,240); - } - // touch KEY1 to ZOOM - if((IORD(KEY_BASE,0)&0x03) == 0x01){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - - - #if 0 - if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ - - current_focus = Focus_Window(320,240); - } - - // touch KEY1 to trigger Manual focus - step - if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ - - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - else current_focus = 0; - OV8865_FOCUS_Move_to(current_focus); - - } - - // touch KEY2 to trigger Manual focus + step - if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - } - - // touch KEY3 to ZOOM - if((IORD(KEY_BASE,0)&0x0F) == 0x07){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - #endif - - //Read messages from the image processor and print them on the terminal - while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier - printf("\n"); - } - printf("%08x ",word); - } - - //Update the bounding box colour - boundingBoxColour = ((boundingBoxColour + 1) & 0xff); - IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - - //Process input commands - int in = getchar(); - switch (in) { - case 'e': { - exposureTime += EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 'd': { - exposureTime -= EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 't': { - gain += GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'g': { - gain -= GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'r': { - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - case 'f': { - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - } - - - //Main loop delay - usleep(10000); - - }; - return 0; -} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 deleted file mode 100644 index bfe2481..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.history/be/d008f016dec2001b1f77c40a2d51fad4 +++ /dev/null @@ -1,301 +0,0 @@ - - -#include -#include "I2C_core.h" -#include "terasic_includes.h" -#include "mipi_camera_config.h" -#include "mipi_bridge_config.h" - -#include "auto_focus.h" - -#include -#include - -//EEE_IMGPROC defines -#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') - -//offsets -#define EEE_IMGPROC_STATUS 0 -#define EEE_IMGPROC_MSG 1 -#define EEE_IMGPROC_ID 2 -#define EEE_IMGPROC_BBCOL 3 - -#define EXPOSURE_INIT 0x002000 -#define EXPOSURE_STEP 0x100 -#define GAIN_INIT 0xFFF -#define GAIN_STEP 0xFFF -#define DEFAULT_LEVEL 3 - -#define MIPI_REG_PHYClkCtl 0x0056 -#define MIPI_REG_PHYData0Ctl 0x0058 -#define MIPI_REG_PHYData1Ctl 0x005A -#define MIPI_REG_PHYData2Ctl 0x005C -#define MIPI_REG_PHYData3Ctl 0x005E -#define MIPI_REG_PHYTimDly 0x0060 -#define MIPI_REG_PHYSta 0x0062 -#define MIPI_REG_CSIStatus 0x0064 -#define MIPI_REG_CSIErrEn 0x0066 -#define MIPI_REG_MDLSynErr 0x0068 -#define MIPI_REG_FrmErrCnt 0x0080 -#define MIPI_REG_MDLErrCnt 0x0090 - -void mipi_clear_error(void){ - MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error - - MipiBridgeRegWrite(0x0082,0x00); - MipiBridgeRegWrite(0x0084,0x00); - MipiBridgeRegWrite(0x0086,0x00); - MipiBridgeRegWrite(0x0088,0x00); - MipiBridgeRegWrite(0x008A,0x00); - MipiBridgeRegWrite(0x008C,0x00); - MipiBridgeRegWrite(0x008E,0x00); - MipiBridgeRegWrite(0x0090,0x00); -} - -void mipi_show_error_info(void){ - - alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; - - PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); - SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); - MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); - FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); - MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); - printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); -} - -void mipi_show_error_info_more(void){ - printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); - printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); - printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); - printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); - printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); - printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); - printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); - printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); - printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); - printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); - printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); - printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); -} - - - -bool MIPI_Init(void){ - bool bSuccess; - - - bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K - if (!bSuccess) - printf("failed to init MIPI- Bridge i2c\r\n"); - - usleep(50*1000); - MipiBridgeInit(); - - usleep(500*1000); - -// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K -// if (!bSuccess) -// printf("failed to init MIPI- Camera i2c\r\n"); - - MipiCameraInit(); - MIPI_BIN_LEVEL(DEFAULT_LEVEL); -// OV8865_FOCUS_Move_to(340); - -// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - - - usleep(1000); - - -// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); - - return bSuccess; -} - - - - -int main() -{ - - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - - printf("DE10-LITE D8M VGA Demo\n"); - printf("Imperial College EEE2 Project version\n"); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - - usleep(2000); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - usleep(2000); - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - - printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); - //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - - - usleep(2000); - - - // MIPI Init - if (!MIPI_Init()){ - printf("MIPI_Init Init failed!\r\n"); - }else{ - printf("MIPI_Init Init successfully!\r\n"); - } - -// while(1){ - mipi_clear_error(); - usleep(50*1000); - mipi_clear_error(); - usleep(1000*1000); - mipi_show_error_info(); -// mipi_show_error_info_more(); - printf("\n"); -// } - - -#if 0 // focus sweep - printf("\nFocus sweep\n"); - alt_u16 ii= 350; - alt_u8 dir = 0; - while(1){ - if(ii< 50) dir = 1; - else if (ii> 1000) dir =0; - - if(dir) ii += 20; - else ii -= 20; - - printf("%d\n",ii); - OV8865_FOCUS_Move_to(ii); - usleep(50*1000); - } -#endif - - - - - - - ////////////////////////////////////////////////////////// - alt_u16 bin_level = DEFAULT_LEVEL; - alt_u8 manual_focus_step = 10; - alt_u16 current_focus = 300; - int boundingBoxColour = 0; - alt_u32 exposureTime = EXPOSURE_INIT; - alt_u16 gain = GAIN_INIT; - - OV8865SetExposure(exposureTime); - OV8865SetGain(gain); - Focus_Init(); - while(1){ - - // touch KEY0 to trigger Auto focus - if((IORD(KEY_BASE,0)&0x03) == 0x02){ - - current_focus = Focus_Window(320,240); - } - // touch KEY1 to ZOOM - if((IORD(KEY_BASE,0)&0x03) == 0x01){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - - - #if 0 - if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ - - current_focus = Focus_Window(320,240); - } - - // touch KEY1 to trigger Manual focus - step - if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ - - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - else current_focus = 0; - OV8865_FOCUS_Move_to(current_focus); - - } - - // touch KEY2 to trigger Manual focus + step - if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - } - - // touch KEY3 to ZOOM - if((IORD(KEY_BASE,0)&0x0F) == 0x07){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - #endif - - //Read messages from the image processor and print them on the terminal - while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier - printf("\n"); - } - printf("%08x ",word); - } - - //Update the bounding box colour - boundingBoxColour = ((boundingBoxColour + 1) & 0xff); - IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - - //Process input commands - int in = getchar(); - switch (in) { - case 'e': { - exposureTime += EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 'd': { - exposureTime -= EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 't': { - gain += GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'g': { - gain -= GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'r': { - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - case 'f': { - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - } - - - //Main loop delay - usleep(10000); - - }; - return 0; -} diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.indexes/properties.index deleted file mode 100644 index 90bbdfa563f47dec3e9ac22d35e153fbfb773fd0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1060 zcmcIj!H&}~5S>PdMGNAU^b0NjIv!N!bm_$`@7eauC1w2=_ z6S9r6rEqKC#JVk+?RMA0i}LEjw|bfD{X*x+p^=$k5X}tFMjEaIhGfIZ;ZVSI zIKgBToJr+rhs*u60!vTUz^Z55Afr(zhFP67vO&EL)M{jr-9FB~l3vDVv!nETcJc20 z$NBuSN;(U8&}-X$j{}1@gVBkLc~#A3)nXCRmm=YE%G9CvWSal3Fg~?$uiNNhvgws3 zr|d3zqJJuB406`_uaSZ4@F>J>Hq)$ diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.location b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.location deleted file mode 100644 index 4c686fdee531911a5d047b1e7d3a966fb1714d16..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 140 zcmZ?R*xjhShe1S2b=vdAllRFn#DoTU>g%Uv=A>HbXXNLm>L;ccTN+yG=cN^cxvs88 zLHT8=Mfzcx#hLke`Yx`92Jt?gA+GT*7QXReo&oWOX8OhXX(i=}MXCBAG3UhG)S|@r ZkksN51{820;`e8;-GQHbs&|(k0|54kE)@U( diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.markers b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/.markers deleted file mode 100644 index 5a050b02a74a6af68b83d27b4d26dc89480352e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 212 zcmXAjy$-@K5Q7axDj+~&WMhFbEDY?FfdLrkUZvNgMEyy1NeAAL$08M$ynMDS1BkFY zB&S<;)(wl!Qg;5(n9gMM2V~oqDHQ=21rJ({v9VGrrrLCl6%}5Q6;@um3Nfb6_CkCu zB1GpT#f;uso?eR|1B*Qty<@o)#_PQ1Fd7f}r7ec^h`3?rbjcBx=_7e;z1`BAslv1q aW5xJS=!Gxy+O&M{o1A3;Hn7ikvaWvPEjXh9 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties deleted file mode 100644 index 387d871..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test/org.eclipse.egit.core/GitProjectData.properties +++ /dev/null @@ -1,3 +0,0 @@ -#GitProjectData -#Tue Jun 01 14:06:14 BST 2021 -.gitdir=../../../../.git diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.indexes/properties.index deleted file mode 100644 index 33fe5200d3eb16de2d585a48b50564cee033846a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 583 zcmcIiJx{|h5WPl10tOZe5))!!G$izkxur}X)UsEueGMlPJ33z~_21((AVr0h!InSX zdw1{IJpf4JI7*=JIag>3ssbuwMH$Zs=h_Huaq8WM@|v}fcd)D&H^}G=szX+(ozBs& zYbq@AUL;N(_DqX@W51>5I?Wyi>EnHxWxd!1z;R`L`Kn8V+u7u0HH|CQt9J~tT8B!d zqbwT^v&m>OP6w*NwzPgg5pwzex0%4zZ^xf8uqLc)OTqYUOvWyab`H61Xif$0O^UKO>6ao}#8L^a~}c$Ibu% diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.location b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/.location deleted file mode 100644 index 226f8d7a8ab30781a1dff393c35e16d6e98f5564..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 144 zcmZ?R*xjhShe1S2b=vdAllRFnB!mWe>g%Uv=A>HbXXNLm>L;ccTN+yG=cN^cxvs88 zLHT8=Mfzcx#hLke`Yx`92Jt?gA+GT*7QXReo&oWOX8OhXX(i=}MXCBAG3UhG)S|@r dkksOm_@v?j1{820;`e8;{eho*s&|(k0|3OpFTDT& diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties deleted file mode 100644 index fed23e3..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/D8M_Camera_Test_bsp/org.eclipse.egit.core/GitProjectData.properties +++ /dev/null @@ -1,3 +0,0 @@ -#GitProjectData -#Tue Jun 01 14:07:48 BST 2021 -.gitdir=../../../../.git diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.indexes/properties.index deleted file mode 100644 index 1e099f3bff508a47e7cce4c8ace123e0c07a5306..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 80 zcmZQ%U|?VbVI~IA{GxQd)a0DZg5p%YlGMapz2y9&R0gi1)Pnrt%#!?~N(Mz_C8_C| TC153b?wKV4Mfqi!DXB#OWmFkc diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties deleted file mode 100644 index 127bac0..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/org.eclipse.egit.core/GitProjectData.properties +++ /dev/null @@ -1,3 +0,0 @@ -#GitProjectData -#Tue Jun 01 14:04:35 BST 2021 -.gitdir=../../../../.git diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version deleted file mode 100644 index 25cb955..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version +++ /dev/null @@ -1 +0,0 @@ - \ No newline at end of file diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index deleted file mode 100644 index 95e27e381acfa015c9e1f25178c37a85ff9e264f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 104 zcmYkzF%E+;5Cp(IdJ2j(Ji>q@2T}6`_<(gUCGYDW<#Y(aX#{SKM(= zOf-fhND{IeaooUtO=c1kA%X0RNlb#-HjSw;x~P`97w{>2v<`-=*%o zb?>zo8f(@>1sdDSPyYE7{B0rHln>CaoLN(KtG-o%fqbZ;XFvjuyvDldQQs%G0Bg z(9M=~xelMHm)7EFIr_imW(NCzp)t1LW@q938E1wxwy&MWPrz-?87jQ5eVKbG`iilqfo++0D_nTf?&2A=xOB`!2sy zXlv!ueXNV3gDL4{gB_*gMgqD3A4IzLcrI>GvfXy{%un~Th3aG!>qHE zqE6iqQ3`9-ga?h-WDD&AflM6Xh3#@Up6duQailG@9YH3JLO(^{LSxF)vv1=~GVi&* zy4xcJIgc#Ab9o zj31poR&u*t$F2{5pb*DI(P3^?G|JkoY|!paI_aosyMRhy-8egc6l)1Qb22|a_^tbe zeLOz>{I-6RJwHGA?R$j%%lP!O)T2m_lWnmqY9&24x%oMI&sslrepTe|<5$CT^u7%b zJS^XW`h7-W^Gw-RZ&e2bkuySp+{ejlq6V7I(i~RY;S&p|)P@<2zzmjovKbw%_57vB@lMMdQHst8{Z=Pg7BxN>%T$xt&;Hp{W~z zNBmS2o#-^_?smF7&hkNPdot+s(jivaO9(Ms2^d32{4~Zf7sS1q1?ZpLS8)(D_ zu_Ba*uaA1{B;zDdA~1*2j$OS6Ar|o=c~pwG^&*dYM*xR-Q1~6Z zT!kJ*a0skUi$@EcEf5_HVvlF{Lv;cM@ez3tJN?9U=0GiiMSRpPvd~-|JdDAP1QPKv zDJ~j!P>y}|kpvv#rS5Q$HS2}ZZk_CPIxLp&tCyWq3r^>zb~z!Z6C@$5N| zx$}jeOr7+T(jI<>sC$4xd`dla=^#8NfJb~fijH%6^|E$47`d1Bem20KO>5H5M_CqV zQ>ci~NbSuV%D^E$E2B^^Pdb6{pb($)!$Q8)!WcdncPpalas@;;z8Cm{3=4SeZzaQ} zP$&4j(A@_ll%Knm0>7z7r#jnS~ORJ-a7`F1N=QFPXI~=55=C92Mhj_lUT&I`>u*jNV7_w!c5*Nw*tYvO7 zACQ7hTpUFw6yvaRbaUvgVKz<|U6;1)p(8Hwa|K3zn+*nWsZZ7~deE&$X|N4ICoYSk zBZ_1(%?x+9vG8f-%W1ha!`5S)gH2qnl;c&EU=vr!^Vi8+?QxC=sZv6kfkwPwD(TFT zfJR&?`K9f4tBZ9=uT>7)*Xw>sGq8!PqG+?L6Q%Sk!$7J~5HFNe(*ahX`D|;`5H#XN z^2kGy4f9atgGF2|PeD0#+w}(yagDDGUy!+#0XFes>06xiTm4C|lkIHU^$0rg66|3V z^+?k(hR%QxWa3&`$)vl}_Rg7yoD~QX@lu)g(%l%%?b-l^xK3)X+a6%hwE5r=*Gv0! zJM&r;EaC>gis`f_gE_qbJmO{2ak^L|6bm0aG0=#YdmASy-aKp#c*KofQ!JL;($dy| zN8IFJZt~&G=*8v$g}7PTuRC&YP=WX$5x4jTai?nmJ~+g!Vz7iINVgLZfJ9)kvv{qA z0At)Rpa6@weQL3sg)BfK?wAV3c}M^f(UMAC!kbbcAy9~IGLdC!S_#NNB@+Llsbuwu zjLir-vFI1bSvz0^2GRCLvv0I^_X3^hMA1{-K!I6nJe;(PSMce0Qx4m96ex)lTT9_6 z<*Pj2i|jxU6J2?o$Z~XFocg*`S6c-pu_S%CT#4CyaER^l9J<%|c{3AuMCLPtM)oKI znSn{%>3a@Tt5gH1#10vcT!K53;4}>y(UWH&8ztlMN+30mh`u}nJF?lO6?-Ho#6alo zATtmh6e9O@_co+kWcp$Q3SVsQk{0RVZK$8Lhk0PCTBbo1K~ro}IgPwQ*+wAJXe3>w zH%ik`Iflvv{9`D8E-6dfdDr(`u}y$A}i zOX3@~bRQcVBx1LW*~^o8V>VdCiZq5O7AM_Gt50n}Bwpe3nv5~}1Oy-vuat7TQ;Pr{ z6yjBq)G+O(2{r+O^A(81tL2f!jzO~ADu(Wm7pTN*WW`m=CWE;`kcrnyB@EL6jy(gV z0*Sa=Dq*x3ToHgmyiVe~s{+Bv5hUXEQFOE$%tv{5j5pzQx;Y!@nGg_f@X3W&6QB@p z6#6&|uGT>z-Xu?N@lqeCDsYH55#WzQ*J4{1W3@-5&S+i4DKFc<=Cl#PrMW7aqZ#w zj6grZ*TlQ3cPV64RcCG|gSsH}(_}hyTR_FGk)6u-FnSc7f(b=mj&Qe^)TRhWJ@AO9 zV>74R!L96vlZ)?(Q|l*brS~3m<{>AtAoM+|N1K#qHQ_ z!(i7;ri07A4NsgXv|qz#EPsClL$I5qg3rRKLUbP(f<1ZnH1gi*6a@nD49q$Gv|oZC-rCx`=ia;J`>!e9BMY;)BKEv%pL~zP z6OYETTkX?$kC7Eck3Ue~YS%Y?HhkT0j6DvOujb#9R|363ukZX!RlliJzg^#;x2+JK zR=-mh*-nT~(eKIvq1@HCO%Ry;->dNL_=rjV?^~w;whcm4_6L^Cc7p?Df9Ut)XZKue zN4QY>M03MWdxzUsMyZ4XPv_~TV$*m=O4G5$oIUD%FrA^T4i+m4NRWPig~&+JKu zGTOW6Js*a|0l|r&RJkfC=)|ANO5B~r>q8P{x^Maffz5pXBA`^BO8MtX`GivH;}zQz zKJ@%%KxuozhswW{B`yzUl$oj;7!Wmya#0e{h`*Y;lxI1%3uwe&&q{0;(1^d0T2O~L z#i_k*1~&1x0j2E;Ht~0J4vv(sYH=u7`~NUUt-O``@0I!=rHXj$qzqLk#3$bg3K2dU@-+C9MB4#TslfI_adPDqwg=);v7c;XLm_96wu{@?@OiDRX>1?F zre>XtI6SYkZ4jE0{bwb%3nEjp-e<-mPTK~dsX3sgv3(GmnghLt$D+0mVpDTaO=J5Y zHZ=!Jhs6JlYlps~KYfH_g!PCkbQN9ELGg$Wk;h3+UhN`)#B?@R1*KPa=w)3;Y@7 z=}+#w-%bZ9Fwm(_E|8rOvS6Uo{PDcHIAGhulB#DID%%V3sd}c2tL4V1?SjbUpI+hH z@ez~!Ei$)FuP4|Zh)Yegrm=kxo0@0&(yJ>CwiBXLb%vp`y%3+OGyUM9FGAR6FraL! zC9~b&K-pQc*x~&N+X>MrIeS)OyC5mFnqwlSM_i$+=!y=CM~qcrXGlqheeC=)du zgTuaYNrkWCEB?ibf2mY(ag)UkkB|(1nWo#(5s~!EWmM1?UzCaJfe7poTPo$HRA73< zSIA=|*JW%QkUZiSR0XyJNFMQ(QuyM2jf$_T78Dl#g_^FSEBaN6{vt_7uH&fqs=$cp z5zh#egA#z`5nnCqY=3{pwgAf`zGg;Ydw}H;zgRlCy76P%fanpwq%N|ZK=g>O_4QR= z6S7@E@`ztr71$0SdBoRA0~W`WD!yt!P}tr#Xu687=+`Uy%cRii8j|gSxb%2=U1U2U zIz>0ibXmE(WP2e#Wj9$e+YJts-E3cZvi;ye;Vrh%c7zLsw@SmxT`A?H9t1@9h-U=K zK?y+eh;NgoEiPB7_^N3^VM2Fkx{9vow<~%}@|U|l;}LH+@1fbn0GGwM)6^+HRh~@gcF1n| z?EN*{5iWG>1(dcYe5mY8-@48S z5U-hYo6FccdE!K9ff&||@!C~m*m=O4G47sIl=_N~X~3ExUbk8ZI}127#OvpjWZsAX zYsPrPsxj<5;LR9stX(rx_v7q-0b9!7G*523!;$hg2X4sWdY$bJKZ@TH7TeBnqxhbH z7-k10;c z4Mkq-s;lAth~wEm<)7o)PfRuzYQJpdei;!Fd<`r-a-~ZPQ9oLK7JvU3R&}$#aESk} z33UFK5f{F?t>nkxdc+^c96hU(Uq;kgdV=o}f1w@ z=ONETnI5kE(jDk5HbXzG=%2)Di9gPmn{-e-;!nB7+w=z%J6gbWK=Oz`T@_RuC;-VL z{tOl)EbD!%rJKZloF<98G7{6Y4JKW__dN02?@FL>e1FWs4?0@)+} nqAj!?LH3BhgjJLL(w%97?>*u#lWWJt_a5 zSDFb`rLR{~l$vU5giCpDWlm+DUP)qccCj8@6AmZjWagDtl;r2<6ze6Yl<4JTCS~N8 zr553LPf2QGu3mB(Pzyn)7XiIVoT{|UoKz(Drhr1rwW1)iD6u3nKMy6`KrRIvYG`I; sWNK<@WMX1wV2mp`;Hn{Ed?4cYXK*|Qe(tH>U4G1AG+trpaahGG0A7b$&Hw-a diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs deleted file mode 100644 index 9c00dc4..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -indexer/preferenceScope=0 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs deleted file mode 100644 index 9c00dc4..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-D8M_Camera_Test_bsp.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -indexer/preferenceScope=0 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs deleted file mode 100644 index b8c9267..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.cdt.debug.core.cDebug.default_source_containers=\n\n\n\n\n\n\n\n diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs deleted file mode 100644 index d4789ed..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs +++ /dev/null @@ -1,3 +0,0 @@ -eclipse.preferences.version=1 -properties/D8M_Camera_Test.null.1118614705/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632=altera.tool.gnu.assembler.992693488\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.430311947\=rebuildState\\\=true\\n\naltera.nios2.linux.gcc4.292435883\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.compiler.1543692213\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.844079417\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632\=rcState\\\=0\\nrebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.470477083\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.libs.552441848\=rebuildState\\\=true\\n\naltera.tool.gnu.cpp.linker.564618922\=rebuildState\\\=false\\n\naltera.tool.gnu.c.compiler.1412563702\=rebuildState\\\=false\\n\naltera.tool.gnu.c.linker.894229324\=rebuildState\\\=false\\n\naltera.tool.gnu.archiver.1031562009\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.1782992537\=rebuildState\\\=true\\n\n -properties/D8M_Camera_Test_bsp.null.1818471988/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122=altera.nios2.linux.gcc4.1972187429\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.440807335\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.1525859819\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122\=rcState\\\=0\\nrebuildState\\\=false\\n\naltera.tool.gnu.assembler.917602801\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.1131241652\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.libs.337058982\=rebuildState\\\=true\\n\naltera.tool.gnu.archiver.118240003\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.compiler.31029531\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.linker.1039959248\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.1080074126\=rebuildState\\\=true\\n\naltera.tool.gnu.c.linker.1364287684\=rebuildState\\\=false\\n\naltera.tool.gnu.c.compiler.1406613831\=rebuildState\\\=false\\n\n diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs deleted file mode 100644 index 0451f54..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.mylyn.ui.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.mylyn.cdt.ui.run.count.3_3_0=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs deleted file mode 100644 index 71ac973..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs +++ /dev/null @@ -1,5 +0,0 @@ -content_assist_disabled_computers=org.eclipse.cdt.ui.textProposalCategory\u0000org.eclipse.cdt.ui.parserProposalCategory\u0000 -eclipse.preferences.version=1 -spelling_locale_initialized=true -useAnnotationsPrefPage=true -useQuickDiffPrefPage=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs deleted file mode 100644 index dffc6b5..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs deleted file mode 100644 index 57e3b77..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs +++ /dev/null @@ -1,5 +0,0 @@ -//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.applicationLaunchType=org.eclipse.cdt.dsf.gdb.launch.localCLaunch,debug;org.eclipse.cdt.cdi.launch.localCLaunch,run -//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.attachLaunchType=org.eclipse.cdt.dsf.gdb.launch.attachCLaunch,debug -//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.postmortemLaunchType=org.eclipse.cdt.dsf.gdb.launch.coreCLaunch,debug -//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.remoteApplicationLaunchType=org.eclipse.rse.remotecdt.dsf.debug,debug -eclipse.preferences.version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs deleted file mode 100644 index a2917e5..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs +++ /dev/null @@ -1,3 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.debug.ui.PREF_LAUNCH_PERSPECTIVES=\n\n -preferredTargets=org.eclipse.cdt.debug.ui.toggleCBreakpointTarget\:org.eclipse.cdt.debug.ui.toggleCBreakpointTarget| diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs deleted file mode 100644 index 101feea..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.egit.core.prefs +++ /dev/null @@ -1,2 +0,0 @@ -GitRepositoriesView.GitDirectories=/home/ad3919/nfshome/EE2Rover/.git\: -eclipse.preferences.version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs deleted file mode 100644 index 43e97e4..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -mylyn.attention.migrated=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs deleted file mode 100644 index 8d462a6..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.mylyn.monitor.activity.tracking.enabled.checked=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs deleted file mode 100644 index 453b994..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs +++ /dev/null @@ -1,3 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.mylyn.tasks.ui.filters.nonmatching=true -org.eclipse.mylyn.tasks.ui.filters.nonmatching.encouraged=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs deleted file mode 100644 index 614b7cc..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs +++ /dev/null @@ -1,3 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.rse.systemtype.local.systemType.defaultUserId=ad3919 -useridperkey=ee-mill2.Local\=ad3919; diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs deleted file mode 100644 index 9104419..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.rse.preferences.order.connections=ee-mill2.Local diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs deleted file mode 100644 index f9e585b..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.cvs.ui.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -pref_first_startup=false diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs deleted file mode 100644 index 56cd496..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -org.eclipse.team.ui.first_time=false diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs deleted file mode 100644 index 61f3bb8..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs +++ /dev/null @@ -1,2 +0,0 @@ -eclipse.preferences.version=1 -overviewRuler_migration=migrated_3.1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs deleted file mode 100644 index 7518eb5..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs +++ /dev/null @@ -1,6 +0,0 @@ -PROBLEMS_FILTERS_MIGRATE=true -TASKS_FILTERS_MIGRATE=true -eclipse.preferences.version=1 -platformState=1622552591073 -quickStart=false -tipsAndTricks=true diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs deleted file mode 100644 index b842874..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs +++ /dev/null @@ -1,2 +0,0 @@ -ENABLED_DECORATORS=com.altera.sbtgui.project.decorator.bsp\:true,com.altera.sbtgui.project.nios2builddecorator\:true,org.eclipse.cdt.ui.indexedFiles\:false,org.eclipse.cdt.managedbuilder.ui.excludedFile\:true,org.eclipse.cdt.managedbuilder.ui.includeFolder\:true,org.eclipse.cdt.internal.ui.CustomBuildSettingsDecorator\:true,org.eclipse.egit.ui.internal.decorators.GitLightweightDecorator\:true,org.eclipse.linuxtools.tmf.ui.trace_folder.decorator\:true,org.eclipse.linuxtools.tmf.ui.experiment_folder.decorator\:true,org.eclipse.linuxtools.tmf.ui.linked_trace.decorator\:true,org.eclipse.mylyn.context.ui.decorator.interest\:true,org.eclipse.mylyn.tasks.ui.decorators.task\:true,org.eclipse.mylyn.team.ui.changeset.decorator\:true,org.eclipse.rse.core.virtualobject.decorator\:true,org.eclipse.rse.core.binary.executable.decorator\:true,org.eclipse.rse.core.script.executable.decorator\:true,org.eclipse.rse.core.java.executable.decorator\:true,org.eclipse.rse.core.library.decorator\:true,org.eclipse.rse.core.link.decorator\:true,org.eclipse.rse.subsystems.error.decorator\:true,org.eclipse.team.cvs.ui.decorator\:true,org.eclipse.ui.LinkedResourceDecorator\:true,org.eclipse.ui.SymlinkDecorator\:true,org.eclipse.ui.VirtualResourceDecorator\:true,org.eclipse.ui.ContentTypeDecorator\:true,org.eclipse.ui.ResourceFilterDecorator\:false, -eclipse.preferences.version=1 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch deleted file mode 100644 index 07034d1..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.core/.launches/D8M_Camera_Test Nios II Hardware configuration.launch +++ /dev/null @@ -1,25 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml deleted file mode 100644 index 654c898..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml +++ /dev/null @@ -1,27 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi deleted file mode 100644 index 28cd811..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi +++ /dev/null @@ -1,2114 +0,0 @@ - - - - activeSchemeId:org.eclipse.ui.defaultAcceleratorConfiguration - ModelMigrationProcessor.001 - - - - - - topLevel - shellMaximized - - - - - persp.actionSet:org.eclipse.mylyn.context.ui.actionSet - persp.actionSet:org.eclipse.mylyn.doc.actionSet - persp.actionSet:org.eclipse.mylyn.tasks.ui.navigation - persp.actionSet:org.eclipse.ui.cheatsheets.actionSet - persp.actionSet:org.eclipse.rse.core.search.searchActionSet - persp.actionSet:org.eclipse.search.searchActionSet - persp.actionSet:org.eclipse.ui.edit.text.actionSet.annotationNavigation - persp.actionSet:org.eclipse.ui.edit.text.actionSet.navigation - persp.actionSet:org.eclipse.ui.edit.text.actionSet.convertLineDelimitersTo - persp.actionSet:org.eclipse.ui.externaltools.ExternalToolsSet - persp.actionSet:org.eclipse.ui.actionSet.keyBindings - persp.actionSet:org.eclipse.ui.actionSet.openFiles - persp.actionSet:org.eclipse.cdt.ui.SearchActionSet - persp.actionSet:org.eclipse.cdt.ui.CElementCreationActionSet - persp.actionSet:org.eclipse.ui.NavigateActionSet - persp.viewSC:org.eclipse.ui.console.ConsoleView - persp.viewSC:org.eclipse.search.ui.views.SearchView - persp.viewSC:org.eclipse.ui.views.ContentOutline - persp.viewSC:org.eclipse.ui.views.ProblemView - persp.viewSC:org.eclipse.cdt.ui.CView - persp.viewSC:org.eclipse.ui.views.ResourceNavigator - persp.viewSC:org.eclipse.ui.views.PropertySheet - persp.viewSC:org.eclipse.ui.views.TaskList - persp.actionSet:org.eclipse.debug.ui.launchActionSet - persp.newWizSC:com.altera.sbtgui.ui.newSoftwareExample - persp.newWizSC:com.altera.sbtgui.ui.newApplication - persp.newWizSC:com.altera.sbtgui.ui.newBsp - persp.newWizSC:com.altera.sbtgui.ui.newLibrary - persp.perspSC:com.altera.sbtgui.ui.debugPerspective - persp.viewSC:com.altera.sbtgui.launch.hardware.ByteStreamConsoleView - - - newtablook - - - - - - - - - - newtablook - - - - - newtablook - active - - - - - - - - - - - - - - - - - - - View - categoryTag:Help - - - View - categoryTag:General - - - View - categoryTag:Help - - - - newtablook - org.eclipse.e4.primaryDataStack - EditorStack - - - Editor - org.eclipse.cdt.ui.editor.CEditor - removeOnHide - - menuContribution:popup - popup:#CEditorContext - popup:org.eclipse.cdt.ui.editor.CEditor.EditorContext - popup:#AbstractTextEditorContext - - - menuContribution:popup - popup:#CEditorRulerContext - popup:org.eclipse.cdt.ui.editor.CEditor.RulerContext - popup:#AbstractTextEditorRulerContext - - - menuContribution:popup - popup:#OverviewRulerContext - - - - - Editor - org.eclipse.cdt.ui.editor.CEditor - removeOnHide - - - - - - View - categoryTag:General - - ViewMenu - menuContribution:menu - - - menuContribution:popup - popup:org.eclipse.ui.navigator.ProjectExplorer#PopupMenu - - - - - View - categoryTag:&C/C++ - - - View - categoryTag:General - - - View - categoryTag:General - - - - View - categoryTag:General - - ViewMenu - menuContribution:menu - - - menuContribution:popup - popup:org.eclipse.ui.views.ProblemView - popup:org.eclipse.ui.ide.MarkersView - - - - - - View - categoryTag:General - - ViewMenu - menuContribution:menu - - - - - - View - categoryTag:General - active - activeOnClose - - ViewMenu - menuContribution:menu - - - menuContribution:popup - popup:org.eclipse.ui.MessageConsole.#ContextMenu - - - menuContribution:popup - popup:org.eclipse.cdt.ui.CDTGlobalBuildConsole - - - menuContribution:popup - popup:org.eclipse.cdt.ui.CDTBuildConsole - - - menuContribution:popup - popup:org.eclipse.debug.ui.ProcessConsoleType.#ContextMenu - - - menuContribution:popup - popup:org.eclipse.debug.ui.ProcessConsoleType.#ContextMenu - - - menuContribution:popup - popup:org.eclipse.debug.ui.ProcessConsoleType.#ContextMenu - - - - - View - categoryTag:Nios II - - - - View - categoryTag:General - - ViewMenu - menuContribution:menu - - - - - - View - categoryTag:General - - ViewMenu - menuContribution:menu - - - menuContribution:popup - popup:#TranslationUnitOutlinerContext - - - menuContribution:popup - popup:#TranslationUnitOutlinerContext - - - - - View - categoryTag:Nios II - - - - toolbarSeparator - - - - Draggable - - - - - - - - - - - - - - - - toolbarSeparator - - - - Draggable - - - - - - - - Draggable - - - - - - - Draggable - - - - - - - Draggable - - - - - - toolbarSeparator - - - - Draggable - - - - - - - - - - - - toolbarSeparator - - - - toolbarSeparator - - - - Draggable - - - - - stretch - - - glue - - - - glue - - - Draggable - - - - - stretch - - - - Draggable - - - - - - - - - - - - - - - - - - - - platform:gtk - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Editor - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:Nios II - - - View - categoryTag:&C/C++ - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Make - - - View - categoryTag:&C/C++ - - - View - categoryTag:&C/C++ - - - View - categoryTag:&C/C++ - - - View - categoryTag:&C/C++ - - - View - categoryTag:&C/C++ - - - View - categoryTag:General - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Debug - - - View - categoryTag:Git - - - View - categoryTag:Git - - - View - categoryTag:Git - - - View - categoryTag:Git - - - View - categoryTag:Git - - - View - categoryTag:Help - - - View - categoryTag:&C/C++ - - - View - categoryTag:&C/C++ - - - View - categoryTag:Charts - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:LTTng - - - View - categoryTag:LTTng - - - View - categoryTag:LTTng - - - View - categoryTag:Man pages - - - View - categoryTag:C/C++ Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:Profiling - - - View - categoryTag:SystemTap - - - View - categoryTag:SystemTap - - - View - categoryTag:SystemTap - - - View - categoryTag:SystemTap - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Tracing - - - View - categoryTag:Profiling - - - View - categoryTag:Mylyn - - - View - categoryTag:Mylyn - - - View - categoryTag:Mylyn - - - View - categoryTag:Remote Systems - - - View - categoryTag:Remote Systems - - - View - categoryTag:Remote Systems - - - View - categoryTag:Remote Systems - - - View - categoryTag:Remote Systems - - - View - categoryTag:Remote Systems - - - View - categoryTag:Remote Systems - - - View - categoryTag:Remote Systems - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:CVS - - - View - categoryTag:CVS - - - View - categoryTag:Team - - - View - categoryTag:Team - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:Help - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - View - categoryTag:General - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/devhelp.libhover b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.linuxtools.cdt.libhover/C/devhelp.libhover deleted file mode 100644 index bc63c55c9b3d2461e62615a8f222e6d2afdfddb9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15858 zcmdU0O^h7bU9a(acfC%;CfQ{30SOO>WbM%ISr%dC!#l~WJ(C?6+hC8g0S=wlU9Y=q zU0v0wdObZIp+q3K00-cJ6bU4hQx1U(oH!980fz`gP6!DuAdn&Jkv;X$(@4Wb>e|ajpa2Q=qa~U7X zkw=s7(tR5{Jl_-y{!&l%E|m+i3}-~Peg^^d*z-%mw5$I<0cKAX!z z0-BCqtT1uQfBu=X`Fwd1;9k>Fw5iArE#q~OJ#!pg8cmZlE>xzY_f^pC7lm9MC#8ne zF2<@H>F7P?>r`fw-3PizvI)L>cB*8o3KrKp@MtDyibVHL2zy@SIwyJB4=xuU;hXB zXVB15bg@jnqR!@|Pu4y6Kbs4OG*0Da{yT#uy zH~Cya{jkx%sEWmKg)fs*j4UXomJmI^M#y_$6gqkeCaj~6HYu2@(W8N(BV{a}n!j+= z1Vyw8^5p)*1CflGR!fbT%2EuK%7lfi;+kl05!O=ZT$DEfxc2Q#N_>E!(E)WyXt}_mMRT z5b9W+CL=YNOFi{?k2aLW3H%lv6ggcvSepS>(Oj#HZfrmgxn@t#{u9t+NDy@Xadg~t zbo_LiT#|C0%GH2^h9_i8Mnb`n|NBr2;;Bpri+Jls@VdhpTz{~?1kQ5XOx|?}-`B!q z5_@#s!!=w<{o?IsB8e%ojuTY`9~bi_dd#gnakN}%p=FjY5CY@DX`U{iYfspN4Xtip zq{v0+4tU^SU{E3-vWt0~9satb?k7C}qne}~KrtEIlnV0Nk_~>zA^l(zsg;PA#FkJZ z>Kn6P_e0>5J>_XqCc|{=*DZB;*CPP)xos|y8$TujytpRuMfSE3a`sq4!p*cXew5~@ zP$+$&9Pm@_0w4#ZFF5{=5yFv!l=&!;FiWva;Q!hAPfecCnE`#(sUhST7A?ggf;&i% z8+i2p=+Fnpkk7e5syl{z#Y-`gH@<;P9YH|`$B!DvU~>1?l(8i{soYoO-k%3#f>_K< z<|~K!LVHp41XAL1Av1iG^QRWEm>|c<0IDN1`5mOd?$KAhK^z_(9}JG}9^M<=J~-Te zcx)V3uv;sz0@;w0)6V;wavH9*TB|WAR%eUZ5WW+hiXR|~3DO2KvgHls)H2Cj+D4g8 zU09r^8Q|k0p9#`OUl4AjI18CJNFe-TCRa$&1#UhPahMU?Q%{t+Smg`;Hd8P)kUOGm zoyDB0Y~nHx70NFv;#Ax%_TSUd(@Y;5V?(g00Umk5UTg|BACF;ab^Gg(ta((h7&IkH zd6{tORL<3if*2Q0%c(-$MIxdC3amp83RenhG6znTKsukP39JuEYgJd~Y?GK$%R+m6 zbp<_uH4`%`-V@J*vcEF6bnkA~B8W zSAt$}hew>sba772{SAoKP{m>mA(0wJaz0mCY(iqK{`jA!csXv;Lrn>DFr=?Ywg#rP zk8|Q7OBTJT(73Xayan$7QA+Mq;ah6^7@%|;JsH-O@zs#AsoC*ZkD$5Hcrl`ybmh0V}=VpopcUzeV zcu|Se4!wR7S*g59zC0b9M!r%x&>5HQ=oUbLCoCe+sH7Hcd$(dKdzc*Fjp25S2hLrQw#o9eVxQaZG%Hq{E5MvNk zob!1irWm=AU*a6q0S^=gd~7Aq3er>xa9|rFCv==;I>`}Wr%3ekG|iW#xPN#{yz&d5 zy3rSh$dh7)av?2|%A>blqK`p`ezE@wsd=jP{L{O;%jL44C_V0DxVBr2NAy1f&}a$6 z3^4qd?;T|VgR4UKTyuXYQI4WjidJzXhh?n|UBBcBQjWGEa>P5nUUdLkzaUM2vM zXdFVH55dReeUv#nm>;|9?3A z>wUmJ_u1R}$=fC1zZ?OfrB_t|HA;EA*Hi9s)*W@HZG7L67RG)R z(kQDdS0xE(O$wdvmEFGn-~5%Y?u3=aM(lQZLuR=TW_v^VZ)n9 z*^Hl08wpa9W5I3YqIcfw2>U6Y;|9X0`!mS1G^D#<{P9R5fY%A&X2gBS5!bMpuKB?5 z$D_}V8(imsMi84(DjcO@mS)Ac-pYTH;J)+m#|HQ3z1l`xjXV3j@X@9u8cQmayHV~; znLD4hzT2db*m%fboAFv+dIz7-iBw5+A}JT*t^#$uI6GoUl+|5Dy6368_bUf?2Y2q> zJ{a7+clV&>JP#V;Yc&eg*(hC{Q*b!k$OIRt6woL&Y{ZoRUGb-{;7Y{ks3v{-%MPZ; zshrSl$@1(gs>pks$c7~k?pBPEs?q^zShRx;K>Z5Y<<^A>9nok^5U&>rW*ax}^d-U5NryiP%Cd!@6} z)6wT(DaeVP{wtkaRwHS!2bQgJ#H)ugr6iiz5wE^{gAK1{Pr_G2S}SR>MpNQ&Waovkz2Ym|2wu9o<;5#GnNO z77VmXp=7zT*&IqK_&&O(WZ!-9dCC;|vNNSP%GCA5qa@Akk26dp_($r8PZIkJmD@Q6 z9q0%yfk$Bxl5kZTfZe9}Ni<;yAB#SuEA7LA+Ap2I^^wn#utZITBa)(q{*r;D}Y zt#(?u!iUImY5A$9BOGcHW=4$Qr(0NiI=X7_EzdbCx3+zTSQqFlnWiW8K2OIemG((_(R3S< zJArB89_M1RFr(F~svtfR4R5F6hV#D&zqq+GIY~ye*ab0W6y`cyCU|x$gNwJ3!s&ug!ijFzPDi?0k#sny9II&6AHeR z^fb#QSPNSU2E2oz8mKe0DcNZ|`Rv?i%E#N5vmmo;OaUaAJ4Ey4h4R~y=h%*mm|Ser zmiJz*#bxYT5@LDeN_(cgbUI556yMtFc_9 zn&Z!-EMH;{OACJ#D;RVAiAA>d4Dr&fhKEptXKpQ!^XJ@;F%%3Cn$iR_SW3&>6!ZGV z%DCe_-{y*-7|>iMsn9`!8z+-R5r&FbnPz#J>eZ~jc^2!+`BgFpzS?(=?i}#F=58jC znhS41y_RSB5El#U!B|Z-`zy$)?JBBim!2l*AA9{WJknDzolf8I8x0+VO1z>2+5$F< zI&SHxW$VW?x}A%#Z?}&buS>%S$NJJJ9Qb*{l8)6A@*^8yx4!ZF1jcw6~ z7VpE>nL5Wi)DL41g@|DHx4Ct>Q?-{Mf>6YfMxKc!Ah?6Vyar)wEiSHzYTB%#sbe)w zL+#O@^u?9r`e-T(w2}MsY;pzDcX;Iz?@MTC4lzH+UsR?gd9^79&X%~^oGv@a}?T# zVh;I@hNV1r*=c9eu(q)9G|RID+x-K~=$+rYkTh-0y*E<7dJnqacA;QxVOz^FR_E}M z|EL4och8#?vP>pfGWIJz>~CyF5ay@`rdzbH!*j0_aoPZD;|(QteU=7_GGG8>AzBm* z@8<9hYBgmu0rxiT?}Mv3wjLc!TJNtOZO_(VFJWW3b}M*cvMwf@?Y_bG00S~z2pXdK zJP3uG(+5XRT!?G}6QSMBr9mYGd|)azY9ss$w`>jQ1v}9Q)+HCI2Lw(uE~NERGkrfG2uk2dz#esvsZ{! z17qew|FIU_4$hSf&PeMK;y##wEx`kur2uOT0$o1+F3V8Q#}yDOuiZ3LVLPUEU|K z@QQSUv9pK?@Vap5Gu$-)WH-%Ut5lsKOThYRb60w&0xwH6ezW=dtb^uLGU0(+S% zGxV*w#q1MvEV`CRaoLuJ82H065mDsvSPn2&-c6=poX70Sx|Q;~I_hzWM;PIx2vBwP z^<)s*FVs0@V+$Ka+HGXIboZ~G+{joQkhFtU6ZaOjMQu_>p-%c71_J=vx9%3q@9XFt zESSo&Xy^$qGQ2PCH>;z`Tj$z9s`=rE3|w60s7GE&1hJ` z?|&Zm-p|ZDGV9R~jXIPW;coVQ_nv$1d7pF7{qz5O<7@NT#@o}`>E`I@e0(_{ZJv)O zSFaY+>G^!~=y<`ehiB6lquJ);@!^O1VP|qO-S9tO`HhX=e7x~nN9V)&d^BHd{O;qQ z4qpuKUMv&>Xfd8nmYz76jYj^&Hx}2IqvO%Z{7*Oj;l@{9 zT>{d-ppiB;(q@eGwcq+*|Kh*-z0dxq-`x0(M;n9l>G1f`@Mtle?QFcUIGc^;XVdfJ zSC{|b#}3-dZ}9Km)z7c`qs6S>`ip=1qdWh`PydhK-1y4ljlt3M;&M0}GTLI}_nJjK zD4)Og>hkjCS2s4k`j1)W+jsW1|K$13-uA;g``Zr=c6Oice_1R(3S9KD`1Qvd-#9uO zpC8Xglf}lr*g&u~n+>lYkLL>z`PJjm{AjW9JO1_gaB_Nge=!?RPWkS)&PK!I(abRT zO&*;LFGj-Vx4{49Y`T~V#qq&lHd0od$@J5 z{jhuI?YIBn&b_zqyz>Wxo&EbyyL)%O|NVFF-TUsH?|tvi_Xhwno_8nX^HFy^J-WI8 zCG&1kfP)|f+-5w-qlXE~_VHMBW)t7;pvpyeb$L8o0BAGi^kfz0Zf|rl0{KUy?#Xa+ zH9WuFolIvP{^UOPM-+Q}z1TS>^)04%^;cV1BnqEx+o0)HSJCh@(em0t2 zjOPXm3qG9@x87*6aB>Z98KI!(^6Kz>JU;`XLruv7ZdlHH z-OKUfOpgq9CNGAwG3)5|M=l+@!x6OHefC-Z?Wd#B@!Uu3!T08yKyu9EqS?nIB+#t; zU^E%chUeWg0d$lrc=OHM0o8P}xbr|ltXqs;K~*;6;Iq%RjPQNu^|S^~NW1&PqtD~5 z1AA*Y@5Ynv*6=OfKcBwb?7A%^$mMn7lc|Nc4%Ck)N9R|^Achx&n7OaDgQmxeb3Xrl zL9Yc5dW%cYf1kbALt=e-CmYPKE-#UYtYRVfk4G<9?xmjA2pSd1axq=#lX=o^OuotX z47RQoXVckyu+OuzVfT1CosTZ2v(Z4f9qf&d&W5w&?#X7izZjmM1JdnoZ;D*wv!f3X z$7i>@E#4fx>~?3v3*VOK=QG~x9?nLWm!sLBW%CGdVc za+$)LfOK_qHsEu1K-&btJ;0(HOQE2gLSK$yk0DBwmqh|OK}Z{I9`js|rkCfV!DKqS zfa|Y~|D^RM)5#qnkC#83zC@>?;H186CBwt%)#4u@u0}^gF)Xw(7`k|Iv5ROa(^M|x zu?ydNEJRc!nnprW+7F7FxPU}{-DY-|k>jIKymJTwb3k^8V-$mQd_FupAMxhPGYO&5 zE0gXb)Qc)Qdj!_KoX*aV!PbG%ZW?b26L_ih!1^15-x58f7kmHx+;-h*TY#kOoz=ZUhC*K(x$9tORU?BnI0LfC0-d zXV^|cgitPNgRO83?jd9u7P5jcHw1ZM*xQ5YgkkykoGGQ?*j*kt8ytjjCtoitKq92Ey?T}*{9mO1&@*3!3_&LxlDlLS}>G3zF-I&LEc)p$FyO3c58|hn= zpf*;Fj7koogsIuXpbD)g=`P4e1Brp0R>QJEiAhDB3(h>Jzc@nt&EKgMTo)0uh? zl^C|KNc5JAdl8x5bQ#lqKAvDgPEX|LU5sW&61TJ7i*I!oSFj_zVQYnmV7tMmVwg+GM5Au_p_+c7F>ZHU93Kk}OaSFhPWAK2Xo%xCn!_wc9@j<* z@ZVet8XmtgqPj+6o-?yvGf5l!Dl&w~4K1T`U`Zuwp@zq_ zyaH2f;Z{!?@$L(RnaB>2d~`Myvmt;a9VDZeqMKk52CeXE%7(!(Kt3*xNpL~Tm7F~r znck@!z#s^FqYkq~*rR=^`lK7=%>^u-{PhwmrMGG94Y)d%JrC^;K*s2DAx$C1W8tG$ z!wXd2ZHVqqug0@cxU^FQ$Or))W;?o=T^gQ;Uc>AYWx2zNKmrf$#`Fl@RM~CqvapL6 zCMA-Z5h8$@Z?BQs2^FJ(M+=3j!sJ8BF~-h`jG^VpB+L8y!$6nQi*9_4F`s5EauWfL zX$EgdxeyG{2XjRG%QK`CE`#7Spd%WC(G!MM5mW9cO2QI{8=jB<3``!5kdJ2gfC;3m z#aFaLXdkn&3`EDR#6lQlA}|A`rxh#uKs>0BD3u&o3kXZ{t-*9R;ek?dn@0)*nzopc zO_Gs{B|j#@MB?fo0!L_yWn1qcVj^tL9^&uf5F;&gD=R)89gQuv6~f5GKf_(J!!L&C z=p5ECa31w2hfGdq)3o(bIE->E5;ED{sQU8A98m(-h_u7vD4`lhlOuusFseky+4G`! z(VEDQjUP-eWe&e5>;U}LrS@D%=AYRR*3v^x&!+k3NI6 z)r$q%vT+iE+6@a*K&QYjQnY;=EFgYkz51f~-1q%Wwq4NA(fZKni19&dF6J10VUel< z069y$hGikhDZHJZ`2$S;;~$?+t~SXN{2ZeC1fcP)5%@>wO}q;uxiG8>{KgA1ZBZ0V z?-NL+osUjX10gEhX)Y`#H{sAjQUDuyP`pMUlK|ZnXn>c z81ByIpn`x@Rrnz_%i(nTdFT~BB&a4Yu}5%hn9wE;8yN1u(j~;Jhtk&uBS>zdFLH7q zg#*5OB>B0{uYrUn3;tJNAxx8XvLW})!pFd1AOY}bbU3?`t|DjfgEpJ+<6`Ii z?C1KDXZ6U9c#WjM_kPeFU`=3VJTpam=MKR@8OY!H&fB-U`-HNTKX|g$y-jZA-kp2j zQO@D{{?=fCwKluPVv_hmfnnRqEMsd0h{>!%z9ZR#hsf{?eMyMe4Io}j(V48^QnUCP zE*vF%U=3^}#X$b81K0FMQ62!9VG~;VhG|R%gdLQKefC(wOoL##B5hEy12+y8kvf7I zAkuipHY8G4&w3ev+iBHy?-1ayG!H~Q$T=rhrjXv*ytnypW2>N+FRZ>JIkPr? zV*WMDK(|FmQ1+PH1DaY2-|+oOC@wx2K%iJ!PPMWYuRL50E;BYq*y?lioHVW=c4IZQ zsEpRMYSR6}q{V@Q!~0v9$sDnpkbO9^AQip_%RiNt85o2L@M6gpWyjpI(@T0&?~Yz! zRZq;OQu<2iCvA*H1I*?f4J|Y-eNcAww#;FXj~Thj7z-<*GQ>Shf17};%p9l9B7~yBkKobi)7{Hhe zmKS)>9yO&YP@F*l9A~Tos9DG#uz3(2R5LjZUNQ#;PcSsNIr`XjY?XOb$)l}{=A$yh z;rMt^WHc!@?dS0b>Jc0^Rp;XwX=269KliWT!>bvI?nnd!l0V0uADHjlpAG>tSFTs% zAC1V0fCkwe3jH6B&j}fWAmFiG4@4KZ+Km?NM&@21#iJfU6mN=%AMr&Am3+y;z*m^H z?8pL^WDMYueJ>YP=&;D1#WDp1^fG2+<*FfPgsa4qTU{fPAJ{*<2ueQ5*ZVZCx5&Di z_(=L=&Y(FP)5#GN)jX0Nr(LXgn3I>~;u1A0DdxaT1Fx)7ycxx$(C}=)^iX+2M3d4H z>_&ery?-ESsFZn%Y=VpBH^ND|p=^Zp?k_x_}9%YH_9TmfF@p5`+z8GD07x*gE;~#dn z?!6_BC>D}tLhM=zh+DI_-mxd9Clqi5`L{~SGd0?N4=SFIP6?No)#LRPqHN5b*A`I} z#JLu%oI4tl1}X$UB@6_x^5?Wgnaq&2)p<}<0i(X>ai4Zb{*Z2JUe!Ee%xtm00U#+oKWVycA0HJ zxnrH-$TXdLBnA&J2P=v9TrEs7v6*m|)QNIU?8}kNO8{l#6?T*sWam9FAV$`k0lcSSV7lmD(WnW3k?x zI+VT{!~h!7WBV{OD;SXW2Yjdp10Y4C?Gp+Dv5RhqNJ8iYEpvJ(IVsK>65^?8$F!I& zhldw#Yw1+CMq0q+FZvl**@&od1#JoObdKS3fH4<#X~_bQ5Rfb!Neu-V72Q#svB(@5 zHA^-CAv2_-6-FTEW#KTAMF@=UpUC!=g__#|VOo8QmMS8QdC>`cqc{~{`KAICk~ys- zhFEW07#8ZRPQKO88mxb-XJ)3BYV1qLhCzjapP6$ zH81Wz7{}b0jbHFGwpYBIG9hPinidkwD!yU&M6gcxfh9~nY7K0eA}ou4VhxCdAYf$} zTEI`_q3HiLxy1mW@1qR*u3?$ff>h_jS9Kt>J<|d0j>a=eOTAhplnuLGtWUyQ z6qy3v5k43pJOrAsr4%|5NVJ-ZN+Nk-t3#R@3l&f=g<;&OOa#fD5H1srq@Ai%aa14! zgecfz8}6K_xVaO6#{}v`$yo8!2(v*(WGZa%dKsxzFH=f!4*5aeWv=S?TOGD`yszeUC-uUiWKr_)0v=Cn3s10x?-7>XSct#NuCxND);VV zt6D7Y4d(Wqp>^vV1x9^_?b~a2L4>6T(&n$)LLraY{LPeP7z~sOirgrjRkp&&QESk1 z&O1Tp{*^^vsv^{rk0bVX76HN(l8P!gHsD~XP1u&Lnq8^H5Th^0HC@U67TbEss*3U5 z2Vg#undGei)!ZJM8QFCzG<il(D3M&Nt6 z-n>&&@bGvsj^ZtIxh2UBsYD0Nm85)TfMBdQ;LhCn_#T=28@m zKw-+E)m1~)p)!h>$RleD@uBF~^U^I3f+O7-;0 z3nzZ|*<2{E9@&6F3AdRh0&Q&|*=8EJUEdEe z8#riB#=e3QrM*&kC^b(Zoi>^{%#?hGvfawu%rNSdFrp~b4=PzSFP<0Vs?WVd8N(5g zk5gzwMSu#z8R{}mtP>NC%UE@+#MxUR3lGlvoq6bE~Qr4h$9(>O3~vHHP%GUOYxNiQD8G4gHVY| za&$u!Hpmj1@j~Jj*Bn$tA&WS5cBS3bpjIoGv9-efvTtnv$~S3x^wo1tuIJg$dz)5q zAw8lk6vs9qYB@o>w1PLOTwX`o$U4PwGkh(1p|#4Eq?GIm0}`U08;@CT zKwFY^;UpYPN{Nv#&#vcIzU!>uqEVts^zUq$^tCDG#DK~+a1Qz-z^oG2B(ZS*j@x|B|4y=jOR@3F{ z=p?gwog`~M3OOd0?TcM09~F+QF@53yV0UjoiuDEI&mQF&nVRKuF{0*6!q|BfW`!Wb z-u~fWFx)iZgAnPOU#tU|FOFzVzW zd4IE;6+c=v{+xZ~kXR@!=ckf6MJlgJiK1vu?F=xhGE0^?r%UpDM*-dOv(31ag~rKD_CSoR|Bv{1o;W5A}a zctiz>P|fRBR)g|z!XwmpVU$Bvgz4F*TaVzM z5}jhd;uyKfY)HZ^PpwL_UaD{Yr&Na!aGOpU8pD>LQcjSDB}*lkir7{d;Eq`(?AWSW zl=x2D-4#5THYHMU%C8&mS1sYB;gyg4o%pdKSp&!7|@$aGpxD|DbuUk*P5&_Q1nvR z#Q0P6>LC}l63@A@llYq>`N_KU9_eCJ9+iDfY1(Nji~9pGp0 zmNraOHkVr_F{25@8Dg*t1RxpE;Ez@aIBALiWsLJgoONv0sa!=V>Nob2)~ZgDSm#3A z+MgOE+{cE?7h3%w+*wGm49nZW42eTtluHhChuOc*jB~ClpoH_I;az;%mWzn0zoDcZ zD5*+b@eGyr)<~R3Z^GLNcbdS|D-29C%NajY5jKtE(A1^`i*mx+39-1>^RRWN@}v|A zWB+-HYE)FZa_XY8EAWm70ZfuJ0$=Vz8m4@lY$gtup_DZX@DQZ1Al57cEfeh$1V&!6 zR~ZMA4pxWDc+=L}@wl=(ey2CJhKLo&pU{9R{7d@4}5aLE7P#zF6&ds&R%m z)Aj^=@s6>bzr(0E=SZ^<5Yixk%PESbX>8O^g%0lN<&d#xNp78$GSY7fO@`+H5VnK) zebD>sjB5qq83O^vf|V1Op~`}^{MSIA-eX&diPo(v>6`FCd&>+Hj(RbE24b0aQhs?S zR`_KS%Tj^DIS12vR)Qp}C%rkQtwrTb2^xt<2|JYw=mh3NKM3x21-_C~L73w%YT+Fy zMOb9xsic$lVdRicq1tKH!Zt#Kb-Pjkj0tBL5K_jgnhilAHLuH+F>)gPw!2sR?bbTnm?RYYeeG%u9an~d(D z-jCf>sDUr(CbZxn^;i*3DE>9p{S5$0+}R1SE2_9LtELyUUcrhm!wVX#fl-T6IicFd z!-HkYP_8Z7pamlt6}`w~HHXovvXdN0eo zo=kdzjz|NRP+&EY%z&`Luq7z{qi#ilt7oq<%8K1l$09^3X<3q4t?yK$U?jUO;@$uR z!VI8(c%Y>yu=l;q!B(HlrK-)gr|_#!ql&u}0`^*mpl&Zv2GNc|@CO{Gb_!i3AFvDF zLPvx~ouE!yk`AazG7It$A;VMT%Nue-F&c5$j`IXEWd@qg!b9UZ?O9xB1Cg_Avk(Kz z)KX!0GmVg8Xn6SyI7m9`PI%7(huW>1bY_$0&mxR3g1E9;EMjnEsao?R8~#q2v#y9G zk$I~r$|(C5TVzI@V2_92BHLgF6{IBRG1CJ!k9=H_WhT`Pu?M`|00c65B2bjk&Gd*O z?z%Zh@%66C0+vXu}5PM9*%$l{4sK(C>hC9Z@-D$9nAZ0FyFG6n|};ZMO={Ea9u2Yv2DK_F>cI=}

    HVMLM6c0y0%cW3?)WOXqkMX9;o2Z{E5Mk zenK8hrenmX^pTKeLyj&uyN|LyL4L=s{9bJ$?^;-@IoYZJfe?g%kzPQ*A(&nX7n+tg zJ-y+Hvyit1=4l}@C#k{(P;@fXK^5*3D$!>2hMa4z4cu?sW#WqW%B>>oye&7c*_k=+ zODVJb6o}|I@5BbFZ`}$RFj4KQ?twb8a6;t1BbY|>D5oA=BxlTk3H4kZ6fS9s0_D=B zOBh;4D%Ojh128`zB%ST_9zW7Im1oCJq2e0Vbh44;2)VdVMM{F@8udyjpi7KTSHreA zaH%k968s^0D-YOkHYPtQF0uyQ^0rSUq}&#jci>}0nIBk%N4}3)31#9iCtLXD^rYzs zzETDE5cj1}`BL^4g>T8Mx9|-KSj2Jx-g$KEpmaOnwt3pZ%RzEvtqdYCPc0hTfKs<4 zNP#J6nWx}haZ%S+8s=GaL&0Kd3S>UORl4Cc6AjzkIP6Q?m@C*XG*Ua zk#so{zP~iOcS*iCO9CsZQCUSrz290vRu~`wswxbd5vW%mlEPS|rc5sO98T8wp~x}| zm2d4M6qVrQh%Cz78P|l;E|!z5+_o{QE|UN6bm_+>GjXw}Ef1AI+_*R|#>H`17fb(G zjNXS%DEO7#0VLfM6$0Q%suPoN2Lqf7D4vhUMgHlg6dFMY!Az4aa6du=ftH1fJLY3* zI(_+B+-e-H7Mcr;-W)KXkZq4F%=sVdTp}N7tx#K_s(utIq1~p22>(=LoHmazS-=&! zO%_m>2Y%lKhpDA&oBGvCaX4;sfU64e7~`_mZWWN0S4=||JpvIm6F#zv0WZ-PK=cfG zfQ8}-(CiLFoH9o-x!A3x5`hGm9zm+Jea{rVUMZH%&BRuUePHcnsoV+wa?v0?ta4IE z*qOfVB@Qu>vIh%+-G{&h8Oxm6lf?^v8$DT4Qq~@s*=$B+-cx{4$Mhtml1x{vNiUsR z1SE2c@S3;>+&M^(Q=N3qw{08a%(D(tMGw{a$nYL>;0fRf6~HbyB>kS4I82`nCeor^ zc4Wx>sY|$wLWmC3nhW1{5+?L^T{|i_AxF`7zVGyi>y&mrL?<;^0FnlV0H*A-UI5Y& z-l-~a5k%D;rolWEm+9=eu2ovX1A5<=7-tr>w=h0*wkow8PE0^5YX)()Xce>8Ok{*; z%WKd9me15kiW*Q*hRR&O}E+*1rDM<|AF zZmiwx=i|bQU{t+VPWH^DiP&LWqO?7o53$a-Z)m|q7+GEXUBt`cFdZ0AtG@&fjLzgF z0|i1oz`iCF8Kn{f$LjqQ#oQw7BdVyzg9lg{dW_NX9v2Jf!;z30KG|MKFdp-P?a9=n z&Ubu>FiWifIHiaD4&-sgVwFR(7BPbm1fh39w9L8I1BceL#{N*noM$rsl zanWu@;Au99KZ-2v=en+R@rQg*LVxHN$}f`xb_6+^?9FyZehTIQd&e_3F<;;b*Vs*< z$J~A9HXzSMGpYMsn6;D94ojG=hj_K?f;AQlYnQWB@%Su)9$*V;9jn;2f*GA}LB=^r z>Sc_kN9J^m*jVO(5Sr7wG+0`Fzz7+_ORy3&Z)4FV+Rq6rh*9wDD75fNnvr4)5E(MB zVy{EUlHg7_JJQ@JklV{|fE&G7Bxi;GA^1`?GnNWzE6odObX!+{YhtrNQzo=7m5HrK zSutR%(!yv?Hl2y6M#|umJSx%%kQ48kse?cZvWmh<;*sf#CYiD*t&6M^YswqNR>xNz zY>ksvh%%V{{A10-R-c2bz}luElsE3%miJNg{LKFoAmyT971F*B5JfQFA;+b6G80;M zwvbi*R0)Wqx{n=j@JL+eEygpYaQUH&LRiNRFCuL?&6t1$rxZpO%@iB>nB9wP8bY9A zxL}|a%oG+c)PXz~YbZ@*Tx>o7sUzsi=iq1B8_I$_s4#rs3}|MzZLKhf&BHTxSXWLK z-WO#%rX&|)7MoV_XH3gtiaK&wu`I)BE*l{0vwase{!b$=$0sg}n>a-mOPWAzJ@KJA zKg(_L*DcegZ~2Nl+%(pm&#}%iQ*NxFP|S3YerHsqw)Gh}8;uA1I0DHEm;#qQc5d}R z8Ea~UZ;t(uTq=jXGaP(`xB&DZ0t(puK0f37UXRYxuSmojmSy*7*^g%X_j7Ab;-cTx zD2*u<<9Xa1a*XcD&PhvuCnm_bm{8Ye9Ko^q+KfllB1^Fz_OxZf3;>3R>iqC+#m}Td?DYVomj)iESXpB%t3Krq=99LuV zs5f1@T$&j%WxoR)?8Cd4_)703{u_n=XJ^vT-3$Idhy~Pi1!55=0emg?kk$i>_Luit z;+Is!uLGW#V^)yQFH-X4D$`!~w1oh-J?r39jH0ncv~86G0WjRuUb7Yx@_x<%`qd{U zrPWsE!Oy(doNs{Qi&Qf$*47vdo<~o%Z5%>6KEa zxz1>*G?9w9<%uHC{c0#j{^{1kGdE-WTCG8_DV5547AldFt^%6wmQO7Vgg(&DrX(d) zA!;y~N4aQV6mUeS>)t;wBjsmb{&~|N8j|H^5^55>MBG=Tfn_ zu0jsdOysFgL!|;~E~l#%GGg(ZLjRViE7Wd+n5ie!jWBlj@Y zZvf#&&Zgxvk{=Y(;Erp70@lM_X6RE6i85saJ0xaY0L>~kXqSk#NYytM-cY^_l9qan z3~ttG*FjE)eSOo9tV z)j9NgA9Lt~5fbNEdU8Z@;wFYZ1;M&)mLW3R<;YT*A56JNip~|%(@g^oRH>D4O1CX| zVbxLWc|JrUBvSj9U~e`qBX)*#UW@t+x|M(xaYLsqm(}GlZP21!JVvT4#Z=aNOc1&U zpG~#iN-7CqYVpn5uk>*was)MA;XpYvx1}wpO1JU#h6BzmbxHI*7yeNxikAM%z*4=l z@|5EzWD%&?f0s~%p143f16T_{-2#p7m#URaD!7P~!-wl1g#A5R+B@W)A~ z0*&H*p}l2@f38YSP%mFVWS>AD^Q_p4%y%F=x)GAx&bX`kk$)V3voXgXcobXO*^h0v2m%b(@>9%r7VypfSI_2Jwi>j{=u}Gv-(068B-$@kNqZ2QuTi zPd_%_e++DX!J^y~bnD;7KA4+H$;~)n$vq9?d>oo4HI2Xi{gQUhebJ`*;M5O!y=as%QS9einM=latMVU zf-f7wCYqS`?yW^ZyzIE|=L9|*SdtB9biTPpC{%WH)pEd+iHQ}j1-^?IU`Pj8B+U6% zVSTRH2XnrWD{33{H9R5Apn;|5CFF+rVsnhZ307MKrg-O99P>S!-@E#aZOUn}= zjELRQY#R;L$fa!-#3_5yao3h^@<1cJK3-RZHJTs+IM%KqGQuQqH~<}s1A-~Y6E`Bz}3A*4xow_b$sv9^7mA4F7a2k`%beDX=$PkOUiby8;3BY54>*B&5r-dABpv zPgvv?oPTf+rx=FmH)TWW6LBCfK6wck?;|3l4GqGVO;=o>g z@>r+=FT_K6)Zh;xjnhR5@(el!y|joJz!AqaH9P`G=$lUg5vQhy5)Xgm-C^jr1rOc@;#_T#-3XX{ng|nr4G|!v46|VtD@)^;Z1b z&al$F(!%TOH}0<9x?{6#^Z>k}JtBK}KyqAARGV<%>22#$Z{zj#egU9}_F>`U5)c;K0_K+w=)_nfLVe#(c4SHkcJGVDC+ru8+e>g$0 z%K;9jGXOXb!+7_R#?66(C23eyv3{sC~?OB+(;#GB3K?J2mqDxko_W^A9G&hAs9d zYy5${=znwt>it!hBcHTU{%593ud4x$uyT>NIK6TH+=FITBe)T1bo|*;zwdvB8a?Oo2!y*)bBOc#7@mHTb8XnU-`AgHK zH^UR@=8E`w5mvw$kL{?~n@<5Jr>2e4QTcb`R;gHdkVky72p3?IhZ9tYgl0||;l_Xj z)ELfiEv<1X@hNDU!SpbTRv;N_&}WDpmnd#|7GW}dh!m%*@9TI5GND6!pt^z*?3M0jiyk@=fxED zs8T?)V9-FDY{6joU?iO;JxaWVC@TZ^@~u|6u{s@lX`SkMS2wUJ!R_J@uuhc;fG0Ik zh9h&bNZGP~7~mt_;1|AWKcBcO?1IPSTdQiWC*gK1EWga{z5m+VR~Z5C({j1Q>@rVc zIOg$fz9a^jcz?VQUBbv{Yk|055l7A*V{RN9anKg+@Z#6D(3u3T4$c_>pdbE((Zaa6 zeO~1wY=UU>4w7?%j7jBW_0Z=w3%$2Tb8h>d=lMT4yekE|2EO6?-(l5W;rFi~%Q@N~ z&1BNbF5_7RfK+D!L(yB!KIbe|+ZY_7-m;WzQ|dlW9#UR&lsw{)gWbuvOp6IkF!iOL zcQ~VT8gc!k)1#CX(8NTBLh}yD-KcmV=8LREAZD8d0Jn%ol?0rIt**Q;S%153e9{{DKf9BhW?a#WVDhK`e(`-@A8E z_}w?Qz6Wnxin658mGW%f_0$^pu6#3Ys8pLSUqtBqkT(*>L)XU{_=F`rAlvkKnQMR zsm@f(dW7cegX697Fs#7Nq9i`y*o_6lE^HPhmCqI78k!ohET)V<9VK4B-fU}HTaktJ z$aQC3*WZe@l6X|C3zDN?>m(e;gP(pR{?$_=@wo?0fAv2p&{+5&^ha8e!rHI=l!OXP zckX|hE`3#9{Zl$`4L|n3e-vbu4(F#jURYxAZ$26ZpFQ3}WZceEe=e7G3)Z)E z<_6kT-yEg4{8L(i+NkZ;rtefPIgd)!xj2)6mkKYCEJL8|AJUvI$eAK4`~5 z%r^Nyrb|D{5Dg3z)=OVP3Ho&DtX0&U<%3^yW<2x9V{slKF(;Qt-zff@M{AQOzvgQq zQI~B_0%Wl@L9r6r$cbru1Hl)Lr)}cl9r2F~20m9F!7A>hywI2{tKQ03jx5z@X`vhq z8{Hf1BM_r;BW!2RxUTXGhftekxC_ncIoM?_T4ha{wI>mogVN>%8iEIPmz*P>gfwBF zJ51{6Xm9rd=rh-O@(%ys)1_~qatiFqC6*hlX+c9|*rGadw7Fmaqd^O=1ugs+G2=P- zolgs|IXX^F3$lMA)`?9cfFB@T#Ed^ZbdmJmA;cN}-TMf~N?CsY2(wI7R))9}J4>hjnhxIKhr_v=?Fz-mGRqur!yfg(^VoyD&xh)jt7HeR(7VoGM|Z%V;m3ka+tG!pUH7##%mfj5*cE2a$P z`?NVJoDz=DvL?yS${`{ z^6W6djj=bQLr$EV#EKfnK-nBHCyhzM`hr?hVi1B&Xd*92CA!l-`x<$E%?+1Pn zQrj*@rU_TNYj^Ef-&wXYW|D2O;w|ZE%bV1QU@TC{E=w79lA0>erR<&NGIH+5gf}<7wQ}=aXTXe#zjxOC!i)>U-T+J6$CsQ~w(%6!#8f||MR)5Y) zh82;ZKxwvH_D^$!SSwCL3~_6tDYe#fLR)1gobGAkv5*4tO675UnjJu~Cxu!dSrWBB zBtBpNUf_hTyA?*`V(M>wu|*RBOq0Nv0l)hS@@Q5xZ{vGZYc!*5J`xODFzi%Sana z32Llx?iI?Yp6Rb*7ZRS5(BUF<>JCEv7+DHBfrwM&$5%`lVieukv{o@0g{Lyqn**a7 zIBx2SW;Hoog;JFa%Nr~uC_5lMp?-4f(4B59ym|F%VLM{OQtT4VVzZBDM~wWyH$V?? z&*BPTNNY498Zj; zeC2r@hlgawxf}eu*#FJQ2_o8=$2;?P$8g+amu%f>JoqR3_nYBgTJvOghD8Hb@6C4b zw`c9UmS4s(ahAa&dTN<6Zds=LP6!9*AdRe-pHTH0GCx`(JmUr-B4=#P3Hu2anzmls zE7UDj1jPp3`P`G(iAb@Y7oeB#1FiT?gO^|Vt*CP^>o9$JmoHzABp_m#MGo-H_hU6R z`XjSfT02h;|JVYb+z%=4HOqlcruU#L5b7>xm;o;%(-+BtgY5u<1F98N37Rdh|jB|~`0$k7`n=qjL1RZGy%5?dAy ze%W4$du6vD@mE`%h`8ga-(y*OT6Tcnt*0W2lSmS?n-!4A?qEa{0+6de%^}d+7iD&I z4Lq!Up@;Ly3_bw&8pqh)Lu1(C94n)R4Kf(mT`uxn-`rq&lwq$M**VK?eeH##O|FPU;+Ia)kj= zBU8t$@G{phC{FANYgrg{+=Xe_tK4%?Z(32hooY@x+`K(*?T#()xf7#0%} zZ_9AtG+>L6iWQC}*R3}pH7@EBWs-2acv$9LU+)zsj6m*erQsA^UqIDZ06+1xAaS(<+SmvO9Ze5i>DBUr*-$lc0*i#krSP}k$gx( zv`xs_21&u4XzwWqrhp@hk;TW?Ndktgl%LCrp&embhSToiY`+o1zNakVwd2u z<}T8mM|TC(wHt2>LBDwgzr}vn^1qAmTH@f75aA3r2EvF7G?mdiQPhnzeRw)q-N5V9 z6xKgAU0MmL`v}6iI@Q&Z+~eu!aNBK<=YJ_SxeuY`-f$m>H5yPvY@iCASB~-}+mDzTAb7#L$U6Fmtb?9& z>0#<_h3xXENk*s$Mx-BPML>cM<=tBzT;97ZQAVcbO3{MwF1dUN zCS)mzrBn0G>S#TD0xeQPs7g9kf%UCBzv$8Ht9MuX@84Q;62RRVd;4f6z*uD$Jq?SF zw;J@RWtddTNaJ4+U)Rog5=2R~)ejA>Y&HoX2qp+U1iQ9=eRJ*i+f=O%KqRwVZmCoS zbO=c}876K4E!Czcsnl?=$Q7PYZnloF7kUxK>>@4TC!FumjvP_b%vY!zH%rI`dC;C@ zSRf~7$j27Yl#;2q83#hk66#l4pjHv$O=!-iMG;!+l?_Db(HBaNJi&iaHibQm^MOGj zzKn13>#&?7SXGQ9VRXI57)wYWgOV7Oo7t=;0mye3fQl%gs_!w8RNgh{7t&1Kz zHIap^$D`dD5;&9=D~E`q;J<0_70!}Th}CbrY(9FZ-b=Kz*xs=R z1>+Xs@y^9wSQEt~N4WjsoF40+E`6R+BlvOX9O@?DnZFv-Y+2`xa8tA!t;00dztkkBAdxJVG6KyndP-DL}By_$fPL?Aso z%L;EQgtJO!id;W)ig9pXnTewpR$nj@&k33^7l0nVn)F^+k$rq&3w`q>n2R&LI5o)- z1(wP7fe2WG^Y0IK?kB7<3Teh@xd>pW5hxcWts-Ghn$D2suiIL)fz$^{9N@cXF@ZZ( z`X)NFf)N{@N5VNF1oYZ;>8CWP-XnW zAQo~(u)h3eMR8#-V08wHcsneD%vUa6OJt>_;B20|^E>Qn#cT z1E~s^Q+y0=gc=VWQGgQ|>WwE7Qxzt=AC1tT`43|;eatQ8zkalMRMeHl zIdbSEV5cWl{StQd+m*A+HL-#aVnv6*qLU)jqu7Vk@^mdux&XAwNxU;%`f{m!C}JG7 z@D?E`>KGO>5tE(!V+5*xEOVLiO3 zBB4MfK4h6m*6t)=?Lbbs!LuiM7mrC>wTAh1W4=JY&Bf+gnYKQDV`$2oI^3C71GucQ zxSV957=ATjOpnpFPjc95UBhzNiheI-phUhCt}=yJu6L2wipWV10hx%An;W@&rWh!( zlDTpW;6nUSFjkF|Tmh+-0T~N>;u;oB!DEa7A)c^5_#o0rFTUt+I)&t8 zfyAyQxHOQSevtWNF%-chD0Gtp2(D}oaQWIy`t+1)t=x>vmtnn-tZd<^trhxS)eT+B zIzV%Vf!bo0mcyCsAc;Ijad?Z3yL&iv-Dla+XM1 zNT#G#1g($oQ0U%e#ALLdm+?}jN1{|Ip>n~ad-n+3bV}3NcFm_l6{}@{q3B;#!z4hV zp?X$n)t*2|I5le5sk_OOhH1k%vJr~N8%4nGETe9JE#_87-Aon`2XsqLbi7=9DG~yx zDH2``i`W=U8}p(RW9Ed}P7!DEfSkWhFiO|7jYfVQ$s3Ttd%ZhDS@Ia2$e`v|^nD(? z)KrJ)r0TVV3CDcLU$^pU!e3mJ0Sb2&#owc{q zGyx~nRwLXV$D%ju^bD9QWkyP*W2DgFZ7O;Nd^&2g_&4P z02cs{a3v*g6$FJzI=)_sqy)IW)r4m^4D5^$xX^wNd_YO_`U`K0EA%#sopG#>BIIok z*fR((dD`YCCuouH+vCxPRC0%2At+`uh7f*oXJ-qtH)EC4>G^nKqi?-Yz{qDB&~raA1)P6D%WSjIA9& z{>Pa><2O~*q1xLKLptS%6I<)o1S;spkqP!<;STSjtH0!tG@9AH*Sn(~BuT9bAhFsu z2#TxdzXEZ6BP;>zs3zIuN1Z-_1LdsjUtmYs?{j+Cdnu{4VJ^VvlL>tOX!^|0#{w(z z!y_%?vu$k%ib@p(FyBw9&dyEc7!?hjL@LHf3AZu2Nwp3ke;fr;;zeK}DQF^Lh7Fit z`SD31GSa)0^Li7N+|LxdA}&e(5PB4R3>>rGtfA1t-Q=AHlA?jjRUHKjsux~rB8_&A zRIe24F`*+0Obp;M!x@X8%avWLsjZUJW~mcWo6f6qtSC3dZz z9d@`*D-SdFbYfmPV9KUtUb%Em5uVVg7(E+~JzX0Y2=d;qv1P zC3V-;{0ZoUJ@|vsn>|EMD@_YIGkG=S5N;CFYdh(>GAruBDuHpgSeU>3k4Nf-zY)pO8@4U z-0q7tte*d`(3g#x(srtNI{H~qF_49r-sl1k6k(fwzW0`vr8bhml3HeA_JZ89vPtuD z@khuoS7=+1Z-zC!2TQ1NTu9LAqyn*!S!jgBNuR1QGd>nubb_4tN1jTNIHsGKoHJjI z$+YB$Onq=z-*1bZnqv}TE?jg@Pv09{OMEUH` z#k^Wu(iw1%Gcr=nWKz>&Pu86=AhuoT%IT*pgYPGM24SGMOGKnVvs9EyJbKysN}&YY{&o^`f~hdFxag&28&VKh>^uM^(I9hxC2>eL zm4eEg6UvgMmamJv`glABDV^SuKpsidCh6Xx)lq8WyVjM3GuOSs;^3Tx*_g!rYFeqfAT4*TnI`x+0XoV@IbB{-xn4HiKSjaBmAo` zV)xKK$zK#MEqGow0p;BCgdBUxKx!Q+^_uUG;irNZ8{UxBO`{LU4)$GRLXTZ8{iotW zw=imtGfJb2EFvx}jUMW;C&&8dUJ9&JxFqKFF-Lyb<6(wtU=4(a5>h!%K^-T%695a} z#Z5i1f1Y^@>FbsYwrX7?=3!nXu$WpsGbGb5wwmUnNSy1$a%}{*E$b?ImOhcVrm^mGN zbu&!dijadTc3L~#yT5mEBw_E#U^>%2nRbjAh7HhArW*zC3DT*QxQ*i#xs(%R-2NQNDAWjNrmh@RIadEO?jPnds2PiQH#^ZMo+$qjEFywKxJ^s)+`OLo<3#l;8 zXPju}X6r4p*xN?e><`OaJv4iOjq?h$klZxIBwW(gp)F`JbsxB+4j*p@sU_StJ*v*v z{fGcL0uv%nHB~PP4it%$cS2GPTV~`z-BIq&p_|;?sJ#*ql<;x|qCR~Ya9}}9xXAW? zA>%{uL_8ZEUaGo^;i%oBV>8(As==U0fy_?Wq#M%-^tz< zUM+h9ZR0LwbnQWHwqSz@FRVM=PnC*R?`oU7Tb)8!_5w8*`AVjZq6Dtd5KPmaFp3kgw*=3v{G;;uG6 zFcN(TrD#7vszR!i7ZfVR8EKIbftvQ?Elo?Ust3ejFTxp{O;Fh?Q4QcB=j)rI-TYF4 zNx2IBmQ?00JWIm^JyOdPZFWsJ+IIj2u_hvpX*;?lQKOkYv2{w)D^~d6el1G8e%Y zr+$N~$To%l+*{^c%4P$)63A84B3NH8#cd9>mjHZU&O+iVZJmM!wK`MYv=9a%*0k0e z5BBea0q*fw3BP7*qB@P*%}`xLy{6Z)n;a7f0|G}S0oo{_x&pBi?cm07st~QRL4kU= z(rU34$_fZ0jC62gb0{wO)j|gGLS-*;q9;*P7q;-7J9* z5WQk^BkY9b(N$u^)nW>0Y0w%%w~I#lz}HE($Vpl9oK1bG`^f|+er3AUrsiC{OT< z|LsR`XLQa*$Vzs28fQe${7TG3l0cZ5q?gSf|y3fn@5Q z!=otz;8J|A_E4x1Uz*^mM(nAn3IP;_>6B{2zQI*L1K&eT3{NJ>0nE?ZH0 zJssVP4{2i*Py1=3@S1^((n>CB&LHN8Vi7Vo1RIvUbKSgAh(KO@eEF~%KgqCojdz4= z)rF%S9p2ccfj3MIL7gZ`ta6sNRk;CaG*`kgXB2A`?E~tZ(*y*R27QsUL^lx7dIPAh zNF0U|p&jd>;{n^D7%zdVX8J}h;{<8*pH7#)Nl{xw+@e&&iPwGqmogTIIO&00pmuJT z7vCZatps&1!fT%ql%g+p;x{cpJvW)|9t^gvRrP;0U0QBXRGW~3e$L)I7;Q~OHbEW@ zkb`jwTKYRd)eT;xB+LYm51L6+77 zSr5G+77BiE%znL)d~Dzjbu3v+ysZH|%$&p&7M>j=qXuG8Zd)$Wk6)An2p%56tZ`!EwO#GvK@Ottk7915_e^c?NsOj zI#z)ig6Y_(#H5tmZ&^eo+6tQ~IAJz3sDup39(}hEDvGF2C0BxG#>SVY~Ez&70@LO;X@p$Wu~s))&yHjw1~Ag zq&=yUcUhnXBoe?)vIO*b4L-!8br+^cE|JNkY9XPj7sM(FbVWl)e+xwk6=9*=MoH!J zR#l*M<)El`B{R4)UQ-x1r^~s*oH+wJUAqGmSdVd>sNh{n!L+~&SKUqY>Nsg}LQ|2g zi%TRIt7K~=rI>6iW7fPx-DJJS$wf9rK2Cs`zV?C#uN;gJvQJe$ zEdj<1i53|iPd9)-%@FIkD(gGt=Kx(noh~uKV7I$PSDI~<3(ZZZ>v>8Yi9vkUwYQ5fy!%G!fMJgkPOp$}o zKi3W%b}GRRgcK|jxU{m!Vnq#9oIoE4Y9r5xYg@`oDG|!%q8Z|b#DjDM&lsGDwaCE~ zd>$xhQ0SyMdD*d+2m+m)h{&Dz;DZQ`qw@x+TM8?sRfw?*nz15jglRF{N?jn>70)`i zR!80?|!u0Vl zfW{PKM;j-K)#A%ONzcZF`yiHLk6WHSr@hePZoLtp$sbS4+-{9}D({>vI!}%7|K-V< z-aG?B!$NM%fCF^!SwtooQ>9aGOT(n{WX-2PB2zoizwt;8^cRGLJPN}qCs0xH^Z8)9 zCO@|sor1Zt(8qemj_r{i>IM2fxbMr2+ zsv^f|>Khd>xeuXKpw(cHSf-u!VOhx6Fei#^a^U9kXBz>Jvrhn)-;sR(a$%;ffg?^I-BG77t^kIA1Ut z9h%-%%t#R~Y>IfCH-Nw@r=OP)@jm{xqBm{N&m84EnN(&e8kFEdmtc0cs3NEZn-r3yk?lE$rsvl!RO}U}WlmtNm^;O0KtU5!O27|C=to zLdwSRU&}zz$}NKYMj9YLEvV&>MjmlG`URI+OaEJ7reB+dzu*!pb_xqvXco5K2+&Vc ziL^$gP-vVrScKm4^n35zQ_~izzl*-5bM<$&Ry{T5+pqjUP~RT*iRmvx{L|!#j&Yy-&iup^+nL`{?@VqF+x<>Qvs2}c z_|&6sP{&I@m>udExMPbRF?ZDa-A`;!o}a-o#3Y$KGTD_%<2M3FO(yA-#tXH^to2F& zf2u6f8usJpjTfF6-skcH<2m@h3#z5R5@-qo3tu}2PzMeX?L)v+TO#3}X#}+x2am^L zK-lAM0^u!KOaZtzSd}$^9!Pl&i5jj8KW5`%(wfDXMt|t~bSFKwCrH2Es1Q};1O8fOv z0L6uJ`&^kl&jr=BoAzhfwYDzjGpYJRPds**vrlrlIs`>Xtt)zVz${=xCgIAmVZxea zi)R?dd8vOidT1SI7cAAqxH0p#>JYGw^JaJFbGOwS`@n*&%l#0cpkD2UAeVN_a6m^B z0xz#oGOigDlAkZ5c)g$H&A8u8(&1(uBBCsAzEDH<+Ru>F(XD{g{b&5q7T8@^JXdxk z1j~6%HRqH^J*NGja(K%9z&{J^aMOt_b3~h%wo>+FQ7wE;0-$=66gd=^8#llS(yoB2 zF`nq7q-UCa=SI5+2;$jg_L}zUCq^jjS-F7iw+%N0VmRo=EElzet16VqVUU+sq}}eN zo(ZFQb}szfM_&-+OQR1qlctadTfwHgER;2*j|AT^UmS#A5{V`;{owwF5S1XZoG@8}$a9Sup2Kw3EYI$e6}-BUR^HfN5G zM|!EtZRbKZxU1bI%1ficB`rhDDw6d~JRsI`3a-s@ot6P->AQ51{Mw{DC_X}VI-!w= zgFuTx&M`HoPCyqYs$%{bMvqoKY}CT5pK8NSPah{HN*_O1V_2iyjiK`1;CTD9ujes~lh zD~hPwfaTu$f{{{ht=HgGm#zeM7cLpJsuSv5?LrfEheq4U3}OHgV2u^}O$I_C&k;!= zzUC0+wzM&-T(NdFH{n;$3L0G+M#7Sv)@YY~bV2XriVni{t=nP1H9y7pqX97Yi_?}E zW(byCk$t|7CJm$CrXr1r<#TR%bJ^7U)nV1PGl}2%dHrmcQVuGq3{ptNZ0E|h$AepS zAxw6u!$Y3Vc9MXlfCs8m=e7)fc0%HHlMS839iW~87Pk>q(c$weYcxF9alzb##i4~Y zh1d!0IU@U08wUmUkl;^BNHChb>Q;2QVNw7<<&T=voXRv8ogPmbzrgzd%jj1zpd{)d z06$*Bj1KOLVj43(XjhX!Kz*5H_hEpbU@+;=#4wDS>C7)KDq?LQ&t?3$HpBP~_P8^Z zq>OmBFEa$FAR-l*FWXWR1eV$qt%%Zk_%8ali)IMjTHW+@WFD!MNGOF-;1)82%YaYl zH-yfPZiQFO6rNd~oKiHo3J^z|TXwZaO@jq)VKJCSD1?DDXuvM!0Tq#>gPTM`@*zk>&GV_LK+8q8bhjv~C7`m3`Q>KXH>R+2Qd zbTL*fQx(Nwl}%edm%?i8kD}(VU`)$)_EKab**u@I87k4#n|6l-)4@dG3o#>mCGsIv zSU1ws5>MaY}zHPL1htKyz0e#Y_+$$2BhY*upHJPB4VE>b^pjEE(7BOeIh|-1tD_ zkJGKno#zHikGv)79v$YxVryeZAal*rdZS;+?$eq9J!e z-Mgz&!-)(2lo7hHSNaiTEqZHa*cy+J9Oc?Ev@Fyu2u64(j2EP;7L}|K87<+Ym%r*; zPuG_jC^IDPQ=F`eB{7l2EbX z{Nc(C?Lf?Ppk9~tS|=ZRQmU=SSBmK#V=@KFbJojca%DUWdutri6CG);FRS{58)Ckt z`5Q=vZ*IP3Jz5*+i_b57S*Py$^TME4ilfZBN6S-J6;=EIs6pLME1gS)8cDdSvMR3X z0(B8u^hC3^c_ZP-q-Yx?$}cKW{*h{cx>Y~pen07Pjt6kUj0pkSRJN1;&cD?Ch$I_I z%)YmkPD{__^N6S3Yg_Cj)k=dRZZcDbpebxVAUn#_5$kk;QFophBg-Q!NatP(NjS6k zZj5P-W#u~OqIS$Con+T@o{W#Knwyf4Iv~3gOdI{%ran}jr^A4a;Yo?+)LwD+PskOxNQ!Or4oI5oZd^E8NE0?votbIjc#FrsdY?uFk;_AqyLowX4=fzi-S8b8) zd2>yM1y2Xlu&jdUFDqqB zxu!7EL&2uiu3_Wl+M0XdgmM(fF)8J@kzBWw7wgj1f|r0$TfifE+vkZS;n~H&qc3oa zbG5E{xbozyJDsh z3R>CP#Kp*rg(pie>m>}t=0F>lCzm}oP=j=i*sjQ8WY7W%3IJ18wx$=zZwaB)39!Ll z<7_3>W6G!R9B1dT?gc+;13RJuLyC;_$467A{#Qk$eQu@;k~?(t3EMG99|gx z|EyeHpqsBM`3_&dS@w?1GA_Y~FkJc1%CTJ=v$4h&?9|d_-+eL*A0jx!)Ykf;F1N^N zuMiFLSIz3E5^JbWTwg}D@6?@~WBfjyue@J98C&3`LpW*{_`FW@elPvyd)|wfmLkWy z)PpeH-S3{crPxMpZ34QVlJUo*i0_ z?uU-Ij<&g|5~F5O1Rys$lCLp?Xt zT8Y{5U|kQyxbe{3dA?%mIl%=~(a{zv3&p{DW4O^dD6L9VGWCzIM3&l zf|TjO%LYaNrFp(aGq(>T+CEn@!ygQ9R_`Lu;z)KLZqd?_$x=okaFlNDRO6N^&5LOz zl@n19q0G5ml?}VIcc-$PuDSF4YLY8)R!<3=4w8elpq}iqt;Wh#^Tt|#*ZGC~ST62j z2Tu3i(_+x!`J1=yUeDWPBTI&wNk72#zo67;eqtN^b$Ye;#Fe7Zl#f6eWTlIk;T4oP z<=K^AZ8AqLOQk`PkcE4P2;;?LLa=Igy(5!cZ8awy(*;Ymeq88CU~36YKC zsm5@*+L8eDv_D6ahR9_bQTTB4)_RmQYFSwH5$Cb_BK9#!fI$tByT19!tp^Wp!t-mevaiqXrWv824d?)xLcRIA}*+AahdT?*;=6dcIH_{@1v==S`V8OSD zuka%H69w+h=q|n_yJdI4%T|t^3l1`XlE5yeiheMeQH1d7>MEpSW%>Ls9Ad*~bH%zF z-Mtr*&OYLU&o;N${xDw$BZzbz5?TBLI(}YQsrZB~<#VjtlGD#o>`qINJC)Pwf|M&t z%}T5!;hW5C7jL#$-|L%6 z(_OpM7wf*HBC!WFFX5$^M-fb(>_Iv?1Dz$Cx@ua?k3~!-=4~JKd*>qJFXk)1vK;F3 zmA5r&Q5O}DD88I$uiBr~Pe62`3jCR1-Y_7j8{kzLE7%z1OAgh>EzDrQhGb}|E5a4( z)JuO!w?i$!F{eAU%2&h4Fu@&vEEY7(tB(NV$@n0bb4b&1m5QjcIWcucVf0dJ)^0q7 ztd6$tt-)FYeKy(0h$H?`A||%iU_Wg3)49gDnJP;b>Eol56#uLF%C9+FRF5i-_~GdC z<;y|(-&O3V()|zLuV-zLp(lE%@b|1gV}AyzSJf&kR@W=8 zSezpm&7amU{LOJhz+81%3o@ zE{h3;>nsXYCFP?DIjs6|sL-@IC-K|2l1?bad0HzL(6X?ecCsV=#?yT?nq zDFxD##sZ#vmOBScnoC@3q;tSQkf<=OT=dHyj7Fbeb01^C*oGzg4xg&vF&fo|NYA|$ zfD3wEpsOQnEpk-CjRG{zscSv#Hy~qD#6aJv4*;f|2*Xr!UG_ABwzY&>gp0;~4_lw# z#vZyEXr};i24d88N0pdg#$yKTc*ud3r*XqgYucU)dbM@Con0VG8I1OT)EzOMIvGwL5DY zo8?0bUQ>|S;885(Cp9jyBhVRy(ex&eh+eTHtapseP7|mbaJglQ12J2lZ*FbgxVv@p zXK`A2GkRN1M>_=5aUmOFVERbnLtBt6lmh5e7geNOp;Wmkp5x>*@_GV z13^q)Za2#5*G5{FbL?0u8o%E5BsU@F`kx|!JtDMjIF+-uj2A5NB~p08IKA;qTGGn- zC$q!T{l|HlqsK2!rb?%l{1+r<^tY?JWAP#9JANM-j{D=WFzAv0ctdSz!d%M?0sa?L|zcy%eQ2SkYJd^4W)z)7qceQiI=cDDi@q)s` ze&LEmb8FE9mQf_4JZTcE!Pf~LN|2O!U2q~%k%RmgMkL&drt2`Q=+1FFgnbKltP?rD zom<%EQy#5vE!*nC2&lqR+<0@HHaXcjhAGJ|fCB|3+9`nErzZzqhGDR&YL?iy9d%)qwu%Am#I z#I~`ON4X6kuzJy2rXpYuS%m_ROgMA8_**J2poZ9uDFzLMWy>D#a)YKsQk3ZZlegel@Ri`EvI*?ycu}j4@q7@TQ|gc$XzB89XO(53UT5`Umw8 zQ{w-e_x8r^Em3h}{!dRN)hp}BztG-9Za}OkVuN*fZcqKYC1_LPn$rik9gvq3@HTvLao?nCdKA`-mP&0Yy{X;{e>D-9XY z4dI7-zP1fd5)T3jtU5X7{*~tVxlm0JJoLn$&}@C+RTn(l2m9Q4H2sKs z2GaDVd&Idq;Yn;Pe~lBQY3#!kE$t)?E3hhOqmT9FgR_;JpSiZvsiawdTkUQDW9hwd zL{oDEl|I!#!(a^O;S(X_jKs~egTDBWMNJ^B{PMwghg+Qf)$R39?tOlHZR764`|F?I zU*Ep}S)o=ot(327nTOLNb|I`&VLbD|#qW;#i&C*GX+FBB@QvJZX;(Es$B^$8@s?|5 zm0c>1?>*s+1kc(b0f-z9$qlFQL;UVxi-w>4Ryk7;qrK3u`m98O@V<+Az!P=7YEJB$ zaRNc&=uP+VEsx8nG9e|wTLS*_kY%JwBROsJ`3GTs6l^@2 z^Da+pOYo~1M8(bWx8U7K*qTIw(+GcH)r~G%%&eGl2BlmtV|?)C3G)z+=!T=@D;5kg zTDQPxKjpkYMSS>lMq&Y)HcIE|RKQOjQ9`!t&1N(E$t5Q&?VlS284^9d{U%9~u4jRV z23r_RkgJMcv(l7(>!aqV^#wwaGhi&w%wluDTjzrkIvBycVj|x05^N5hzs0Z4tjiM! zQ%Nd9%uKmaFj=Zzq~i8nZYEwXHhNW<9_toTP6@bF(X~hHIU-;wm-^A?cTZ=uqu4E3 znZKE@e28gpxCi5s2I_!oAiNY3LTaa0ioQc8P5-avU`d=jjaNGT^EVN0b>j>cP+J=;0So!rb0*zNvY=*S zaEYwJjC86UqA{b`kHzhL+mamYB4yWFxd7^0G}#Umh_hOR!pip*4`2r@Q~^S@%kyTq zX$GS2PHUJ-3?2aLgk9o~X2BA#IwCZ|JZqjmaEj8WMjEh^-vf;iFlyi*;5YXni6pnP z%|gYBu2rE3CTR%Kr|T9$%nl6Uu3iaKR7Bl2ATiZiv|>%a+8;fJ6?+y1Bj)K}>GZJB_214{emp?eP64ASHx712m!eQZ584^YdNtIoP7yWzbs@b&0jX@) z9to&cq828lw>8g9-jOF^D|I{S>RHNK@Z@wBOPQcBw8|oH5@V4YIwL36z)d?H$iK-& zCf?<3P|JS89W#vQms$!tMmhB^|2!I zM*zY6FWT`C%tU`j7RiXT1CKz3WlMs9Kg~W;+GDssiIYC%cG7`=_mb(r3okwjx%<}7 z>iL{1U&JNI@_8wciSD`XnDpookeZ)WOq8op?bV{@D_i5PcA*L zT2qN!Y!L$SzOESAE+z6ED<`8>hSKRK_KUO7^F1i#agi#2KVNy*hlq@xqyJ)_$ah|R zROQ4QY&7il&0^yp5Z5*sRmg}Dso)|TkiX%K|1Hk=$N7rSbV>H;&fK~1;>vP?#ie@B zb_Hj?s=&JPZ40b=f)F^bD6o3(zaD}0Ps;?>l`{lZ-OC$n1U6p}HvV~7U|l&wV7%&v{%yYUeR*bh z)~Qq)n=5^@x8C6AEMxc^!q30YSAMbJXTS=ZrBHi=oo@j<|3Uk!f*tEnkSx^NWzS8- Wz5RQ?PZMkY@7cTj*DvW`&;JK<%Kwc3 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/.log deleted file mode 100644 index e69de29..0000000 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark deleted file mode 100644 index e69de29..0000000 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties deleted file mode 100644 index 4f8cbbb..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/FP.local.files_0/node.properties +++ /dev/null @@ -1,57 +0,0 @@ -# RSE DOM Node -00-name=ee-mill2\:local.files -01-type=FilterPool -03-attr.default=true -03-attr.deletable=true -03-attr.id=local.files -03-attr.nonRenamable=false -03-attr.owningParentName=null -03-attr.release=200 -03-attr.singleFilterStringOnly=false -03-attr.singleFilterStringOnlyESet=false -03-attr.stringsCaseSensitive=true -03-attr.supportsDuplicateFilterStrings=false -03-attr.supportsNestedFilters=true -03-attr.type=default -06-child.00000.00-name=My Home -06-child.00000.01-type=Filter -06-child.00000.03-attr.default=false -06-child.00000.03-attr.filterType=default -06-child.00000.03-attr.id=My Home -06-child.00000.03-attr.nonChangable=false -06-child.00000.03-attr.nonDeletable=false -06-child.00000.03-attr.nonRenamable=false -06-child.00000.03-attr.promptable=false -06-child.00000.03-attr.relativeOrder=0 -06-child.00000.03-attr.release=200 -06-child.00000.03-attr.singleFilterStringOnly=false -06-child.00000.03-attr.stringsCaseSensitive=true -06-child.00000.03-attr.stringsNonChangable=false -06-child.00000.03-attr.supportsDuplicateFilterStrings=false -06-child.00000.03-attr.supportsNestedFilters=true -06-child.00000.06-child.00000.00-name=/home/ad3919/* -06-child.00000.06-child.00000.01-type=FilterString -06-child.00000.06-child.00000.03-attr.default=false -06-child.00000.06-child.00000.03-attr.string=/home/ad3919/* -06-child.00000.06-child.00000.03-attr.type=default -06-child.00001.00-name=Root -06-child.00001.01-type=Filter -06-child.00001.03-attr.default=false -06-child.00001.03-attr.filterType=default -06-child.00001.03-attr.id=Root -06-child.00001.03-attr.nonChangable=false -06-child.00001.03-attr.nonDeletable=false -06-child.00001.03-attr.nonRenamable=false -06-child.00001.03-attr.promptable=false -06-child.00001.03-attr.relativeOrder=0 -06-child.00001.03-attr.release=200 -06-child.00001.03-attr.singleFilterStringOnly=false -06-child.00001.03-attr.stringsCaseSensitive=true -06-child.00001.03-attr.stringsNonChangable=false -06-child.00001.03-attr.supportsDuplicateFilterStrings=false -06-child.00001.03-attr.supportsNestedFilters=true -06-child.00001.06-child.00000.00-name=/* -06-child.00001.06-child.00000.01-type=FilterString -06-child.00001.06-child.00000.03-attr.default=false -06-child.00001.06-child.00000.03-attr.string=/* -06-child.00001.06-child.00000.03-attr.type=default diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties deleted file mode 100644 index 2366177..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/H.local_16/node.properties +++ /dev/null @@ -1,25 +0,0 @@ -# RSE DOM Node -00-name=Local -01-type=Host -03-attr.description= -03-attr.hostname=LOCALHOST -03-attr.offline=false -03-attr.promptable=false -03-attr.systemType=org.eclipse.rse.systemtype.local -03-attr.type=Local -06-child.00000.00-name=Local Connector Service -06-child.00000.01-type=ConnectorService -06-child.00000.03-attr.group=Local Connector Service -06-child.00000.03-attr.port=0 -06-child.00000.03-attr.useSSL=false -06-child.00000.06-child.00000.00-name=Local Files -06-child.00000.06-child.00000.01-type=SubSystem -06-child.00000.06-child.00000.03-attr.hidden=false -06-child.00000.06-child.00000.03-attr.type=local.files -06-child.00000.06-child.00000.06-child.00000.00-name=ee-mill2___ee-mill2\:local.files -06-child.00000.06-child.00000.06-child.00000.01-type=FilterPoolReference -06-child.00000.06-child.00000.06-child.00000.03-attr.refID=local.files -06-child.00000.06-child.00001.00-name=Local Shells -06-child.00000.06-child.00001.01-type=SubSystem -06-child.00000.06-child.00001.03-attr.hidden=false -06-child.00000.06-child.00001.03-attr.type=local.shells diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties deleted file mode 100644 index c6d3c97..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.core/profiles/PRF.ee-mill2_0/node.properties +++ /dev/null @@ -1,7 +0,0 @@ -# RSE DOM Node -00-name=ee-mill2 -01-type=Profile -03-attr.defaultPrivate=true -03-attr.isActive=true -05-ref.00000=FP.local.files_0 -05-ref.00001=H.local_16 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.ui/.log b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.rse.ui/.log deleted file mode 100644 index e69de29..0000000 diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml deleted file mode 100644 index 57b71cf..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml +++ /dev/null @@ -1,15 +0,0 @@ - -

    -
    - - - - - - - - - - -
    -
    diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml deleted file mode 100644 index e455102..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml +++ /dev/null @@ -1,4 +0,0 @@ - - - - \ No newline at end of file diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini deleted file mode 100644 index c51ff74..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/.metadata/version.ini +++ /dev/null @@ -1 +0,0 @@ -org.eclipse.core.runtime=1 \ No newline at end of file diff --git a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project b/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project deleted file mode 100644 index 5447a64..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/sbtWorkspace/RemoteSystemsTempFiles/.project +++ /dev/null @@ -1,12 +0,0 @@ - - - RemoteSystemsTempFiles - - - - - - - org.eclipse.rse.ui.remoteSystemsTempNature - - diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject index 9d3e177..ff3bb77 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject @@ -1,44 +1,37 @@ - - + + - + - - - - - - - + + + + + + + - - + + - - - - - - - - - - + + + + - + - @@ -47,15 +40,15 @@ - + - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml index 9bc992e..1eda590 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml @@ -1,8 +1,8 @@ - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf index 572f017e2a03e8edee67f8e24d77d3936851e687..af27b55d5216753fde1aa05678173955f12a0af9 100644 GIT binary patch literal 1128331 zcmeF4eSBP1nfTAl+__1bWI8Fib0>Y7&NS^b=}VeI3F**wZZQ=^d;!q~e(Fzu$ol@X zuB+?fuDyXNOre#Ots1mw5Ll{$8`rX15X`MkZPdDe;+mAyrml+kzH3D&E%W<6=iW&s zX$rcZ{ryKjpW8dR_uO-y^SnRLdG5JeHeK@aP$;A-|HA71O8)8zht(R+Z@#KV-&&*6 z%1~{pRn5`&Ra`EI^^xX(IiehLU7k;KPIF(5(xQ+)s_*~g?2!7&qKGPNil~7_N*`v` z^NX(tsm1Sz=tC~Qg-$E?Nh z5i6=jEz=pbYTQw))*HRHvtC(s>aaD-Ic(Lthph(hu+^xZuwu>=)@=6)EABmEH94b3 zx%^9Oj`u`vb)C9Ixoz2qif2g?c(c>WB+X#WagN&Mp`RP&{8MT{TBX7&UU|mmd0XxS z%e9+BZ8q@Oz+(fC4LmmR*uY~0j}0s~u-L$21B(qTHn7;hVgrkDmiMDvt@~T!S>|Rk zx2QU5aO8?1m0KNE*7HN-Hiz{b$Eo~yk8+iDl55YE$8FBJ&4pyPS;dcY-N<|@Zl5ZT zpXf7_x#EF1@Ks&UA3M=ko6Z%ZO-9J&o*T~<>*BeeZ=h{3R+)Y|Wd6GE;?}qx>(r2p z8@D){$MQ;Gu{lytN;~9rC~l@Q0aGn|j80jyW03DZ(`ls|*Y>C;G8D8Wy_o z2Ol+bzqxaQxeo7nG+U$MHfNa&b3bO6w;Ti3B(TO!(mc6=^^6(iIDO1!b)7ng%uj`f zjw{b>-gE3n;Kyu!^_Tx$@YG!QDe&T{=C>YBW+PtQlJ?-F>r^@Jox4gouU)0ga$foH z1G@q2r}D?F=eHcQ%D@9Xa^S{4YQVYv8$9wG{GF@ZlG(67?jT_@bD*CL?KW_ti{ zB)`F31YSN@=E!fMgW&OH+!H+NYhnLdg#Pt4#>>{iBeXwH_)_G7<51p7;`(g z&4v{G!gw9)4sz88a1ye!$ZMe^}3#$NRul z>gjwSbEnJybUkXF%%eMM+RzuBR=IpEda67grN0P$=ZcN-l5#>eZB#otp0PAdKkegF zbd33z*qz00$Oz5Q?vAQxFy1AIYIostjaBNLRpzoo)$krL~FjUnS&z=#c16A$j&H&SQs_xJ6 zVW_|)@Wc-1<3{`p^L?!9S@@&Ef5N|iDt}d;F#`N+S_+?aO!8UQ@cC>y&(Aoj!u)59ohde`kX0KdSm$p_Fw3nOzw4tLIb~7u_zhDtwm;yGdh~t4!8Qj9Lw2TFXeG zN=BZl>JuYbnXA@)!mIRG;aBl_qSCh>1HCWzPL#)Gti+FolKR;SZsR^qDzrZ%zsyL> zXd8YPwqEoFV^rh+|2N*y{r_Wld)}AxGvbZ0{%7$vRnIoUtMwvt-!tN3_vN?P{26sG z{I z2Uq(0Q}pg1(TTO_#2R!W$4okL3O_Jc%@3SkkRJ*!zz=^v1I=ox=J`SRf&R}(v-iFL z4zc^E(XX+p?>EB_FVy!NUZC&S%+U8)RefIw+*Nw!jPS320o-)WFh)z&81SF<>3Nie-8gW5C0K=63Y=guN}d@sx=bt z)D_|qThxaJ9z9ep$Mv;Y{!aeiws2V}6_K^>xpOb+&)aXz~4YY^y)7TK_uYq5b!X`3Bd| z7?V$rM~KlZ;%jG3MrGfnh%bZwr{HaJKDOAD;jPISv}q&`F%wEn#Zg)N>*8P5t8u}3 zGG@B^x3rUIMej7iC#K{X#6I8)Rreun_1&ZB4wo4{0O!>t+0t%(d7^Twm|U1xso&PPkRobA1XARQ?WVTnPVpv=ZFw{-B-6 z&7t!3@kZpoo?~L{6~WkCGx4YVdo#p8Cy|2)au7xjb4XmJb3@JKhL8jO`z-nG#!vJa z*xap2avF>ingT8jt0Cx7EkPPWfX{w`GgHWpP~uljAYR$Z_9ZA|np z`K=l7JS|^WNUD%?XGRI#1b+FwLw*ClzRvs&;MCXUH~s7D;IE$C(#@x~9K#+SyP13S z+``G<$D@FD`SdIo8YBa`F#l91coX1F7@vj;O?}0 zuMeJ^>E4yWbKv&0=iU@NH`Beh2G4=})1JE~cy6Y9?+l&`@Hk}-?+xye+c>Sy_Xm9j zbeZzphk|?aW_a!+{=GBe{SEW zKI6k-R&>T){@+d>S2e(e{5~E57kzOb7Z+!g=vUWl1t+eSw?f!eTSeAh$=}G27YX!f zTcA(Tb^RPNuCWe!ycYTl{fm5#Y_v!liUVol) z-_NPk#l0t|($S15U&n@g9Esi)o3^*vRfWF3xWs*xxk=7jCQYAhjn9|IrC+1QRT5W6PL+uP^2ZG3Dzpsr z9lEZO_VvK(%Z&G68XbHFJ$%|&KI~J*AlG9&{~}{NkybJ3F(i3Ys zvIY`i{-QHpMtjy0;IAsb_Pah`o>9i8jbEXi=!Z_#i{4W4QW+h|-{Q;8X#0Rk+dj=Z zRkrW@KHq3vQ}MIM0$oafxyQ&IL2rG3rxD-8Z=rvI^&I&vd{g<`*Sqz?|4HxLleTgK zC$D+|98dF`WsX8e!P_3>OXeu_t6ckCaIF+vd(n9VtHe4JHb0m*vZV}1QIwuhGM2VK zXUSODsc1}Jm)|{AzYDV_ua|<~Mws>u{`CUw3yuD7b2+&L`qlQ2eoJTQSFX?4Z)mb# zfn%ay0&VG6+sHZeEA6UatiUVRXYBV3@K$V+j8(zQpG3cDJo?|Iht_5P;q#>a{Y(G1 z*453#tMG4y&w9c>jwfPs{`MZmpGMAT$GTIX_kZW}bEVx>Jv!vCX+4#X7hY3k7uE&3 z`Y>{JhPBi7YNWo=RH zr2EcAzMh1x=tS<DY?Uatu{5YWk zAGH%YcR@~A`+=fsd>jOI4%PJoGmeRVVUFZ){C=Q?;8=8_dYi!F*Cw2?|LL_G)AS*E z1f3@m+_+~iQq;=OH|wM50l|%oEx94|A=fLl5nGdj!wUW;;FI<I=|@irYM zOuX4N(^ zUut=>F%?fl1s7FwK9O&yzT*YwtLF(mm8vk?TBSM zN2u#MLM_yo6?Vqpk+D+PZ7W1QU|y2$*upyL^IMGE=Ks^2xKkCoKId#xSFBQ{zjsx~ zQyZJF{hm?G-XS$gp6W{VCLesvDE7qE93$p6A>S8J%VcoQ7lW5cOiv@51Ipjn@{tRV8g+d&_>zZ&SG!d$tTc>blYKA=^;9jXQ5z zZG_d?*)^f-&{NWv%5DBw5 zq75V@_lAGsZY$MtxALCb6n=`$YGczavkORv{y< z!ll{hl(&k^)j8$|^GaYbe~GvO z`tuI)vFK;~P{SsTckW8K;H@bDNE(OO$HQ4Hnbrhu$9i z=3H@`3;r@f%dYRbofL`+*Ym&e+Zs)n{N;~osZp^uW@X+ z!0q#crs+rW3O*^qC$8|Wz|DBOg>NhEG@rg7+M};JE=E^b=%N2hKi@ZsrFm*jVV;*r3E{(v-0Smg(4r0aL&$!+=$Py^ZW}P8FKD~@hL(=7 z*DZED_1sXK9dVkt-j9ChwU8U|D!QTBd}hNG_>sLemf@^nP9g_d?wA{Mxf9u&Hm7y) zBYMVsa)a2Zs}3pm3g)^xb&5Jud}VmLIkhC;j67z{hc>`hzI+L;|u$=BJtG>-Vd!xo+~o$FWNbu}ces{;s4y!@1A2X(K$-s(GfnG|y>*XM7yM zf0H^PnD^`QtVvwonMyLxu(}O-x?l5U$W5CY@~S{iv$Rl!3k%Uf&IaEWU%FH3crCNZ zhdozZuFQputMy`CpL@+xzn$%`gPAJ?ZeWUPJaii=cyvJk(;I?0agRB5_bh~F3xj*F zk@1OX7vd{jBK+g_n*(`u;?de7I7^G~YxZqWhm^RRCYS8#0PxRT>i!%!DMmx~R< zyUH-!%Tj;LZ|qU(>fFxcTMk9MwK6U+&g+PIJbxBE)YL84q#vW4zrYAN7k8b^FXH#w z2Oq6XGuO2|FJlEqO$+`ab9$tO`LxKqQm4w@6~D^Q2hZ*_4}xc68Q~{%8EYrh@Q10H zN8h1CMkO9oi>a;Uo)HP`k;DMHEj9mP+DE)mEkF2Y=o5IVK5ftseADEEG@;XjKk zWqRM(ewy!AxvuM+Yt&e-n1M!hZB{M58gyeDjOJVV6lPCnB~t!+BmOXs?4YI@X?SmpPs=_t!?%5`bv#q z{|s!&n9(q|z-;8m>zks-T4Y>_gQZXDKXodPJ&QI4{(oA>I6)j>?1MKhWKNs# zyI+RSy+VP@Rmj}(PHUw%YP}qvyvIFaU4SpX5ntSK$E-Xw+n~nWXq)&8j9(YG*0>`= zZ|gkX=~$uZ7>ADW?6a&3McjQ=G{kq9Lc@K~a9=|hJnV|fB7dm<>Tk_(_ z9Q>oA=-tsmL+#yG3Vune5o;mGIUHRa-D(7xOImCBo#R-=(WXYh_nz!W!ttIui5oI| zQjB9Z6W>H6W>y96yD9wL-0;;~iL3Bxc^_q=%Q~{NDObF3zTnW}8hp@WalM3{PTYfi z4yz07RSvj%?OG!Cv%+aiRge5N*=Rpy3I_# zw=?F7U|bnnj&;GcO8blWTYg_5*TKg-z{Mp2UaknvdxNvIEAiW2twybt?uf+y&}y_f zcjX6+iN> zK4fTwYr9f6hWoV+j27e8T6x|vY-VWkm#EaeQ7u0r z3nCM#b>;Xi$WtPRoku?XwvBtx;rpCTOO)A!561Jr2XEHz^Fqs1iuXPYLmf8p5$rUw zX`oxDwb3#fr~kfa++KwYqZ4do-$pOk$iIzlu+afFI>1H;*ysQo9bjA(*a`a6y47F| zaHw%5va_1G;)4io6ZhoPf?ti(3-ahb=U(i?7qV?P-eepfDxybJ*WcgJ$@Mqh6;>JK z;!VVv%xzm*?sq&p)S38JG;Ou3QS+R7?h1*Lm0Sk z0yg+e<6Pj!cOV|kX!yI(UERXhT<=n&cDFNXtyhQ9eTMU`c4hNBwa{p;+{1qW24F3% z)b|o!i^gTnr6yO!&e_y_Tte?tHH8v+FX3@(nKz>O9Jrv%h;_CaG0#(~IULYt7{5;N z{De7)=aI0F=XTGRAM!eaZ}7e{af^{G9nFWxYuv77ar5GxsnKxKrmdEhZ$y(7SrJ^5 zFX_MbleL2<9$hg={J9(a_G|nO{wyuHm40~lC3%VR`m*PTlCsV)KkdeO_bP5QU|+iS z#}AatuHYHH?P4Ra1ve%I&vplV46m_bK|BKAEklPhKYYt4=cm<^#(4h8Y($OQ-~c{O z3NE%Yj1vO>Tl_Eg(ao?CZaTAs0&qnB8l zk>?Da6TDTPE6ns}|bikx!vnZuq{o*lIV*Txz7hSaad08mA55v>lu- z*Ek)-mXiZqiEdafb3GlWP0mj$_ym`mfc?S>?6IeZ`cK2IC}6)h!3Dc2s%{b^83Lv*sbi@ zs?T1{Gw^I~_>Q(%2Xaf!OylEo#`XywgRZ5JYuGEifS>XOp-1d2MVp!U2|p+p6MpKe z!a=|0r}qjD@`b7Vl;7_2PmS+SY5q|Y{m6W&cTL07Q|;DO8{)bjY>2&VQVwnHcir43 zepl)O(7(Vdw+=BL;DdS`!hQtvc~-tlEx+wCyEyYiaRJ62-0k@odY z0lkB4qIX2!q_zPAIv}+_EOuwa>R=r7j^t3ZUb{U?{>=#)3f@}ljA}b_8GJ0`1brJ} zXvN=`;2UK7u=UuD>^reF=rr*w#Glx-)EN_)wEyrX(Sg4IAijgZVXqb3sIfy4@)n;o z;iIkT++*4P2z-!xY{g)p^XB_HuW)VK9K>(|I3`+X6fM`T&p7Nj#>$l#e$U;Os|2H)w)?1WpB> zq|nIxqCD$%SM+)6d-(a-C8IW&Q~P@IT)~{OK0jom!Tci|RC7GIw{X4oMY1=(>?ZLA z%y|LttcfNyJ--{+t5xW2@^2@8SVO$*`v%Bk6S^>N{&bzjnb=^VBkhRK#E0>;jf^%U zyWA7IQo)7MEWW~8W&V}qW019IEk6HRMGOP29mBjXz>OJAW_PY9S5oQA=M`Bu(!3Yo zO!IAVNnD;49!s56$iiAxP_E!f<0d=%>+u5pWUoFIH&<@J9|Vv8057y)-#_Y{<_B2o zfEU`TFM)f0Yk%R7zMj@FH`K};Cv^3UI(}cEtFy?&InHPz?2xyGu0KGwBwkztUe6Pq zd}A`uao86Jdx6|k>$mpneOqx3aacLu96PXKQl}L~Utu>z$D`lTY0(LthCUOW6uVAs zpQ_KRblKBGgQw}T+G#bOGPQtzI(rx{XctLT60=#x6NM%GoY<$ESre25wd2-5Z<5PE_b8ZOBnb zuE(KmV3&fn@07Ogrs+PW@K%MdST~6KFsOp`g)i17{6K$$^tX4)*e}!l-EZgAXlao; z8jPK$-M?a^zdWUF%n>;M+06MeM*bjUVS9bt;7^B`7w}H!wb;t9oot}>#03e&()v)Txy`|{=?|r>Cg99-Twyni5o@7B+Pt#N*wa;bz0ud zzuus<-uw!F13JgXFOv3##9=|4nz%otV;HeZI)+)g9$yb#w2c0<&RFdG8x8wxcnDdG zg`8%5(0FW??>|Uh1f2C~oJn4!T1Kz&Wj*oMaM3;+A7}XL#j%e#$SLwDzS!*>wVzs{ z1Gc|rs+?}w9{c3Ry++{2G7f%Ml@I&$6d%_2IbQ4INBY;a5jmZX!wda3+D8>xe#3en zM{gAzp@Xp1;78l(6F*!DE~10xb?f{#=ZZadz+(`v1o5HRqr`dSs)+?FcoZCFf4{!J zC+w=OkDP4Qam51SG8H9rP@Jm>e3_+!Y;4QHBT-Rmc9#&a89+-Ce?!=Gm}?(^|b&5NwH_ocqL zAuT#J(2u|h{WP3`j?{X}-DG}yx}HKlnV)X2(1oF?x-jdg@gT{B|_) zkq~;z_ixQFpRX!*LHm+HP9pm|WDb9`^v9v7*8Y$q%WS{LV7Np($>xw;c{w?jr*UsRBMUeF_XVh52866?(5eB?Uo}L`9 zEAcwHn#TT$-{ah{ggIy8CHk%SKiT*97Bw8VURTT&pLGTPO5TKgPyd9!BtG#DWQ%b- zOl2DlDujKFTN!U8Dr?VNr&h_87_=^c2PBvB zM(~5)OBt+3z!xd%;N5=QG7F8s;-s(5UI{-4cp51Oxn zcZtC!<1b>b8;Fm&C%nM3D=PQQ*3^5x^m40hnxYrz|Hs^Bdyd4{U^^V}1D{P~^d z;^r-Z997`{5cJ1R6r>i0{isB@>0H8ZrFNRNSjoRuO$+m=kk%jWl~i3seK>E!tBsjW-Vk5&3q?whWj zgJG26qYJ@@=+;%j!xI1dc?#&%WFG0puXd00ARCGKF7}8xc&@wBIvcyXZK};TcM6Y0 z$IZVL{o}7W=y@+Aci+L$uKYavn{s*%+UGP_;~|$+!q+^ofcd!RE31;LdR0yp%3CC+ zy59nZ1B;xo#E=>+vCmAj6}ybDn%L}G@;t}=o4B^M)jd+;Eb*0n4!M`%wYKkv9UQlE z*cIef=o@*m!N*=G)&8Q1hbK12;(Q$KhZ zuD8R|Hv_^FNmOr=?5F6*Xr7-U07?tGr8dd z%{{Gx$E`_obDlBKYqOCJVC}*7Rrn_5!v(P=Grm@yPf4|#5zcuHdpAr4Xd_T&(WIksF z?OTKPpAXut56<5forSFH`s~Sf<;nFng{U2pnj5>tTXu8AU1rxf%cy-_X8id19~o-D zvgU@yF41-N8S*pV8L{T~j#=|Ij#&%#Q}eTgnw;Jdt7ZS;9g*I{R?Wu4R$b_@rS`L@ zB}aHadyjIMp%JN3lAJ<9^p@HPPf>3cr+$Z8{(AbrXN5$Gv1D*R-F74c6=K-wk*Pp0XR9Uh4GQj5zQ@OFhmtOBqM?S`E}! zF;?m6A$Yx(+_iFveO&Vg*gNciMZG8Z)-db-4^aCc`xJ%UF`M~utnl|NqF%_PE-30y zqfc#6guDj$7Ca~3?>6%+>lXLPala9DR^*DG-@$o_Ut4tFeYSG$fEGfVFXK`-{sg>T<_1w@N%W&~Q>h}Sw>paz_5?LjA z_T7vva}e02hG(1PmKZlpy^z4z35;#I#~Xl?{be7o2S#8T-UeU1A|v&Rz_Xp})GdBf z_F#;6{Cdk>=u_}1b?rCqq0eLhXEK13eOX@&&S*b1>__byYC52u4ee}bXG1$%=v%2_ ztE<$@p;LYjKfw>ikKlnc_GKP)p6iZTEzmlt#)xH)S_|QY6uhtiUYM_W0eN1_Tw6QQ z32pXbZIe3n{-aH92K+!*-m~hzp@o>c3Av0rZ*NmpT#Y9>6g9`nU2*cW#Wg3762psZ z`ZWpQxR2-hC1;#kj(lO0v`$xUw~Y^w{S2}85~&q)RZjzTHsA<4p;MVNu-m|C1E&p~ zHgMX^#bz!xbFrC=u_&lz!FH9tu}x$lNo_};)|E-#DO{pPv%kux4+gPOqI0#k1D&&ZjJ&T8#9DHt%Y{rru+z>XH{nMY$k^BK?mAYD}1cJ^P! z=eZ`t`w_q=?-JC~M=`Uv(6HZ)J@$3k>roYq5IHdF=|^gJ=K6nJ%ne?&*!@L^T2t6U zOer-c>`e@R$y%V*#4+O{UWG5T4$OZQXy=x0`!jK`+*!+5lt zEzb_nM=^L_k2BH7;~n%lK~LSzin=3GZ<4r?b+#yWGD`0BgI|}uc34~Wl(A-*K6x)r zWJ$j#(b&g0{qDc4RIwe`p);|+F(qq48H?Y!;gx%#`~8Xcg$LPxm;I%^(Zt)r-MQkg zzic&nqt-00McmOI^j%kTZ&MHJ8L?x9CggfG{v>##ewK3yI%eN~eP?Xr^-ajgC^D?F ze|KHCv`>`^-9l&f%a;B}>_v=APLpdb?4ON|b4O!$T^~ZuxSr{>n$&oSd3AiN&?NjX zeTgg^QK>DdCm-M?JD$t0W}oe2;BVY}Bl1uK&&1vFLIgM|nan;-K7hHzKJ|?d>%iUM zhqd43-PJg1Fg$dFGY1};ll|KW_`}XGgLiA)CTe1(t{&Wxqq5oWJK-F57ZNk`+vfM7 zh;v^_DTDb#v-V`RN$sKUsIhGLVf5KW%+JC9{5*Z|9^G=$Z^^8oI?+WBkVkr;N2!AF zlM(hFX8oD_XVFg+v}@$q*$&SYd1gSyWM6US2Hs-ch`du~&&H37JKzeQJ^4sT^FuxJ zga3r@*!!FN9``5tR=2HrG~oOCq|a}eI5;0!)5*JG#jEFMldQ7~eN6TIrCL3CxV=G7sk9!ys}cFbnMXgK=w)H{xmj zmiz5-AMS~Hacy=|o)K9>2am8H`Y1WU&%qz@s8ZCQPxKG&+8yEV#DYTgxZvhBTAt-u z+Uq`nXOFbCfi)2;DB;W4yVY5C4RSFXy$mF|VoR$%N6stXV$E?mFWG0-DOOjsJTl(w z{>r>G?tdPk?3A zqrLb)KKud$c(|Ltr@#SDJ}$TsdnRb}Kxy z#2clbec*)kLANveg|OKC^+IEbuh*~-tk{U$=Fb&VTQ?N7yjVqie%`~tE}1t<8z+Q+ z$vYtz-$wt$5%~giXct|LT#uM{OZ<+UVROv4ZBQcX%nuy-a!mh{^9yNtZopn3(^f={ zSoP>4^n1fmkI5sT$Lwx z>)e;UBERPw<^03Ue;;}@-V<{|@ZhNUmG}(F?1k4gQO>sj|5$uW_9{|$%uiFpInMj2 zf82u4q&`Q}Ir5&7)?vfMFxV*IRk3H?7SVaUr-%*QVkLgRaY1hQ-3tWo3HaTv#n+Mh zwGw|jqA%f3*4FtOS`50kw?VtsIR4o{tj3K(VBTXmVSOf)^G4l)7a8cdlq)B zw>MXo2 zYEs)7e|Rf+-)bGIA+G!i{(UVzD0QBO)Si9!>uIA#{>I19IAPAA+K8tc}$8cBT-8&`v`X^IYR?~`{wym$Kj z_iS>&585+3ejlIdSs zp{e*Sn&v{o?7)U1zCd3#;t->Vf9vaEe#0C7Z}XEn7aX!;@ck#qYt_OJ=xwV}NzRD4 z?OV#?8vfa+`ANQ4L4Jn6k4RqM{21-gjbc;5H?*DfGqs+0KeameM#$1yY{97cUfR#X z&xiNnL1kV$CT*42Dj}e(>E9 zey2XfduZ@P>;oaq59Xuf-sv-SLvN>5kL<{MzyjB;@eVuW-2vP|{HX3Gek@k{ zFh8^oyXe6miDAxHYuF|%!uYl}D>s;L04fUDqG8h6ib12@`6 zBKH-0-5xiojn?wlCw5oeD(^sx42v9!{F&{7vu=r3LZMA#*Th<Dy*C&q$4 zjp(o-egr3#SkbbudDH_>#zmPIh!xv)tO$+BGqy^cIA7vC;oIyY_bnn9=yl*+zKJPeL4W{(8E)GTi*`(@qzFn^Q(%@d>D8J9-N2?24q~}XIb+;P|n|*`o)%e1rB=- z`a+K({ruBDyBQv}9GNq5^CO|aUk0w(143`1wdU~+=k z**gNig1qD9tYt7q^C0vMlM`yh#%caPKrTZaF)zV}Grq*O1>yT&BPZydY-2ucH{f@D z@u5%!-xWN_{Go4eg|E&e?`w2Up%J)zxMeQz-DpMTwU2R@;2qg-P*0e@V69bjVNCc) zq3rkxswj)dEce5yZ9sJ!6{-BGd z$-nEqiK)>)%lM6r)z4VuM;bh0R&Uh&<9d}{yS~Mk?P{(Y?YcR+? zleZ>cJk~(04zAnarF3dFzIMoKYgX6Ex=~x~mBi4q)J@q|<=9H?Zcrtuo#@YAM7w5p zTLbm`ItRs`7mQcT4S%TWv?dkx5aE&uf_Dsz6$7P=pGfH$v&O$ z1LhTn#2%6s=UtvciaiO@%WcfPn1~4Pi@v(MFZRp4Vb{6E0A3fqM~CsAA>V-GIXA(Y zkH9SS2-c8_zR!TXQ4fkvDw^Lz4rhC9iLaEE`9pr|xi>-=Pwcp!zvu|*BirnDvUXJz z+?rpat@8S_59X6SH7W!?TMALmhH8;9C&v3mUg!wkWd9Vw+rvU5a{jz0{}t)O?a%&# zbzb7JEHo`dS@-5$ZSacE2As5!{`lQF(I;aYvcDU7bIV`jOHZuv@lM%nctG}L*Z9`! zcI4NCy%CrbId^CvId`t@|4o*>zoXw}wK>cFJw#wpw-0R${TO#6AV9MimNS z_A7-}J>Vi37rD^mYFaXGkui%qgr3x{F=ml5i+W$ch}u;k*JjnQE?(>Ipzj^@y@S5D z3#s+!of+724Km&3pD;CcMPG|y- z+l&QTj>phDN7Z{|oiY>8){sNM#{gz|=b@RoHyLxqx1que*x)r6xjt&s2mXn_H$Y&ujea z8vo#2_+DsSkvqXXv~BbE4_lI!{p6ruKlIak3`vfd8uIO>=OTsN_tS2vd_6eiUG9HK zyD?L0(sjV@=uZn&N`3))up#%g3A5M#kQhrGT0nsK=4v7_ZHwEc$mGYhI-jksoS`7 zR)+oQI>Fa6U|nQjC)tzaqU>L&WsK9tJJ@U4x1^i>Ft0Ph&Z69Kw`)5 zUeagzjW67i>o0U;n_AchYHn_@r-?DnW{lOyZJNGXtcc{Z>x#fKWgnM~;8ghQ+V9Qs z_Yu6$d#{EUN1Bj&XGW5_slEsPpP#I#yOlmFp6yO6MVBDJ2{YBJT=D>RwLL1^z)>zDYvB%i$F3V{# zY#&#pgwrm2=0EsoeH(O=J)pXC{TH`DA8H&5ZP23I{3{sj6>k zzVyCWQE>YGS@F_5zyHEK`lm+M-=E59Il(<66^y-rd-9y@xy5r2J`N2R1~gpAJ?gIt zc~2Dcu@f~8He4M+?~h@_j$+H$zY@DnzS4?% zW6S}2;oz4_9jnwzEk}OZJF`pi-3+&ld{TTn^aI~_WtXY=8Z|(^O?(G(z-ma=AJ89l zd$KQAefXYz9btDb^#vhAmDl3qx%g$h;@8Fgg19K`4djaV^yh}}?vorgG#!w=BSf!~ z(>aQN#{PvKxlm#R;I@I=21eju|3YjNb0EIfbyVmuSH{(QkNi^4*A*BA*3`etS_bF5 z*bu3$U!t;eRbPWEy2&eKGje@Zf7d^FRIv0WQ=eh3*sZ{BkE;vGGlo6-lWS36eR4i> zz62T$v6e8YYR&UX2xGW@%J;&1#ynXkyJ z^v~SfuD>8Ak9u3XUhjPz{EEE;zPdhYi_z6Ow%ey`AiE#21fPOeU>%qF3vLrnh`&5p zf5!7l-Z}Q^)WkW!0kwtMtCT}Nv!A^L$$zgDpIyTsc}8I9?Z8)%xuY+-6G?YLVuSbP z2|6++_Lh27e_YAKAajRf<3s4>CvVR#B!>k}sR{jQZuqAk&kg_90ylSOZ_MvY9h<}p zISbY-!Oar_V_H)z-fE3o-I;Xfl| z!@m}5FAMzV+^y!2&{_CJ##z;8zDGabSD>yHe2vSy=e&xE4RDEZmfAf^h0WV}CQ6?M zG=;B_4aVNuf8vK(+PrryZN4mjU;C)6-)+T)HufW5%v1huj5nzLNx#mozEf!8`+Te7 zl<6S54*lu%9-U7T{wN)N9y?cxH>WFgirXH}4c~G*{=`;v0le+Q56yU%Ih-$Zn8a!J zfqdM&My@f|2iiHWil=_Vx~U$EwSD46f1EPo6q3X#_)Xp(^Gdxo!TSiz`7OboW)bw9 z?^o@SXUx~~x76=Rjo$RwL*nQv|Hs&;mJlNkpsUbV+MnRvt1|wkbC(@<@51-oo@i7> z{N7!Kh`Wn#ZQuj3|H!F)Z-?Oy&KCbN>@_1hdvb$c+GotAWN9w%DgB|m9U9)9`gNYZ zqx=3m-<)r9{MUbX4olOM^B4-|q5OFee>0E5kysV|6&hpW9~)R&^qiT_9LYI&Q9ki?TUTwd|TW?%?{VW+n5o28(u%#qMvi6 zZI(SA^fjaE+V6b5KjBZ)&xDWsFWV=7zdqReui`&{$-h_8q1qq#T2*^pE8GZQ`0?-l z6M=snw1L;!wqvtX8>x@{75p|^5z}PaZi%o@Lfjdn_U0({G325prfN+m<}3PY9C7w8 zSBWHYMg2pw8dnYKp?F#iH)qe&`4zWWeO=eO8fsXjF7w#?O60Gus2*IdvX_W`f(PTC z)-ji(10;??7bs+pnAdd;!X~qZZxC_4Aq{*BfsboJZpig@xn8dmqd9Ah0|%xL!QXemy9hWdEg|u`5K`E zc_70aM?cL{z1k1^@RP~ZfpS{l6S%Cis3#pPG|M~$HghDerp)=rc|GUKT*YV9b90&7 z6ZzyWa3J`YzyrFsf(!BMH9p9}NZs5!8)XvXt%dU}_ai|lU-WkD{WzUHARFvAr zq*ByG^L+#_EjBdU>~*4ZGKcs(#a@FY^iNk4IYweo|2d~UTknaVCw<_XZcCdiDD!vn z8|5wRb18mlRQtgde&d`L{II7=Tkh65N%lVs|8;sGoE!Y!MY&z(MeK!mm60B}O#Viv zr*psi=0&;UcjpHC9(J^Y-cV>-mgfq7t)&CV~dIg@rn_0T5~(oMI)@1BZG^KDEf?=cq2mWQp`}(+yoEL zP9vimfoUPf)yVT&Z0zgM&+p^-U+};y4c=wvSZnxuh`yWi)%>mZ5jEIT6klyVxY?H5 z8S6eoOi)r@7~6>-{+;%YjJh(|Pc#_I)q9B&SFGiGNEb8L3%X8}uQZ7D{e4B<)j}I& zBshqFiuJN@JGN{`3-n?AUfw@++S&K2g?)ls@Jpt|JwgZZ%SG?%Gj;XIYFcsz8fW$5 z|KHNyQ73zy-k8Y^-`qkjwG&&h7Ba8p%$}@@zS$F_Y?3> zYFG!7c=I6mpgy@t``D6)YOxG*QM@x@pCRwmQ|pG{>5%g`%N2U2DBnU8`I8!N_<_CR z7sJza#h#G6v$Ad`ZOK6s4=Hk7ZnM-mlUtJ5yiMQ@U;!T1WzhfdJbI59QgoesTPot> zV<2aH|h5gsqgR3b>B$c zywr}t&%0`=-;Z%`YZ@77OZ+b1!}pqG-|J#*Hu^s#x>MKxFu$#mTc+lgJfWesGheBp zJ!&()4Qw{B;dhQ1W{`9D*An}9hBaf}OP~(devvgrU?0uSQbll51Sfqn-~<>P{9$Ur z(dYWidm4s(4>Qn5ViSeNMp&)w!M7#$^?frFTm|n~fG_61v{PahWSD;H*>7Ls%Y#~; z6Q{r%^wTi2?gE}AHzKx>cf6<*%Xss1#b1J(_C2}cu>$M7cNEC$ft$POD^6UCuI71a zAlOU!F528nE~5~eMZLuEPl;>pmS=i{XW+x>&kPl8;I+^6UO|nI<3d>0GM6T0AKqV4 zJCbUKuXoj^4f4Y)ymFeHXEJvye}~=p3U$)|8<{nz?OLIJmzH-hM86fVb#i76B#qgY z?--;FshijO%U{#zkv9AdjnapBr&RKyI))`y-7c{#=La7(lk_D%wQqyqzbtDkA{)HV z)dDKyg_#1c*u~)O)5BiW=zZUk}Y`c~|S#wBEzymMK{LEj9thpj5 zdMp`3;FI=TpMXKzD}28ma>T+TB0J!l+`e~IudPL$X85^zCf)7JozFk;`5O3l1#ORL zUK81)UwDB2T03J=iC1gj+4fHOtKT1IDe=6-o5yzH``;n^ZB%3-SF{R7)I%?S{4x%7 zX3Z5H5%((k1Rq|q>(tQPT=B`>@X9Xq_%`{CjNd7L=Zd3ia>ajmPsau79O!W#@4P&R zPyAuz|B763!8>G)%~tCD+;hO~2M(2aPpbPn%jF#ze#5)3L_d9}J=guMR;?QzkF{|f z{c#Z4Iq2(!VymNd!{Ej2f9Pz~dixh!{t6#^n~a%ze8V%u)4~tImudRQVs)f<%jMS^<)O=}-rsqx@VMlbIKD&g%V@NCA#*K?^Q-%Z?6G}kC~ ztz5IX#(QAIz_e=>d6B)W`Q2D#{(ZyFPU1PW)hE=?r>h(&qpV?4ho9(iL=D z66gIl?nnDxY!1N~jN^~N^XN_$H+j!Z`Vn8Qr1LmiiG9dpF3|6W0GqrY;$q9$Jwn%T z(e|LonyzCTkp4t&WIjE+wLdOvR68u@PX9&on}I$ST?>A%eQbFrvax6T||L_X%FSZiD%hK}3w*}V9^SQx` z@dvlG@D0>VZsg)+T~Fs1A@7$Gr?d$lF^6V!A2v#DgI+?z3I8yl6>HbdeEUz(-{b=` zBI`1)jL9A*i^MM|fDiL0_%ZrDLHu}XI#c*36?@3sGUx*2^*|aKYBQ-h>X?Pk13eAo zSm?*x+Oj9gon7R`MIVZ8gx>mG(Us5zKf>*t;CJEi3cpwEDYd=A<6*K6!knDSKke+Kww7>>+td(JAOgpO==#O+%@~8_;)rH-o;= zN$jH=a&~IFYdE(;$4~n@^3sc`$+_C6W6RZ6M3LKSx{hl%qZgo~&QHm^fmfn0v>xNU zmi?#E7w8pvhw2~v zVhkG@cCMsvt!pa%(<*&+hng;OA6`R&WtWcp} zuJ{A=RQa{q&Vn!D8}dIF^NozOW$@0?i|8leUBMB3YM;bnP2P_&T3x{*`pJ~^J>M(|J}Z(6MiV(*cJ=x7|!R7;e#sogPLRs8ylL4B$pUo%+y=H-^A$1<9Ty`*W5BW)*zj5Oq1T1^KAy;w))gY- zz~3f3D7EH>t}WljTAISYB9Blm7ZP)TLDo>IC&`W6u#;u~@xjAA z>{G1G_4hR8MrQH-vqGEsb9CaVA^lxvVCWNhMdvDA2hx8R^T2O-Ogh%6u&XG|laGLR*}MGoxN9;jlIdVg9Q?@K=YYd@^jjRgwXEy8GP(V&Eu|Af z`17(Rj66-mfZNg?5!NUJTibQ2oY66X;ia#AEZT;i$;9|p^rW6UnC@ut(#SYAp`1kD z#XFikS<6M|IW6crozs&(VzUGu-?kj85!-fSI{UkP8*^>Rjr6Q$jk76re9LO_`z!6F zjb5kc`3apPwI|R7-$QB;ChM2xAs4JYiX7p8bW-mjaerqN8zpCOC9wqgIyZ>>p=(fQ z0?j)Url;E%!csr$KNI1c`cHB*Qv0NH1^zR=Zy+x~&65>!{rV@)%y(3DgQg=e`ui%N z8`Z(E{X!`sH#LVw8#3cPKT@WP7{e^0{PmWXMX+eCL( zo+ED+PyJ48md-&ZC8sO!3%s)bfrgVc!Qei!Q<>Xj|1v-0X~DCrzfoh=s+nuCDn3MU zNoV$7(XVLC3~n3;Tvr9n`Nyx{ zcMH6^!SovXWKJ&i0LY@=w`sC3)}8qdk9zT1tl%3x_<;dVUe%jeNDV{8m70UJhC$mP zSHmv3UBh4J8W0&We~RD9x6Z)j?#r3$CE~XX{-60)NWRM^`O2-5vx*yh(?RA+eSy@z z#AWU}FCL6*kn716FYS$8>24G{q&~ws-19^CfnU~Q@4KCOei^)XX449o{>jZ1-}gZF ze|wq4d~aU`R-YEsyONs`JOe-Pqqu_xYxtFGUGv;b3H#V_t}Al92c8_H?>*cfESb=8 zi`xV&Jj1uZRw^|W2G%d3efDqig91b1gJl_eP{x@)wv5^F(;<9)P3t~cZ$-cC!`=*< zHwkU)JO3OS$#*=l*L#p*Vn5Cyudign7v#|ytIr6tE_t=|ORPt`%2>3=zU%ga59aH| z&38$=x074i)WhFD3HYZUy{q|B@DB}}i81aCcyo{WZVj{C*K;vn4Ifa0n|LVyzzgA^ z>tzAH^Jl;}*DLrA=64#r_{;L$#fN}(yu$0y3>wr8Ou!m)_D;x=15f&M?Xx4yIpXzY zn}}Q3(;WHQW43U=T<+7nB{Ig^ZmxKTEARTC^Y>WLpE>QZSeGQfxY{t`2LpciJogRt zdH(J*O!_s5!K3Q)R#fdY4Y}v-F-*QGRLg#$CcIZJ@3CsULG#l-T}3b%f#3W!M|=_I0BehkZ#R1` zHaBT;h6ktkD6-afsQjE>W1N1kG6w5wZAPW;Jjkb@Pw{)bqYaM0BK19zzg^OaTy;|~ zoRoFX0<~b`D>*~U^%|Y5;~gr0mG<%;psvj>$~qmmoUv{)^d9V0;Ezq27yXr}t;^Q> zYoyd|vrbx?aSksuKY=mySG?CU*uz>Ly3Phy3Vn-xPBl||IJ6~P8rpJkuJZ=IL6&As zYSSDeoxVV79r*U<9Bh8vY*i|?h}u(f0X#=8!;v^19s3&A=1O9tx^>&9hgf@ZSr7F1 z{tCH+ao%}uQ1b1D_N>&toh9EwW4*E7m0W#B))9Nip|F0KoS$~%^1T+mxd-lQ^uA-_ zgNy$~pKbCThRWX+n#nk_zGAmPJF)qa*TEJ|vH3y#ru~7y=2I5H7|T0lA17q6lewHL zbsx|b8n-h)?|yig5@hEg?CM^r0ebM!MMd&;MOh~W4+ZjPw{X7~8bfF7_ZOBE^QtFP z&kT_diuU2>p*Pi@KS%Fse-)j;J14{-9K)MrJ!TI&_kKh6+jt^a%OSp#@8C&3#wLeC z+?5Rd7yHYEWL?Ua8RJ{v<6L~7)x@t|;AD+=#5%`4Vx0|+I=wM#75-6&GiI%X$J4}Q zi@Z^Z_n51^7vb-n^@v`3AE^4S+a1%s>o#DucmoZ{YWDL=zUwxSy{GECZueq~=emd4 zH|Q{X^00TUd-ynY>Bo5ooNvQW2lVkd#=DC58-F?cur-%&1I||?);x|ZM=Qrt+7z2c ztc=8>nQ?f>&Hf@1eCKV6n_^ru!Mb%s)$*sieIyRc*fL(O`1;E&{+9l-FE{w!o7V?zcWqrD_v_G; zvysg$$cp}^Zq>KmoQN?`MQB?vo*7DZec(F%tvBWzHa@tAT>92I@LD|g4i$fB4~6t! z^pCNs-<4~XbLk6VHO?V_58oM9zYBiz2}h-$7dxjvKMBu^wY}mDZ3nBarvo@& ztS`BL=pMfB#!=n2lk3&jw*RAle>dk5j>o};8(e#1FxK9xF+WUyhU_a29V_&dx=;3q zg3s#*bnWApBT{EEPzZbech@f0-(4F>T!uf0Jos&;RlMxJcs$wr=x^Za~+-m^`LVBVdlG+Q02v+GrPP~J%Oid86!~WMzskDsB;T<4 zNa!Ngw&6``KIZZcX>rL#v5V4A+%8_rO zUw}SjeVg^fMzzi`T;!7aXT{$BA#bBapUBu~?0EifQ}>7TH-yA?_K;%|xl8;$zYg8+ z+VpMHx9m%4Gahmye!N3J7x;ZR>>bbkDQ~%;<;Dfxh>XiP?WwPXl36dbnRg`Q{Wpn0 z9qcoDk!ORk<+<23;g-~=!f_)_zDIIUI~Y!Fw=wSMOm@Kh!)_&t2BZy{ftMp8oOjJ5q}on|9D)Vr-ea%$qUb zx3#X|)z)K1ZS?wuG8cai>{%sq|Il?Ux#4Fo3Ff|OAWr z^O_BHw|PLm^TWEvnk;h;=0g%s*BV3Ft>G$2Y<)OZdkBHB>{R8N)aDN+z5Ks}(M zqJl!XLw>kZk42#!ZrpR-xOdVQ<8Ru4pZK%^WVNLw_xYiJy%qP!PPL)<#;QAGcR6LE zdC~cyeW6a?hU+@CFV@A{?@roPC%pNq@!o^cAY(4YE4OdM^~5f84u2bNX(~+L^c4O5 zF80bW2EJzzvOzdRyESE-onhy<+_q-Q`DL3|FOT%krfiH4dSXBKF`nt$S$?WNtg@6$ zQ2uu#Bkk%56~lihBlRATpYY`2B^Xvap3H4kYP+Kyy$x;BkGl9d%T3c~apR>)oN&n$ zfB0kLxpRM$Ls|pKdVM?N8(->)?RCPju)nLgl74=Y=65G{L06p~e;{sx{tQ|>())$r z(Df4@+cmGPb+_LjOL8`fG?16v_X~l~y?MYN-AOy@5wE7ft@+fDU)yNUS} z^L7(_kbATl$6KB_4LXau=96_6yO?}Uba>AZ`7yQVZuUGAmw8FKua3xFZ$*YCxpcJO z9~Diie#-ARZ%XyVUS}>vGzTqtcs}%m_87BA`9ECz_NB#J?#3Hs=~#7S_^FHYl0wxb z?|>LOe5t4W1C7AqEs!tz;k}c{Gcp->iI{r(TpHXDa9?PEeBI^KJ^4MR?#WmAMEHOU zTX73|e9Z(&K*lhoqj7(-+>SGvJP|FGufrK@+`t zrz@4Dja(m_Ir})(vtZVpcs*~18agd`l}5Ms7gveX{t()RW-umkzRdDdtkl<(Jcr=||PSs?VYC zn;JTWGuy`z4>!tItv)Tb@N})qIqlJP|i9YWsC(OM=8{N7B zSA_@Euf-O=LtpmBf`WfL-S%ajzF~ct`mqoj_}WQNeF*W@cfClz-54{H!a(fk= z1TM4vF}SO?edNQOlcT>I>?5swz*;8t^txQEfZ~Ay6SM+EN z1DsGV8lw~*(7LP2u5#nnZ_54?WLwfpqrVER7pCLL((Q;k*{yi#b&{+O^-=zxJ7Ghw zx9M)LB=o9s^H#V6&tSi|@&RqJ&&#cU?tBW2=l(mwGA`3Pgu<5{7LGYTwXKpxBl@%^ z-Jil+5HZzf;u-dMcJfwY7jh48C02H7%^}X4iB<-n0bTqm)xf_0!Ps8Mev{z@eDs8PWfd=2p{I& zmLIAfhuT*te|Y_vd|#xv>GkMTgp&4+hX8L37K0zlkE^Xb@*gUPeJ3#VLGa-6#bN#1 ziqnVnZ>Dei&gq>^e&(wG@ZCu0LGDXr+%lIs*0@FWv(riSlzd5bBpK&ZJsO`Vp5D`@ zk4lDWX4-WnxB z{EWQXZ{DV{Y1J|>;-)41(U(0X+?)Q3TYrZ2@lp6?dLRGC)0#b5w*2hVpnIJ!V{L;w zen!&CVf6JY_|UL62z~Jm9I~quYSFzv;N&IAPPy*52K%+t8R;v(M#gyTv}UdKd2+yD z{uj|M)5CqFu{rcK_pg{fo%;NxXp6DnC5#2(RiTfOU)qiMV(i=G%jK`6-6Q%8-FG@~ zsicw`J5XQkn!oer1Vi7_-5*18h2BV^Z-fs_Hgc>@b2NP>Z&oclyxk49pU)ftAG67q z=_imgFQXk)I%bb==yKu5(!Z6bxVNI*DQu^magRG|-_>QI-wJn`J>p~9zunIKb&W5< zK1khhJ6Uo;b4jt&{3>@UD35`h=C6h#!||f=uYKF2Vv&)Bc(+Ayhsj4}$_we0={^ldM z1OJ!5E07=^#s>fNcLf6J^Z)MLKl6o*YbHgh!z$`zXl`^iGDIkuH23ZMId|me{(?H( z0*sHRJ7vr#%~sv(T@ZD~AlI??*4av5&7S_mR`y#|6l3S^EB1df z$62m9|74Q!WSDV!xE=l*8(aAp;2dr9KBiKxtn>jLpqOrcZ0VtZk?a%-JOSSmUi3tGn;9BbbyIa}Pj(fkPdq1=W5j<@D3fz+hch`A$j*M8}3Ivi#o!bdOhq~hj7>?|*8BW!^o;C62 z`6rwEbCTRGp!B&53L0Y#jD1MM?lf^}pd-coIkbszX)d&i%vq{)>e|bs^VB6~&46=w z$jO{1<9?*A@E-e41?zhX=iZH!EG@(@Lwhe=H|`iooHLnwN+3vd^3oZ4+NG~2%%0$Y zkyY)PSp&!Hv2oWthUm||zvpADt!Zyt0C`+zRM>-3%pS4g5OR9ZVc)dc_T(sKF>O1M zVfQV@PG`QVG)0-TerNV+btV2w^B&cvJ;b8P9`@Hf$=>N6%~7=b6G7dxt#)Mh-)Q{G z-UH~AHqPAI+^1^_xrRl1bNs0!>w4NVkSzEM_b);VCERf_6gQdFKA0x8{fPCd*4f_Z`092KfS24($P^rLe;(}|e2}KuV=x#$^ha|xvDT~+u_jtNCRH0@ z4uUmL>1FVs+V6kzM9Ulgp1nnkFV%;n!Q+lE15di(QCH$GcHYX;Ds<}ZFH&0aD^A}t z#J()?2=FzG2MzHFa|WFDXL*HF{EzX9zlZ-glm2m-Bl8RHkIFNhIsaPt$(8>geAZ*t z9=R)nHkUB>R0huHy&l$Q;h)gf#0F?S5{o$Oy-%3??vj4?baL-qDR$->HRl2CQO_@( z&YbRmcSl%9`vm6nXcCu;Z#d1oz{z1_{z@D_ccDi}(aMyvk zn}fDVx}~IBN;+Pi)6$5HfbHd9u#@6{;-`I$jLCw@LfR*1J(I)s&bLp{*qXh_>Z4dc zH?TQ}X7F$Jqf#HHjH(0n_-RkBpE}?UKvM_krPygxL-jz~rDlJrn{RhtY2Y=Nzlyi! z(0;NV*56a$G0EL2E}s?e9ptqYRh%EEzc=s3L~9l&tM@CP9Vb=Q?MfD^T=C?#Lft{i z{@U7Ck**-Q~1S0Dg9_=IFAL9?g7BpaR|Sy`+VuJ0z^#z!IE>FZFPCkv-W5cLL`&&O-4<*g&}MPl=s1uQ<20!)tl- zhdg95x7%JDy3ldz&QF=L*Q`nvPEXi<)OCMIX^)aa*;#uVUUtrhaJGa!5qrL}t9+HS ztL`_>%y?qkrv)=KmpvK*t^eDxpDp9Wuy9**b|Nwe{{Z(~S@^oM^{BWl{{*-d9(jvd zc$9o-+7RWY?HzD&njD5xgU>ARSwTAizrB07k1TZne6U}6KKGjK7G5jsek?ow?EUue zI0W-ZxUDYsaO=Wz@!MEfcRTp~R2qJTTfakI!Lwu;Xp%dO*`JuA?IpPv=O}$-u3fXW z^!Ko#ztCst4-Gxejfft#W-y4y4zric37t>Bc;IW$-r1+c58P{MvG)(B#rIb}5bs*C z&854}c-Puf*+&^kqs30zfThKqS=^D>xSKO&$#}!(`{5_xE;OblkQfKuj|XqznyXUd zjr*R}xY@f5XyZ>>c;M3PCC}}&^f;wA-mtwNn4BN!*#@6|vWANQIP14fG+Fb36!{94 zL65wXb={ru{Z&1pNzUqpd9R9hw$9-^T;}+A!&y@~AJ;%V5ts9A9gp%ZSN^Fr{=}Fz zXovjZUqzez_?>m9C%5uu1mU2?yTFOwE;_I)Y1*ggNNtpTGN!(JYa(i^E-tJaGPR}I z8N8uIyWJU0(l#H6H=J}&yf+Z32_>N^(>D9-8WNG3`ebqYK;w&YW6vgjraksrn4%%u z-iO-fowU!!Z;K{tLLNQRJ|`wBYbH3ArhP)A@tqCKn?skqH}r?*P!8@72(2@Encg?# zo&tEzC3k?U8Q^0~%?}5dYup_UeV={>d0%S)MxX5JKQ(g-j(Buv8TaYj*{D0!$lr6@ zLZ8Ea({AvvRR+A=5AW6e zRNmcG-n~`96ntL&Q$-Y7LuQ&$RX$U^cXBNg0UEfxy&P zY43pz=Gr^mZ?L1$Yk)FHE?_TPHJ^M-W_M^%=U#hY$yfq4M!*1SMbNTi}aAoZ_{)7(2 z1^>|Oym2*wWEr>{SLaWSt1DOd;+saP(g~8?AVuMT0H_!JDc?=tu__91-Eg}*k^2hO{@rgwLlz5gmmyv(Y9^lq`f##A7l{L!aH zN1%QUE3|%~4;m_ff4;sW-WC3My!XqTiJP)3e&BiL2h3X` z(8`3HxVzxgG@7CeC%ZfYez`O20m|@Ycn0+G^;z+*=r;I9VND<>;14wqNu9 z#9ZL1e-{tE#mE%B3#L+k@W;H`c*B2lp84jgc*A4ZL(TC$g~Ziazq8=8+iGk4sp>Y< zzdj8A&C>Zloq6V5;Wp~vtP|?$xOZh?GQrul1afT^Z?tZWCm+>(QZ(MxH!glaxC@O( zhA9kv5`1=ju{(a?C3tQh^Z_lYty;od?Sl-h?ab*Q{eJSa%eLv}3fdQW zpnVRbsn6qNIo##!y=JoveDL!XC#-aJ4*ApSYGIJ8}S^GB7TKZxfBliEX3_u_T* zN#Kk%vCuc5zd*_lExiBG6QQd3#{DP6H~u^xe>~7|%@bv{@yBzj4s}KrUGqdZdZ@Fg z`Op&u4Q5V|xe(1+K_~E2_-sb%X~xat_73=_Ko5%#bxw%x9oR~rU3Au=&b->#RjFIp zOO-763TyS;`$yk8zx-29nEfdo+AGbPRR?SBPArfDZ;y7wlj_sYZGuOaO^H(17n=2- z_P&9wPVB*Ca(e%6#^v-G8#@!%+#X0=b89$pi2bOf&mE=V1nsnw{k;)mzb(obKUFrJ zwxxN+L$}jkZ4A#MpG~YgB$yjG>inTe?-#q1)1%yp!aEctpG$@NGSPqF@_)|y#=CB6 zjvv^AywlDZ$46Mp$g5@zhcfP{<=i8@@$=i`dwvG3n)1||@=RoZcr+CN@7=ta*cAJa zqkf{xd5t&0IOo#Gxy_Ofv#;3jK+-tS-VyKGCz-y3He6KF=D^b{#x*(4^?lqKU2=;v z){X%($I=JE7YNt&2=T&2o%s+hg~vnt zhH%(7;Pb^Qk(D+^w|Y1f{>00m&1As`9AE52-JwL?+&qMv+MxailO4#(E*&qvhlzMPIjw`cMGUWzh2&;1hQt9Mmp=t|P=?MTGt z`l8CybkL8^sRfg6E+CUOM!V$7iH**t%j!e5PwhRnepU8^m~vE zCpDDcquhi;#c zxMp8ot-+z$uXNXTz4@SeD{tPN42|QA?CadUpfjBZLXp@a?i~ta-cvXs-W4c|&>o6DK3u=;JaiyNBB?--Nc-W?St&+lWbeggBrqN%my zanGa9^r$mCz&Xy6Yg6XDa>-Mod!_p$czI-2{J@W)<*epkZ}wn;^AK`oymwz^`EgD{cvHHD?iW&4wcVLcp>0>eLhccu?Q%xgwB4flx=+Bi z-J7bk7l^a0P295>)qPv#anVzH9jB$q*%0b6sD&qnaM$M9UkV@=sv4}d2%mEY(j zV-H#$7~8G4X|{Rwgnah1#FS`?eDf~Z*v7I!J|}qM)u`oC7_Gk>Uh^u=%Qdd z=S-oCEO`0z(1q?KSw;FLFB694<=0A!HosbL0^CUZ{So8%xwN%l;gqOT82irY(ZlN& zT(URE#N%89cP<;=c+KtM#1wyua;<9OZVB#U&T7UU)x9@|)V^*>>9%dg zk-+-6P0!wef?exce`buA&3@3wPpINd%QJ_bsM0+pPtynJ?Vo4ipY6r@$RoMTx8*S3 zrnyUZP7@yaRr;_g>_xmMw$j<9c1j&ZWA({h(^)Ule8x3TM3HkU;i=j$+mmEmNErGq z9c|+z0IKQRXP3Iu{Xn z-0!shH+%QdTU`CX{vo^kq~s?5<}~&C6MpD7P+5M7!x>P`sGu%Hc;*d=yMsz3rAXOo8-iA3$QjKIm+U|%28(`@7+YZdjuK@c9w#B z-dA~+G14=o;J=i*;vDSZbG!xa{R8BUw4$ubvCq&)URbAKuC>^odQyF6shM}>PO`Tf z3j>mKDtu#_&;##W#~eo{_dl#?r(ZxWYVBq&X?5^_T+27x9a*AfkgaP`fTkdyLAd}`T@o> zRsEVb6JY6U`yY3=Yt<%QW^A?wQ+R{1xbA4vu-EzHm(_K_3+?)!4D_4^C;# z?-LO_)(DouKk?H$aTb?m+~e8(Ni#oMO1;K+LPwexZul86@8*msW5QaHWp1eZ zg>!q&?RH8;4O@gw(SJ|h>FDDgrG3q>sQUuvQg^31ks(l{7(f1cD|@iU#lD|B z=$50?qeaF#PomJaJ!N#e)WK;EJ{4rzn?)uSDHf!PmVG_(H}5hsf_9 zz6NPt>nu zvMGs_-peeTo*ID0xqHaj%K6{tJKEu$B`uPi{D%X*p&!y_|H>U#UD0mw z#nAJ-$Ct*lBuQA~%4 zlrOB3cq`+hpOZ|5KwXiI- zRBerS)vW(o*?E_ zn6iTF&v!76>d-p@s%zC5w68Rs(8|Mc(oP?&d1c!B{qf{iq5B!%YNxK~$Ed55qMGwz zEQ4&Zjk(*74#~c;iuADw<1WV0R@QIlj>JTyoIAdi=AnI+CJ%;IdRuo&Rs%=S*F@)< zTbU0c-`Cs@PyO$ls?e*PZQ!h>DbruIkBB!{=~wIiTX?087$evHVxZU1&va;qIcvey zUC1M7_kls$y`J|7*#JFLCDX;Ed>5WW_z1q0Q3mflUIwAIlG`q%4 z3U|bO%pxC=!}BpdK|W^rV?~Lv+yzN`pPCSNMz^rf6dAH?8hdG0sO+@c7QtrEIqpT% zI1k+{84KBB2J&>X?lc|B7yd6dHdD7IKPF5l#e2MsIxBDU*n8^)?kZ~5{=||uc9)e< zA9a7*J)3p19*{Y9076^*UI#FgQ*bUSx5svhA;8-iY(wk0A58I6mGj zTO=`C`)0keY41pR4&$%h1GSXdmaov3&-mrZ@8`9Lm9a3rjQIbSGK%KOmnmarVutS1 z9Kr)-e0C^IK?ZYTe{5tNWpPmQyT+orzw90x%SbI^es@v%OO*L_Xzvfh%N+O%dp@Ys z;_M`{^OUOM3|Dr}(z=Dzq8|4R&}5+#V$1y<=JJHo9wOVo=QIN z%FkD%%g@zLJID4z|E0Ek(jwJc>;ijF18>xtIxC;GwMuw0v}X8NwI@F_);OyC+*1nf zOTj&Bw8+lL&(upPbx=wjun&a&5$rXf&I0)(#Y6hlA~kka&*F{w`YtTJq|hgr{bT;eoCLTkddq` zt@PEuVIP#IcYEo-mpsjTh#wQ4Pwcg{ruwgT^wy4et@>2tXkX|W_4nvo(q-&w{HUju zo{Ww81D=enyCfuIHwFb)@-y&~!0Vw8=(l&oc=nUvPq=L(%>JC7`2MlTPmNzd&5k#W znTrg?ou}ECv#zls-f%JZb~A6qIxuS(wQXh%^`i}Vi zNJo5UQ7z@U6Ik4rSbQF`E%wo8arf$!8ozUHn^{w4zGMFh%<-{y-H_iDZ&*W`^FJ2f zS+Iz;Xk_E6Mf8J}$Y;n{x5c?ga(?vflTI@BDWkwfVRj2z1P#qhrTM7J-mPH2wS^|Laj9ZYSZOT+CT~KMk$Un)Yb=ON!--#LmA%K@r*HM{o<*2?E1xV$SmI4!aqZ9 z8%LiAZcKmW^1ILvB{v0ILZ6l_wwv)QYgwKwHumq8#i|Tk!$*79-W~edKPZbmF5Dt- zy*OA8?pOidY{m-I(SXJQR?Z5r-(9jz{PCg&$ynDs5sF^(M4*;&+0$AFi$5M^JuI96 z?{@u*wIi){nf0%MS!Ximtk)nnFU{G zTyg@kPj5$c`DYy6)19^!=E=yp%vEa5PK4fV+2=ozu&kI#=<_$`}`YolZIZIvp<0B-WB?Eyo)se#@QuX*t^316y$)U$|?U^(O~Y%f*xbb8lxuMC)KXKwK2kJ zZI*Qg-5tl;n8q6POIjOaUg|E&IkYwg%#mwjk5&y^8@plT+L*2Df^DoPrLT>3G*(XU zA0Xe9LHn*YJ`&bA%FwmpHMH+$m038}+8Fj$^7k3#FL6EjYbKxmf=iQ&)Yr$po@};j zV{^yElV>n4zp;$9F>tK4G4R?^L7QZ4>^R|yb&#gUty*`tYh#+b&SGsW!Cbj$j52lg zF&?Y7R<-4LI{YHqa%WmwE;`e;<)Znze~!Lt@2rjO0hZ|bJ6aoKt+m6{6L`H*djY7QiP2a|V>oSX zY!UC^l!d-7n3Pd_(t4Z5l}}=gjCpV7ysBfLVjRZa9nr1{bGKRhD6)04_=MOFuWpzx z=n~)Z>gHDF#K>QfoA>TU>>eB!r?;>sc097T@bP{ZALpyxXdOy<*P7Ukq+8J(3PP8x zm7DS<6~=?*u8DnAWyo%jTx-(Re2~^#weG5XFfU{Mm9`t^DYM4Iy4eVt+2GR5htuoy zU1-MUw{Tc~*Y z9)gTFxc(Iy6Ke9{YCTTt>4oqiaJ45`#Clv&>@%k`UpbPVsM|VQ*78S(*F&h5bu-SI znY?nJ+Y$4MoHm%(z=*u|-ZdcQ>=xt z7Vgqicr0&Sy6xT26z#JqV&|LgN|?CFZ<0F{mvIR5sJq2)0(!50Se+w3d30j@U(*>$ zOE;lEb4E2i&7Aj0^9Gw=ogp%LU`;LlcwUt!3&1O+GKyMLlRa~Aye=BmCtANu?X$pr-^+{GUFKKY_=>Uu(p6d(WI_0~yR$vA2%1i2WrY&e)+>G7o6t|6&L6 zx0Qu_4tWVW#c%KK=YIHp&as50GcUmzRnB}VUYfo1ZT9LZA6~q72um7?D|rVRa{>G$ z@n(%FpX{Q0<~+*OjzgI?E#c1#(-bjhP>lZ(%cHxnDTgTwX&1k9-E+M2WXfd1JNWtB zvj3}b`3~t1T=$&HW$=mqp7>-vW)Od@foQ%z-Od{w&kf=yG=bthg0OT$OO(CbZ)9U9lXTpPf)`yU#eBhh5_3pIg3#`0_{qG51;M>jBuOjOQRu)zyPCnVW&q?|Mw#j^?mZoa*Q8skMJEf-i`BYycN*oTXC5fs4sK~T(E3}Je-yvDyI=L({;S=N zF~isTerL_4_=%4=r&}73J)Mszym31cJq-4n;tSjr0zXfEOK^Nn;yWjcclrX~aPj{Q zx8A;yRpAVP`)}6bPhI`lUy)op)hYeKu6?CHd}&{4U)9g(&!W&Pw2>W7v{g9pp#CPp z>b$sUIJIu7?bk?C>8h;^*5hGx^!J3JUWoTk=@Z_ip2dsavyNSS(@%$mcjnN-d+8rm zpvuc!H}x01Tf#X_8^*Pl4h-~zyE0SvZ@P8w(v0djxy-F&+|uhflt-JzEd)>W!Zfir zNPS5tkmmMY{fB8YL-G$Hg%X=etLE&a-cT~^5K6p;R^()3+I;wx4@}}|JbRT{Gt~=RR zk}|j~J_Ib3V3>Y17u*oX^x1>)xcgFYsGnjNza~xU_b^_jw-~)PFFI9zO`H+=#m(fG ze0lPLY2R-TNbWFq=8Jwf=Rlu^o5xG#hFyJ_@t0XVR(Ugcv1K_TE=R~OrD3<6kL=pl zM7+j|n6^`Wx;9oo=md-CiBlrdO z(t&|@Ekavx7y(U-lO3E2=SbnsjRgj>(g!rY5hI= z^uVE%ua7=TW02|W31JIoMRH@U)9$*N{wa}Pk$OUX8UA(o6^Z+7|8~?gOnaw43BaRJ z>HWN+S?a~(8G#&VRdh;yX1PhWC!RC1$}KvxWaW<3!?lL@aCY9r3;5l!-008fZXVp+I1%1r(K$14khr?mDF`V1 zK)*NlP|Uugqtc4*LCFNqC=bu34^Y2P8iRB~{_ABIt)TK!v}N5{DRTnzsZO{J+An?s zf5pv7YF?Z@j$3V=$t^c!_+qS>veVZXcnXiob9%z&J!3a+=^Nq;*=40~O6P2UK{AZ^ zSU4Q^_iY~o4cohjeC`{bK1VQLW)4K}CYw0ah3%Vf04BUybweHo>qY5$_2RlKf2Mp% z%J)6))uXKJp$w~k4n?fIqWrPn7nqX&9*qVHexP%)T3;Hx*X&e>v;;rj!-<>keB6OW zA8%mF91A*bST{}{vdgb`ml?l1$b-peD%@mbiL|oFo&3I6c|toh=b=962xFdfTA(}z zPvB9w^kADhBcIAop0hO^%O$^Q{)Wb72bU524V_~b4NJB&VYAuW;`BHH%3T+voC=>U z+*mW`m90GH()nTXjN!d5Z*a%x8e5dY5AvK&b2nDg+sr>mZc-Z)o~47!SkP^Eq+#5k z>$*RwZ`S|y}>U+Z-w*YfR@~N=iYwbyX#>mE@YSL62K^F4bpZ44-Z^EJ1 zo;oq}?kY4m)J|i5+b%TcqqxwkX~$~68lQzm9RW7uU6Y>5W737su$K_}GxCb$FcTM; zs&hM!L;HYU4l6JFqP%@i0quQa>{k3X^Dpo0W*%gKc?;_CHE90~@8!!GFy3Dzl zUq}DjKz}JZcaW5MX5{>`;=d9PIX>Y0z>&Y_R*F0K?NJxR!^}Pn!xt6aw9f*{q44kk z{Apv%A-slcHjO)BUbAuJr+j%Z3~bf+>qGvm` z788xQ=Kmua87XHmet|wszD*wpy{UgvA7XGOoRc1W4w=~{>@mvWR}BbUA9%2;=Aom#5- zEcyY-WrO|aNcmp(9&!IhKzDGA$Vc&C8;9i~)<~U@CTo_KpS(jpGE&w&fSJD0;0by! zg%*e52{-XuQ>KWO2My0u{kb%2`cvW1@4}RhUybS+cgd(4tE#_|-He^-j||KYa3_~| z3Aj;TQF@5{XncK19f5}W zBv-n$@+xyefzD3OX=uNEZur&jF(!)6tZ>hz(C0?Y`jlrcg$8Bz=!kQ-^udk&Atrmh z{#m@I{2j@6l>Hxqk30`;Y0byX9T=P@-98??slELV{lk00b>UDZFE4i9;l1)RJYI?6 z-L4!nk}ikOi z3bD>~kJ?>kxIJj+1q~hXc9@al)Lx)nZ+s%T%FruyV(3+TMf7Uy&?|K^=r1#VgEHVq z+>IFPoAzF=LmB-|7!Rn>MY!+ZAsRUXsV zzFZt0lKcp5i1JtOOj zrhZ)OXzZXo47%;FwfQ5xVe+o}nj^`t??ul8(C|CZu;zWsrn)jY{F^Rqr47wujU_F?5eBx`&-S-yq zh~_2;qd6NHP`;x5t4x4YKKR0t?O>d%$R^My{cET6X z{4({IsD;+t_GM&rxy`U{V`UUPi_-(5Ub> z-RT_M6I3d?HT0%&12ELaa)ApR@!1ls?J7?h%$H;hnMd;aVf#Xq2jobvoPuNU{)VOL zR7iad@(S*ZEgbp|yGMjEr}BNoHah@b-8E5o`B1w^Utc|%{NU1tEkAhUEdf)v@G~P@ z2`_4YZx4{RU9Z%*Fmd@*$E+pcul*~+m1xY)+YHdBgR@uC=-|EDD70;8=>khj;?#Nceci#Rq(07(SG5?W<58g%9cA&MRB_f4vJ%()%s&5m4Vke&Aoj+c)rr z+Bf-T4#vP&yH59`tb=^lv{7(IehL`Z47OXsoBjlMrDfza%pMIWd|3TiKXO0*hxLz! z*U~p?{Ul9Z+32*JeJuf}-RubvzC;s4a|om-+R=O@dVB@AMK|&_dg)t)C+#}k0adzt zGihUf8%AN{Q9D<3Sbm1f?}lHtzq31B#rUm!tW)-2XUO7e`!+*2sw3T-(OQz>NVTOY z+1I=FbpLdBg_j+Cx$^~#-OPO1;q#>Q$x+?;pngVjrSB@cHv)IsId`McMzrrQdBar4 z)Xx%gXL4cbsAM$8{r2J`#7ibWJJspqj)Tx?4D8n zo5otb6Fj(QPrQV4h4hnwz>>mt;wFnZYsfhK+0w;YLt)Q#J#|HY-lu)y1$w908Os~U z9qr8dGyiW?vCrWw)}zRy3HC>F*R0}uXBIPsYZRrsHe{uFB{yU7X0k8+$lQ z`VZarE10T(aKIjyR59gBf?w`<-E&*%D*SxOFCbq>&HBgcWKVo!N0hVN&{|?UX+8-} zmzjL+-d#+-x?+zt7MuP3xQE_obZ8S_2>nj)?QoVwZk(Z4{yA%k;$Ho4LYLYP_$K%t zAuCBn6VLH@zxc<-S57ePvsd~+qmwg#+MMX>D>ki(uG<{la79~m&4!h$)~{)eZoX>u z>NT4;Uvky@^;cI!+1=nc=|L}9xlWyF}He-!tIqqxsVb6>n>Wvg3UlYOteY3?RF zM{qabkKjHv4Q~q$L#V~)aCaf}Nbbtfk=%t)&pj=p!_rN2Pphv5%hTM`>T8<73<3 zH}$n;`R2Bjo7zr`PHLTU^^h6q+HuxwXkC8E6|1k>9F=#}xaCAoJN?c(7q3~rX60t+ zZuQzVt3McBy6*Bd=Um0EJI7hne4(JUUA6hNNn6%ViOyLvf0%t?(}E>eZ2l0-HndqQzC+f0B{&$sqCCq-v(TGx6hEq>Z+)2^H5POUv@!ThCjqfHG9qvxM7E84W?@+)ZX zmv3CNY2C{8(K%PFU%!S3bLY;T7G1RIip$olZi{|s&8E%kuGm06=3l-NPu#^YuGkcv z-xQBdA~Q;C`Ftg7J~k^A`6JzjaT;Z4^P0Bks&yNp>(_i}&3dtzNv(zkCe=1ryX4A?+d@fwM;VQ7EVpuXZMKENQo_8C| zpys4=&TnX_I~Ckm#%WStx@NN>ZHG2cHL11Qy zJqNa3F#SVwoIPh>dinRiGRHaXmK6tEo|}`{asQU_UoUQ2^vCCaad7NeO}9S2F!b0f z$)>-a`P`%alkRW2?~W(0p0nk*O(T$8I|9j3$LIHF@Z-Tx^9zpnh2G;S{eS#B zxpmElP8Lm4A;x@J+saFqUq#zL-0pXL&cHy9<0ov1GdW!5WQ1fdb4G`vPL-4A_~vMG&%2sp6Ys%(2I~YNvre(H>I(U0*RAE7Q>XBGbTcjWx~_$9q)zFUnvi96@5el*?*HLC&cGaBw*vE2^F5_5jCs2Go@%~z<~ytIJly9Q zm}k^Y#(ZYoaeRHJ3Rf(U8uJvseq+us->g%Gy=-H4>YEj&Ug7=qi}+^LPvaY?SKfm4 zf)J|T$Tzb-i*J?*pIx8CoKr7M<{IC;dX=uMKEiiQ{Zzh1^-X*u^+kNkjmz=%*I|y< zs}?HEx3XTCoMgVSdSR)`xL4OJhbPpB_?}q*MZQza_ayVJF(FT`kK$fi@8>(!xJ)y7 zKBeB^sy=~xU41RzxPkvZQ^uJlho>9J=b11qri>TXFCgUm4V;VWW0;p2_!pbFA24B- z8~B$Rm$l}*&V*TSd@ncO%M6xQ8uKdiU2VRX)Qg5c2!mViY^tBfce8=eRscbV_!O&RYtaK2EVjr$jk`5qH;SA8!oUozhn#{CLYFJCskU#VY) z@4e>x)p}9O*Xqac{kr+~n(*H+-~TY*|EzDv_dfHzzy1=;-!$&uGT#Tx_uHl{Sq;K` zc7vgW215xA{kY^csK)af9KHo6%&3NP%wgkF*q|C8ZQLU!RFnpFfJ#W zZ*7C9uFiz7ZxEHvY7i#pH8k_hYAoUFB-ECD2|*4fRQtIJ(NexK7bZjlqZ5zuJuabK zjW^$DLiw*qB=}B9h~6e98u?ZxM9Gs9DZa6UQlFgI&9}F8m?pjD><6FyEm0I*SCsw@5YOU!?r|E;MmJpl{itV!mS+3H~@^ z_I+U1ARkU%@D}b{FL;*k^%peq{n!P9(0+mNdBX)!zBgWw;M-x`x0~-x7YLI#U!W9s znD56;_+8*8cNJGn*NxT;0%y1FIC z_k@;H_@3CJI-O$7C$*@iYFflIPj0E@TiYVeIn|h_wWy{}F>$9G_@}m1;8JJI^#&&m zEp@oeFloh2>hCk*XSP)0ep-v_knZrrS5jsz35W+x#}TwsXkZj zMVH#|s;AMV4!Y`Pbg5Te^%lBR;6$tP(4``-8iy`b>8dH{Qqx^E6J4swRSVFimbz*g zx>T#H)}u?cx$0VUsdiWGK$qI-s%~_tyIplJy3~EHdI(*r&sBTTrS`k(X>_TBu6h|= z>Qz_0g)SACVpSfxRK!)|(4{I}H3eO2x~pcQOEtM_0lL&uS1m)AYIW6mbg4F1U5hT& z?y4Q=QafGMjV^V!tL{aYy3bV)p-c6-YA?Ffepfw>E_KjVFQZGn>Z-TUr2;2em4_}B zan(3MeAsK#f&-=u#0^jYF5Jbk!7esp+no zi7wUTss-p$OI@`LU8>bp>(Qm!Ty-tFRJ*Ih3zAbaoR?6Grb)_v?_X>5?+f?dkp8|9 z3<%7x;FOWb;Ev+ z(>fPEhI(MaaDKD@70oUT{c2Ac@;{n+DD*MYzX}8U-FiCkmftBuZ9#dzs<-{lOPmle zlc%2JG5r_@j$z;!299Ci7zU1E;1~vuVc-}Bj$z;!299Ci7zU1E;1~v63?%*izE`-l zG2n}^w`5>|E!ECW=C7qRHyr++-&w{yk(B0tq-;3OF0adQw%{%$w=?FUP?BfuO9D(OW~i#*%R+){m(kd^WV!OF;$19v!3m0ww$k?>}T&A>DTh!kq1O} zl;3%qeFrw&3jCGKTJ*BR!W}=$3zx({g@0nI&A%7a=Ia7h(=EKE_%HQ5(OrL>tp6_j zyVCrpVD>vR&hz{e)BMis6^_%e)Y8jR^oP>ITX=u^pcg)T9_by1hsjrY{;lUzS^T%M zZrwpGct4x&h8w+bFK-|{!ew1aJ0MUiy71@yS~0LM>sBxPPW*+pZuC6#UFhB9W5H(V z2m5{KW!U$&S-c)Z5997!#rv&j0d(PeoU6-Aze<$fY51I%&UE}Mfz$FK;vYu$Cx68Y zxAtoAWKo<3=f!V&{*@o~bIycKfv#=>@ewWho!e7hxZ&;%KN{(-y7fCh7<9k)I@@}y zk`@os(N)eS*S-i{cxrL&t>`NEwXPkb`Pq7X@o_J`4*VZVt5@s4yWjI~y58>`bmK~M z>Eb!hzv^SWhkIE3Q(y4>H?~vHY5w;D!|z-HNQ=b1+x*Th+}duSouPN5M+yJXjnp4{ zs>9ZE)pozri~j-indp(5pkMs+cKA8*2t9n<=40o-!*}q175y#TU+LtnG3-lk0dLq> z+zMYrzxR`V=Wg5=?esfs=zZv0&}ZJpJ7(zKuM&gbiM{FNcMtwOxUKz^-`V2@LbrH% ziY(i5)!t6Jhw;a`g`WS;Zkw(LLS^zh6-AzZ)*ZHeFGE+owxVB)-jBWvedcGaJ4W-f z=`R`Y#c#s@Y0ndVCd%*BmwW!v&w(G@#`Rb_nU1~;BJI4-@`HQPA4-dF@v#0BFaFy5 zE#7y1)5iCLjx*_;aGDn`h5riA6W#hRp6B^TzjY)&HuW6OzYYJswD>k3p$k3#h6nu4 zEBIG^dpN(ee5ZP~7j7p0t<-zZgS2zP_n{}#;M#n>eYqFD={pwBUJ&d5{wn%i09w%40MR};{B}u zxqChTMF*kx!}9l+eV+e<-yew{?)cjT{>A$}|6PBue9Q|%Q=+})|HAY4 z+-Mu>dLeB5oH3sNB0qa~AOY`Z{m;S4mVZ5uBvc;e|B2&0|4uGj z3LKWd`zt*EotYV?UmgyBDMGBF&k_Ca9rUltV;_^3d31}P)2qGs%kZZ$4gReEzfbY}XBHd@{~xLG{HKf> zjvw1!O*qB#Z-WxUKyP;`vSW}kZIU*rQl~y~}mSXnrn!2IB=rXK-u8(9imR z_%sjhPW&T>;rHT%=f5k$KDVLhZv9+7$MavtVe54GDudsd-{kqfjDI(7`+URYFq@Cs zd0w~<&Z(MV|kD{I5OCf6BR@|DsYZ_<fE8g$${|w0vsavdvdq()Qt%o7OCon>{_YTu027uiCuPIpgf} zqO+Dnr`1e5wdT~RjxV{L@qEB3A_oEAIx>;h&xetb<4rbfQs#W2_u=gKWkF+(-yZ~( zXqiz8=??^TILsf&RFV8y!8W61o{W|e2(H^es|aMyFpdF46WP8h%@>*tlz9Fo>?LEgO0wl7jhfaUss|AZ7*>Cl^9V6Dx-HtV<1 zg1y0lWg9=&afa8oZgj3>k^BXplQ)U7} z$l=QraE$A_(J4p>&J^Rl3phyT!1>%DoGEU|wWCa~r}&a4YwJguY!I6gXX(wLMTE@iWY(2MWxR(e^-r*)ppK zQW3L$sBAA$EoEdO?K}Pr#+-e-h>g(1_T<#W}ZB1>= zy?W5xmbX|DYHba#&D)q}yDV>uY*Vct2Po&rx>P`#M%!_euluLK&YLff^IYh8-;wQn zOHpn4FA%(i;Cbl2mi#A_vmvW|cY4oTXn*1s@NVC!E{3vB(XLmFl5w*D0= ze7({xQjUWe4J@dHC(2>8b%@L1>vAZz4m0I&lN?H{!|8HpkV9G0z(~m9E;)>`4zuL& zemRV_4zqD^qK53|=xb%5xhy4o6A0dd$p9ShUrlyLkb%g-Fu`Yt>@tSPE+dWXGKP^| zy2vw~68I`ro(F*H>(0Jh4%6?A*cAs~_c_!@&h>Jv6Ef)xa*ESz8*-v)wv9O-PqS^x zIU&u~=436AHPJ*xZvm>WJNJMvey)h;A;`bOaw3fDc&`U7PcUZ2#$HlOx%V@4lpgu_0%!Z1-Egjrj-50E17?^NRAa1%QD1 zuA~W)4kJxR{bsW3=2-Mop^qxY!aamuZ$e*1=zNpZLa&Mz z%EPs_6&N|TgzSmCFSwG;lnZb>2*knw?vhr3YSZ$z^@+hN~_gw6!^f!WU7UA`Ol5oPuvF zsVRaH%s4n9!}fcDnRnuA`n{mx{HEUvUbKK<5%Imz;(MXX6w0|+WaX>|j!RtY$e@VJ zTXFp^v!wt3Bd&A?6!I01Qh~<_^(9G_+R|L9!MLS4!?to@y$BjLIMgQQR4 znZwClxmv1rf&9>wEY}yBT<`U{xh^!h_N-Sr`4x)%jPKfVmNO`%uUkXFoVUeFpK&9( zAYX7nZglc@DN?0>CY>rH1|sDXUIOi8SDaj5Xxfu!bseW z5S(B}86rVsNU(?u2^JA6SS%t~u^L!pNU(5NkfMQY2aemoYT(vtVBVI6PqRtlU)jJ` zk=C%jTsib6F}{P@oy3sQo5YY=BBUxWy(vY4L6#()Y@sn2O5*E;3Mfx7Q|3UZPUb9` zvqEBO!E6Q33u)^{FefUrlOt~#b45PZDqfgpsVVR~P!-JGi&uUn(R`WL;VEQo#L3q! zTS3HlxP9sQb-rxb3Z}7dWO3Ya09L@x$7Qt2Wg>SpIPTP3#(x6PU#T#`3-R=gQ@ip>Rt%ZeYV4ub zH5**7%zZ@gjax&2tX1pUxI0o2vNo;R?D&qC(aHXh3mj6v9&NwlA!i-_V|ZxZErwN3O~8IpKhfuTSlOroF1W)l4=27ZN4DbXvH zXd>oKEeZ?9iI+bN7K~*g7L#NX0oM}2x4B5jw*xcZc_y`U2vi_XlbS{sCbh3&&@Vq* zRJ<4L2Ymfmz#9$K)?r8*Z^wBdSHx(hi!+u-F(0VMCtzoe19nz9kYy&219mn#U}vKP zb~ZX-XQQET%|;VXO)!vUr=cGpv5eYDxMcKT41|@@jE|FKV6u$C^|<=i_>^QQ5Lomh z^vp{Xa`9(nd`-DuB3A}Ce4a#Q>%)4Qoz2e43M}OA3n%x(0(y>;a&oVedFhui2Aqs{ z1n#_kEE(SuxC=jmF)xs@n?S)5ZsBwCAH%&Yc#`Iya*vnu$ucrn`JfXtxew&7kh3vo z<#vzt%z3$g8FUX9EZ^b;r+$Q(5oc7O5xz4t%w@U#az9<>ak-}` zZllamCwv}2vwXqkc}n>kN@2c?PVR4@jNq9vCkwwJNDGu_dW+2pbdD?mpOaOJem;Rr zL5_FA+h;mXr!RQXPQf!MTP7p-LlN;Q!CZGl++8QimSm4V<26}$uF2vnB1u9ORN zRG=42QT}f!LsoF}FR|={A?0i8~mR_HG$DxUcR3ASv~=1ihN=mKDj2& zg2+vZljrLIz@o?(`36V%PNw`zBW1$RXkQ*#ZHW{rW5qtTjAfC{qKXm&pw%f>+KN$P zJ}O_yr6gJ+cMy9jUZvkDp>%=Zcl`2+JgNBK^_u{Ze^Um2;6EEK7KpqxWXXy=I%LU< zOj8E>Oq_7UFSI`FuSJVQ_RHr{<5L@%Da#&z7s*VI+@^GXY^ox?xg3HOPmoF*I zBpgIxLt%*h6C4b86z!PC#0Ws`Ba@uEoG17ZnDQUZ}b$#RKLHJwE=D)*>>V2*tarcn_5s84H{k+nkEb;j&OJ}XPoSVEBpW!dUm zNmlZlENP!6f}6wR_6DEwe+EF2|531~{ptgph&DwBpD`5`h+L=~{K|yNikz;>c-Aj= zS{Zpl*zY%$+Z-9IIM4Y%MEnJjg$nk(e<>*~jZBiy3w||&*2rS{95j~oksncS!CxCo zTcl7v|KtBMxw$s7P>H_ie-KN1t#?l+f zm(R<_@<3#qEWbCFROAVP{)2(G$H`LND@lWpG1jhBjXl%af9%;yo#S2!{>A?X?2&B( z^H*bbB3a7&-~8|3vBk*}myyxH7|%t1;6!xp+Q+>TJYbsPc(w50AN{J3@h1wz>wcwW zQ%!g=%A9gFKtpa+zT8Iz)C$YhCOpzyYn(6Onus{=`=!7gQY`I9 z4}$u^Se0K=u|AR^);4JYbte@%VbYIDO)50aSpu63I4NSe0@3|ANFdrrUiABK?8gM6 zV*=4Jf#{e(BzAX9AUY-xO`=~oCJ-GHh&UzmzY~bY>QG;m?12qC^_j3p~_lYD+s1T{u=RY$)|-{6~NnoH-Mhsz~^KLVWxL zbZ@cX{m{sQ3mmsZq(4B6*P)eE7H8%-h37zmDhgc_j!ykMNLnsLTG2)L;xH^;0l6hh zfNcU+QVXCZDo}C{fZ+sI1Gt6&HS9MDtOM{Rfz1HQ(b|&j02UIUIqV+3p{Qct1^t=ysBe0&e{79!{IFz2=XbIQiTVY~um;`BA>L8r6<9NoJiJwTOp zo>RIT&g=b<)-;}0u3Bok(y;)Ti9q4Dz?LxW-#hPwRLfVQjZCXOwIVGCzig;St zvte3TAt%ZaaW4p3)4qu}){15v8QlYp!ic}P$agBPMxEUI0$%%wUj+}Eii?ox-XErv zOhU@JEcJ_k(<$QjCQWmedL*PNB+cnec{EJPWy)@*tO@w)7V$?RrA-^~;U~DE(!4#n z$EnH&AmqpG=T<%j;rzHKyUy{LIm#IgEPSoH7G+<>XB#TZzja4{cdu2UEjXeAwHTs8 zGfc{83?#qF0t&~-C`1k2+NSu9!mFT^$vqq3O?3hg&Q5n&6f?851mGB?r(y}D;&LEc ziC`JEE#Ia4qZP4g@O9hqDvTq#4y|$_h-P^|B2qRS=+${+Mw{EGG6A56=WSl|62Om(7F_<9MSdi?S! z=>ar2HR=!e6bWK|_Yl@BT3Cpmh|j@BZmr=S)*dTi>gJ80-5v;1^oo z34S~4JDtsGeW%?zdKA9q<0Ey3pwRmMFC_hUtnc*Y53TP6jrEpL4x>pNLRv8C1FC*+x~?gV13?=Juet?vZ)x4v^U z80&k0C!@B$3*|wr?*mcJSl-$}hk<9weh8yeqS-7Ja>pShJ#`^v^U}JrM1+cNc zlc%-5vueq$?^{sBTHikaVy*9Fklgyt6(nnY&qe#K^_`YRYklX;NM?O!i46H-`!YsB zs4=C{p)YQIXHHVpX(oZ2l?v5NgGMQj~ zuR_r;42~OZXno&+hFR--SG2@f-w7wTzRSgh7`8iIk*Br3&mn`*`cBwd--%f3`*38y z`p%!Lksj7itnb%AA2rr@J_D@vofpbl-$`Yy@4THRx4utCd24;|3rW`c&S_w+??kNi zortx*-vT+-`u-4*pPMCt?#_{6e%47>pO8u6&%)g;=eO^ zXnlVNxUs$yw$}GOKwy36U523Wfc2f7%5fgzVtwZ{G}d=s5MzC(EG@OIDS?+wPCyxM zxcE#@SDb6jIVa4}94*QH@g3#xmcwBqNx!|^jL-yMPdPmH3juWsS)D=#cZfQL40EGSA;S}WKX-^ag$#F7xI@$_ zWOWKzokB)EEtx{rVm9@!mqqC^G49;jr>KsJN;8P+%45)QGGUY&L>=jMAXyB9*9h|~ zTdP&t+X0TcIg7|{7wJr-JZvxnA&ZY>@)szcdlJ6fGswCSx>pv1=4o!Bt3_Udu9W{g zSn?CBbDc`qZj{ptN?@oVodl2?5;p_$Z}72k4XMs|)L5_RAM@?3S9QLlb-tr@zN2-% zqjkQcb-tr<>lJOv`&+Nhjr_0o9rZtl`VmypqqKexC?3(2#t%YMisb-KX+qG6wIZceR8#l9rmg5~Jhd?oTTk3+Fwy{Kj; ztgu`AIFu=E4;xDdfX>vPur4^3>km_bWczSTcPEnRy+CuIcb!SDj|0h_an|{8NO%Oy zVgAZJ>--Dhl0b&u($jLzgx%~cu8i*hWnLz(@KPYzTx)cvQPe+?o?qG8b288i1y}&D z0o%e`p}S=v;Z6`UaUO^ofT+Y070>`S3GHH|C_p@MU9^&Bz?LO!|Ou88c`IB$!ptqS=5A?!IK*&*yX zBH4du&k-fdCL`%>y0c{n%5-$}q3$^f$z{(G;qPJ35ykv};bO~*ejj^|DCfxSIU=|J z6?=}@5RBx5>^ah2YaILL+y}SzJ!mu9##X_ZF&J7-)~}#|xE0iet^}Gb>zeK8H|Gw{ zd763c$%ET;^-^o@rBI7L0h%XvI2hpaUxVu8HfEIySVEU_-TGXlKj8-R;SlaWPj@??dY=++H`!|*iSIR!6ifoazI)9hgWg1%c)LXguO|2p zD9e!{Ox3$5KL${bUkQ87BLg@j#M>>B;O!O}`XuPWz2=c&bT^Q_=8@Bv0Sxz=2N|rt z*874|ZetX^FDN4@_QF8>>WSW`VXgORSnGWn7QHWMy$mJk?bZpu(K3A9j*rwCg2dY` zXp3+;+S@Hi*lQjn>@^QMatdg@FG$#H9wh8F4-)p82VF_8^}ZlsuX)g&=~nLxs^@XMseG?_I3+KQ>xbc zf-$R*uD#uYv1~ZK-N-8XB)<3wd8VsB0tvm{f@yFe(%x>tbb{L3Etnyk!6B~q1@9K% z?m=(2V24oB+b!5Bgw*?jguUiL!d~+rVXt}c!84Gdz1@O@z2?CuY`9)r4L<#G1br!c z&4aza0j#~Ye6aj$ux+%Hi?d%Fb@w?1C2 zwYOUkb;&?`y9J4R&4V=eX7q^mb_>#7hI!N8Zox5bHY%*W-GW9gXNLB63-;M-&Jr2& z#r9>)Mh%SX%E`zIz1@N}9&^OoEl6Bk4W9C@wp-RNGVawH{?Gs#?+-;pK{2^?W@ z8kBwq39`4GV@D=axYzu6gX1PjZ?|BSi$f1WZ?|B)dj|5w+byUTSbMt#iF?h1GhJS3 zT4dPnbVZ)p+bx*m-a-akTn*+5ti9cW8bP$TTd>bw^M_C%tl@F%g4euNaIg|@w;*w^ zd9YP3l=gND-ViG7?H0W0@ph`c-Gaou=D}MuyoBCv!Q0;1kfgocf_FSl1MTe=Y!^g( zy9Iw0M0>jh?|M(7Hrm@Q*x{`QqP^XM#J%Rhds0Swy9MtHq8C?#U4m$Dx8MUow6|OE zp&;5`7}&k$y!I3+9RhDR;*=^lyxoXzGdR87f<2zXa1(F0;8TIMw_EU;*AN--cH>=! zpzsLynsc0oc(dKXW|@ZK?G}9O@q&oATd>!oEG>1oDFJUcPCyxMxcE#@S9~@$=bSJ@ zlU6JQTflde!(%xBk%ut*^ma=ryag%R+s$tYtsy#Y93=Vu2%g0UaJu?EC^CJz+t<5q z5i{u(molELw4pJz@t1(b(3sj}4M1&ZObrc<+QOLHtQ8anu`s3{Pf%MJQxhzVsn)`{ z8B7pFXAN4T5Gf}Y+UF$5kH(gaL&@xFCw6TmfwP?0GNw4PE$IS4(m0y$@{pP{;X?S{ z&5H6)>2}5}%e@<^NZ{=?cOE7OU^2?>1MYxXD7Qy(9KNY3;ERMo?rmHbz9>p5c@riP z6!ObhG)x{p<4i-I{~T00_Y$GW573(p7wL1NC$MFO zODXmh;o~kr8}g=<6K9+9Ce8w(&dbfA3=J0`b+eX$_G>8r2J(3|N)~z~(b^~76*w*F z?&m7$G;?^HTl)Yw7D=_Bdq!t`z0RlYa-{ z_v==`8L*_`tFKD#$UR7 zcIjf$_fVYZUD|?PX2uEX3CUkIr{a9<^6RBu6!eQZZMah4RtbBj)ncT&gVcxkiTEdE z`cA98%pR=%E-4jAsceHrU{_;RJH)UXnh$tb5TCn&c#(l(1FN+NBWk=LS>ws$jq zeoDJZ6Crp;%7U&aS45gOQ>J&s*=193t9cQdjY?%n;aM5)f9MRga0$4ciWj$z?ohRK z$y|*4_KQIKCSFfV|3Ib%GSU7H+V<;_(E}MA*WG2tw(jCMotB)0Z2)c(iGB!{kV7N zaLU1@cUbZb1no2VXu~a}1T$bv3TOK|RIkILZivIC`_8Ljqup|8^8$8b=b0EAd#eyT zkwf#^U>Ke%U3MVteH88TI)KSt9jEJhj-a*p+5@c1qX7QU4ZunOCwB*MD}Xl$EC(>R z2Y^KY2K02CZe-kj53nbI_4pcqzx0zi-D8+z|3FIjV*!jk0a@8H>$cD7$sMAds{4A* zG}QV{G$?*SMz|Fny{i-_FMgxsgx@rO>GnBJr^-`xk>k*Y#3F4#;;5x)?*Yqpcs4A1 znB~xce4;{a)b~v)=7k zq;R-nLuyBNgj%|sTyjClY0)7WrJfj_IKN3V>cBK7^g#UWTS3#QM<-2ug(lWRE^(f9 zT8yn@WOwfYDVB866fcAn|29`UZ3!tpK5&&fjq0hn9E}?UI^o}Ee5c(ZMVpYK26X$} z6FQxPpt-E;2u+b}=5|^f(p+*7nma<8TMk0AHl*PV?cXk1cqz7rG&>GLQyAWlVz{#& zh)-^KM=I4cN&2QCZVGJqsR!ZGA*7jc5SmdT&GiSNSrpPdabTJgHi1S4*b3~lV#VG+ zFmb1v{+cEY51!P9(~WI~}AB{fJYQ?I3lS z3{)$a-GuLA;H|D8x9&dQs{Eyk=4uV6hfhhsXLfo#tY=qf`+N-GYu`DEP6feisehGmDo9(`cOxpok6YqbeT83s>No0p3i^Sc zqo7!Emhh84y~?;C)X%C)MT&fKt6Jld@zY>K-xz-QIU0vpRp6PaoWH{TKxby*j1o6?g-pn7P=!44Bc3z_MtlhVdIWK*tjDQ4&4zBa}zd88g~T3#vOsMaYrC* z+z|*HcLc)59f7cMM<8t65eR3--4VX0P1uxb+!2oKCT!shivUN1aYsnH30nxYI|4!D zj?f0M*@R6v*(Pk#8+U{>41^=U=Z6xym=LI2B2eB;2-E{_!e$xkjxZa@;cmhv1Gppb zhyL*Kjl6mjHq+6u2i}A&1jZfV5cmABjCDuYXA?GatUCfXN7_x;%(3nWJ@C{>z6qOT z)*XTKHTfoNl390zQIPW;Zoy9uS$ak~} zn{uo>!lyv~qfOZ426u$#=%g35`T$07}e;Urj0 zSe&o|l|*|c9<6wMOQv_y_hWlg#HyC7l5PodGgQq~pT4sD2^l$TnXm_InD$msyXF=m-$kf76YuXMAo~O;*=3ImD08(=*sXl=8n-wd?m42`1g=iHxRq`hx zn2le~=auMDssXR7=uxVGpy*MEUCdd}Q1a{X8-|j0ZMXtzZk#KOnfcJN9qhg;qrcc3=Roq6SQYZtw#kN2x~nm=t$Ts z?-SN~RM45Q)}w+hgtZ~;v!t(x&K8!DZLZ0c0=g!#W{jESG zm`yO9pjqA*&fvh&p!KNW-Nu+)dU;g9ccT8|3e=gn5@QNb?4T8|1ocoZ_s z@;>Rc9u<7ThMVR6OK>|d%lr2MHp}}j0XEC~I{};Jee$%+`>dK>-lqhuM`3yYBNVaA z`(FXE%ll+tm-ksl>rq(VzXph1-fxHY+vWXZP+_~g&zWJD_sIZy6n|JEL%!I)jPoEg zgX!qlF35^6?=#0N?+bzGQCP$uhBr>Tyidd~?-Q}h`z)jNC@k-{$KA&+?=wg1QCQx; z1!C;-K6A7lh2{OhC}WrRIVCRiuP^O!ECU+KLdHPygwbRtscb@DILv*C3Li)tPwi;PGmJ< zsdP0D-;HiS>P!2QGs#?+Rit52j<7gOl-`5{xjS;~$YjFuKIg|#sA+iTG0Xe!pkZ2% z!t(w=+vR;Cc6pzVPUunmxfSVQ z4P|-%wiJ|MD0wXJ^BJJ^C@k;uLfPegQrYEw-cIfEJ}avAC@k;K2BP&SEbsHcSG&B= zX<(Q4iP+_RB6fNIVaTz|`@aWbm-i`0>rq(VXBoS^PsA?o6S2$tMC|fD5xcxkZqTE6 z?I}_^1k3xxDOGS-yohfwc(}aJApT}~pRirtk6;{Pd7pO~g2E#VX2WqF;gdBUGa;Mc1)FESSAKELC+ro^bw1`N%TD^mpV5x9O%auodGoG>@aW22qLXRZ0l*j5JFX$ zR-I0yJjCAlA(*65#9M5})gyssS@d5(bIwJpB=f~l#Lz0iKEWLEYRC5`a___xFl~c# z9){Sv90N}g!O-8yq5o$=&}hLnp=@qL78CSKpgHHBgY9gB9ygKbBy_x>v(5yHStH1Z zNkFPm7x9FwISUw&_c_i^JDUhPPq4J}pxxwf3^emfU!|z6-r7l6*}tFgtKPE{xyny? zgr7DB@CL#sc&o;FPAhSa80cSGjdc2DxX1fT&IR0#{CfCZkY2V9!}<>xY|o|XiLe;H z4bPtLIWHsa1Dl56RQ}R0=Hz2tlf%#{+cMH~UI&R&KVGaciN}QLNfp<2>u3Csn-h=$Fk-y~M^Fx_yLBaa0 zOr!|&wuEB;n3%`;U$!@tyK|qMg_Nt7u0&hP3nzF^3@>|Svj7|m;FzOKC-5f1TM0;4 zu-QvO3Od{KHnSMtjL*wPTn=^YN#y5{)p`KWV5|-g3CTnP`IcqkDpAOceOQPP7u{5j zY~I9{>TGGADY^)G?120CDay{fHOzT>pPc!~SsCWg(;;5tdB_=sp~eg1rzhlu^3dK} z+1~A-p~T}8Y2pR*+~1dzs7Y_(;avY|iH^>?xO9q$*`V7RUSl#nKG zADVsJxG1FQyARERefX>b4f|ozJ~UbuF8PzlW@G1v*|rU^B#&HWzrm`N$k@j0^=3FLy)Y{3bJx?>U)xg-n1Dkj5bj4e!c*Dx4Ol*Ly3UQv4Y1>-QpYG8F2pKJ`s@FH+73|*zw~X zAObR89RH^*zAb^a;hx7U_5su^?hbToufUtHUVJ-`%WA(q4=5Mk&SOU2`LG!QnaW$| zG9s`BO(TQ5h`_mOI+2%wWQ#Zd3@U0L0?p|GjfO#uv-?o!k4aWG1?Y`LuU-W7G@!Yg zr+5xDJcnN8I+Vm=(-`#b&(i=l*%^h)PF+<)vWev>FPCn|4q`y0>8!^h$I>@G=EgBaiKO zYfqzK3Mv#qZ-GbIrO4%}8NHDQHCalxT8_jkbV9^u#qo%{7YuReBP(3~bHZ~3Zf({u zQTSn!Rij>2#>_BJCx%C?^6uC|MQ1(#QnkQe+eyQx;yH2 zs7Em$uNUrU9`oYF3J}o5J%egmn$u$1bS(Fjxm$o~Rke&@mS~)1oXS~3uED#3yJftW zg?e6gH)u5OFI*mzt&9JHxjBD^X!nsONYy+23F+G(xuY-(IdPZ!0khWkG8YF}2oZkuA^;TXsd# zNhngvzWoGwE1;>md)hK@J(8v&ujSJKZXv+4+vk=8RN$RvJg?F( zfwaNG>X{^Y6G-KBlxl%K=zxT7LV$mwP){ zq7b&g@)$_D+}l9bekam7W}M1dAY~0d4{M*$KW+!7)oNsOq@ZDVyJ7<>(b5qhcAr~^ zyvAf+dkX5?kt32xyLn|^=)}?3nXnAUF58jA;fOyOh{Q2RPQOf$Bqw)U3PqfJiJQkIodY;?!PO#x8V&9;M{2vSq-_(T%PoevocN7$bnhdrJ zlIt{`2f7CCE_Dj)b3W-ll+Bq=Ly0=`ks#`rGoko?EJV>`#>1mx_|7=KP0D10_ zw8-;h(jp+k7N1jn+m*&+U83zfw(n>&iGVtt? zR+M7sYOnRM$jYn9g$X$ty_mRODE_V06|Y8dR8?YBu`0Ye_swfI}-xjfl~S*;=7IoK1k@(X952^ z@lyrA0eIo~3Ana{h5j|-XU>GUA%b^-Hk4yscTY%@zLQ~kUW5c%2!&}4HnYuq_ z=rmdS$R9P!bIw5<*dZWtjeHoD%RLZv7Gw;Cpq=KB;jE9QabhND7qzyXS}ZlU*+^Gz_;E#-x{wWTrF7E_5d z@F@M(P>qIRW0|}sXtkfqM&Smqo&y2mwLd(~ybAOOSNo;*hrW^iFx7rtVI9|BOX`E+66r|TESwNF3nzrl z!UX4P0E-MH!VO@YmBT?K z&uqH^EHZLA`N^BoD1zoRb4oS7JU1ZSoMs*X<_0jbiZY}oKOxU_wF-zm&HNQ0;c4as z&1vSs85}qo%trd8as7qx&^OXQ3>oG$a~3rl>Dh3zk)As;%xUJg12(6bKLgmDW=^Hd zoMuj*_B3-gBDpWm4ivE)=^4G#o@P!4_B37PGv`W=JOFbgMC2JLC)q8T=Ph6DF0kBB|ZoMr67NqH3Gwc#e* zks{A{%&`k6)Q*#Hq(2#D>_+;TsI1-q7FjP0?7|6i>_+-iQO2HTPC0hrgpWuk>ux5I?;#_OaIg!DJAmPAq{Z;%tsC0D=inK7t zLh*>D@K`9GdSs4;nha1m-*6rj#hUY=Sb#63OTZ+PXBoMb%${_S=RA%{=++Lz?M5F5 zRmmIPzo5Ql(STja3`UpDk}#5$G@<_O-vw>h`MUaMbfSh-Z<96jBt^$_R5;~XLjg-@fW?S^PKyxQfo$9zTxB3## z$(w~Lc7?i}E%E)Y;6vl@EYg5Q7Lh~MT%ePQE+KjW(ELfWJ!d59{}t}QJYs4gPl1Ao z+{v#&&X17O7(AJC1-@moNB$<{Oht}4hH4?6VLOpF2WgrIijTS&;k}T+V19ANHON_o z9BM5WNX{_qB_U&oY&i<;ptp~qAC5ey{um6^_B;@n8e{Go4M4Dylc{#W#GXi^`Wu2gjdNmNR ziF!r2&dUi8-8wHP?@ElZxUzmSu(+~50(a)PvQFQOxUxP0d7`W{hEbiDQ=ONSwb6fy zyNk8a6WQNJ&k^%oN4?@ZoR1+Y!OucvJrB51)~`-b)_*6;I&0O|lz_5M1zkLXaMNWv zPST;W&N*QmBM&X=6`cm$9Nvp_=XlOq{JORDS}ZLO{Ym9AAc*6L&M%bLbob-NciUFLPEKO>nf}uYvA03`YESZvDMf9h&u56)C*4dF7!0F{CEfz zqpH_n7(p6Uy#j(_RP`sixqt)Z4f+*+#i;5HzKS4yDZC*}b)r4+^+$Z_@ymC76III_ z@DUQksOk-*C~Z{rhW-p-7*)#~_978R)$&e18(Dr5)reUq8X;|xN8WufGwLTjq8CC0O{6+(OU5t;^8Gxt?h z{S>27Rdpn+jjE~>VQo}Zoe68Bs_H^m8&y?T!rG{+x)Ih!Rn?tvrZK9jsy284iBVPc zC8&+6svlu(R8{?1=g_FC1`?rB6(6S;h@>IbsH#TJBR}b~Q3SP7RgI=pZB$ibu0Xmr zs;aSUIE|`g75y2$_z8KYtDAs?dYYQ{2Oy%Ssp$l@o~C99XK?6&B(0~ZcfZ0)4WnwQ z9YV>dT56{dQcqLw5!8B`djBNAT2E8E2x~n}eQ+~mXrrq7ko4N9sy<=EwNX`lIxd2~ zltZ)BUY>KLjjHNjs{w1Hs`}z3z}l#)z9vs?R8@}4s%fLDa$QQ$-snoXn@~g>RaL~@ z4n!MORn#Q|ZB$h;DWi?5D$T`uT4+>N={Tmt7**9V?&rwSMpf0w<;>7VRh1zOU{s}S zmdKDVwl8BSgk~@u9h;4;FshbX<1t5~YN;nYArPagddlmJTaPxXhKP=;6(ZWGs@6&w zZB$iHd&lF(qm8QS8OhN`RrRd55Ms1ZRXr~`+8bT1^E#l6Hma(Zy=qie8&%bMA=5@x zwLx;UQB`g9x}l6Vs;WN=nKr7bSEM=GsH#5q{)Oj~Hma&GJ&xW`Pg7rcy?}*!n)3XC z;1}v?D#dru@=#AxQGX=zL{Ix6nqc)bj!5ZfHY}l|1r0m;Dr7ZbsdPo_OQRZeKSxpm zIg`wF`6+2wlp`!ogVK*7LGF$mJ2IKzyx0SjpBOwes;W`$b7+`0s;cpBTeL)ss;XLG zZB$hgWu$1Msyfr?M_$ZseLIBUFkwHU{ozNS77Z+p=t!tz7%SndkU%oqbh$c zM}e@0$E{PZc~{^Ph%bfO;_(@vjjC#^Tqtc+Rc{ED_N7p7dc2)#UkbHNF0MAJs<*re zD6f4f)Z1Q9NYcI(>K%{MK>Jdt?Sg1u3iVe(v@eBv*ZUc2qkSpV4)1Ot+LuD@6gk?c zs@{__+Ni4D7exC~s9l0+JxzTeh}P58hk|H5O?@QXU{vL`r%34#MAafrse&V_7V%#j zoKdyZ9`AMF5>-omDzJ{Kr9Sg^Ap=phc$Xn4JP=ijoyu_@;>~ue%`y!|Pg5UzydYv! zReL?k(o#z?sHFt-G)_PnZn*eNPsd<^p2j(0hUPFy?v3v#hsR_9QX2lfk3qGF9Lsx3 z#9!XZcQWZ9s%HDV_eA_bCnAMzqH0c<(jO_#<*0{pHbTb?4N6~!3d&2psGy{DM1FXv zyLY$|KvA5|o?+O}aAh`J3{i64Y9sbp#4n$Onq;N1CiO~fO(Ms^`Jsv9Y)1Y0SJay| zikx-cbSflLFuM_bcg}nt3hwpp{cWn?xzI5 zo%?Cs2EP5=PmgRscV6H+)0R6M-C)^d~Nu5JTgd>xvv{B|9> z&a{Qm(J&1+X~cz=N!IXhM9y^o^d|N z>wZe4&a_3tQFxK-zX$cAL(u!!w5Hvtt330aAs^d;Sq#$V^5I&G@9%RW4|YS zI^mYF)};9%;iA}f!XpV6$37!Gif~EnHP&G?;nG+yrk_E$RqR^w8AG@%wuk9s375xS zA^kYQtz%b{W<24FSSe{H5N;FOM!1@AWvn~liG4p44+C9>J~D`8T@!a0nI*JD!W ztU^-hd;pIDIGw;B0W1WN`y|ATH#E-}nyrRr4}iP|HJ;N1$|M76)G*vc#BY!Z1=A^5 z4>`=43l0gnlbD1lm} zY;YNH>1~{?3(5-MrI&)7JsJktK;S<(oWlCo(jmw5co9gVo6w5G-`&K^5b^g``1%ls zWsoEDqlHuUF$o&Gmmw+QZw5tKclJfoFy&FCl;6*kdShLPj#j zRyN!?wqA!hWgJ`A0yd7Vj5Ti@TRkW;#<7(=tz#>zmfW$Gi?7zPwJ{Lu*h&V6;Mh7S z@r9xk6}B%FoEi3of;|#Cwz5Qqe6f8Qi_ie$r8XQ{p$#^&#$%4yU?We6C#j7Haz5&5 z9b1WL8!Q}Ki6nPyrNe1*$JXCKOmfH8b5O=QwsKY`cWh;jb!?rAGRYlV*&OTGS_U%v zLc!4+Un`#pEWTEL83^NR<&|jPHtX0*Z#}WWUJcgPv6UlI99!A2gpL+erhK~k9kQCR zRJytm-;Gw|@^B<2kTc0#m*+{tq8wpy8kGJBIdXU8*pbPEM=Iw>p}}#Z2_0L%M8m9O z>siP*j;)043x&)b^FkpP7h>4%bVZ(aKihp|KpSjiu1nbNXCq?wv+fMNp&(*kD2Uh> ziWeZqzEIHGXScCDODN;HFHdx}6DmZMg z#KoS<2Oe#(kv(2IhMU-6BcBSaZLkPZ*8&-^!SXIcPp9aTL6eW!~wVv3P5miPcT+H z3Es%Tpl*ZK5d5`64tf-gf^2-e(7a4W%A*$(w*f^P^1I?Se2Qd<2! z*|~pNII+vYE_(cZfW6os2{(TWu!_wl+~Nbk(O4^#Cb^ki%hyPt4tHn3r=3E>Jht#Ry4!flw|ES5vKlIfYT6@=R| zJvWv@xE<3AV?QC>o^VO*@08ntaCvMs(>t;$l}?mNy8udGx=xGR8{)ZKvdiKdXLQYn*1a1! zq&EAy($#0^i-MQXOn&MuDYy+k{M5U)(D;d_dalz7^-|8}*7(+X?H8bV^(gcaCI$cU z`{KHW#_utifRA7p1_X29p;-~EkIF`cfDWgABXpC~d%ovv$FEz#F;Q-&b#=Ri_FBAZirkjG0zU#|o@ z_clPg=CFHVfbN=AoKyJAzqgU%g8Or4rMf=(U2f z6E6aqTFqfv#eR5!sPt)H-aNhr8f!=5eibM?`3l|uh@Q+5p1ueqX%rr)65#a_wVd!I zN$CbSg`m?8kaF_d*gpIjSa8%03j45Q|Nmwm(iPfo%w|kkfUuURY}khz+0rh|xB@M$ zC!?|pyYxs1n1b+!OZP9|oZ3>dw(aAL`|+pp*v$$*WV{IePBV42d2L zdw)_qcQk`?+bP3=+&L0hgU<~dY!s+^yW$M zX1r~VMt9sAgtrhbUj?sux8Vgx-Ztyb(W^U0FB}^CoukKdWZXsWPG~Orw75k)EiU^) zBr*-Jxjbktheye{-G$7}#G@-$LO^zRNt_FPy}Qfln1>U{dJ2(~!^3LaRFBE-B9<6O zlst1mrJ=l8NKEsX4X`{8gTf?_k0(P;ePNh~&tq@gapb;kq37H~p|!{0 zJ*+_LIgZu52Krn9!g-8il{Nq=L~M`=Qor#nr=r2tEPf1>_o5D$d(JIT@Mw)MW;nrO zybMOOoRaD=qZlho^CYV^vef)YMK0D}czPwVWF}8)6Z8V2 zXxt6#*t?0~c*7egZIk4nSS_&~#1Jq=kl<<{<8f%*&2o)^m9aewt_a)nXbS3C&W4h=fepwnikmn^it$)}Y&3%g89|r-{9!SnWhQ3Bm zvGEs!bpe|36mRSg6FILM*Pm*()94yOL+ppdF6s!3Em;bzB|7ana({vd+W0(6y-NgF zU67(BK+rKSR3oP^5p;|oqkt4OUZmTyBW%k&q@9K|UP-Q)nX8Vow49Q~VMb!2lh5On z-1jN?N#sw$uUp%XZG_bKS<_1>74`X$G*1I@Dhk;z^<@GT!p&oq6#5AYwL$Ib%j9u~ zR-#P0&}1!zu>|ZIxa4;MFsGDpEH|TU7b&{|Wy`-t(zD1ckKyXP2cT^u0Pf(Dy`bY2 zL&X`3hL@)X#VU9zl-o~cZ^IvZ&R#HgYlEZkRwHw$pUm}Rt_6-UsOc(+q1!b!23UK% z^Y_=ciNUP$0IBk9)iPI^VK8)264JPPzO8e>&EyJ8{}xipq?| z9CCl_{vMZ5=ItFIFXs*EB>+xmj+xA#;4TEj{grz(7|2-pfMgs!9`Nc96k%c!6~9hj=a`jBr{*~=Hq)f?v+l_wlM$Hee!u1mHd*%;LDi?JiW$g z)3kN7id<<>c2Fz_X29SGIc#XXZ2+*6&yKFBmx21fB)!)kEVQNN@&@J-yd;;P4QkFy zpy|#ghD-30bmMKn*s1FUd!E>2^teVpA&RX*8asXkF`Ib?(DuA|8_bZ$SllRM@p@9u zLY79?l5!Ttn?~K!IEFX6oWa?!8Fl70E4do`iqJ4O;>|$y<(iE^rd+eLX;~_{C!z0g zr*%sCEMAUsV_B~DZ5Crl-PtVG8NmGjx}FH&RRV(mcsBqT1t62aj{tNd!1vJ$2+RiX z2LMh5k9~6s<&pgfM0_D*d&Cb+#}<0LV}A)!-Vik12{-C)*4ScTm6%q=!s9Js=;ji6 zgg2@M##@3$D+R>`(&$M*JIE2er5^9qBbkkRfX3Dmqw3H~REM`Uiq6$|bKQ7(c~VU6#^PGyT{aRRmRx}nL^nT5!d?(GAh8*`;=F9s%EyMh3_ z_E!YhwVMdAYriDGu08D*G{@<<1YW0{L?x@2nAyZwYfi;Q%^~wriAJ{@S!}#pdpkRQ z491quxC}hM=g?;|>7uP`oW@{BGc^!`D)WN{kH0r=svDq*qp>nCtV-l5#sx3{Z`A zBZg*ZY!Weax#v@-S_P~^kr zvsgrR!th|neJ$)hq$qUJ*CML^U8pMr`*K=mA7CA(lZVD{VlLz<#i8)NV3;BrZGJa1 zaobl)W0j|Okqmg+a?Nsum4egQ$V$D$hWH|?<~0nJPJgnKN)9VMyJefC&T z)M4$hr~>`L_gF~n58Wl|F!xyK3SWmFiFDACzSCHLojr8V!x#vWz66a+6k+2Mbrj}` zb%`QEBQ>8NjHwX%1~#~|3(mE6BO~!XVO*jJCUc28vU@C~kMOwQXfQ5OfpS8ZD0)}{ z{1z@zccEl5mnb&exI~>5ajZ)e!#^39sK)^tm#9|&8 zKw=*Qf-rC5ni3i6UZMqKH_RC?fm2M2$xqzw7WJ>{O0(xo;ow@FC$yKD6*5&*KF(SSL#s z&q5Wu6*Y5fBX|^Px1NQ(C(VYElnEtpAvwQ8gwaD5lIr+-;56ZPLLoVZa|F=rD%@)J zpmkYtY~@wta5mC&M*S3r2%!wTbpYKB2tGLyd>J=5dc5b)h3XT$Pbrf?@vPKk3F`vk z%bC0$d_?$i3NOCb2)~}06N#P#J=wj1GG_tJ9*eqM4pLp0T9aPD=nJe1y@F~`wy+!t ztdi3*!BxJSBN3{Zt@>_4H4A4< z!Xc1g)VHLenuYM+qrd$S`^RYykYh%!O^f@3K5s4Rt7_{DSx|uvP?|Mu6k)ht72#ta)4YQ64Lyi>)X5o2hFdtTUG&on)rK?pY|~D zPl>-T`QwPE9tHdZ$^V-8O=zS0q2#yt1*$)O0_=|;OMX}4a@5Ff!B+y$d58Rd9-FiR zi$qIsi6*1@*>5oM#u)pc<1eA=K8cK>%y>^{#31BaWMn@d$Fo+0I?uV$b6O#nn_cH~ zwqhISZHhw;Gs?fEAkuz_f9CS;;Amp5Z9Gd71pV~0(-h{N?*J}<>#M26= zqtTSNQPOzw{cxw?10<5+yK%yT6}Tcy`)52YZwlJQv`{D}Qwf?I<*BFHNN!1;)ODSr z@UWPpk!E8^V;QkH;UJh|v)jOJs)|kcY4@YZT%CPhX>Eqw3ZQ&X*z^X^3WfyD^wE!)^>_*VVm7 z#jW5}FRc~)h@5z1gEPvBH|+1jc#T>F^8H?;m~@D*QJfEnuTjMRhrC7&M^(Z|LkD|} z;xoWn!N1LG)MS*m8-s^ZCv2F9ryn8>aT?f-!9?uFU?O&7@c+VtF4?L7#n&ixMkXHY zFDyeX=*n0P$y_nd4`i z36w*l>7zj5s~|i&1CdzU#pE#xSdIj;F5xSfJ2*EJG9nlVp(Pw!T&a%cxg+p1f-ivF z*xX_#-;DaOPNP7N6x8WL@XKG7!3@^P9hxsS>cbkj!wRH6eOMoNc!3<0Bjv%g#hIB% z97Jx_;C88SYYx3gw{Q@--G++l77il6&1{lz9K@T3`i*X(@aPOgS~#37oC>TED&GjU zujM`9-vF)SX*YWREgI;Sqj_!x+z*jUC*=dQy~Q@RddecykCada(dq|nJ*TjqH$$AR z=M>iScSNs(FkMVN26T^bVQce%N=+|hP5S`T*J36gShyB@!`dFGK0`A>wve2zuA{NlGNR^I7>JNu>|M~XFkTn-oPNqJ?l13WveaENEOr(IIyexPVT@nW> zGH4rqeG?*S^u z|B8Z#ea1q3S%{pz9AKLAe}`HG8J7St`#P^CABMyU$_UEKLLjx*FAEyhk=!+`Be`o> zBDn{xm!l-32zAD9v>ab|;3IX0pxvlIZqW94SjJ?{C6HGp{jv~rkj|G#?m1y6YsQBS?*5+ZudB}DAL&OFppuek(I zdne&;t6gS-XM~$xa|xdH9)cL{G7~&6IeN_{Sm*H=KE37=yzFsSYL}T{y^!fOmtceB zXqTB_qemOCc9{wOEM$7kC3r=eqg`f#&pmqZ=$D1yOOK;BbeRdh^3DYoy37Qge-Q}7 zC_+JsUxb!#(@}(isJ{?-A9xs$3(y3+=E4yv3nXkzVgVNiPAa_TO9hppc%yND-H#qKkj3N|_a`&KNI*L#*-W`K{ zi6RtK3#_9E1&LuvgEL)TX-0BqyVDhU>L^0N9QRf-U=*QXuE08qP*5X?jv^GybI(Op z@Up<4RVWbFQ1*2`42zZ7*U4vqepv{%%7xNvF2NffsdN;f;7yOWQyoPp(C4FTmzm%# znm)sqh2U*(G9>Ajh2R~J(?Gv01ltACFAKq61<@}H!Mon?AxB3M3U+uKfaoYf!A==O z+GQqqPs(VQnc#gvw98DeOAzfc6MP_uc9{u26hyns1Rn`EL=ob(r%34#L=hrRse&Vl z5b?JR&L~2`9xsC7CTlLirvmFWm*6w65i+pm!n+JX;SsL6aGZyDv)#dFnT8TYDEQdp z1(7I1!CsHDv{WviNC`v{l5;S5tH8x)db;8)Y|c4hhUQ#JJ`dkf4v*^qNNLaUi{U4e zQg|y;TBylTM*NlyK{bwJ!S6@#EIxqK)l;Cz^iPB4Q18AgnOVVticZIsKCC@*^n&rK55=a4$h+hp&uV5^I zA3P3VGJq)n3TFa%0zk{lNP}WUg<#bWr3x1#<#p1m0Ps11p94sH0sxO*YzLtD9+Y?& zSD{3f6iTpv=`x5dZG5Ze{0+&vHqC%FmfAdEYBLmtr8YGLSeumqTCp}x8LLuWh(Zi? z3eI#@Dsj19Fbop-o(5(0kC|wmQoJseARPs1Zf!X(f5qJtr4+k-Gnlra&y-T^_LF{# z>|MM>O7TXz3V54wlKm1|-Q;)Rb>_La0;x(KXnz1cVUpvoxJln{@84)`)w5o>@DyzF_9%ll|k$-n&tmZrc~wkamhUtu-&g)!*eE+ikDj#O<`hz+OY~-TTB| zj@zN?#M2yXD5HvoY-+73FN6Ph^6w!0_YiNy`gIiiy$!%6f>bBL=WPW3Ys%{^^{@F8 z)~RF+cax##FI_yhqYT;Zir2tjddHl$i%H)@aR_hN1bTUoJwf%5{Oxl(o{u$)UTTn_ zE9Q3U48W}t_D;LStji!Z1!)ogo-E&Kx0l(26{F}z{1r&)+@=&M)zL){V6*4Ye8A(Q zU7n!K;z*Y)QkO)!G$WryDP8Vn`uvoxlO{swjFg(YJ*RUKY2Hk!yaR*22QGi}`e>xM z&OIxG=bp_N$&cTTnFJEcTtvO`)ey<={GF|C%~!{6cTKqF)mDpW%uesrf1@~9E=4`!yfX9Fw-Dd5X-!5+vz55tLAaX|z1P&} zOcaqC-A;gg`!s;=B$FEL0H&LL>o?X&ge-=Tatdh>Jqk}HY4$N&Lm`6*P{>pO-AN`w zmIKp5cB1sbM@bKk_b!-Q9Q^L^=u9JIwGr};5waIRPeUq#>c3$G4FZQl5Htm35a75Y zquY$2EHIHS8$^JunFye#Ar(OvTR}D7C`h`k7G#6K3f*?%(O}C*&(%iI3r5g~0J@V* zgrvV|TGMdVH?>BD6k_B~qLAUydyJ4WFp<`rLx8Qh8bEiFiIA06NdNe_$BD}axJlH4 zsD>i^Xnow!!yof^su6M#m`FQ*MS$(t zOd%u_A^)^O8vgQ|gvc1X19g#0KOy?65z_RnP)J__6fz1xPeUq#Eb(l$<3RDNF!wR5Ut?4Fwe*?VT z739|4r(W+bT{Ks#0X=+50zR{kC~|{^ei716a!zRYh_3m=KzVnaFKs|s-G<@14I`Z5 zLJ$^)SHu$G!i+&%UPIxk-2lG!oxXG}4`xe?`n{2fw`6=`_xDS|Lv~Cw6 zw6?@U$Va1RjQ<2-a|k(Ma|k)%Z+8eeOB(+P!b(h{k&cA5Ni@=lur`TCIuq6=(MT7< z+9Vq3N?4mjBi#sVlW3$n;Y?!^jZ|^FVBIdlSU&78kzH-jH^zSgnptQPjeMy4asp;W zUI$(JA}LwrWs74{J9!WR@u;&{fl2fQ+{Oroy9On&`u~t!gyF_G-dra^8S3Fu zaqNOwq}Rp^x$o{nXkpyQS4gT(?*O4itdLaK0Ly|&tCU$=fMjn&>fbQ^a>NkQn&tnA z^xQjsoplSp#Ro>LVt87Di-&NwaeUU&?eL^JS^v4g7k@1LzwDB zhu{l+wN3?nuHi zcO+q%JCd->9Z6W`jwCE|N4m2^5_Gy#DOqnVBxtr45;j{4S?6$>J2H@n!aH6ze#e1G z8e*5ZBO_ra54&s>K@%B*Qq9&v7|X(C?#Ng+oXgx~6~)AM_z8I;4kZwKSk7BO!ozY1 zn!|F0GdOTG7@t_4eyb155lS5yLI@9iYvJRNk!))r8*U;)%#ENg<-qdD-Uk7j$PgO= zo5&E1>|i28kf)6d!K&HF5P7J*-CFo}6tR&ZJUsPmWC${_ks(+n`PRZtXutJ|rJs=X ziRH{l<`c^j8S=&UWlTj4%$ijXWW^85VU9U0hZV4g<(!Us+O35|?AAge$+s4E#)!9( zA;`^cExa9KY-9-L*sX=bQO0g9ItWPYL@U2fQr-Ai}C1QPI ziCCZ5MY-9)`HZlacVVRrPo+70~u*^-I zQU!C!#IRa$GZ$c;Snx#bDW2`9G1grXb#Ka1u=)^P?na; z{k&2F%iNrRGTdq(iRp49H#xSsSaH$xrfhN1m;3H=sT4tELhZV>JgVyfOH^b!D3;P=@j^eIy7&LfXo zxp?7?m5XqKM)W;dxhU0WMAu-Fn&Siig~>#X=wznxA;gbdQ0@|;PjfndmtVYC{kxV0EiG&bNv?-X| z+ksw{jIz%fM%h+HG^gv3k5$zKzi)E}v)B|O#MsyC^mRuuZj@5|viIqieRwH6V ztwwa@V`bT0LL8Bzz9fs4#TsF>A8M?uko;y;U08C2#jhP0J%t3>L&>otlL;CT=f@WY zk84C5Fbb_k)D@2}qY)8Kt`SKfG>D0UB3+TE)rjVhL8uWCwi*!;s}T)HMz~ApU{)?Z z@ebF@m4_l$Bbp4vYD7miRu<)0jfj)VYD7e=MnuGFL`1AcL}Y)Bh<4HMI#w1t^}Dlj zv0E@E4je11g_nteu$Xk2zc%}Up2d{b8c`YVLj(T`lp2w^*k2Az>pT@K1)Zk~1^|yS%mI@RQQq*#Ol?IfZ?#dSk*$O$ybUmau;O*UUisZgEiY{m?4DA6kX` zq4_og4!V*9xd#Mbt+4ahgyerA%7w*3(NcDA3o{m@QiGzY2CbPxo= zYB~X6YC6N21}7tjAKBo3xci|w8jPk>7gj1k)1hi+H66~3WSS25l_i8P;+8(6>C}al zihSj9e8z1*e5;MyevZLYJZ}4;W4G9T7$C~pe!k6qXujG#!wVr7hbFuXCYkF(Q;}#o zZ|y^a$@C%;#Mbi}0GUjo?dN~Ue&}&%MQHoE9(@OmYUpQ#&^$S z$A5nTLhEc+=5^H?N4PsryYT^QU1K$2Us@ETwx%OPeD$ot?^3Jq)?zU45)Rx6P++@?Aun}9Q{%-IQP zJHxa&@wB4dNK1w2qP+kz0F*UDl~_{qXFJPxv6Eh62NWU`9{XtL7Lc@N4_(O~`WUsV z=z>gk2I@pD5PJ?1+`KB|ZeEp3GH?zHJ}Hm?KlZ)^POhR_|K9F2@KgtNFWPI3=kkB69@tl5F`R(BJe~U5fqeFke7$-@)Q(QAPOiTD9VoD zA7n>_|M#7$y0^M#GFeCfpPl^X_BplJsZ*y;o!W+*dmWRWJN##G;$Pxuclh-+IBSZ( z#4)b36~*$LM`na7;y<*C_&PlJFR?aK5f{}1-cvW8w7Ocp8M(_fCte%ZoOn%-v2ZI` z{F&DhRQtc^s!l&V4vcK#KJUhO29 zzuL*OFvbSh8PY|qc1p;0S36fAp3xi$n?3z^A&<89f3f0bwR3|Hd8b!9c~r@)c0K~w ztaiQz*sOLk&2Y7oMQeMt^G!qvS3Bbv&BOg)OrY)kUl*b1;cDkTsDHTH$txW~8z6f| zTQ)$(sFn}wSG^V`FvGxjct!ia=+ox@uftHzaQ_#PaQ_#Pw)cOHg{*6Pwew13)As%^ z-mDO=cCuHtz1m5iaJ7>oS=;-+Ses{tC&2Y8zVkV$h zI|+xYokYUbPVAwf4Uj)q!CjY7Y=A$2de){5kPQ{u02wOW|3z`y_WrLkkwLiHxfnTx z`@h%?!u?-F!u?-F!u?;jAfHfk`~{G#D8yaZ3FxOxY_?jINbkL1U|(6FG?ALl7`;@MLyTK?EhjnH2c5UAZGs; z^HRA^H!-mPiyctd4UJFtGLL<2FZKz;n$6;TB)&=#dHkRVYUf4( zKL)S_ks6>dei`36cm%Tnhi1>j_t5wToM`Vo?{--A5bP8y*xc9#XsVZJpD6O#5*dDq zwpSyHPzO3BDRns_^nj<^@;rR{T?(i1C{n+x04ybNBY-ah=zkl40rw&}Dd}_@1qub1 zT?>$I8zhNKi!@1ICrPeEEFsD3B*`1V2Fi0rZ;^Wa{s!Ik9)zEXzk#Brzhm6{jKx-L z-uTX1Fy%ok>FdbmaX7l~N||OO0V(Ag0xb7s00uLJ6m`fTB*LPCf=a!O6kKLB?Dh_h z!@tDDVf|1S{sps#n|G0t<71%;ocfJ3AIW;o`ArnEV)i@`!GDE=tGo}A|E^gV>9l*& z>{*Ue%<=5O$kDr-VC`Ij4|W9DQ9$+XCC`22@8$b5-1J_)Ed;6E#%Ix0?N0fB08;$q z?B%1iyN$hk_aIz&wzON^oDgcatT?q> zCY8JgAO7hy%e-Fz3AJ0O3`V;pn6KSVg_F^4d!w%??N&mztKE_C>imyA0(FwOb;gc1xsf?UsA& z+SYD=glyW@ZkHfVsNJ$xwyoXLC)94gfH-Yyx2#U6-S$ARP`f4fM%wKvV3Bsa9swim zwh!tTX}4#=4^4|PA4!LM`N)x?in3xBp#|*;wnKz1@Tz01GH(;URoB4vX#iGmI+=F) zDZ})H134@TgV@i)AvqO3=TAHX`#c#toSC$9v1(iGI9!~cj z=>*{sbZ2n}w%mgi!a(N!ysa*0&DE_aisK%f*V-7yZ8 zK;M2Mx+A-x(H(g($LNl{Sw-EkGTg*~?#P2!a_k5^NOw&9sXL0nRI}!`*VMaQ0o5n? z2E$zrl?98xP<^6vAj7y~-U#hRRG;Atb`mgs_LV5kf!vRXZJYzCrFYM>pu+Gkagr>d zd(Ib-hgNUy)9TG2t=@d=KqiLE|oLqAq$noPdWT z$HL8MpUK)GuRtr@jHYk9Jq&zl)(+9n+O+?0DbB1N7H&p^y^)(i{GY{mUsh=Uk;}8P zzl?m^w*Tw{LDsf{^!;rrNL;Q66(r96+g6b16DmlBo6!n4qb&k|hHHn#;Er(ZkVv?8 zNF-c4EZmF+`w#D9+kH2q@rl;p5-tMHhJ;;=-&QxHO@-1E-HdiRF?BN25ihHOQ8{7YQyd+cN?B?KjS6Q6xP|_+*d^W;7a7;p_k{&ai(M&JN)Ikiyvk zsK0Mu81YxQg|h?p6RG~ou@a23;qEFT;qEFT;qEFT;qEFT`MaxlHsJsG*@5`S;GtkV zYu}|`H-NMIL&$7_R?~uCx8)${Hp-e*#O>toQ6GZ!sE9{L#{3-!j|LQtL)d0wtLeu$ zKLXZuEVLRoL$UQb&~BnumGeQa*ye@ZUqv1#au1OQ$&PLRz2M$ccEb0>Q2-nwb-E9O z4}9vuwoM0vNaV(OImxjMiO9bHl+)!)$UT_F>NFwxJ@|E7`Xe=# zzdwOq*aa@-@6YldLyYC`KNx;D0aN84#`6DzsBG&WPBJv$RIRGc2veO)bXj}c1R!=6 z$e^lo1`5u@uqZOlIY^n$MAhEX!Fw0qjo|d=xmgCaYlo0d14-RLn)cBLS6Kqt#1rD!;UfjC6dk!2;n(vV1m#lx~sJY%B`6E zcg5)i4K)5!5W}yAv9fS_^#CfSW`BR-^eTmdxvRH3gr~95Z9qpf`}+&0S0P=pA41Z= zU?)n7vC$D}+eTM7y;?ZEs)um>B30q^D);X{4|(%{^Yp5%fqsh$V`s|N4Ai1Q_;p)8 zfNochBdeXM_PDdDy>+I#$*d+r$Lo&FDw)|Afb@{;Dw*9zqLN1?^SB0R z&(%ogDkRWrqtfVl8}(FFwF}_WrmDvHP6JgnH}zeL%J{rVaTJne>0=bOWYQ@D7kJKQ z6x4I?=mGJ$7@y}LM_Z5`ji$FkV~!;gdn38HdUPtLPH4uGK8<>@q<0Gjs+gM`jgefx zD(dZvRATF<(m&=;p+_uHk6_+%$_iP3(`WsVzQBp}?iX`1PyR+O2?+4Migp45XmF|HexMwe;#xxu zo^%q9W{c&f^aT*7>|$1-uP0e9TC~K#PH7{EQkJ3b2=OgTTkrx4o@>F^TksDfxMa~H zlt889lpev9CEp2Fz6y3qanFvGwA{?GLBAcLA&-5C^AUPoNBd`vHKC0EQFj4WNa< zPyqJ$oUqXivc_V07>1%q>e;2UZuzJ0ID3Pq38W`s}*v035@6wDC_4_rHU>b>in^3@wQp;M2Ja7(PBWiGiqs z%<3$W(eS;)F%IR9hS_^#&M46RuV->3DvXAO(a?;5N;DTn!@_77jfOLOatM&&(FhK= zWnaja>^kHAT}Ym7j*TDtuG4{I@n8UT92+~nj-2*^80^5Y@pk%iY0gjFH2ykrtCIOC(_W*D@HXxw~nGnagm+8lG&cU9R4jk+12ym?HPk>|H zegrtyttG&*?q&c^$3`UdG85t;yOn+%WXrfH(P`s-F(<>J0b;+ya5#^mbH~Mq(uqzo z_QI(H$KKP$Zv*_^ryqyTZ_tlJ=Mw-rUJb7e^y1K2TM91@ozn?$==>1@4xR52;LzE> z3;>7D3kh)O{1AZC@g*em5EJ6?IVb}^4xjrI;P5$@0Ef>z0Lbv!fkWp*bms7xDhI&f za|i&ZQ|A5{t~Y=x#T5I)c@3RAKLzJnICt0!PG{4}sm+uE=RkW2li)ym9RL|<0um$PTPv3OGE)n(n#5KFGq%JoS`Q&o#|FREA?S!Mq%a#U|n7_{rGx8}J*P zL8sZAjC%Dz&mlTT&}^}nSiXL>6Pqh&+Vz6(bb#-ng1RVXa$+w|G^cGv?9_@?%}(q< zXa`P_SB+rvR|0g>OOT-3ozA8PZp($JWHBaS${7?Y#sp4~VOiHHd67|ia_;Mtj$eee zu3n5#HhvK-0|G8YD4@$Vnyqr3%o~i<>rw>#N;S}@L$ne129{1>GQB042~0-7$sLf) z1STWs(?Bz6)X444WKM?&$z(#byfXsNWHJp*rr%zIA}K)+R49@XbVV1$UCFo&OsY>; zpvQ*jX)s=wVJ6&%RJj`>tNe#7?ZErd#N|ga*ueX7wx)c(fR__ILco_E2H2zmFzl-m z?ap5T{HuV?1Q!ap^+ABks+ibTxK@k;4<8F|seFgt{n_?T#XLBk89EM7j+el36FN@j zXi13e@Ou<8do_~D0>KUcPMOSh2C{Ou_X3AkG?qb%z#~&7#bcxg#bYHrUe1j%wl`vA z8-Amb3y_tS++p;r*nn7Fay^cfSQjA{Mbp?^&;Jrn?;+(mKiBgb@m#MxDRZp+?xbhc zY?PhJS{@J6!>PCgCAk|>D*Lfwd$VFyPl5PR=)FmWze?zA18CF(LH@uPUnBkY7NS<) zk7O)@zK!77EofO1G>Q0D##c9xJWZNBJ##(p5YHZHTozzLuIEGatXK@61-Tw>A11j8 z?88{=b3MDzv#L{T3JjqKda~!AEI)~1M1Ss6LfyGIlu#>sD+%=;9-MnAi4p4@#MxO1 zLS` zeFLbLT8ukxZ=gzQ5gk1UftA!^;By8GN~uNkU4>F=5&iNI#8py@ahDARs-zZCXE@?g zYO&_!BOtZbgPHb1*RD_^g$?9XuKr!jsRuAJ^cbK#njcXfP4rM*Lp;i_MlwgiG4nqp zet(u^G?I79?|}!Ulkylqk7LE-ad>Q@#|*HZ>XTQ%BYXc7YDia|X>XSL2}W?r@!#QT z`phuK7)YO2;gjhYqD3Qt#zJ%`(U(~u3A~o*))1{91+*nZUnjaIL{AtE;tWEL-+~m# zh+$C@`4`+XVp|k+3bfM9f*vM|cZrI*OMJ)g15_+tf^HxxMlV7C0u;4`sZr2DV@a>Y z$g(5)c1Fx!;_+>GWW)j{=!yFR6(g9SkBkEBoa}ig=j>P`u%}M6giq` zM3KjcMif~(F^3}e0d*?J{vqZ}L{$c}=_9JV4^N9KTQD=QsPco!KqIO=Pc)*+dqg9u z95MxHM3qfMBdQcnWhs_DjvJLwii1!JE$2y-jr+ zR$T&mTFE_6M~u>_GNV|1;63rl6XSnEXwP|hNhrp@1K#J_#xqaGoQ+6e;<=E-yQpCz zRWk(SDVpQq~bZ&1(~n4 zO-V&*o07^&l8RP1>Eg~RSL&ujn_I5LwjlE|khBdf(*ek;;wCip|00G`-uj73k$oJF zwlxQH@LRX2j7gE9se*l{ltl1&Lbr6}IeqXvEu)fZehc^%a=5 zhK@>C&o;ra>R%+m0P@AZ5WA|Z!JrKXAbdUtI2a>6XX>($1G*wS`}oACV$NF#f7^Ng zUVVH9>nnZS)WB_d8x`LL4q!CR0R&fpen6?95#0h*D`+1Ab!Th!Oc28Zl7!*`f~f-` z6x4K*s5?)qWfEtuR>}mKMLkM-_jtsq*npP312J-V;8r+p4IMQPyp+oWvk}|kfzyGu z;(<3Xba>9`%R?TRqj_M|(=c=-u=sL*D64l|+<#8g_2NF{2P&kdHg3zqsBoyRKMazD z>iS93+f>&Xq00qIY8 zDAjeMCm({iO6oK2twfdFC%SPa;wp7r;?5G3>N?R!6iRiS=(yR4tJHPIojwPsQrC&T z12k0EH_ioXHdMBuu5SSs)PsKc>U#M+gchwl(y?zsD%lOs=IGeWYAf8qy<7gIlA_9< zqu{ScQr`~s`2H;Wvy4){2_B-yi{?Lo9yN#3<7#;DS%8YgY~X>sUbXxlxXlERSubwP z_&@~CToj^55UmQ)6NnBD(TjkVKaNDsqgJkzK_>D;22(0B(c6hC6`AP2h$>}}X#G6& z*GL((6v3hJu@u1*h(?OwSwNlg_mF#v8gP{RHyJL<{aT_??spN5a^DKH?cASbz(@@Z z<^zp#uLFu132Y7L%vcj2GqpZJzys z#BfpW|4TH={ZXP(?k@suJNLZ0f53QA?j?sY_e+pF*R3;`a0D4BO$m?8jF3HsGF;V7 z2xo=4D>uwmhHHnJkWi9%-YL_yGB@~y}}IS!1U zUG<{!d=#nasV%AYEAebZSva$OBiHk3dR7do#&i(bS+O$5Gs!DoQL%dGdUmI0)ohR= znkuMI_Jw*CC>l_)ue=Q#MH8>BITYxbq zuyhl3h40JtoJY@!QHb@YT#sWU)=h}TV*fMO^GflohqaxawJ5zsjh~3;McR|^p3goY9jk0d%B~LCbm5ubsQF&au&PdvRksjosR(F226Y5OPi8UroXaG z)1rJIP;F6WOs^iOvM37*vxK%N3ktJ@wkQiqvxKoIzl7M@qI?`cZBgC;&?$ajBo}9F zreH02%GE4|F7Y)O6O|d_2SCRVRU(V% zIY7%HAbQV0l6L~B+<<2MDtxkHuW>-SrHT;F0jr!wJ!E z1J%RH7NEBgRc%A`eI^4A=*_IkPh+lqDsDncd=s9T!Gg2d4sS-Yy$T|;r)AC+cec_g za7PCl^!J$42|!lt>8e5V+wOXV^qd2Z4%_Zhq(WWbYDB&ok&n1A>S=BDF0~iA!DV^c z>s`mvKk8k#0oA>WF|FPuXw%*wRaRcA3Z3vRd5i(31`1akYUQN!)=F#`OmBDTsyKoPeK?vBN;r z$^<8;PQ?Kxjvg|EwLb@7aTupHoT3ur_F zen1+jrZdL{at;UNN@O$snYL7eqTchNTFdj$8E-+#zIO%+fV3wdU*Ed`NI;ha=8R6f z4{4aV6reZm8i2{J2$l329|D}JPs4dUK_>-;(eWk__K?bD_)Wx7et*oL0VZDpg&X`C zOfq#DKK?-r;iN_}$iW*KB=s!9_)Yi1w>b5c!GI5W0&pg^mEmW;hi^9ZB*V|DK^baO zyxGp5Jpyp2)Fi@l767hKl?(wq_ltmg;Hf4=DE23CSSwn#{6xo}NYF`r#LOmr9k7?$ zmx)g1Zi^&}{wRKZkDQ%I(_;z?otC{A0E+MChXpRClY9{TVz~O=75S*g6#5&I+K&3Y<nko~R-ztI!>)o$No*NWnVl z?an108SCm}@l_q<8KlMz@+itg9$oxj$gy4Vr*=bWDVQtslsW@BHVi3giQ!$2;>9vj zZ@zsNi{3tqMQ@+QWP7xhfW8-aH!ua&nF8;2APKt2iz)Hw#gusTVoLm0wu8qPQ{uOs zMvyP2#BV2$$%`rRJIIXkVoLl8R#je1iOY*A$;sWY*~RPdI*69yiz!~GXAr_keFbTF zoqq)|mih(Zy<7zGQhYJR>q23aO!37Oul{ccQHRy_k~Xiz(i4y7x%&#S~Ayn3Cd) zDV};UCB+w0JoREqiZ7;kqgj<b&w~^s5jC z-jBky>_ODhoz7HECwE&$Kn`ReYTW6pZ*24GjJ%6EofU@^%EL~*`{yglcPj5VyVq*s}gmaci&_70{3?NHbO0k%LPS@=2(uxF)M-sHX*CTgKf_jkz^&$!CMH1AD zB&ZijP%o08UL-+1OM?251obTm>RS@jw(j+ z*i6p;K42v_lXHF!Sc%Q#+`j@gVlz469polrGdYo<5}V0M9|BflGZ~7_@o=-mW^xJ( zEt0Drk}Q=^E3p}amlB>5k_DHLa2yF(99aVu-4>hEjW;WmmpWn}W4u{UX^g5-erOsnxS1|{z)GbCDf!BnuR_d5i5s7#z+_WZ_ zT6Y2vS!42?4~`F|Wd|dN{-JgqB)^5ccBj-V$RY5GXmSNPgt<&X4t?r!2t7MT4xQBv zU7RPqoF;f(iC?#6DujcQL*fC^Q$f))o1!PadCTdQoa)5pP!7g7-+KDCMA4B*Ch%tA zn=gt^hg&F$nt?duk(P&(&!H%~Pm7|rP^woE;FwF1<@T*E@udhGA217FSU`vI$6WBB zmKl`gC0v|Pw>~I4j4`}ifvnyAnej@*cUw4h3nq%A%;Gk$PBb46T~;B@iRH&Z37(`d zyb$VfW|aB};bZ$Rd^6^pC5aU6O^2cME1E`dn1G1^0EY{BK3zu$cr(F~0zOV~lz?jq zjuvns!7&29OmH89&OvA&&$%@sVl7gqUil1)(E}8If5(W}CgsfGB~3t1$>W*v$Q7Y! zM)!>sEAY;ztD1Owe2LV%^%%GW-j({QGgN?xV|F>t;9rvX5M%eU^8#z1ex>3SMVEnG~Rh?-pE3W(dbbC#qwc+ErV9z zT?el~_*6o&;1Uv!&zR_}fr|bW6CK`f8*f%BFLiil;VMb8p30jARfe>{8*02)gx-V@ zaTR{VpcQyCO(4?5O5-#HM97oistsD#0VE$9>BFqbXgExrL8Ho`w_?tt_;p*#z{MHS zg2WIAU8kHA%h+TFgHBYw>?mT4ZzR)HQZBZ6WyP8!o!B%LZkbcbEVWw1BT9kCr1NcE z$@9oARirX?OD&d?>-GWS41lZW`~hYmJ)az{dzANf%sCYS4sQ`wr*i&Djluc`8eY%b|$XV8~) z%LF$4asq5~0AX`QVkrvexaDh8@Hm)==Q>H6LJveBc@D9Qllkgc9B*{}1z%-)UBK)9 zLV`|uB;muQ#nZPjiA7(Bdon$T@Zx_WnT$msW|?;tkcyI5z%xmI?XQ8P z7vkeL6Lix1mjGTTDI*2)Ye@>3t@K~OycKWq;Wf1vC1mNMHIDz11S~vb>o^OuYe7-J z+9gGnsry%ZfMW7CWi=bNdZCXGy+PQ%@T$3^8l$M^-w~rv_6f$nOBVjZXG{vu*pi`> z@gpHrUyXroOF=1ZaV*0E2Zk*Y^lNan2rVex5#d636`rvLd%ZxB@l=c&Cw7_g1Gq5^>$Ri9dczDM7)O>Z|8c1^PEZRLapUot+m{b z7}FuWx?ggIbR-LrFzl@njC=aaq&L4yEhe1Z$z1Io^X@lIut?f08Au znEn^X!z=K9&H#G8^%7$H-ECt+K`v?fx1Ue&VI=S0fwcy;r0G8) zrFx96e_{%OhpQBv1d~^*n?VN-uClrrSho4VvdssUZ9cGU^MPfX4=me!(Crx{uWVw0 zWt$HM-wb!Px)}^%J!@rkGZ;#DwYnJ$qkFxqZU)2Yu2wgL5p-{m)y-fe;r_C^8H^%4 zNLDw4(X0wqH|dn79`HQ?dUZ1)do5&YnzWWQlhKl9(pu6?wqDXqSxcI!Xh}0=Eor6> zru{@sO;gsAX3AR9Oj%2sDQihHWi4r@tR>A<&XQ&-TGC8eOPVQbNi(JQT9_qG5<;e? zDYc}@xk0p~$pV+sNj_i?Ye|#Nt(G+D7%geuWyO>wO?pR5nqlflSe7*D9W7}RGx^Gr zCLOqtsg^7WA!0WaQ7>uIKU&h1=-0pr-m;{rZ1YLpvoQR za(%21Ruy((hRTU&d>m$T*pYy=lJUk%0Q;PH#>W$Md`>*$6A05dvl72BS>US$QsPSb zbD7^8a|Z*-{v&V3Fr@5ry~;a?aU7rfkGzA$T;p^9k=OJ?_!j%z^Wq(HC*X|FhVf?p z1>daC{YTy`uEE#(G$VMky8!Owb794sGZApT&;3W<+~t6KIG*f3@+M&CzLVrKzc-Pf z<8%L!Hwk<69na_fBX2S{N7G!xRhhu!@RrguR$6VmDJ**t193ZIT0SgynojaTto48c z@5}f~NS0qh!jaZ8ar~okObaA?vXzxA@P1|jv4(khAdMG|H!H2Y?c4*nN*1F-Z$gN; zAAX8yzr{KfKncVPldLp|IwjCA4**bl3s}qTZy3vMxd4u3FbBK+nIT8l4EfbRkIh)l zYF~*E6;6iy>aY726XV8A&pG5IeATjiW}vbPtOvi6%0IeX7>4}%NzEwQmaD8OUJ@C_ zzi}3_@V#^KHN)g{s8wtldvfp=!8J6l#BaqX@hb6V;!g4aM2~HXZv~tZ_f6tnbYD4$ zGLa?_Qvt6qW_@qND}C8&Q1>$BsK~G$>SR(6Z|# zOfy=6C3*^>pwc+*{5c4fxmP@wIpy>#eoyW)4aNX31OF@~UXG1|a$j$9wM)oBPu3r+*Fx)LF-xB?Z}ofaIu;lT6s z)W)a8@??43Uy2fW1D4_It0v>b##dtLM*x*QEZ+DCV6lhA#}iccu=oVR9!&Dz$8Yds zRHW7)%ON5`$>Wcsvy-@y8qt1V1DH%)j4*!VVE7g%?jk(tOt@!+Df}sH@T`+!PzEU? zj?|f=e;Gmt`#b@MwC0+_n2O?>OBgV{3PIvW@hkvR--oc2wM20s!^AIuU#Y}tmN;dH zBaSo2QwzUkpOG1X6A?9%K$*#mc@u%&Jn?#uS&GK zT*`Nt!d8`UVuXbx8tk=B?JQ>5pQs<74x3?Z6%ufV@?4T1Z-PS|{c3-~SYy~ietbQc z*kPyeoV!4CEkqte2r}kx-dDGwU;aJhu>B>X9Z=G>wyn428kzA1@{4tHNizQx!1156 zpPSs>mS7zYfDGIKH`denZ;$2e{Kc@mAL{aci1JPa>1LpEyQjQfVNwA}@D3=iwoDc# z6&QO9lM0B^&umh`PM$NVpuU>Ej}l}02QlY8{MwU>g-T`6lZu6$5rmV9g~uq7BKv=i z$eC0uQqfjIn_G)0Rn?qZ)Tp_|SpWl%tLrt<+@dCLZozAwYMmN2x40aL^B6v!({xHG zw(j0riP?$&R4a6Su0q-N$oQ|$KNu|_@BD*O2og(CM5PeOQ3!t=SMSuyvERtiS5}Vw z@dVY%u|I(@k3w*&mJ}@@7~22=EX&1#9Hwwm)B=M2Wd4z&77#RYv@1?g3kW7%h^QG+ z0RycC6fr1+q%k64MDUnmf?7agpFhK)_(9NZR3>>Cb^Fxe7~PUwwFi8}V8DwBdnt~T z$tAe#3zmR=hvU1H?)9mg5IK1y;U1|22p`L28dBE~K927FQ%4a#p75a5YlK%b%&=6S zL4Z$q2Jono!w9btIO&u!Y}pz3ya7*KT!eEl2`7l^3VR@f?E?CbgeTm*rqc zs&OL%C!NG}q?a21A;QX;5_b$&iPaLkJA>0wiRvB{MRlz+C2kY9KdU8p_mBaA)e^ir zlY8~l61+Q$8}rl>ygQq_@YE8#JLg8gY6;$*%Wd3d3ErK6t1+Ak>BV1l1C}I|&zH z=p}ep??FT>!Oc2T;!a_qi>OudDTcIsSl2Y2|ICSjv z5n~>)1s=;Jqt0*9VG0ZF#~&XScWS6R2D8}nYN#~^3vNclQo$+MR1s>9N3?Vk>t8dF z;2{j)^z|tP{%|V3Y7+W$RG0c=E%vG|L+n$2fZUY+xPwNM_)?@B8*l`_ZS6LStBJ~& z;BV|F-v_MiCj^cCgfQ(VYw?TC9{6glGjSteZ9n-118MuoekicEpD>QGpNNRl_LG|t z#Mn=M3E0?AUIlFICp7pO`$=EG#(r`zU}Ha74LGu&tVV2YKOt!BCp=?k>?fx(2&zGQ zPh0jAmc599uE$?mJ}h^dPVzx4T22Cw(;*4T@=HiK-fA3QFpg<~7#-duSl~Tq0*P%m zHxGFK!+5jOst9)O<#3gJX-87tgbFPWoyN`V@i``)UqKxt;MjE%c`_^{i9GpbV0h+)!V@aWw}$3t*`l zeG-RlVCP})ODsGQU&rU@lUPjH^Evt?mf!{xEy7%`v`Xr7gJjmzhlUU6#!+efDi4)ESJgSVNPhyS0NhiawSPNpOlvP?u$zii87u-(#eM8L8o4F=w_! zN)0%6*IXc#fJA5I6f?T5~7*?xK<$aZW$3}S3QOi^q4z6ASSa4eH)NU+}pO6yCo-vvtR zOR(Pss~Kikg8eQy;bFj|irMdiH3BD{5=xI!DkW3{aS@I5JD(jcIFSl$rauHrVj>6m zc!mc(VzX$0iIqt<{4HP)Pc7fHW` zxW$Y+9}U6mtNJp=(Gx#{JJN7lu*()b4FzzI=70@*RyA`tUgfZ|h9q=XvkJ(s7IPJ+ zW(AWtNja^q+k~VZh4y^hc zICPxMbbrIDH#u>+aKUYvD1v~+`;98zN-5q$s(6c4S+?p#q{>erZZV7TjME7(qd6|G z{lI)Lu6Glkj1+~4Z>ThyD;v?PR47{0JG1-w(gK~SL{`FLb*7G!JX$#SRCsw# z3w8!;7yY@NkHvJ>NfLQU8oZ{WEU$&v?{7<5vHSx6wbnv0?x8#zp

    3tNgp_NllKdYf#xGP6X)!W8c;{NX2dHFc_v};^2dP&{Eq?P4JiNvi4RN; zF-4f^!8q0-*#R-{D<4CB1A{}cZ4 zf4JTgASEA)DG&Ia6ndyfZSE7|g9*igOaZK1>fH33)PyAJf^%J2FrmncXpd7Y4p=+vDDL4`AII$m_xuvlB`Gu*H}usKuCwD1@>8haIAn6_s%!bu?qO_s z#5sdsS$zvz%(p}Zt_`W#rE3WCv=P6Jokg%bQYhft!d{bOjv2J-I7DaCp_Q`^s3b)m z<2cK;-B63M`mi?0P*Jylyb}3Wh426iw1v>JW36xeV=w#pgX7EDgC z?Eur+t1vIveTOukvz9^x0Coc1oB+k;CG3J5qFZ)(A({Aftvw=!$cE-a2_{>E%ljIx z2q>ar(U50wd7sOtZSpKMrSK=mdoX3rBg_bw7ZUQh;gtohqi_wu?-&QNu>rvvn5G~3 zRgUpn2UZg~wxI$7VCK~)O@u@#<24M($PKIT7~DYmGTo5_8hJ!aRgXWz)CX|^KzA>Y zo+Lc6jZP0HCd)vD5VPvsh882k#Q-Sne(5!P;8;@ zw8{A=_aB#vy(Y6qu?T6W)Zw^b1p&FJqPOGfNf{#0WCWhLR}W(sWYpBQ7(8~we3acH zLeAcIetO@mU4H!eW8)uR%Ci>-Z2`a5fDYVj?Y0~LD9;8cFzmS(4H$OZci8N8pkJhK zg@x$Ndv}%Wc#9;A=dV1Wu0OdAu~@=AqIfxL#72=9Zs@$+jZ)WtjEz#mozoG?M%(qY z&dHATa)?Neo3nJ=fCnOmXE0_tT{K~tm3`oaAhYT>TE$!0cQ$wz|fxPG8xa+C3#43uu`~)2I!KMxA(ba@RDc2M~z@H zMlt}8{JL2Fh|*YyjP>Pm=8hMN4k58IK>v-)&_6sg{);3%PEV4$_;2#l@n|goF2XxP zxZ2BzK$AeFB{@AWV2tN6Xp}R!@O+!fpnVqXNq%H(=y8c3_|8%}^Uo{=iv7jEoO&xR z7d~5y$IbfUUHAom;0Kk4{|qIO|G~dp0T1|4>@WHfmW!C`;$0xHXy%LHlVIqq_Kp-_ zyb#Z;7GPuFUrs!b*0e!cb{ROBP^%;svJ(q6^bi5}7GoOO1{$JF9#+f6a^ttA_pToS zZnB(Lc}b?KzkHlP$#7>?cHq^4i{>(aXRj!jEJ1GK&Jq6Zp=KGU5pE;mQjSfkM>>0y|`a4Ad^3Sx{?^QV!Lt9N=fV9Elvacw1!Tk>vEcaGS|OWX>rFE_tZB0u3@l(zXuMM^rxjvO+tIh`>mPB;ued zCn%$Zw=N+2IKfm~RHeDTS@~=BUdrL|*d1immR0VLXFtYIK7*k%{*(P1Imwr6m%nCh zEre}A`d8${LTe~f6J-*qyf}$Ag+5B5+fVb`D>}|6Trn8VC1Y6u@@Q*g&8LedDJ<8G3p)0X`io(W->-7K!EpOV! z6-`k5Fc$|)d`bk!x3RY_s1ND(t!ZQpEBD?*g~64DW+!t{lMS!zcorq1mn)tmbiK{` z9v<9R1|6JBo5zAESYl#A&mSDkZq8jmE#FCT>^7bzGrBe?t6%pAnT0#>j}jlAxuQ+M zKdJ2KbRr$V^VtQ%Bq;MXut)AQte~ys#Fup-;rM1Oukrk|wWd zr_Sxu+T}4!d#&MP$`aHe3$B6$|6cQ_h4%2lc9@xNhcjl+jVmH;K5+mvJ0Gy%3VuN0 zVYRD$+=S}6tB(v>bQ#1vGZwG~4^wu5vEqw&yUd+)3i=rduQ&>dDk$9-QJHUxUu)0_0m}_=h3VC!u%rToRqOMP7CW;uAb@? z{3Sy1Jios35HA&m?1|4jypD%scPU;kXd-s;PWhHU=2MAj_7rEKPS~#usR6-{{9#5G z!Dz`2XA!);9s91Z%Rirg`t>h_n!P6V8R2F{6<2WxyQ{ zR!zK+iTxkX{+Z1M&fkALBJh+K9dEIkyA7iKY6kde!^w`Tc}IVq!v>Fu@v_TiF33R# z&J3XCAYs$ZJWxNO0>W$ptddo>RxZ4+WPQwwkB{)L}W|kov{yqF=(xw zca9%EJ&zbr8XNB`10qA@p5#B?@2}Tyi<(dN>U!P5^YL&a6WPx5l+$l}p_OR82$0@g z9pQY!3%c9o$6q?KUHHLuy4JNG=qpY`G=c5h`NFvgWVTkea=-;oTpqBu-$v^z;@3eksG-kJ|s+*4^}98m(QFN%$yrT zOyMDihLn3wB>r28i3_(NcM(uO5^IPIx#LDacRp=I^&xS)VR&I~d_p4hFg2Dd9PDMp zD#l~aa<`xy5o}w77*>DCs0CJ}Vzqf(gE>rGl;d8#n!11StE%u$QAEO1DFIe(FH*!p zsaLd5nLZW0k>ubc%Li&maX&ziW$#K!irGrRuftUs9(if%qv-_CTm8`)M=LHCsQRYa zaibX^Rzp8pvqYiDu!2~?xT+S_*&!AdtD*V#mbLnW50|1}TIi6Cj%L*LjCu{Cqg;v0 zj2R0d2xg|z*w1u5MZUU-d(a^LD3lsdaibwsv@(oQq|I6l{;szC9|z^)uCV_ z$43Pfii=NG36rB%lW!_Id+=j-Grx6LpW%y+e?@LfFz z-_3y?hDq?e*bdFG2RVlMM@^WUdb+{;)i`#?7Y;)&{*`7}wr6LsHKxMB(=)cg@SzY4 z;vwn*M7Wq}slZJsR-YA$`5znCUrUXhf9WqbtBLy;(A7(#l^!4%vNi4|>(#NBYN}a@ zI|_IVFdMC`qQN`=uN_xX|F0q~rT=-cTtd0nxO{czQ%yJ2KX*v+?4-npthy zbb=CUhrCeW_$WV-qyzFFe$krWCWB9!UxI}dTZjms45c)`2t}IDl#QBNR-u?kgd3A* zfJ<>GDkU(9{c6M^{1z!KD_khM)2TZ}0wR*(@4WjBdLW`fVD$klESfGgu!x)!kI%p< zgn=5#))nbf{eevS>c*pasLf!?u*pQI{~-r|ioYv4XF?+KijlRM06NPb7h3=P)T=c> zwp_&Re&OB=hD(ty&6{qmV>Q&R(cqSo7O58372lJhJvDTP;~W7L8&yRjC(C38`XxS8 zQGC}*w6m3(053%l;&6CSoR{q$#c~ATbf;C7yzaee7ZU54E0ML-&D~1q4%euYzovE} zteDhP2(sSYU21ce?X0uRQsI`th$dN`F;#_)NCw5@zt0_9iN(6fm%~|)mG+TBliQwX zTylvBP5IS!f*WkCmYHj>m#gu8G%Vrep4VRKS<+}O$#r|2^+mf` zP#W|Ja(+(5*Q3ClDx>LS(0L2W*MbX0M)J7R!Di8R7x(KZi^Kyd&b)|+GxQFL<5>ioHg!nCLa-%fmG~l_O`=or)#MZYulriV=o^PDv#Im)CRR zvW|lIKL@knZyv4^$R_?d_*kJho?qTb;Bb3pTE(RK^>aD*sZbE%KC;ucGID-j5PvPehS#;_d2lzC%q^OG37Kr=_ zVr;f#tmcNKYiPt2>-nPgP*MV-3np-)v~wbXQynoiQ2uebS_>(`W{hPxs%>Z@Au*d@@2AjR% zPyOyKroX(QoJ(ihqeyM_5iIx1o3l^VGp^WP{MXyJmEnV15n*olx$?M#boMK_@>=@t zv~v4Y8CQ5ShYGD?yqLI^yXC5~esKQ=Re9}AHnZ_cJ`$LEezg*YrO83Ziz&V5t>{gd zg18FxD^RyF#h_)6+QZ87jvh!G?d{uiW3pj&F;YZPFA$5HenZZM&+|IWz%&peTDW_( z?$WqYrt1jdn^1!=X7ojl=jCaC;@&a>#mhCAcCX}CW+YoaPL@-*^(R_g6MKuC(N36F z2IBW0zzwI3<^0xLu+Zl5thShTHZ!nUYP1`H?&V^jv8G;#HI<=QD68Kkxp%sm&!3!$ z=a5;EVK3~if|6FeLa)}XDwa&&gdTChH5$^PND=y}^ys=yiv! z?$Bv9tzmDVoYn>RSgYQec!tAnQ+Ecnj$>OLIi>CNy6qe1(rUMkGpzv42GEzi

    k- zDB9t^ps~UV{oY`h9AC%IeHmE}ZCkzFYkYNvH~o~Ys*Q$#F1TOV7ZR&$4a1{JKb2pR zF5^T=gW4SFnHvZx=ReM7T3vfs>o!`B)l5#%?RBxY2Sb8koM!8?1|tV(haIJjbXwh7 zz1>QgRmbL?2tNj`TGMHc23Gfr^KD=aTTZ9fOhLyc7>d)V4Rq|LjmfZm4Tk-_e)80G z?4ND@8?fNOtbQ6Ng8$)QtYA}I*V?0${gRCu51xb$h0rXyO9z3#3#wU*yJfXm8$x0UcH3!MDFTByJo{mPfRrh}w7Te_3S<*=9}l=-rmNO($D8GCaG_aMnR<>ur~8EBnCD<02g z%OBRlenNuEyu(6V;nahry>3lCM1*p4lsAM+TB8DWrAH~aCAyFfHt~!6NSgD73=!wn zxctO^lCdYrDj2z#gfG4I_<;L5c5*%_gfAgj? zVlb^upMW4jaOGS7xq2Q^7tT=tkO-={JoiJW>1I6Z!?ab`|MJL!(_GWLyGvk`W?;m| zAC<@jb8+-SmGl)Bp>%DCMp6dsSn%SPjcx>s2lo9RCO|JCI=jJr4(DOpS#oH3Rv+9y z6$w|2xt#(J-9>+3(XqirVD6Da>Iy$+E zny|`>fpG$LhWwz-4W;F?{D?TBZ9kth1HBk@r@%TW*)>u}$y+EGpHJLE#X-&TDd*6% zv2uU~PEMSmx0pQ%5S zDPWo`AD^BX6m1L|=VL!GM+o?Iom>VYP3kCak5ilug{4tOULnpbNVRm%8+S4hHr=!k z91~`^)~9+RoN3$CFei%f36%Y+YNpF17pDdIRiN$#=VvQfoF5Dr!6IqN#FLsWq?Z>b8k%hRa^%eT79c*tjq5^sP2sN%n?U zt$|poWA*8k?v3;7WgibWTDYugx2{`lr(VN7dac{-4QoS-?rJTYUCq){Y%C07kNR|@ zk0F{i4TS$Y_LX%x#Bb~(9uGH1oxW4EJGjTGJ9TSlJD0tI)9-z24e&+_*NJ$DU;8@Y z+IyI~*1oYV+o7BN%T{eT0+`{WeZ*(Bi1gC(zCtsy}>vg!2O zY=c|PFSvzkwQDz+{j%R@d*5yiTbKt+ZnSIw*K`KG5e9bpSalyKLURQ-Yt05iKAgsA zFrbK&Z?!>}=A@0Y5QH+Ibu`+5lfG?QSPEXgstuZrUZ2LHyR);OX}8&REo~cpg{hSz zz3264X}0``enOjUj@&Ix0?SN0l9Er>R`qF5w7cd!7j8gY`=#^0aP|%fPFnI z^}00}0uvj(0Y9er6ATN2>5?Oey$;cg4bVn#j6giG!eOryY$<~8bGz%dZw7wA0vj>6RA}m83GS0K* z{0qCr7z0A2`m~>zy8A^gZqT!dA$<)d7J~zma8_b;xlF!a&0632*RTdOAK;OzzQkH~ zQ_6O!3k<&g)w!~5D>iNun{nApeA}|EFL;SK$-fnL#n;ko;m8qdLg+leUnJQf=X=cJ%sd=Mgd!p_dciL%7vYCT^m?6FsZEZ zFKb=EjYDk#S;47d>$|K01Ctac*lMfMi$9N5O2j4V8??YA5`)Gbk_+dE1~y6?3+7~j zKBJo^K!aN0{MNXtb-~Hy%i<@y)^OURA;l6A8bdfhnn705$;KWXQRD|AK_DZA?dXc-NWn7%SG$MBB%c)^2+E~BxB`F4eDF)# z8aA$o{{To8K0c=uI98nQt{T{yS~Jc}udFYSE&Ucqjsh$>r3{I=NqG7Cu6k`SZ93K3 zb=~#!Sl^c}p+KnhBpzyl7QkiY zN_t$CU@w6Vpp^VO4KKjkn99MP8&Q-PBuNi^1Ocss5mS@cLX(g6ub_S5AR`aio!WqC zPH2DuD13YV#dV?R(iuy)aiUkFaP#=y14}UjlQ+?KP>6fb>koQRm28|8AD#FVpFci3 z9}QFnn?Mp86aGn@RY);VFk^FrJ42QZ2crh5oB@b@k}lM3V!^{3pe@rLFo#%Rm3k;4>wR>sB zS#zIWR2ARY+s!Ul@R^(*krhFQ#YrM$)589UffhU!h$*HuivtgSmD)gTW(qs+w;Cjw zoa07OD>))E(eHhbpxZe8Y&X*{YAez;cunJb-Ab!$JcEwn*Pf!D~Rr^>$*p z#7(h=&|(tPST+KiN%)fy80-)Kkyt(80e7p%PgeXigd!~WRKCN+K9n)}J%``!Lqe1O zD!&$wv#;BY_}9aM)qogPn-!a=Mw)!l&{#d^mgR;m~ti-op>xd z%&%s+N1CkCD&ImLleJ!;Xf{~GJ&nPpg`138kwp!nsgBrxvO{d<2ni*O1bpmUzEl@q9bn>N1%=-o{ z}2pSf$=tuM944N;F^OaLsR&P8-_?m+`b zAU_Ds6AA)33`jHxuTiVX1^`!N^xX@XPhdUM_~K{Ua^YaIY#Yu~c%g9SV7`RHBpXTh z5RM-j7rp>w3c%Szt{!|6VhyG;|147}xK+l#i};JkiAAU$%*uo~IgErakiTLLS+efs!O{Yc7E_+#X!pU%#epZ*yPXj&c*P;lBrIP3c)v$_Al@4Q-jD+Dh4{ea5L1Mi z(8aN`B(s?Jm5-sm0%t^uoMxEJA0EPo7*5#l*+VQHNc5F$JFyn0^&9Dk3VPC09Y~)o zPYrsIdYuk9j6fy`Gx?32@~ol=cAH~`J*q?7b3hqVw){$K!hXSt(Q5blWTjyBztS2K z-_kj;jRKEg>M{eCP#TXm;9>}!R9yLmUJMrxxh{#epwABLmmpVa?rT8?;x%$n33Iw% zgQ<(TgI}3z3`uO1dQX6q zd?=uR8 zdoXMquy)u{+{3Rvj@u3H`6Z-FQfM%4=&L1MXU8Grr%=DKK62`+Yx&adVQhKCIfGwW zePlY4*9)sqfonr*cIg^|JZ;2pV`mX8j}!{{wm6%j{bOE*;Af&U>Cnnq2ULcHAadAR-6jrh`*AQt?9{rXGca65&K(qAZW*fMqNz9bY1p}jGlMt< zxuD@KAwf<$3WHw$8VG3a`X%w6^%Hm+N3Z+`>LX%0dCxGXfU?5)8fc<6PK6#B)Vg+~ z1=Drld;kuT%!qycDf}8cM3+9-j36%I>c&F&cjPVy62^~L{!IS9n$zw=KgH<$73K^Q z9_)lKO+2K!>ie*N$Wb#A_lNL(x8?j`_wrAx(PvA64JTx)oUv`e;SP6ZeL*~+{D5kA#Z~23+iI%N)Qpwod4W~VheSrP0l~L|F~4_HJLq% zMMyiP4#x#c>*Jz|-j1s$WeQjj_v-tEEUY|KPen8HH7g3}uv&U*=t63k?%7YN(DRUt zUGv@wM{8`4LP-N=d)ig`p`jeOf=82)1XrS?34z&uH06foe&ac)_vWySOBO_>Qci)O zAB2>l*_$^hGc|d>gX&FFihWg~+au<^Bsl0Hh>ztmvn*y6rtK$|8oZa8ob}8Ai&Sp6 z?cR?kPqsH#Vcp~W1f$-Szw=$#Jt`iO$6eixQJ0ZYM}B#O7My3YJ2Wf7=OB3T_gB*! zI-bVuqV(Hpfe7uVCW*CZ1-Rtt$wsA8ng#*Ex$&fViRod}ayh0FQOc%E=BCFZMN!pO zR>Tyi__)5aCl4-TdtpXoWox{sgimFaB?B!P1zQ}fQTJ=N_pPfLx+LlCeQp`dL_m02 zd^`Xw7U|5mhnfQ^i)RZ&mmgUMPrOz%>jX?3iPdcd3VJJaQb&=D&$*R!k!ZwpYw;GV z>c)oJTHmd55$A+{zz0S3Hh+d52(N|{#tOVu5*6Mi%f+2{zY%3hJfHR4Q%ZwKUJ=bE zd>0!xUd?U$Jjj$;uAZ9icWzkFo z?u`Fr|3=XCwBlYX<@x?{;-M+rl;u1}pvp1-Bqfp!qM5H8pv)v#Z2;WBP3n9u_xApz16_fZc3~J(;y#-^L z_2p(U9j`psry3X$ggq?-)rq(S-vofI|6(E$HV1VHS@V;nrgNSw6!{SAD^8^ zXyklo@I(FN`!2Y|;(ma-a6@WrJ7(V3C?8BW2=?)#h=V>lTaNbZZ0ujr;UFAGiRYoJm5!JY|yAutTs1xN!(^f zf;x)EFCpYV5x^9TmlDL3Y-o?mQ+Kh~+$mFw!HP}%;63{83}GbNW>-cmv;@X0bj>aX zD>N}#47^u+YRp=GUs4LcPaJwUVxa}F#pbLaH)<|*0m_JlR&e{YcDZcZ=KwyYY=Jsr z$`UT#F*gUrF0&3z!6y*|%P-nxEHnG`x?`CUdG~swF8rs(a7<48*W0(1QuWYopjHnRo9H%B4m&^r*{x1tP5U-s7KKuH z#hBz28fIWUDZ4$YZHv_LT39BdX;b3?HXD*oF+L4&59oa~^EprWriYH!eN zC<{ULK9X~&S0(#`!*a?;nR|wyT`jvNH;jsB_tHT5sru<$O*P&Zo**rp7Xn3^O&pnZ z?3#@_S*T!*O72-LVYL9mr>WPvUo)rCKsS7r1}c7Kw6{IeK{tFBb}CuZe9{IC${(Uq zK=*43VM56d)U17xjKe~cpx9xb*VajyN*|`7>RsmHe9!?9>Vu+&3!P4qV6>b*%5k&m z7_5Lpatr17p1Osqq^K*?8zg7a0S$^AQEl;-Qy*B=JHT$&u2Whyzj>qKXi%I3 z`7LoK_ES{{vqZJDK?*b0fl(-E+ejJuT#q=x=$9$G@(*pJ_mf+$ZRy`qu=4EVVPba9 z^3`5{D|^)aV>%HpMW|1Z6zNLNaxk8yA3C3T`2+phlX&?9S*bhonIiC#7dkneJb|63 zT)Y1I)`bIw8q2Q%N_9Sdtg^(|ICq;rIr16y`;G!TeI~w7c~dPH(~SqC_3-J`^}{2U z+{N(>0TE+iJ_=Z!`Et6M?S7Ui;D+-v)NwIcRkMXxbXL^I95__|F}Vq>#0E2p_3n8H z^Dz|Yf`A`GtKMm9&8qfJb00x9-f8~5_2mF{g#Uh6Yw*|tUbSMn+@S5C>R{u%BC3w% zI&X`#Sx;Uak|QQrgJWBntRZx;BFm4dHYHmBWufhl>3t_=j0I0;9ij#C_*agY1S_*;h><_W`^o* z%LVnM7YWJyG?Ia<0;L2G48YIwN&MES zJfJLp6cn7Qv$izLpR+;`F0%HJ`2h;yA?P-%UB=3y

    +qL0=3YK?!P5bC8a$jY@`a zm8*n~f>ml|m18K9+SX1o9~a|=DLm>%FLnrAR2=sVWUfsUdZi_j$4{(=X*&~EnQ#!3 z9_dKMD`&lbzdL2Y=A04mmKQq^@e1yIz&{!QobdSpgl2*DX zyQq@ecI7VBn|M0h4Pj@|+aum#+QJ=}+yeG}fj#iO|3pAfM~YAXID7x|&!5uOJ$~+L z%wQ1{LmO_3VDVxSED|XZp4T&ja0_L-;QCXIhG$-WGGdeS$?as6Ql7RfrmHl^Ur&{5 z85nMgTrW}Q1{ougM|ovT8^n$PHeiQgWVLlb}z?sFILhl zhl$8zOW`6K4vsTbS!s!f!lz!0Y(KXQUh*sjzBGarXq8_V2p8ZAlz=HbwfZuP+Qdpy zh%G74PETtAa2as5qb8Ze#Z>h<#Kz}7VG3f7OtO#qtH*CuCS`%;4O82(q? zrs43^CRI}m5pZugrjc)$%qAn_j;eDVfUAsIGXAnJv*gT4TS9U$+}7kG)6jv%+qt;F znoixR|D%djDbvtF*fvpr-r-#&Ohbovs{a}KpRn+B8hpaHWg0qsPV+#9TFrB))$!;( zaaOz4eKIW_xaWnN*V4PPx00%r_6{yCP+ko@v@QmFcXTK(bf%$0!n3LQuyoRyY3N`Y zI=HhcuRv9O@dD8_blBQmCSr<&O5EO3{{VA8uEZU=mxBN?-Ehzd2CEEZnmM?irJzc= zWY`4+4OUGdBLHqe03xTJ-4;eKH`|`vgy)TvLhW< zF$2Z)bJ*ch>{Of~8S0-1_{{7BZo+g~He#_*)&tB&cKLH)Lx=x3be*kKQG!8LEa;Om zY<^G~2>~n%TM~EU1xqxK|Kr@c&R!8zC?1fQJglluW2S^rC5uF31rxQ9R$=I&Oef~2 zTz}anqUh}VHa0jzX^X@Hc5Q3`=vUMI_CF1NvPX8=`5!BNb2HEjPyU~=le6*pJuOmq zohKzh`72WC~l&{)q#`Q8r+E#h#QGFrynOA=A=PCd~q! zUWx;dk>|q5+M7X`%ud-b|MBybqb*Dt6*Lm^nRwC)r9e}-Vp281?6>2+xN@3qwCmI; zbCxNbw?M7|@X7BehZq!9Rh6<6%>A5zPyfh(yY4|Pzjznw=G{?0VwUKjs;8 z=kK`=Y+F4mw*APqLGnRQQ-X80J*xR6Tf_*3!2coDA5qVjn|RKnw~Q-6l}E(j{PG5G zkZy5Ny8bV9Yv?rEt=hoqesNk<)LwSdP*5!;Z(-jS3N#-Y0$7(fG+}Qv?2k~?z4Zl! z^;?7EOxdXQhoeDC9b(n*wl6%n)poT^&~t_@d+5~BqUQ?DAn2fuvro-|b=~SZHd;1Z z*V>~aZ5YF`;Y~kf_-?O@Z-XfGeUAh!dVWpr&`+qNL;q=*;0isHtZs4&Fq?xHPh*2B zl7s77IC@wdXK-uqt8>(Ih5gnV9tAb0Yaa<}bFgZUm3a>I8o~I$RQ@S=bb~`ghqlx1JRubD!HdVkB#x;z`f@lf-M!w66RQ;ayu!v z3qiVD(ikKBO;$JARz4gsq28@`_XMDzUcG#PCgaETX64cW9r0-R_N!NN+D|4hE>tkW z0v`z%l;B^Yi(??1aznC;R1DLFX)aD=h;}2pfrBngObOZ%o>P#HEH49LsKRu*>ae;_ z##{Zd`@ytZ*R8g~J&WPlDb9t-l56sOsmGFDVNaDU<$=KW=FR00y>#l-OXr(M5=VvQ z<)IiswDKT`U-Y>!El53xXq2Mhz!9aW7*d@;oWu$%afKzFC{oO)gTas*kP@eouCT;v zY#z51smOeG9^mm>uRQf=_nXMn9@R@^ z+~2)PqzI=eXSu+*jq3qxBE$0D(~GG(JACL`sEF3G0J-& zrb#)kx(uTGCt_(9iC*Ez5&0K>QR{jJ(&Z3UuMZ(&J1=Gw_Cy8 z7_$*77b5U3CDt(*E+xt{8UbeN__F))^4IZ=-q{>pQa4#>MhO*=0oA%EeFb%VyR{*L z$VzMziS##9#`kligIK7|Jcl|RH-f|=nfkr2#K&@A0#&Is?+~_uso(qgXU(i%qlv_e z+fHjprgnY5cXx-XC=vQ%_c`zbK2+=8y|q}o_bvhinW;}cVkz}CLnxJXv1IsaWkSdapX5PeG3wC5bj#Ij}ZD&Ri6C_mP#7fnRv0_e9jr{h= z^?UhB)o5F=O>r_BpX_?STamQDqRv&%F51X-opK{ z8b8)ID}NoWT6tQaG_t;f>-X*>oWwyNov%lM`(_IG3a>f8;8HUgVfaWrVsEuVlCKWU z$rSK)_bT9fB85qoyfyWE4<{Rq%zWjV^1Y^fuVm!hpCyIti8w=vs`s7>VpF^~=|7da zKv`9JR;SPu?`0lu$uCNw__2ebQp5$T>F;BHoQN@)$lR2Rm18i512iah@pEA9UVhgU z2^|T;lyc*i(rR{zYw?2B>14stjM?++W_?JTKOAw7z$uwN;Dm)hgX9TQLv&o7jTiUT zL;(ls|I&QvcmP=>Vl{%^ynEe$mlrU@qhAP*7A1(4)$SCD?=2i&^D`&~1cz6#0_&93 z&%;c)LB-hO0p$g06rNhY#33t0-3&0QtfOJc- z-{h6PG!B#-gmge+wL-qMx~&0%l6zf;7!Bd{;toX@kju~5;k!VeVIPA}f)6K^0F#Do z(y*Vi8G*E;Od59N#!?Z1WxKFU@{r=$<)%@SIAtjmxcHEtNJMA}`_hrni`F2D22$LJ zNU#j)=2H!XK>C95IcXZO*@_fVVeFFe zmwml1oH^~)ST3!nLonPPOcVa2HC}W4+4y_V*GhDB5Z^_eD@-J@Rt_($u@%^*cfXjs zi?xud+p>E*?tHm=YPwX5Dk9r5urls_s9fwkWimwcL=$h%zLhp;aC4mmH*PX;@4*QDTncc(kt*yzMQlY*O~UhKox#i3}wV~bk@CIvSw2uS+zObV_< zz*D)rD7^jo@_sZb?Is0RG>Ryf9&1u?U#|NUwc<99FF)@LQJiQnwVP8PP|J5x6pY5x zWaj$ibzrPb3a&}PMU%RL>0P?BU@I4tOQuHGh-imM5KGbYvXscsV7RS;P8aVGP_mg(n!^SEOXM^_32;|3 zNHm&LCVq;BJ5_ih8UKwyW1&w?*PpDsTi36QE6Az1pScx2OSRHr^WwD?@``Z7g-2HI z-_{RqW##^{L9UKFtw5n#<1N2(w_ITau|SXAHcZ@VwTRFbTx_>ihSq!(?9w zvbJB}*v_bH4RPTY$Dz5ZL+`u%LCbCpo!)5JAEj-QhV8ezwZ47TOBr3q77eY&SDR?S zv0I2>i|-L~Hgym)C5B>;oc^e7w~n)0g;GNqLp@?@C>#HfGbbqM43a0IWoRZJkU~q5 z`)}`IWDPN-CQW#NKU$fQD_Q{0%uP%>(JTSn(( zxuNhA+xt(Xt1)g{6~!=3!sY6Or-b0n`_rBF-IceDB-JLpOahA19>rqsY3zS%9eWTrLe07jp4{bl5 zRv$h(Ufq9YX?F4v`huBBfqBS22A_nH`eVGFJWQAO?5x#|NtI8k0d~3#*(PC`megk~ zM1^2GZ@(P1+sEO9Rh*Gm8kW7k41&E&sa@ zO%=GM9!VA)Waw5^Q@1oH!SW#;;e>Qp?JE_aRObAlj2tZK{x7Pkrj%qTi+*(PE|5&P zcH8d#c=BX>bMHYjjb zRe*|RGf8AuwB5aPB$GVE6m~gE1hlY6b(|SvBm?lsuZ!i6l$L9(3~POv)hZxv8HX5| zR9;VykO6RIuE$|Kbgz=iB$TET^^xB6RP zc(YqtT*cxN33qIjNNTF8Etnx|GE4;)R zCgdycCJ_|>i70tTsU_4!;oNJu_q9qV$vt&w^TH8jN(p6E{V0P~A`VHp6&8j`9?rh_ z$_YG5gw;*xjQ?c+MhG_Pj+3li5{ORqLXN40=u9c0^$M}8inb+>PH16T`K3l}{?=Qw zTZpIm?Q#~u#;D_7g7dRx)~^$kl?S70TH`XYcXx+?;s`|SmUTW}KUC}9y#>$my}L>| zG0hO_q%&SE$saB|V}uDrtF9=l)07fomHf+EDmAXf-BPI}7JK&>6Nsji&{k#?F+ZNy zsSroohvjTa)=Y%Qrb~?yLxBamtC@>Bzf2+XBhb8Djm+~Q<64WUH;&$Dykv+jXJh~2 z)try&UU@Y@C7n301T<4hC`D7=hph{BSXOe;)~+KiolGeqQ%VRq6qM#KQ0{pnLrf_l z3hIVQCXb;sr?Nx;@Q8a}11K83AEuPh%T;YnDWL`2kSPj=DJ8^trqq@=zmT7zx|2>n z;}3T>t5a!bcS_t*)M$7msywS$^L$nPw4T$-Tf1~Zrj$^*q_GK-O5x@b^L5^09-u+7 zASoVFq%3x)C@FD|9SO!MSjh(|Fo{0#!Eh;+e3E5^7$2LC*W-PrKl_w2zcON>6&zGa zDRl+mh=mp~dGH>6cjit|pdcs>0#4wq@Rhe*e6|*k8~hf2!DS}jd1&*ABTz>yv;?+i z`U2&G;Zi2Qc*mU8)E$H+$OfN8w5_-LLq^K6pH`d4wd1=BSX^%^(E?7)7JG^P>f&ym zFv3{e`;L*9$vUG&w3P!dM_Jl#)i1I67w$tzBCRE}*Bf5Kf~O-Vo0B>%V-}7Pf}s;U z(F1AVPF(n_R#}k?EDyyxRoKD-f;CQ~LDYhTFmiwglBVWp4UJ%f9ov%!H+Dg)fE#vr z4A^y*&UZzOJ512veI8qu98OVIB!+i;-7?2y8f`e`%p?aXlQtaSp|akc6k_0fh9;I@ zRx?c%V`FVqgVdUqh$mTa#gEiHQV4%}v+$Pwxq~$5^D61e&YVR~z5Czw_}=s zDqvsm-oEtm@7`ki%NzdN8~P%uKhZbng0)&_O~BrP>h;T;yqQw#oQzip%0tdJ^jCzZ zFJa<8afX?8p(E;8MKqH<7kiPKAW0sWUj1cTNs!yI?+UF<1z<}Uo`C56pQw27@$9`D zi8w3^XjAuYj0zMXlU4ytI-&(k36d`lIMmMhay<8BgLPB-0JT!Mm6UT~bxdkv?1k6O z{Twne6jma(BfbY4x?rC}L}c2+XNA>?H{wswtKd&!pnyqTybHe+kHp_hFiiGN{3(ZIinHo)YWu#1CnDJI-JT=VNFk{1vkIalEZz{lm1NNw&1uqwrK|xN7{bRlF$%3wXpKU9 zWQ7*|=$Y87|3g1_`D}c2!*I7U+fa->6zGWtJQL>&X@k6*EmMVS7La>dbhA%3iwhhW14C_ z6ohzi@{@@_D1U9clg1xJIMWH4ueb0KUc+jf%Zip^uAIKa;p8+dqKwtG%$d)y=Pm5n zyT8V{po5v-<=m{4jA&`U}SB~ z_oq_Y_=lE#|47Md#(iPZCn&SwFJ1U7!c+>@a8P(zA>Ej9rPH!o-s4O(;t06nZdt;S zt*NRb9+TmIFbtQq4WK4#C$iv8K{Hd(>|_PaB3z{)!&P}tc{r{t&C{rLP_+y?uISnU zx3P;hFN=G}Uv5?t_gw_!>eO2>{;8I0aDsQ(PnnI7icT3a=;Yj~s6zcL8zaK1<60?TXQ)GHWPcM+3(lD+dSrJ|q zl0y;XDL_L>nFs7XKr%(-V3mlS&$@6rh;HG&exR zD}qkW-*HdVWTr}!$!s~{pEJ!GWrz^(!?6sazf44DXi*TyC+CHPK~YyKQ1CF8AE0y^ zO;tmj=2m?8c{d!-8QdVfL3+_SHVsP(uyux%ny4TmwzQ#t+NcKa4c-g9kD$ki5k6vd zXhZn4Q4PWygck@OL5~w7yc9CQZ3Yt`JjGt|4IQfKqz-RLz>q*p*O7QqlnOYaYSwnR zx8L&}m=n&*Uu&QPDbo@|8yYY)5Yu~#-Wr4mdI0_tivRQ;n$GX<{&zjTcQ)hInm+A@ z^Wi9=BPTkX!Sff0=locUx^l?(!Y;3qSHWsPa)1|wc>E#$oDeJDg?&i%Aa`s$gWU$Z zm($J6{Tw33Dbbs^575H4!=VgP8>Hq)U9=WF5KxaQLbckpV6z;^;IhGGj?2Z$ZfnD2 z)Wz0<$YLCW#|DpuqEV#6u{JcO3dCCQSPoL9=Cq^{5ZE&08 zwu&03vCuOF8n2-xGRh&n`Y9-Tf z$OB3AgCWrq)krlYx}8Le#s(ioo}s6dXZ)qv%ITS_tXXuvi5wq1*GN`pNhM@sV3ym! z}1|84DR1oR_RWpvmF#-_=pE8%Ce`+L=lz9x1`~`fhdlN}vvrIuv4>)UzNTgYE|1Bj_F=$a8RYo@k&IBzUkcKMH!Tck=^KRir4vI5 zu}2g_*6@Z73>~O+5XY0Eq;N6RAS0Zy7s(FD_6P|s+9Lf}AEPFO(2x9?KO38Vo8aIXGe)1>FBBqpC4LKQdawltV zx#%xZ#fG5+LYhUwSdA3O$6w8)`7rkWCGzNx0ZT3qjoMQAi;e-B5y|gltMUNsR6m zLI_hok3tFI@P-r&DP)tvY4S|B&_YW7&{2pYWgbHvhB~sTLq(Y9x2)M}M62^7x@MEp z7$Px5vV}+rw?#j)dsdM&Mg6GU<=*G}ZHr=El z&hwK`BxCHDf+lSuLokM5vI!=FG0#sa@tiVFEIXz)7L_ttlNpLJ6q8LcajYpyG)2=M zA7`6;Z^3ZTTlnkoV&XQI8>G5mfan*n;x88Cx%*im;){3e8~TYI;$%6mLYYJ(?W((2 zd+R6G`uT1=as8^*nz?g)+n9}gKWq(t;csrYzsoajkmM#FrWvQ6|2P{zbrWk+f1n3N zR`Tbw>U%VLbyq&}c>wXny8!r$;IGO>6za2oX6^$|JMg{5@2A^_&6>Kr$KtWGx1}*# zPJXXgi^t9S;$7ype5z8Ld2Rc`9HLIC_hsOsj{Z^hMbmOfZWO0@jo50>_jk-B@Ch{cB*wbyza=MuTW3%LX+R`#nWgqdr zbyIi(ZRs{dF6%U#AsvKBdo0aPFx>_WgU;+El9$5!aj;JyA4PFqIPxW zw)~~d>ax+zj`HVOIEp}+a`Rg5i8%_dJsswJ3{SM3I>$K5&o}X z?Mr1;8w_)BW*@~RTczXvez_x$-m)|V)wg<;|L%`hY zur!;}^_G6cvMT-661Ot`GS5`hyC)%YS-BI}!-d1?Hf2Y79kQ1}u+$SVn7_Kz&Za3M zCTFto*UPy>mvpNQtIX$=-1pF6E5>D0uwo(QHFN0fjf-;V=#BE}NN|g(*;~AAJa~^4 z;iLKQL9J6+t}26G=j2>l2=|5i!(p+*4lWJWt0kn!2|3o5?XsJ+LAWUh7Iq^36h$Vr zB1}m%Ao~d_^t@s^KQdPA6kD zpos;Kr^&un63)-4KUjTH>)vGBTY}RBF#%=Vq%Z{FXdARG$fi`=!hJbM+ly>u3H0Yu zZAYjoA8kuM{3Fwo!?fj6?FV;g9l94Q_i?#eUyGQmGZY7&NS_G(v~!Z64IgV++q+c_>Ky!`h!Jxb=9AB zS6vrZdjnILLMtg&4GJ0*)~evf6?O}Pxz$0U)&&$bfz+n1iuk^}m6yISzwdMIon(@x zyzFOx|IyFqc5d!H_nhbbdCqg5d+yeAE_it;6jIfHVf8*GfAxmLYAwGoD! zqRT?+qW4AgA(tp}6t%a6EB*Sly`{)w;{6&%Z;}OE1RnD?hW8chCwuqgKQnwG406 zimEZobjGYYcg(8y#;)jUR91s})SBZwYBjo#T20=gR}N`+Ot`i#xDOZUftujYE;(2@T7biNdAF+wi)+<3m!5YPX5BW;7RD)h@C`0Kt)+v0kx3xVmE%z zLx%1*e|muH$exF?bt-Q2E4YCBA-l5m5U?hJHExpT$q#N|%qYi+W45X*)#+q@sxov~ zd1mXLLqCH)X6t9Z{u7~7>l<%^E}m-r>Uc66@#2=WhbG;}D{(clTDd=8t;|Y6`S1g~ z0qn;MhpcC}9bZ(u%TAKMCT3y0iw=}9@8ji$zv^xHtc z_4Lb8OA8Ip)58?<5S51u$@JRv2c{Lk$D{6*sDoTHU2I97ce5Fy;d8W& zb0_NmOgm~FD_}e7a@dP5t5P`>JzklJ(qDwW^QGo^Svet_HmU;~&sdtLzwqfPHpcub z{LWH0WQ1mE_nVq_Zx)+bIOM#wrk_96+`mw%2;-h~%qMHwzJf80lZ<(+rd?r{F~bd7 z9yRP|azE@&X#GRh&87U!uU)AC$F^hy{_Zb`Zk>b<^)-F*>`7sY)wG*G3rwvw_viUA zRACZ$VviQ$M*JlB&aZhE`KZdD$nOi4uj(^KK!43kk+aSzIm;TpoXwQ^S;u%9dsv4( zG_i*qCzCPJQ6m!AF62$?wp>Hc#Ks|4%D*;4ZqhY4zfR~`(+@bD(2sGFezGJpQbbHp<^OMqzvHo}IHr>uPBdd*~b3ZiV;`ilTeEzJqm-t%S ztar>}Z>#q%6Zx2-D?K&xb1^oS{!g%H)#qP3iw&#Z+dNC(8*BPLTPcYbPTF_=#J*2} zwYR3fPR6U+X5`pR@pp}^pVT&2;idfv_PBaa>(LB4ZLS%6u0K|7To#*{#RgaVdm(oB zDQsdrHn9$y$T6EuoF)(8s^x*-=ah%ybI8L-X5rauYViC3@<9J5<=K0lgNFG16ZrSW zn!ewPJUmz5Z+wovUp-6TZ?5V4T;Q&;Gbe?A!*kH4dzLZ&vStkA&w6GS`~A#|wBPTj z>9bA7^nKN&{ieSY?6)2R`z`mLnX=y}!~icw{+>ntn13>tWA40e6#uH$%6zAx7?-(4 zV`%W9{gp~wUz_7!yRPfnaQ|Nj&A>n`QoU3_XaT2Vv|mhs>*VZs;;{L+F7%zf{iM_>q1CpSvwd zPJ?km(_m;)gK>X8gboGI3T?Ze98T8uC)YY7LB0cC${bG5p|0@lf>Cbp=a<}@HI|;C zx8J`q$T?Un9At>yB?dgg-oV9rqe5l?iOw zsHI%&*xW8;kojw4TGRDPUk*;H-!tf09aC)Dq%3{X??dP&FigAmY5$%9?M}G&+2Fa^ z?tMOZ4%(jZ+&=}+&35n0!E@05gy+5*JU83De+ixo=r|3Be+}-D+c=@mdxAa#zD#>= zIJmc9mgma;y_3@YIC>XG??UJu$DJYOvx8T!Is8rJIsK0vYeOnzB#n&DwZU`Io5}pm zh_5THk928W@xF^a2-E(&Tt;#;>O6923Y)TOi87A(w#Bz|v&iL)`HZ3Zb?$=vsdD;P z`?~qCKc1GSpZe#M{v+-CmC3)C2L9(Tg?YeIE(HD|XlKm49<T9`0YN$1SVm8-OVN?hkgmjpJnA?}{CM0t_G2Im4BjC~y75crJs@bEQ^ zlR&5GQ{z_C?jmWoRK**)H!A1k24%h}@CZI~oxkJqx13MXoGE zA8+%VAP)7&J3m*uvwS~_T-5kmUmm9U-q0NF_s!LQ`(AbL3v1u5)K$kT6W3{;6Ibvn z=Uu7~zNvV*;>)OipK+hgDE~Z&H;iE17U>5WAs&_corar~zpo?rq2raHU*XBg`VhNS z^~L5ntZis}<;MujyVK3cRU?PY)%840&To+TfY?lY_fRo8Ie(kEo-re)IA`_uGjix!-s%f7#GN?1Z0-XPyQQiH9WqgHH>pxSxbiGw4;7F=GL;0F5HZMk9Rcg-?tz zyDY?wj0c}yrfE8huRB2&rsOUPta_{&a`&8Ly(qc!$BKf79?P7P@kk)!&o!3ww&#%X z8MHGd`v#{)UrbNhVp;QwfWO%Hm(iZJBjl@Q9+UCq@}xR8WBe-b z#6Hy8F41k7yUZHv0F#b&pufn`WURB?mm6(s9wsj+IzvDCT}J#I&V|=S{EM86j8y;j z{bQr}ouv0fW-<<%#Q&AgLC=|UBINFQ;bwf9o6dw=eD7NF?cL0IaDq6y;cma{ZH_#^6P(!qtE9n10U6= zs=gtY4)9A8+kk`STY$$Tj%WKg%DpNsy}`8?giDR=F^3&Ds2g}*JWe8GLT_)J`HjRm z0YCFMq~k*G>UI2@TYFFFKJ#8J+#(a`;IC|AeVaI+R4XtB>-sa~O!y$SL3D8sc2s0T zY(n+gpMq=U;M()zc@mk#UMs_4UVv=LSUMg(MaCj-jmGqKIq$7GFV2~|UJlNUFzuWC z>qXiZoBeZhCHYAD)$uU>mQT{JT%Wby&{V$y$7H`G>!e>DGtZ-6X;%Yd6<)bMYriLf zw;JcjSXH{z^6-V)z5gjcw14dK?VLXE@z1sYZzVQGUMF=U?9+HMzsKKI`J)|c*Fh|} z!MEAfcG3s3RITOk*TtVG#EY-?ZI2#neGm_v;>Q}dhQtrtAFr-0Xu3Da{EK*GMjNS@ zsJ2_$Ruf|^eeOP!JeOTEd!N<*k_*rU_&wXWMR4-tpdeOx4!DFi+MZ6MMG&X#8%|1| zOn6<(d(98$#lZSI>L=x*tC#!j2PjJNE_iAmG5y;-easO$^{V)P+=l2fdZr z9Y5DOOB^#Jci@l5^WR*e;h!}g)p;I2*HDFz`YWCPWL*hcY^Kk!0mEI!xSBe3}OO(*StX8qR;dq^%)=bD8!-UpW`>h0*88Vl@z&_>3V{4(~C z>(%A6$qeAOW_2XN@M_H45}cYcS&CNj6^ zK3eDyI%n$?`X5!@VP{Q#*X6q4DH>R~>qq;@Yqa(?K|%3EhX zUQqdwh7f&+%>O9(WBj3@61ES6__)M8mFrAs7^IyK!yMHWz_6wYLpG`y%S?8MoR<8^ zIW2nJqbH7Q{;p^SMZtNlI|%(p{oTyli@QYJ06rgqFt z({CJ^&gnRk--P^4Ju2`}m+0lhW(KjwWSn6sXUzTba%J;t{z+hNaBJIy-r4TYG0|~@ zoQ)N7#%bG%n$?b9V*HZG3gf%M_--)18;tKxjW2yn#X5{x5*YmP8(sO^hheJSBpkqi z9ZBn z`GN2Bu%^=i{wpM3XGNSVk*_}NUl+VyMcwWCdmf6WB@W6DT$}5DFa2yO=Tg-1X*i^x zEv2+vBWInFT&M0k_&a-ynt;l17~I3yQ)sgjxt~04ghr?5OLm%AVGX=pYaFkvNf}fsnG2Q2_#X6_8qY>HspmdOUH1X%yARmN zrj2ac$R;?A)6Y2Lj2n%?d_eL(%Iz1MD|%w2Rmg~|aCt7a^fIltj`@Lt5?IWi$=~-w zD{LcULYod~(=v(c1nt7e6Y>K+x8=9oKGYp??nI96)N=F|$rpK19aCL&Q`{K*(S7K= zg?#KSi_DdFZp)Y6wKMx0H(8GK-eIVFk;5<{h27hON?GAST zlY0v>Q=Rd)g^zP>B44^@4}6%&{z{zz&+nGD**~~x{KhR3ThhV{GxjXbm~L?LdNmoq5Kx3jo4Pin#Cn$Wg-UF1XPoZoV_=;=cIE}mAsk}hVD=zTuka=LE!dCT&o$BnR9qqgO__dvu zazf;=s`vW$MtG3}rx3c|AvPxab~gvi*bCZjxw@@0?Da_ek~%t^vm;Im*9Wi20yyD)-s&6;3RsW^$y&?@kJuUW@r~l2FWIl$i@Nqv} z%n^|3*3_~>EBcr*@7;)8`T8ZYj-H_VzJ4)|*0*W&S_ZvrH4nVCjW$2IQDL`xdSgxt zc3acJytkmT7gvg!ug~nnN21>%x55kfX6^#MuzP8_Qplv{H$I-Q+!w z;FlKTmlg;8T}*$5bBAfuMr5X4%S=ysfzyJ__%uNNrffoh_v;I+=U&y7N`hxt-H1Nj zrR6f@rp=87Rb-8)yjX>ci?Kn@M&B0?5+f*OnJqr-`O->dE@oV97aRIL{IjO>+x!{= zbCtjiOi@jT9wUW}E(&0JV}KL)z^SKqF+5uw+o|3oIC&|)Z@v-s=I3|S zUus0$`HY4BDg0eS8*={&j!hgFbG+6t)Y4`0)Ki5`y-Ho4-<7;{f5clS z;{xM?&X~vZryxTuJ#tO@F)D?#jgYgs`&eNK=j-lys6Gv@>v&$q3XZxq;$(1ounm0L z1h3TbN>4SO^w(DAc9{=AXW~1NCu|w(&bMvxe`>(51}2y&WgSZq@7h9g#XUF0n1Rdc0R$i=A{w;h(a2Z>lK2iuNWo4~%C;-BIFWm-y7p@@|vZi^hnX zYf>Zelu6vxrkLA2rCM3r%y0Qlx|w@co0l^T7rYx>@4uF-6glu>*i|+#*uY`~n=!}7 zMcU}t^@##U?=RZ@H8NsnbR&qH+rcf9n~dG#3O)2=UuXFWm0}(Oy}i{fD|ra%Q~FOGFW^_BEy4UNt>>7*Jj~b&uIGZ&ImG`jBlcgV;OS~~e`S|- zsyAl6oVh`-d%!xIIl(4x+;ZG;s{oHSs&VhK95U-NenZ?^>yC=tS!eQI-YP9mapWnU zeTH@Vh`YB&mWW}d$U^)I_yK%3~z6CSS5FuF7o85Y}t#W`^d41V*kgAm(01% zN+GvtHEJ#9IGv-LqeqRR`$=mZ=Xs9R962=xz4v549FF%k$owU*rgW8IVWE_ccIYG;u><$YjM3yypZ@JaY9&~ZLfBq)%mL| zex;x69u+@VIYp7JUgd1twp?JUW8AsQ>Y&dx^7k@jB*+biU2?2$*jOO3zH^81^l+)$ zxGHxKHDY&Szl?XquustzO<&gX%e}1OpW`3Ds5!4*tNvcJ9-#{Er_VyE`CIOJ{O*4N zN41~l`&Apl>Rt|MSN*Mh=FJ3bElhzE3882o-H_?31g&h0g7%sSN_mHZ358fy)?yX%y5yZI?>e-pOt zR5fOIIAhV}?x>M+)j9kMj}78m^l)jubgJ6T{3G${aISn%;@MmK(Vs*YW)#i5S>V^uf(rMpAvcE z4fNA*+q?(+wb#96nKG$ekZZt)Y&PyyAHh!6GygQyqb9M6oklke?Bf{pIm*aaK?x3LX2Ho(RP*w_FY8(?DtjPnA2MSt418jJxAHLXN<)_^OqkkB^q z#X?%>t7&?60o&)?j-UE+Hh0an#^K=-c0_f54 z)23F|C0h-5cT>IFpZ#zInmS|nlN|h9WrUd%@;7{mC(bwG!uzY1tAmMqBk;GPSj)OO zzvUPG*}o6PvsXoitQGi*l=$TKA)av| zuL6(damf?XM>6$Cc$~u?(J!`bC_hrS(CYL?&5M-}`w=tQ6b9~Vfeks+G#B`ZVVDheJ}CNXk2hEx40^H z`Z=wKB?WN)oMM^0qsX|m!W-3c4qWhM)H+R#nrAB28V-0fLVPK7e$1Sr^Jv(obBE{a z5BVjbH*`NWalMf&A1s8(uiUJ4am&(Osj+a(8DQO3FIW!n7Ob{n@zDgn#MY7r(z!afQy?XCrFDh6c!SQfRS*ajcczDA$v6 zy%=0?%5c5Q8%=$IJPo=a_wpl;r(>VnXBdVPuKJx=^ZgebmNmP#Ztk$o!q1)|^4E?W zoq8U4_m@Me4jzoX6$|mBWbF}}$cf}5~ zmKxXvW%Awz&Y{pK%y>dC3M2Tf_0dK(FYua_HCVt@aB{p~M%i zOkabX2rT4SC&Y&qm#ZrpGW3R+6NiLS_2G0rIs?QZ? zd#*cpt_xe2c2)MqzO?A4@GL)aM=rL;je<*^^cQO_{!-KQ5@^~1O;>7~4&lqmMV^Xn zSSh%kNYfVQ7gc&f%X5JJ+$!v`Cx-`4pygLLo=D3-Zv90-OBqM(LJ2s>?Uf3?UbCo* zV=bRFJ^#?B>C)u5{i$RqZg+wkxBy2laS8Jj_z;2*wY2-N*1!E#l{WUZg(DL*ip+TWI zU7iX%eEF&K<0&maYO)`}mvV+XifBW{>%q4Zhvk7oD;@O=5R^oz{LkOzf__R_u=%?F)0-&C%y)oCUJ$t6Xz^<#swxFKfFb3pdUX->>zO1>x4FHoVt&J{HILhXj?k}aCU%s zPCWncs-eKOX5OsxNUA)?3u%A+)(xt)KCsz; zu>l|M+h*o83yE(QX4?!`=#Y3QlJ@fgjrfTMSA2=t4E*pL0y@5aijJwT7UE3?H2azG4W1AmK~sSzDLgX2BG0-# zRePTLA#px_$*2!->exWOEx;-3%R@FA;2+tjTI0dJ#T#@ilD+0-*Gep4E(m02Z8WL* z`Gde;t;TMX2R!oAI_B+uY=AztU<>2sFV}0Di4PV&(vJC=#4w)rk0Wf5azA{7l|p%BJFDF8}6~kGcM;DIe4I|Ix=-8(x@?0fy)GF(32&g}DYf zfG^OSd8?OuX1*X-|>0jj6++Mc-N*@@e@`4NgHw$l7De%8~CN5 z?K`Edd(O-lQ)H_uSFB&eeHc_x`XUy~i9FEX5dGabZS0rn{_e8#YOK6O9Sp`!)9&B! z(O;X^Hs%PN|6=BS9V35`vGBb#wOGh$B?gVh z=J@f0!$1J z)*Z1=ZMxG4;#kHZ?y8AlpPUxM`Z33AeELZLnm3}SGii9P-$uu%qRVgG;M3?bp%FF+ zUk!bmB-{uVQ=MHoX<}1PcQ2bHiOmgPT1*>!v8fO1{!$5D?Rox#x z)~e?fiKD^(80#3-xGe16rES=U)h~S7 zXq~(Z`Te)5yvLs0y1v$ipjlMK(4iCxVm6Nh5L+ z`)(np@d799PhP$rAHx0;@18}5|9IAn7 zYkuu4RrL!xmJD(d**~Fk#GB=(hNF^CW&M3;R$ZBvJVf@Xz9E~OMyun`wG&o2ZTEQN z(G_a6NF0-Wrms|#b_=g8^~&!bX)m#Mh8Qe@u6H|Q#xj1f5wb2~Agko*$?>`}Unf`7 zJW!2$+@CK4=S;jzzt#9B`@X)ChU11SOZn0>uE1Z-n~?7rn2eVsCfa@+Y@%LirG457F!^&ez0#y$6|eFx7t}x<71shKH=Fu?5RimL=1i))JFWY zJGC<0kDW>;T=FB_E4Mj@*p|8#>R{^ip$W;`Nc?TDbanh~J`AnJ))6~vzd(Ft6HB9G z8LJx_^gsjrh53QAbUbWcoYyu&^4ii~Y=hVZiEDMc?*}n2dIN0Z&g{;v3-#+US7S#NYr{bw&B|#p6TvvHjN^^Yt%>m`ZSmr>Chb+~T50Fo<6vB! zKZ>2t8gh^~N?jo9$=EHa1#vd$IJp`d+Qf&GxqUqso#DeqzNzC(^9SezxM^Q|>IUYA zfz0m8>v+BD!_1~xeEwJRllIWugipmciEYP5i45p?*^pRO@*x6)wgt$5-agt3r@@#YWb|051AbzpWs&`W0U;V}K)q7_9iSmj3E{yHlkd`_i<}Ze^c}~&XPv0}+g|onmI7sG4+NRx9 zP%k52EpudS@g4gM&W?p?XNNJdb%%L9b2dFcC4M?%7CV}&k%fn_jlsNGua`-V5jzoE zE3q50dfF_ql$=iEAXb+e0&+nQp0V1Mc{B1i6Te6e zOuI!t6mzHA*f?1G(mBK#`tj3YUq24a;s<`e4!VI;m2Pp#Gt|sK&p6`GKfix8ZeAbg zQ5EhF!hifkQEGD7Q&4Q1&L#X&YS&qdmHcZ>F2T5HPEp6KpBFqc>?1l0?Y|qq!~CWS z6LCb&Ji0;lA?;6H&m0sxY<^aJ+p@{|viT!wGXj5QI(g1LwQV`}vBsXtebd!A7{)7+ zqjRB$*w)n|!!rN(^Azx@#XQhMT&Mbj)e6#zQWtOsskTBJgp~QdTur^~$^|R<_EV>Mjcy z?qA}JCx+Efc@DX!cKkB2YGR9P$@3g{ox`>vd;G7um>irJbnee z6~4y4jvv$K;L5M?EOEO^>UDs(%)*bi3qO3lnzR`|5!$c3NboZ6gHFgms%~SPzsJp} z&_L&r)8^cbsz_XDK@+pGK~?>^`0`0Vjt`gT#m8&^&YlkCs!vDH#Gl*50-|%`*YV%1 zkyD!p?%B68Kf>p7ZNi*`+`{wqYGP@WT)p`OI)smnUEyhY_GuAq(en8!)@Sj(X2yrL z3Kx9$txd7owtzGV~=5Ap+w?E;3O{8|4Gb3dV^?fUi(e4`C%!@77ynQvGEFR3i~A=xB+Z`$<9@8* z+oC>lw%DR-Jed9OkKQRZL+Zq^J!7V^&j0;UkN>v1k6ubnVQ-b#GLHjQS z?KT9z-xHgKuIrlcsr^pK^|oxHhDmCF>^5)3brE-kUFWQz26u(=^Jjl%sC~+s9~!?v zzvqx4KlA-jYhmBGwP4e@wP+u;N6V-U>KnD%_C2~Y()XxUx9L%WHY1Z=?@m#yD$evi9wgr;Sr{LA{XN*yOP9t)M0S$me+B62S2Y$x$9GLcI0jN!ShVOQ#<+|+Li$)-WoVz^Ey z`|GXbdHp&j?`=7uw<~SUpCe~_9K~F98wG+q_lrWZG2#jCswu77vWE)k*0`5!=9-SP z@WFcB{Re?8Ayamf)5m)fIU^3d@DkigQd8JSEvVH*ofl)3pBzTk>&aa!>ZKU_$M`$! z0CjUE=&fPa{ohaRgX}dIcE@e-<5=bIJ4d~cNnKFX;avf0gCgWLg4(Ub``lKZW!>Tq zIqou|&Z>OrOFQ{p=GPY8afhv(Td+~Wo39a1b*RsaEm|JWu9BSfDSKqy9JsmGOiZU% z5ZApz;yU&ZaPmF(x8@&-JG{H!16^A3kJQKWJr5HL?QE0x`LPdO`A1@MZ&Ut}=H&iL zf%gdJuH*i?{O(v6ZIb$#p?~iI_gB!Si@rB^{&MRr==M;m5t*zE4|UeNdw3sdNY-*q zCTzeUdyOQyCpFuy6!n0Dv_nkM3L($MFj_eU21r?OKypA)6@$I zj9tK(%RkZtoa_<)NFy);)5vz@;uRUGn*^R6T&I5WJF<^%yz}>4Z^fQMPpPlJW)FQP z12~fbob0Xrd~ikwsHHz>*HO~}?`(Ky!#f+^*}~sytz1L3ZV#LC-^dg4VEhajNaJ4? zz~}kQC)=EHE2+kr%O12ABMT{HVG**hP|E`PybfI3yRZp4d#UzGU3w4c7B>TZ;4AOB z4P4#EoV&$B|J-lqloeMKiB3iBvvOA*`&?=5v4hOvMK}GL1ZdpPa|4nyPOU`0@JZUH zE4Rlc2FQMvx%M)t6?0YZCDhqKBlv_(1!rKlfzt*~8#ry?w86y&7aLq`a50tywJi9q z^0&8(E+nb#=-0OLudbzUHJ1HdA^m{J!G}W0GQW&V4vX62Ulr6^HDOQ_c$2`C-|{PR z=3=wj2TTeE417O-<1g@|rAF{*9sr+-{EyQm=gJQD&?n}3dx-ZmfKT3OsHcxo=FVc% zJ~#I8w`9LwRWw56z^LmVt>2X&_<1Qmbly_$?W@(=;#TIAQe(pY^2nF01zIgG<20+a z&psG(yiRrav4POx!w-gfNBh^5pE!grNZZDw_o`0y{?X5e4*%zaq4KJKSz}!LbM7@J z<-Ura3JeY4&_Ubwq`HXxjF#`#{gglY*)?V3;c5La9_{AJvxD?e3ZB>FO!o1b)$}>Z zPuYecYuEcx8L+qi>Hv+t|#M{C>`O@#dW;J_b)*P-y+_7HlU3Y6= zOE2pgu|vfc^m+~XA?QXOFTZ8@nEmUkx?-EIYC%WF&|#JR$18iJeX3mS5kB+H1L=R% zUc$KKG`ZHsHxTe~?pW;Bt3v1**E3yKi<&5dSLb(&Eh7KYm*}z)mD-ZVB-fIiM+C3ZH=*uEAL@{qxI0md04F7r*(b>dfJ^Mt-wv@3+yi}B`(4>nOQR;k!!|hckfC|m ze~3Uo{QTb|yY+4hwYgH?5ADcN*?hAh;XLYnnmIG)Hs|{z&K+f?4Dg3%9m#Bq+C$$_ z~RjMfRWQ4u@SbygJDfH6<@0xjb zuETRBo*9%e`8EW&LARJUD)02!bBW{P4zxmMk3AUD^3Vu=$e+j^-*4c)$Ned})otq@ z3go^q>C0Ot4$Vi`cJYo{>GFlyBGdJNuJ0yE>e-~kRk45C*8v%pR~7`Ntmqe{!S-0z6{a8KgJwYf=oMsx`q zJjypM#>feN9{Gqzm7)%QvVUmT;fQ=E78Ps9g*LC&`Yg}VUiS$+d!(%mtch4riCo6s zrB1Qy(2Kd)<+#V3psn4WC%>m&YRz-`UAEV3P|Al#>IdfzRPoZZ|8<1Em+j3yaTUCm z`ng8#!<&YOLc-(fIrpH6`0ACkU#{(m$c5imhTr!1Oqy_y_7ea2@Cyvk;WqxB1_w0x zgwRIlB{0DUV40+mrbX;QVrKYi36C3uCJhtfOT;GF?a0hBZ;XESLKD^p-LCAH!{YNd z2#;lcy*AiWB){eJrPQ{KC9N-)UrWr-`yluw^BQU6gorPBH)ZpU^v^t^P=pU1Vyn^X zQS&yLzoTdP9P@1(mFPP7K_g#}ku}Nrg|t35;V;l>E22iNMr;xG!CIn5ZRUiD?Gk5F z`xNn1;%e1~e5OS{?IrG5jSZw;#c54k9_p&0ML**W_%a&U?nyaZspYVyE%fy5KG!(c z_F6x$<+;w+G3J4LnA>6Fpp~ipEP5t=N7LCJcOB18lLh(_e0AT*4Zq8i;-|BphCe(n z@{UaJg%&-;gh_LbQdK#c?OAE7pTCyc>?xjWIR7{BMBcuPT%dbC9#z=E_qNnn;jS9m zXq}b3< z=7f;JF^MaQ8Isv^uWX^5ZxQja#Fp$;qi$K4riOEZ_hO&gO3b7_Pt$qwzLU0LBdn$2 zqkvb%o^jj6=JCEHK5(m*`0q`N@+0qBBy>+8?{+=0j@+-8`L`qX68U6poxkD5koQau z-nGYx&jw?4ZWJC5Chk^u@f^PNOM|k0Lu{JHmyX$U@N0d2AsgAveqHrs|3#s*LJQGd zG?|``Iq29-UaoXeVap@nsJO>y89pybg%i?dXtH7Q5oDv_%*aBPQUV({k_t4ME zc=q2_n*KTbKJ^&jF86@tZur3Bio1uppD2D6K1htL4}76&aemGCBio?+Hfw(!^UALi z-`5j^Qs-$%?b#2$l{V_+Z(9OKc{(S5=QawK0Nxp&$z^wGCvVtA9MQ@ zvdDWFRatbAMe++(S!~}Yve-5yi%y1oMhCg>j_gyz9mryarV_Vko(m7NgBwf40{z*D z!yHB8Ti*_Ij%@hn=BIQnIAq0;`%jYBsz)BM+g7uZoDuW3?<$LH#AjpXr}*X&`5FE` zAbEZBW3kY;SLIV=On7WwBJb#7yODS7@MtX87mS~YTTQ@rQ>>ic|sP-~+)HgN+x2Ti7YtoUo>m!n;gi;atohX)7pT{}X=!|=3*wy)S& zGVeg|ThO_CLp|lDK6J^)&78yBqK>sm(bZB_Zhc)fU&}KQa+b&TA^Y-vL3FRYlUU_R zRklP9?IwAi8V@5JvP?A=iz<-w+}I%j<|`gIfV3}wxI;37I* z)iHEI|*GXWXp^qY4llu6{ zKGjS6?3O;Kw@KZDK1;11aH>z!|DedGxfD6neYW&x|EjM)@#o>F`DZ>zqv6%@dfYrH zWBa^+Dc4LndN>7t-=zK1A-F zK2ulsbyU=eeWX=@%N))H7gw_c?_y0L#MmMadVexu^6 zjvc%y`)egR56KhUMZX5eI{e<4-3*R@yOCTCbRrHE8oii#hCjcM*!1#+)8;Pdd=OI$ zoyGPE&69#NdXv;K{kvURSJ;kj(MHGCgA(7yJ}P-@-zJzxp{vBG53weOJp^9ntSc3J!_ZB0{PZ||q?fg*D^^M>`OE`jf)O@F`D`mROqXqqaJ#w69 zr#`aLAr=ZrK0mPcd-Xes#|mu{`wBnuBVSP2TUCiUXX#{fCh!0T<_HhBm&D#x=XcPb zm|8K1oO7GNhrIxAnLoBeo5}g(Eq2Je1-OIxqq>dxW2xGQ`N8%0MGyHP--5r8I0`

    W#Dy}?6S>VUaW56Uz^()5%Dv3-&Z5nw*waC1haH|C+xmXU zpC5=Uf?v(t%!h$zkip40!Jv#Q@=V-#$NiPU?Wx~vy|Kv8vAN7~>S7JG$Sb9y5Q#TC3Q?n8=aB-c8!?8%2Kfy<5Sf z6+33hbAE0iuoKg0B=#ZpkM|<)v+JaN3U&bdXZBJjP(A+*=gjlTkBq~Yar52S!^p;d z^BWsg>fIZqcErj44YjF%rEN9qP^|ZLpi9_yvm|yM`rQou;EU$TPjuhRsj)vRILF88 zXDsp~O&)VrZ_IpZgUYVk&}Pi_blhwn6h7kT0$WqfUm>63Q-tmz9WTY%XM`M##7#2q zH8;_}&X=LfTO>YY9W{LxeKjg`129!%taonI>r#g7S6N+aFvvZVwJ}QIrJQLZMI!Gwo*4Wsj}2g3}nxvU8}pjiTZt=gJSOu#w+DV ze$d4`=W%Gt`OYr8PVCEE!Eb{_SC^PRzA|!jNPH%?ew2at)lNH z{r64Ts9uXHWqHp{`jE94>@$3iN=$MP|2aMX9u4x)thHpHEc65Os{P^*NsIF?Pcg-w zkl5uMxR(+Uk$tgOxAn(&HOR`qVk4xFY^&SF+EqztYkrls${WbuQ%LsKsSxyR zD@OS>RGW-BIo`Jm!bj*P`?v_*?h_u7^XEPJuS*~9K=wDR^D-aH!qZ}ub#LC)hOWeH zz)2hFkMpj{J{jAPclODfTdd3BZ)<3J>vcQw>%krk;6%vyHjfHs(G>t4jYS1Za*>Ki(2QBy%7xAs}LTLLs_+SK5)VlXq+<^X+0jt?i}=nWt}n;&(@JcAjSY@ zdFP=O+*^$K65FtwCa@s`E_!{?rVrv1e{YCjZx3z5uvyUFFg|-qk$xFxc^WzDvjUrD zvsazKBDH_s2W9U$YE<^ROM%TmHg?%z#k{MKNz74cWxkthLE~ z<9K1AF`xHuk8E9vZuMsui5@JA%eyS1!|=)#ItmYj=9&k{kCvkv+8cfjVv_cPA2!To z?(SmqU2C3RS3apNGxQ!&4FhVI=ofWYKjXbq)-^aE5PgyTy0nf3`c$hsLW?XBh45$} zw3ytRg}>#zs+*H~c=lU6`ZnhL{`6iefqwqvE&95qKQtG)7amvjPG}ErbN)VN%hIy1 z9sC=Be|oPY$q`dSzN36JQq2D-?aKEpWUVd#@w6K=r6yhHeEVgOEo=&Sox3nQNBok% zr)`7_>W~5q6g3N19KAPQ>TYdD*u+c{{?sd=Zsrj7`)S*i>k=5tTRqj?#(qc-8FBGVj7g4EX#GHvJ)rcjoD&PTTO|+ z(->n7dYh)NHY+0e>=XA>?1QEvUswEaj=!JbW~c3*hv$&H_3+~lB#oHU;g2EXG_)~> z&=Pu}chCG_9Wg>08VGFc+48-P&alJ2XXxV5N*Y`lSFHh#E@UtR3~jO}9rx+;exL70 ze~`?c<@L~Z1w1D%2KRtIR>)Z+bTIFe+9414?~kYIgtisdh<~sK+tY=Ohqm{FuLs|p zwki#U-^MLJLZ;w9V|RNM#>2m{j~#NsIP5j~&&1^SNL=w<_@FY(6*H-I%f8cE`&GCOIc$vZ^s|wx4SK;&9Hr1l@m^fd8h2p)tG}%vKLiPeqeJO{GrC7 zn1dHR<~N8zqR_%{Gk)LjNb{+tZ)(2u{#;RL`lC7V@&dpA;sW}oM%Ujb%V|5pJtGy2 zy@-4Aob3I@bN4&~4;KeKT+BV{uZjgv6&E`p^E$o9Tp()!J|7akuy*vJt|oGlBv&v zD}F2R+Y`V10ZcdcF)E7cIrUl^Tz`Cwx4<2p-<8*?%t#Kyx?sD;)=4 zkKC}|fwRLrq~pyUlYbKz3cjMR(m%Ml-EU$}9`&|$zrp(i^c8;xd=3577GtaR+-|R~ zf$VwE5_$?#P?#OxXd z$uj~&UnjAG;EuiMNhIAxiH+XZCi%#i_*?2x{c$A^gU&q~n;6C}KYnv|F*z)FN=@i5 z^CQ3fM1JIt7PPr7dre_)>d+Kj$XT#v32hz|7@Lv1M%^#89o4kw*H(g~&*NbKNPNCG zDz$gm1J)sVhyGh4JFAm2HvJ=qetTV?_YJw%qGSvo*X8}btTqPxMK%?9QUeOE3z0?X z-_5>EWFtCYUaesps>0^Wg#V0;jr>}yy)5#dbGMnp!e@~e8E19B`ELDue=&?tW4Uce<5{T#q)*xmab4$=L_W#~pT*CWak2SoW&YRy6o=*MDLjS)Jd}?I^KbAdPT{b% zuQTcnU9a_{VSu^%G(1v&9`%DBT!(JsM%cH_`q}gJbFQ?_vd4qI_PUyDfAa1AWIRnjlQDAS`l<8J``;Fu zym$0t`d-zBY8V=8+Ur{3X5=E+C+|%`d>ynw)^agq&uunqV z8K?He+?z)j8!Uof%qq6Fz#s^b0IcB<}ug;h3+xubzOsLlUc`i#JJv+2EN6> z$F(3g9?4gk4*+A8=P!#BG)+1 zI>5Nm>hr1`CqF}dJne~hfQNjI(3(0v!<@iA%~5?i4tx6($<+Oow7@5DS*K7>I#g^G zJOnm#w4kQp{PTjwxr(dAj2br=+#V|=cS8fA$0QxFy;WLBT(9Xt4o3Rc=d4%lS5iAa zH=6CIap;zP5oUKchev!B0H>@`@%{&csHV`L8M zKj(C08$F5hqz_`#?P-$*W&TcnyRw!2HYF~N>NvP6Z~Uf(KJ2NI%il0B$^M7o_ooNL z`Jo@4m)~uk$6kn+80o>+$=}%YbpB7@IWJ%O!Tey~!!GtcoS&K~=-OO^c$M#_I=&x_ zc1a$~khu(V7vg3;pDANQWz5cV+_wCX*#Ry|-mgfq7t-4N!%IpA^A#iHwC8uFOGa3& zLAc_xCq-*9dRWk>FtdQ)-lb^YLXn+u#rD_wxRs)4{%1ZR}UvMqF~j zKA94ii`~_~)YYS_X~`LAnl(!Ne|<-1gY3C_O(s8bT^qU7E_}s0*u0Kk_J&pT&ED+r zRA}9~N$7laPSe>DI#*85Kb-ndK<88PyB2=P@aa6yh`N6l(0N|Ibo0u9&Js&*^6ALD z{;e$dmqguma({mb~9y<*kb{CzQh%l@&@+I<~=zasU=;RVpR1Po`Q`)AgZkta9b-!#s?9RUMe)vreUiLWZ@n8r zrbF(3tyI{Vl6;#|^iOKMkq7pyUy4jOlzKz%uIjp(v?T{E@0gO~a$BX&ncR}h&2s{8 z01NQ2E`$9?=COOsA;s3o_u?WhF$OwEepT}Gw!vQ5QS{L8_hn~VJq ziS3-M0g~J@HMism4YdP&rH1yP&GtEi}Fyx!YfjttRC_Fa8YF#g}Epv81HZ!4B@Qwxa z0{`V*GG{@D>8Fu>|7CvpfY#^4ap(sBG|a5KKxfH~h%e+FFY3fH-okw8x6r0zPrh`h z$U5&WMe=&k<~I6@Gq1%~^E@>L?CE?fZEh!*QH;%@USi~z%xi9wXZnI?ki(hJ3>R(S zwNLh5L7h+IVp!HPmnTj31O6SgBdJ#8dUt)=AV0jytE9{}6EC%>$Lq;cEwJ%zL(b@N)!{56eUX~W;}D7~L|N+mC<=djFGcgS3p z-+LZ1lk_DqweN$FzbtDkq8q%=)doDd{0~juljj|dNbvW={0%(&*)v-12YpDbUmN>v z=B}Vm)*OmNn5m`b7rlue~c4mHBENGTYIGd=2>HEN4D1^UXuM ziT!Vp{Whw)kS|$9BkEz7Kk+&aY-Zg>oe}pE`h*@{vitb({Cw&0n~;^=*zxUhj*fpp z{?3=i*5*qee|P8E>U8*VChxo)B_{q5`hQWrwCEkO#%3$^KJGct_V4yrcu%V5`zz%g z8P1X2^RZ9g@5uLjw_V$YM`AgyV?Q21cOLNVLaE)+wqa;9`yV=+wB7#A*1sjj-Y#S2 zAKCac^J$TXV9dq*N{#w5e&_0M>2Qu_Iq&n|F)FkH!)kE*u)qWlR%jS@n_{na=O3BF z{_^#0d=u&)cvol(@Lwyi@y^kve9r@mwY_4$K7pzG2@OX-{j8zCOS->VI6c-tO1)Bf zjZqnXUCsMDuMruS+_GHfy-H#@c&+Ugxf^VQUAwLj*se*w!(VhC8w<~a`5iGH{LOH% zKDt_Ddl@pDaf$U@YRPY6-cd5wDs_civ$)24V9bGO*Dm@Zds%bdTmt`obZ$UuI^kVE zwsRM8Fmve)c4aE&fDY{Cu6EHCd|MXh{WtDM`=1YoU<}6b$KZKvr;3}r=O+C~ELYZf zoNdf~$YcJEem4Zzz@7d}=63>n zEVdTez1M` zD777a2@faZ!+=+;T{{cy7hu212WCXqWn3APJxrEJTu_7_<}Zk2^m~HD@zivth)=5i z5Zp4@0`&F%G&+FWIw$YcR<#E$cD)C0_9pCSuFKiNZwIOGh_Pd621AP39ZzEs3nVOu-eLl8bZbcNi zt(Ggfb{%#BKI;6Gyc>8i_Cnh+e%G=8H1-0!BJVIhQits;5MyKa*y|y`dk%DG--!@D zbf~@q`MH=j;AeHQS8`YPZwlA(Z7{~L(P8Ie`qs9l+CP2Lue@)FT`Qf-`;c>prFdTY zsub3A|5xFXe3xke-+b|Dz04J={L7bqjGd~yM*CUlC2~XlXEWdGNLvQ)96gVH64@0R z(Wj0{EY{@xIYzrHG{inRQs*i(hqm|+(XSf1|5HJ&I`)4$)^;HerE7Aru%5&DvN7_2 z%Kx}7S;oi48oju2bU0b04ngRgh_Z$*=hU!knHn&3d|H*SwUSF5g|>k&)^df8!^frQ z&^WLx1vX+9edu*zzE3DRrEP`iIPm902Bp^AuPxusTACuhB9Bn16ch7+LDo>IC&`aq zy^D98lARfE2Ww${`OzJj-l$7$a=JLh^kdXH~y;TwJ~d)A|8uV9Vq zZM*WLW{a%Ftvz=AZr&U1?~J+I?c=P?4fM9;N9XYUxnj=zH8%11a2y`SfuUdY6`QMc z9mv3~;6dE<4A*!@Y_9RN%$p@%$guY0j9<~eMCu5zhaJrCiBqG*83y`SCpoNTJy-mA ziH<+fyZBmop>6e+9d4Fd5B`=ltFi1-HHiFwMc$@V{O@lPH@W?5Re#k7xK+L8cfjUdx+`|2UEu*aMrz5nkr#52-{^o1 zeD-YX9`$}q3J*uCu=GmI#hBnWK>QZxo$FF>Gy6XL_eYsOe1SD!|2+ZhFl2h zIdE;ukM^!%jk6_ncXAwjb5kc`AM52wI}d|*kgMA(gO5?wMWq-;*T!sJ!IbB z6~#x%FSL@m1o=8QnD@iipw0xIcO^_uw=agJe%5~`!f)z7$<0XZlg<_R&+L1cya2UN zR><|gcgQdJj)`s1d;~`S`-a#?ws^O~P5^Ja)LZ%AkD0IG^}nx3?38HK@a7m>_%As@ zf!F`eq8=Mrl==4*%(+BN!<;K(JFCx;w~D9!BtA>$pp%l*75D{S+5bSp$(mqrAKj_q zHr2o2XFM6qx%o~F?=zje77P22o_1yb75l2^O3VCukV<9Hs1yurd8tT!oAK<{+wxn| z3p-CIj(K)C%06}Y_~=mLO=_t7jn191F4sLQ-*bDW`Efg}ehyr>XD?Gr(BmaVY6J3H z{{0mq*LKLI*1=uFT2|Ku_}pxt#4P&VgMNSgiTAToA^AS)AanATqQpe31tC*=0hWYP8)Am8uv$mC4R}$E|mwGMaOc!D!_Mq3d1G{@6@`v2Gwf(T>%(sBl^VeYo z-w7fHgdUrr$1D31DYwgxxYQd+E|c-K|Dj$ZtXj-*>=dwId;3Ly%wGcI(AA54c&@vH z+JPR<;oE~9*qa`M?>Gotz$p94_Q-mg?03PvjEo7cUt?Ts&8z!je<6Gz7d*)O56@O^ zMdxJ=R&czLT9&SCTBY-)KZ56b?-o9?m(yM!PO%Td1L|DK$q0R+^8oh-82_f~weAIO zrVMR6yIs-WL3En>B7SLCHsN2N+X6g1qi!=hm6{F%zH!TU(%FBIHQ7J9iN7C~u~{3& zPt6!x#_as%Ftn5RRah^PwfB(JBxUxpzBeFs4&3W{F+7rQiQ=mV(O=1R4MO8m*+kY} z?zS1|gAw)y&9_OvXBn@qX1s4m`$_wt%!cO}gT0(sk4pE3J#|5t*a=>X zj*74B`&-tWf*MQgk@g+Pzt~yuuGyDoy}t(6r0T_nC8=vl^9`~-zCT7zma`@3W~3v6R~<&r3~+*f{^)E^7Hsl4ox+fT0Umz(2KA#ao#x z%YHo)YwKE;1lQ_4a2clVlIzrO>pgtFbz%)TyOj1OlWEoqrb zx0A8Jy;{4i`!s=Z@_F_yGQTGKo&>cuTZ%cUi(PxH!aE?JWA62U!~tu%Swl2J_!DG+ zTxq%pPI4~$yZG(CuiJG#xpmE2!++Pl8=jSecC|8;SJAXQTa8miZuA;6v8U^>mdyBe ztKiG~&HQ3_rp2T}|NWJtnjbUoRpk_Va__#}wGr{P6^W&BXK-qUN- z)akP>UY-?)=fY25-1K|iV;bsZ?H1Xzp_RhN5|5=?sWlzm8ZHlS-JI{bns3gf!+gtf zo{>(UEwwFt^L3uQ6JfS1m0CirF}WX}BX{LU-Uk2rYSv=Q5|i}kwoeYT*66bS>+!uE zazzuozJ15~a-%D2GZ|So?j>i&dU0}L+KtQidHB{Nw5!wm%*mWf z_)ecW`R+#b?<&t^9C;toZi9Cc<4GQGW{eli*Tv>oL7s>*5XM;9CHr<^bGyLh45{;h zukg47{Jgu6VM@-Odx@j&l$x)59$Hc&KUQ+_tlcr6m+vo1{>>(5#ymT@ z={+kH<8@VOV|*8SoI$L+hI~agaqn91fOWciz&Z^Yb$R2~YUt7Fj9aIwaVyOne2F*a z$Gq$0J(#qvzkX2LiNTuh_}wz&JAQ*!n>W~mz0ZD0$#?t)vtO+Fj^FL%Wahh%vQN~b z?7_rd#qOhrc@N<*@BH&EAnLw8G0*t7Ywt3?{=NIG`FxXdp&GRoaAY~!IhNC=)G}&i zB=?Y+Kz7{hZz92W{g$~Y#x)bvDMVz=OTO!YE!W@mYu}xXllNRmZQBBuJ(%>KsU_NA z%h}hI>lW9`vJb!q*|+V=NYZWxPR5q;@})OiXz{o7mwmax_x-$nc)NSsBDvpyEu4#P zZbet5=D(xn+kQ^OSfC=bEgDY`C%gadO8sp=a1I-P`DNBlw#`G<;`w*{Kla`QJgVyI z8{cPg=HyD4gh^&Vh!Y4yqA?^GlQ1HgK)|4&5vazBatjI;AwslhZ9UkaL9qsc6-X40N8}DSKUiki>qlv&9qB@9fQ&clJ7S=VBio_(8|z-q7?;_3X*G zuM#pGJQV;h;g054@K(Yr0q|D<{1gB`1;9@M@RM1o=a-~^=R0+{k2z;7_Bt8rL9C_P z*5{$W;_Nfd|L^nS-d5b-uV%m|eL?orgGS~<7O5@D?#xY3s?&E+f2|Wdx_66G7w4Uv z)CQVTCf^kd+n`CjS@Z>?4tp6ukI=V9;mmOB__|CZHXC+3?iJ~KyUb8Mo#IZ zIpd@IatiI=fiLBrycQKLBfcRIv7Z6!$HUYV)3m{t*zd0JmV*(i$w8jrv6nLsRbP|$ zs3C7gvG1(KoFe%y_n*~MAp7kA@(v(x+_AYofbzgMJhKo*KGSp_QM?rpQ@M+#jl5}0 zY%j}2IYoItGJ@fl(S$QGJnzUkhba1I$VH@e%4WLEWuB3FU-$UT5a#zd=ZHCKmY?^d zCxL_i+LZYNBiRHFGB+kwLslusr(jM&40ukqyNzfPWfAKSygPNb<;?=*gFP;Zb?3f_ zSVG-#FTQW)*EGj-X`0`Ye35M1|ZkZWLRIoW{4*8TZHMMLj;sIGlaF4>G;x0GPH0ZaU zdEw2Zdb$A%bO-FmVHo_;j@i~GC+&Go+I!I#BV2gEgY@75=xXzGAMt?x`8MFQTgXF^ zrowx}_i>(Nve5aF-Bugk0&G9BJKT=7{w?6EHpu4hMY;}zym%vw>GJejfSutB)!?@P z=f`~LoA#l<--^9aTY-~$=mtIud@FUEmZax19bS`qen-RV!ifG^>NXqv8vc!e@oV>% zywf~B)+Ki=;okurX=|sI1NnnGqTNk~5l=2&ygu^r1l&$W-W{R%Cb^U!a`1!kis07J zxN*xw8eeX)$M@wicw=FWLRr1g^}4r2n%?RR?^3?7&(oe$fPQ|w%x$%UuG(DrfV5Hc zXP~vA-m3%-oiO6Dh3gnxXI)mTkO%7seaU&R68YnvM2zW1w1JP>iOcae)ywwFchL0)!ccjHUH2s(Q z>Cd-8t?>>W?eT<2lWd>7N97H%&hTN(oss51OD>*|yu>}_*pvQ42fy73=@vTahHE-z z8;Lx1aGsmRw#1l8=dI1I2#K)?*Qh#-SW;bbb0GNnoiHr zeS+ZOe5XDyXgsitL|n+*unx~bm;H>1z9&4*vo$x!`V51SGi6(IPJ+I4vf7tZ9Novg z_W`&Aa0lQH*Lg4pe_0OcF9-dT(C#ALCgE6hXu}ws8~>T6dz8U;sLwrpYAfq0?fwYQ z{41k7jqkUCCc5}8Tg(QJ+z_5J?F6RdX=y#I_k>SWfv zc+<}3&=K#zcj{+)Vtq2@7Y5pqGNl_b1u#RVupRTtt9{{;snV8^BU_#sY3~nAi0#Kc z->+CFJ9Jjz35B0DutsW|UfgBq(1`FY?`#g_M@4Ud-urUS$+4G#yWd5jn+Na>y!|fk z3h*)dQTDIwbI|vdS*PNR{s~A2Kh&*=eOh?VRFn}@En~3ugMD_8>3AQ_?E{p&m6Q2x z^m+S~5BE$pIc)`8W$k6Z7M^nqec5}nGrurZ_hoHHzrKw9n1v0SEhvwD2-36fdK3M2 zwJH7far!RY5XyLfGqLZg*8Q~GSGoN(;Y43mp)gk{eRRHc0qQykN6`cvMSsWn6Bi#E zN2-W>=;(I{I58j7{V{M?r2EKca4r)4UA&Lf`T_P{V2>*A`tNHKwnbhB@&~Sm>i5Dm zgX4#%&`-TWU6FTG=ZynD@y_ip;I8qzl<+2H3fc(!tz!EzzQFz1Mi~4fokb* zGyl+Qn$#_J^-ekD=vD#fMAWO$?^Iz-;)UM%s%{(XxsbL=<=)wj%>+oHS#`pf6~a3YR0-41Az9ZaWbRJVy; zWObwdzfnG+*RS#}HyiZIdgE<)1(|{U{frCR!oEJI{c*>aIR^I~A}+>dTz6vp;GlSz z<0Wt9)(_~@YI$D{-u?(H)GPW5_Vl*lZOnG)J$M_lpp9!{5xkM9b$|!>HYRic^v5_i zVO`jt_5>drQ7?xVvL52%&_UqrIZ~MvAwptI?DE{_Szx6oo$Hcg02HKe87Pik873<9XHrt3g z&eu9QK4Ch(pN>Atwo0Zb(7B9N=+E(r)rPR051M>S1Mfw(VXcMw(5ukB+H=3@<^_Fe zH|`smEn^hW4Cu+BDUBP@_gZ<+tdn&KTT^{Fi!`{O-!5;yb>a*%`d;Y0$*O_z6Ll}@ zq?p0Ww%)|uBSVCVzS<*i5$Uo@F)!kjMf{;J+eh3>|Akwg`t|W4$jih&{_khhxw>rL zIcI?Gd7cpKSyq4A9Fo3%4>{D22SHzW_Ybgctyu} z{){@V?YeqE9R7OnWn#Q9R@Yhkao>*g>1fa2k+v`v{1L{2kX6=~0WbK5axwg0fD7Yl zEoU&chK#iynToe_Vm8MPXs;H|-??*w)?>Wu1#h=IdIjIap-urFjzFJ@H_GM= z=DRrme0>OfOaolfPe9Ln417?aWKXws1@WWlU+Yu2rzB5hwSdoXZ$I`r6a}q66L-m- zlw;hl--7w;5+jOzue{TE0`-Er+#FSR2zO`@Mzi6p?^*u-c;Wb0zvYr&FS-!k>0#P_ z`caUupu8aO&w>3by2mT-jRyVgCElQ8LtlwvzXQgx@XLI-y3WNR^&HNvTFXv{jD&vG zIYrywbL=mkq1_ARy_-(fJB)o-UZn%yH&%&fm5%p2S+3^0h$zhDX}1kQ2N^XUKH~nL8fIydG12m6DG+ z`NEu$C>Lc9!y6E8`MEvZPa*3^`t1#_ogfZ%O=}-zc3)Wd^=h6CQ+%Vs^_O|GBRr3W zJW*Hc8D|{}_YOinIQQlBof(w<7dVFn*fAHP??2HvO)oVs9F6fD*Hng8iO#^YS_+v| zmg=<+?4Jz`aZU+q5Zs#_M1Rm~{R?wxJm-~=cVE7#a(4yuw7)R%6XhAHx}`|gWw4gS zyycuA)(Egp4Ox-Tw<6HrZ7MZWW5CU=r4_mM$ZoFjV{L=wVa~?x#@c?#D86+7Hx!e+ z9Nhb0V&Gt5JratECHL>)P8Fqi)&_fhxCY@Jw0=c-4*b~hJ7xx~Z<(fT^PH9mI^>;B z(x1Q`o{Y87kF)kVLHT%}4Axv({&Ckh9U72zNAQF%kPcdf&Kcmn1kPG%F4j3oyBQ(q z$v8hKYqI(-tbS{PxZ*+!S3^ zpOKceUD;FRtTp%1pL1W+F|4g|FQW;4oM)1-=O_nz0&^_r>0X6>^5pFaA=E{9+g_;m zsfMRwzA6wyow$A{`|{fDnVk115`GAW>`v^bdKr7=J2^+u;)!~BuR8fi@3-Rk6>GAf zQ}8(E)@JN4$-=ce;CIlojdeZl6|pn_iTx&^g-XILwAj;=Y*n81_Z=V1F6=!Z7Z5 z5IzUZ0JgT4YGqXH=mlQV+d!tk5$;O_p93E#Q|=axr?>ttYyYLPMuaudz{prB*6evE zmv#^`sMHujJ!060M;QTp z^^-w;azf7hga5Sri9YnnWW~pkKheiNd7cB|1@4b4Govs5XY-H!^AqNe^;q&F?nVL6 zMde;n^Zq+x!*8$3Fek?&tU*=%r$b(1GI;Berqb`bbH-9 z;aXe9Voo2trPo$5M}zzW7S>&yJ!Q)tIxy~H0dJz* z0Ll%Z92e$EA}y>UJ-jk7Vn(J ze(KUg(9g}Eq~&8@NeFT=$h9E%9(Uj&7-{dZBYRug@itCrr2Rm9q^lV3M4i-%_U^)Y z2gu9xtxoG6?^axY(YL>#o0H!3D@hZ_@C&OlDE}YTOe!k z#uH#j#@ud8sdb@J73asK?j=iNS>;i^Z@%JhG44rIs5{o)`qv%jfpC@xdm?szcWd4f zwYB0;YDy%!;V$NB&A=WFlk5L_?5FEE&PUvqoD=oO^WTem&2;{)1M^SBZQgCbE%AuA zw~0sUhr&asH+XNagHyX7P6eMSz-K=A1o-XRiTmebdw~z^PoIT*>~;{Z1r@)b8{ydN z@8Yp9&!KQzl;h&o$K=_Awi znyse4XN3N&d(a;WJ`)%`t?C%~OGvc!yz2HlSV-h3rj z#m0#L&ZY3vcUOSNU)K2nm(;)Duj#S4D^j(w2YKSWQ0E57*_TUj@dM6wZXivTToMCZ z-XQ1^@1k9QZ)8tlCutIAGktiU4DYI)i}R1kV@?hI zHnLgfr-mWC5IlM7y%kgK^>`x$@j#3B0VjN`YVTHC_>*)*9&JtSl=ki_@sn2_TxdV& z)S6}|_!wF8bT8%_clfL)(XT+?=Nf?66CC^3GN+&@qpbzFKk43T-k}Hh zUfW=O6YiU@9}PFwZ=V}uwL0bCeh-Y*0}F3vU7YEzD;I3OZX?5ZP10HU{18xEt-U z-D%$*esfJ#w}rJ8XL~zw*1rpFfioI5VEcJNg%K;L@U0I)w!tlL>p60J9B`%GI{nyI zrUm{%volBI4x%7%H@d}*HQHYCW|Hz{XP=e_3S0d@6D z|I#|)F5F8!ILS{{=_zP%z=LVS9Hv&&K-p1XC{Clj*q2%raEq9}_KDqm=m@9YN z2g}`?^qKLUZ}{@sfZILA?I>pxc$0YS0!~{UT$0D(c6enA&2J zA>X(InD}HKw$4NFDegXe&VYQaMjtryfs(ErL4Dtu7wM7<1E26Nx$f$i8L@wU7wL$5 zmK}Mj7gN8C8KM!w;wEJ$2bbSYBAd9y~_Wl9$1F1a%f7jTX zaaYA@2{eT|Omt)h?Wn_dATvN857$K6LmMDBvP#StRRX!aVJq{~Wt9?-&PkGy0RMw<4V6lwZRB=VwJb?r;R(#VTxg-6=__1C`S3ms|8t~>Hl zW|hndChQ%>TmocnY(K`$qj&Wh#h{0|N7}}QcJ;1DpPha7k+zJ|@Kv$R*h^(+eiv)? zCt*&dt7TT+*OU+YQ(C!K8f#XqSZh~dGX}gp*BY_er=M2~867MRp{*~J^`DmR-t{W{ zxNVpB?7+AjeMVDT^x8Yk=(V@|qDQbF73JejT3-}=+J^nTesO;_gfV_BSPtIeyyB5N z(O)(BY5->~)*Ygl8#wO#p_KRA9d>yLci`aNk=$>_eBH^gpK|0s&UQ!IZ?22%-3fiC z1!r2H#ac#25!P@}$4#X;=L*?)|HjD97eK30pHivMIP4D(#Z2J618-#3hObuaC)%S; z6S?;pWhf)}^VnC+K89uNZE20P@1{=Q3LehRZC0bvCy%aG>V|II5uUqQjnZR)+2Jn;xe2)vV9rEs}_7RuFZD8N_4{o_XFWzl?Tuj{#fgF3aIIW1zJhkCsbJ#y#R=(W2u zN(G0qU+KP$eB*=dmRGmKwnpPj^kLk!!85#jEq}Nk_e}Zly)$cUq}|L0{j^46&^5Z2 zfHw&fXbJ6L9>)Dsq|?1Av%)j%AkLa-nr*+a4)Bf?c)K>`3(TiutbP*afk{)#0VB?< zmxt6e6X$kwuZzj~^xS=B_ovW~A+AdcKC6Mxog#BZ#!B6>9srqCn|G74!;fnj z7~a9Rh&H(G1pVxVXmKb8xN**LRCO>e=Qzg#S!ewSc`}b8%$;NZTcoRPR`?dyGpi11 zKo_Y0cUUfQ;m{3qbo21_`MQnHnic+{9nx)-iu$w84o!94jQ$tez3g3vcU68f$yC+fq#FFar_MMnm4OBgmX$inHn10w!kI! z=14l6i@+V*A{(#0(-$rF#89s#wK!XaySG#7;12Pgqa)<6JE6~DjKsD8&x}OhDencL zPi$qq(PmMrhHKO{M&5JR_rtMu`cxI>y)=XscZLjECf-nwm-!aI6Usz+*6XB2r|qj* z4sbo5G=a9oM7GNl)<%q(4qp%6CBL6l0~tS3^E-7Y^4iSNkr?_)$X%h|aZF2I%4$I0 ztMgr#*oM$2I;Cu(MJYF#D^_SSMUW*=#@g4ezjf}T?VnacgLJvPRLh=acC z#gwWeFBMmnn^E-H*JB)FK%QmczQrX|pj$i|Ua3OT|25j^k78(V<~6qTNSm(|@1*7f zhpo`FRpjj!j46Hrd7O-OhM2!QiL&zKZ&$QMvmS3tD?EfTvAOlf;FVi=cD|73B6jY_ zMPR@4sO_*b9D5VKT{pvM=*RG*`})kbS3RI#vmozMcO?7PfipddzXKe^}H4{=WPHusa=UJRaIw-NJG zJ)B#_xa8D{6v^OlT2L7xW{#SY?&Vopj{(dKu4Sxu6hA^KG4Q;F#mKrXzWhZxvJu~ z>dulE)$J`ZpEUkX#4nBP$;SM~jvs)JvM^rc+~7uxA8{|*GZ;(G8Y}nt>>Q6gHlhtu zCr7Hz994q-2hE^oo`FOiLcCiKV>u$6Zru{nkj+A6i>_pH#W8|15azodq)XxE3_4$BzZK<9&lx z(mUTdEcRf1JsWQt;+%aR)ERyzQ@FR%Oh$Mc@VUf`(n0MLnN%&bF~$K%XaZ??d^xFa90&hq&*W@f}$s@$oJ3UuXEe8?fHP z`RD-FOtAK{qqQW%K2R|g^tuA~$dO*5A6j$4^Jew!7$4c!?l#+SccOjd&QKe8Dr6bZ zz24bzpyVGrHXweF#GgrdKip@XOnX?+8iDi&aPJazuWEc3qfO_>=CH1uH$Y$N(etla zL2EwK;4Zl!??SMx*=C@9mZ_}8gK^S=KA7{$;P*!(_V+;dlOJnATcICATb&Z( zoDaq_kjWb`ciY-Z-8Y<{I5xq!3*%_5>$l)e%&0#Pce=C8BfAR(2BDR%#x2y7*9F82}Pja~Gs75`1VqKz;{uJ~NC++HelJgglBQIfY2J$~;qFhA_qDMRHKGCgOrb*tCt)wmBGw&z+rYfB=N$go zlW-oojye`}i^v)H4A6y7OSY3y<6?i0$6~p_>)jTV&VeGnnEbgYNlM{y`P27=ivB6 zr*8h}H13;q>&Cq!d1)Abb?q%hopt@Pbp0eOgJHiZ9aP7x#5yAU&!{759&kw=lcSS) ze`+5dP{&vM;$$XaPVDd17)NOw!ki>We@<4fi2j^G{aN8H7wFIy^k=WVKgW#jclBqilXRhOKMXH&b!g~s z6$5qXaDomE-I05GMTagqjt*@<9=^iSp_hG_4o#Vm`fZGlio26y(5JWk6uQyaio5LK z<5-V8#5J0A??ImM9%ft46&JtdQPDqYGX-`E+%#{$iSx6Lo8R=KI|$n~<$rec=hf7o zZAX8epjvQj-})ta`ILILTlfNfPXpd0mNv_)Szkyz39X47!#G0g&z&ElKeq>f`v7o{ zHCpJ-(4Wy(0klg1?SOqC*dKwt252vHSfc)nF%0%zhL0*6xUq9QoWGC7`tVeIgIv&>RsYg?G{v|~D%eIsK>C~gcp#8WW9X0sYkm%8)QU0$fKcPpn ze3S(pN$b)qpZy!$wI5VxnVhfOfjytFafcK1>15p7M}7KP%%O@tJsNsE%IBSKc>nUt z#B)(s-&kaV;@e6t9MGc;>st2ru-8$??rW!7w$ozj*fw-*d|%?~*hS!Z>e#(n$A

      89 z*^#RBQ;@!1r^hw=x5Nez8b;3 zn$sh#m)!+D4Z7V$S0JB8ozFLxN2 z^_ocQMI$0rOCJR7PA~C9uW6R^Gnhl&lC}jH~>dpD&Ku=&S2TQh$JsgLzC7`kgtbQrkHduEJp^J_BxVl(a@)bGSb!mt7 z{ZFWi;eIow<(}99ZRCs<&_=x+2WUOZ#C~_`Hjx*ztEgjL`;ry9_9e3va95ob6&=gmkOvqVEzBudg^DGABoBu*w@so1-#R7=ZqV| z&GwYchcPZW3A#^LYf;|U74KzFSPOG?v9QFwVZ=jSF{m(hJ= zG(6XkHZJ!>YdF@%+lz(PmpHD&okFv~uRCu8ZM}|l6zpTt?NMcYgK1lf!V9WH67LT3 zwYxTkcwC#sIs@O(!P*$d8t9j}Himhr`%urmwK3#5aBb|l!hUOGHx67I(`}u(0qaRJ zW_H%bTB{4ndwNAjM>}I3@>w6pQ9{=uYrx-igqt|#+8Er8fbZ*sFM0#us{@>#%**V0 z>JZ_F?K-Jb>x_{R`%Ls-HwCda1{`y340vtL2Tx*c>;&Qp>maq&>$&c(*Tz6sdsDDB z7R6jSX$*C0@5XrGK6h;l^O?zLW6&1H%9!uQT9e{@cTso-)}3TMjeKH)1~+9nYh&mS z0dr--+SsLP7RIhv8^fJZTpRn6!)tFQ@|quatF}37V=bVEv2!tBACkFdS*P0I;QJj~3z`P9lRD`o^C(b@uR%R(QD^^g>-tGMf5)<~7#mEymrLN8LerV_KIIHwkSH z{mG>h34e!YBsJYw|G*j5#4^)9qRbmLygWlBFknqB@?u7zs|!F@mbLW84C(}&`^e0; zC)XygSGgJ351FwZ{qF|$#|GjDbBEbgweSCo{XBT)M(i(X;T#|KVr`IljB?e9`fy$q za)|RSz!&XqQ|E(pLB6?U4tSFcdr3m%@grD^%RPnPdjCXAat-9|Ers0~2JBh+)C)3m zareIYB;Z2d)c!2*R@Y@h9$>zr2=kn_l>1feAFH-;uc9)J+?i1dd}FVO1(;{T+&B{R zwS(UN>h@rMVJCEzz0X3%nj4vx=_FsKMczE0$~7NNAC&u`i39N4InLlclxD&`;^5g( zc&rL>eW@jxb=VjJPGJu#le%Q{yd3Mo@$fI8W9Yi*wZ?oU^MknC+-)n-+pwog^tJ)# z-!$yE0QR%i$K$tm;vPl=^@bksSHex%kMZ@Ns}=XeSK!`w?oF&14jQ7a#d%?`itkS> z$$-BH>ysAnvUexWfMEPC`(ac0o?1xuDWQE$?D;i;JI=?Mr~~rxNjno4`s^U?_MW`= zkV3p~>-QJ2e-?8$eQw?{YI&3rzY9A+LzO)NUB_3Ex4h9yU0v@zQ?Hsyn6JX#I-Eu9 z$+d9C4t4?N0VVyo+em{!%TRy?_kVt{qX+K+^xzzek2dFt0;cW5+i?Bdfe)}(k8rr@ zjv+3}u#-v^-W=%3ggmjYo+$OBn{7|QQO`5yZwny~aqeRm zKK@VAu7mH7g=d$3vHlgOU#vNCek9S2H*j8y({LJak2c}^q2NK_404L~zfa@kxb=5= z?cYsre+9i|W1cw+^ak^>dH3(22N=Bf;iE0Qi@M`JU5-VRH4L=$Hh2+xj|1RUo?8t3 z&yJoD&P(!Kr_}j}{p(Czd?J242HK?j$iSNyDZnduLTFa}d-09?<-xz0bLDwgAMP%P z+}P2B^*GR`q09PkUN+3{-+}W6Q78jv7aii6r|*x*x1XG-9>G2WbF*Fc2*XmMMUOBn zMM)TB9pc}j)9tR)>2{|`m^!UY#paixY^VHzvh?5GzI@X!QJy5ovz+&<1%Dz>fXCy? z6Yw1l~f$qW=pg)8_ub_=oU$i-2LFV_=A}-J8lZMsNGOb6TOqNSt ziMQh*I{G+qz;{UZZ|Q?Sq@BqlAKAtZzM&Vz<@ql3$BSU{_#QID@r|_)ytmum9q8@t z0q*cV0owk3r|lh@VH?N(=Cm>V65H6y0MEkDf=qPd)F$bE!7>y4KB~VKp6SCkd3-nF z9_HvW&^rht=o@YO4A+lves}0F`VZNrf?t$jIqi-YPjVn{C{tRXXGHk+MHBAcf}hat z@Xp=ckU6FkmIHTSc+YOa#_@Bx0Uc}Wz1YVS(|zO-b?xRLx6s+ zEJc}g$HVze8B5p%FB-NXaXCO=4EUUSKD%`{`VL zu&<%Nmu|*Iy9S$WtMo&AaUT-s zU;1tGMD#J1GX(AZoAOsLA18kqa{+!2;D^z?;K1$36M>ujDsbaW8{m!Idz}0}5xk_y zI1aGK@Bc1O$^qOjpm7U)n~<48$HX;s#MeSp)) z$LR#{SCVcBKTJjpyv#d7`5ndgY0B3O+Ru3p;Y^!mQ}@T7()C(q(+_VSvd-Zg)E)FE z`7u6BhU)amd*M#nz!itm?()WtpoHBG7zECk zuU2%4gu2il@P1T%tWBI_Vjncbm?x1IP#=~h?Fn4Ec}trCPQsI+*89TgfHxsrXq;}~ z(vNVVbGS*v)a@j0D(4zHm5F*+cu`NrPbF^jH_nIaIU@zdD*9>E~oDVSH zbZiIaoq92Efp&Zcw0|bxVE+Lg&-oW@ey8L6FzkQz_5@(#&X=J3jea|r^Dfdsk2lp* zis7zb0RFh&5N&~U_{P2%k&BEk{F#Y*Fg|1e!og#hLwEBA#;ep1@l4O^86^q-1r7TRzizW^WI$1r{ws?Yxj+Q@mQT5w2u;9Ua(H~39pA$*X1 z(njy~_5{#YxFrda-dxQRtm~4D+Enwe(xi7cm|;IVK+{w@@y54EmKaojxVcbjJL2 zgZC&WSsvl*He!nKie7fq(aZk&<+1?hG|&%FFN^n|1ND2}Gllz3OqhXi!aY^>;76(Kia7K<@$2Vm~~=kMdUPv{>tdA~V^34$Vq`iu`jtPM)+8 zR>F3MKXp`&RoUOrEo0}Sy*khD;tn**65xh?Mc@eF;rO32iMWEF;7r`M#-SVT;uG*m z{{Y(3?T7ho&|b?C%{!b69MlI5K||ftD;-)nggGIz4QmQjJfD#6JM=S*i9%EIopV6w zb3?Ko=(+=-L4LdQ5L^dAyYyHA-N#by(BvJXeuuh$qWm!~1#NMSP38^+r?%6_18?NF zKcav5$b6lAP$w4_&Y6GGbh#qCk27!He_l-HVhQ`{nB$_2wMAVAe3z;le0hg)Heo0o z@!vh~FtEK7)?9E_KcPX;koBq1z&DI%Kn&hNPBN~2Zu+fKZ3R?%Sn4Kj;PN{pNczAI8QoA&PqRpJ`;D&;a%WCeHD32 zWHmy1f}~V_6d;l{rV*K31}y&>ngO*;ocsext4Pu$9jdwh6-b!EBs6T z1TV`N80CqsPnx>8OmXZ$7~+1Hm1+1;UO#=8ea%q%>qpVEGv~wpJ@y^sE%k%^#vW>c znd=6qZ-KLJATout<v;m^G=0q8E`Kd#ST;`ciKMcV(kWe<=cQlJ0LK9R&RJo;$T zZUFcwSGz@qQfJ9IhJJdCv)_dH1r7DXKhuEE^RjHsUjyQ3{Mx=u&Yyvl&SMthn<_Y+wr}LgC)_wcUx1v9nIkDRJVUt$h zuSVWc!{q!j+RuIpwC3=a=;-wOrS=0Xnhp`pcRvG%L7V##_8#gDlga)OHuL3v4Sx5p zBgQeHKjN)#ZwKa#NRvWu95)~j^4LAd7x_@m=5lS9FePEWB&F|rpseq=4~H;7k96zF zd<5_BX_{7d>AqX!c;cQMj5!JSkUZN9ygF;5kmY@RF=&nka?G9UPUu@m8@m3$+tuSZ zH!t&-qFWI!J`5`z-?6-gqlYI-|f&A*v-^j0z zzX3PqU?hL?bz&Im8kf7mqre&9%EY)P&bNrqIP_;(qNm~8r2+c;*q`-4???Ec{!wHt z`bMswB+yioYLR^{rfQKr0mK(+qHhiX<&kzc9|=3M2)KnU`ZespQN%~t6?k`*&m{ zsxIZb%<(;v9E;!_C;Ca#yk|rU(%LyV+lg`btAV*(L%|;RO0*UF^KS0T&*Zz%Y82j_ zZf(JwKj#0%EBFZTYQA%`FC4}^SEDizmv2nz`wD)_ zyFa2hpK0T~SnTES7?i2xEqT__6PO#teGkdNZ8SS)t{uu*qI@|^l`s7JYV1e|x<~Tb$v%9&ae4 zp1QrW19R03b8f7b?-8JGooL6L++k`v>Vz{h`7JbNhr(b$5O>umoX-{UG$~kgR_!wL2qCts$J92dzanqRf{;(?Nl2 z$BrDp)gFGnI!De^z~6eWT7f4XwEn{Pjc|5|ez>!M@Z$_24Bj#LG3XMsW4#aj573pU zqfzF#vY+y!>217a1pe%z-CM2FW=)zNTDp48ve1gPp;fD!L(5hzUb1poV`%MFOP4NN zyY|wnR<67zKZIEZr4plFx_AX+HLngeu2{Nx(B6a42cTiobWSHO4co8T|t4B;=? z58+>yfVbI$5UTOn-=7E_%AXJouPEp}l4=Oz=-=uYUds?bXj;+H2jy zwatsyG@lU~-&lN2-#4^tJjuGMap9$_mtM6t#Nd$lrG?Izdhfk+m#tj3crEB|>GEYu zKNp(6;)-SGUWHwEO4Zk0$XuGQT6@O$b<2xG)920V=blqLd)_sxW~^DGgJ-U}0^ieD zHESnA`<%mAU_64rkfx^3ovvfJ?$vAhx$7GHyJyU*?H4}1d1XKMyw&sjy9GD^+y|wr0iR zm7(dYSFT)!1T$vLm=vmCv-ue}N~ z3-ke>v@;hYw(~vHB^-&W(&mxOLUUF$t|Ea zsXC|T9JOlkDpguG>D2PmDk`fc*G!vUJ7XrIB={{}vb1s8rSvOYg$@8~)upO*z+Y&< zAIeyD=?Y-?8m2pE@j0sg+48<=M<3mO$IN%$tNv5cxm9x} zjVXPv?(;W%cFK;m*S~UZ&Q-_~e{WZ7yCACV3$-1+P1~(cYy0xUV%sexH41;bvzLn9 z^3t7RFZ*~5n+V^c4bp`C`8y%(h!EVdze&V;V%fs({E@Qe2r|R6h z;ezt3r>mXkTz18i-<__`*u3aK!)w!{n;u;^=HZ;$`oI6-w+BX@U3>eBbFAmzwrk%# z>$T@Rr#xEw$lWhrGkx8kYX=~>bO3@w%J6uS@P`Li<*Jzr&ME{AMQ^_{~eo zz;8||zk{V~@Ea<<1HWU%r>vA^S!E3Mp87xdO*xf0q@G%c-!%D7KQ)B!4EY`=znSto z{M0D?lTT$?W(9ve6{Yy~RxpR;3Z_b_$ii=G#d7?nRWN==#Vh#DtRPgrie&s|SKNr- z5fu&i^;fXmfW!<|d=}p$E53o>(URwwibeP?liyP-eE2Sx-_zu`LVjy1J_G-mlINKf z6Yzaj#R>Q|P9v_cU@G6m`1QzllKiHe=7mqHe5=Yj#;Ig{Ph~xRlPV|S*Q_LL-b&_R zRW{)_xiSU6DH1=m(#CgMB{7*Up&6B|U9i%R-;tGN_|2}Y#jn3I8^3wtb7JN7_zqRF z74qe`ppuvzFTdeRVyRI4iz?Z^CskVbJ-PB*_$`*-Q{=ZqVot0K!N0W9gWodonItfu zS}C}yjKaU7vJ}4&$^Uez;}n5#s^t6`iPIo;ys&aMVt!Wgxu`OX?*)?o#gg_CiL+4h zzf63V%kK(_vrf0x zkat$L;``ppYw>%Z{N68hd_eMfurd|?-;(c#B<9x2F8F*~eiw=VYH646Na%Mf7a(+- z{C=;J)bjnxk@$UBe!C?859IfU^82I87KA<`zmHa4ititb|6}sIU4H*d>XK4L%%@ff zB~%F|RQ13oqlzs)tV-cGQ{oJ-%EPx$e6p(8;v>Z0FR60mH&kW8Kfj8Uc9QsElkzbZ;zHlobg8)fUKM@dV=z#A-V&9Qq)~LRYKIheu6V=%#;dj{C zOsnRwj>a6});Uk(J82GcHs#kVzp9=&81-xsPd(u`E|j#F@HbeWgWpm0%zw0e8<*6? z<*P<)C&=$;@fjm! zIu%%9wwB?fjX$|@CsgUnV!AVs^1$-t; zSrIAybcsKup#c78G_W1f`J%^l|F%^mK_(}u>tH_4U#RHg#rrsUeVjN@1mrLC)L(tK zJo}129{jymVf)#qf1hIDQw;n+#J~Z_2bzP9IRu;LsAJ5kBt?_q7(Z;9(T*vAO;hZc za@aIe98(LMX0~JI!=_o_m`2z%D;?7eo8~&lw7{m>jCM=`Y?@-nl*6W(;+R_4G_xHu zA2!Vb$27vGS?QQ&*fiHUrUf?5CdX`nP1E6+2Vm1|bIc>KX`XOQH*A_+j@bj7X1`+& zz@|Cqm_x8>jylGSp#Cr!j`72$8SR(?*fhnCDThrn#WA(8X=XcSK5Uu=j%kEVv(hom zuxYMyObcw9O^(?Do2J7t55T6`=9oud(>&prZrC)t9J2>D&3?xmfK7AIF^6E&9CeI& zI_eLT;TS(`n$eCafK5~Em~z-OQyfzZn`X9S=EJ60;Fw0(G%Fp`44dXU$F#tv+2oil zuxUCR^8jp`ZH{>aHq8@`>4r_S%Q1Uk)9iOl&AjQeW_^gf`Ka&*pf}Wg40C{RSDhv~&1wpw$ui0XdEquMY}M8o+X8Xd0G_BELIf2ct|@!x}VjQf^jyYU=6;kdI-e-z;vgX*!m&J9l~ z_o%`_aJFHZQl~FH&4ayjN-cst#VrK3h9`fX8?OW5Eam~&!9nq+FL2{Mf$$i@udC4T zyHRz1U%;L{U2Yq~n-OSYp9bsc{^|Pt61zKfydc8oyMeHs@O5tZN`$v3gcsx6qrQhd zemcF0T@Z)!l{$K%rpw@G(e4THb$%<_-1vJCK7SCtzIm4$Ubp~6f&YbCA zkKc9Ubv2_r#M^bXM=e04!q00wyYU6n>>)*7&qppMBLD;PCVc4us z%8lCXhfREpcHD)qNq14ljZgX0?YHfJ+g-SCuFj{U*my!>XgXF~WkL=2=9bnafe6kbmGv`c=-lEH^ui5!W+3s z*7?)#% ziG=jJp3w{4^rL^O+h@Vgbb2@HXesB|5;tBm!WX%LuyuHNr5nBn;jE|or^CHhx#5L7 z`ty~BW8atD@Pi0{8;SSF`peH^_<{d$vPQSt+X!z&d(3|de2=houx;4xpDy=>JKXq( z5x&3;gssC%?s3ECKRp!O!yaCI zKb?Mkj~l-2WsiDmPbA^e4ULhL3)|KfUVk;y=6LhY^0rEgZHEFFojn zA3Oj$9Ha-0|A!lH9t6D)g73L^-Ej5JQ1oyWM3Kgijqv@0$}c#yOy10qc4YyL~ivHszYec*;KM>yrC`=`_IMcz6+*EUJ(?w>BV+3SY8{yN<# z%k_T*>6!-R`(~OOUT_4R_Mq_9!{g!a57iz=pp9y{f=4~-pj$X>U4C_r8*YA}`(HPT z4&NMf!wZjTdDlPusgZ8@0|>8k1LOIx8|#K2L^%5c_fO|vIKd6C!oI~uHxRZC-wSP4 z!{32$_Dk-c4sU^$sl#U*SR)^l|Ckat{B2K?@MZt>zlw*4unT=~|Jrh@n|?VSsMzBs zhONuLxXKOZdMV|Z`=`TiIl~R_#QN!hL3+)}HEwuIT9U}k{^`Fv-3@O}$9@EmfcvNO ze|x4I-Zl(-6b6Ntp5=x=G8}sx2Elj!Y&U#6l&iFYR{&cx>mbv*BBHuPQ5Vj7lSnh^zN4S3wen)@K4c|2edsYUeFJ9$_+qiTgF@M$p z5UzH^eK;%M0l(cwe?3jZ@%JV--ZsQzecV4C{?{wr@EF3Y+(6hm`~{3Yv>Z8%@aqPJ z|K$sAcykDky9}!5i5uMTP5DVU5#E=9&Y#+~&Oc*Ze>%MhQFU|nac5o4?1^jFES=3MNE_T-VS6#YRoH$W9cQuX@PCQ>u;Z5XO#DzSIxDaO%7oI<> zeqmX~#I>t0ZT|e?HOnT_Pakw!$kT8Om#l44XP)z!P|dv1q>@RemEfTa!`^6dFp&*_ zOauLb$^g(*Gn6|gvWBo4*1u3akCE~YEsr+|5j>vc{R}g`7~jH5E~k~^Z5AteBCI6S zyJ8iX#7v$np5_`RH-c9_^GTgev+o~+f>QsHrUCgO;-sLn3FHYXG$kNjfrpJla*|M zZFJ0lU+UBRocJ~TdNwzuZkA};)tvfvf@@t`h%rlRhUDLD+XFDWYl{1! z<#>m8rX7yRN3xAB*b`64sphcX8?b70ddm{%%y67@6TF z4MPe`(YmeCF$ThDxDZaR;lS$?$_YwD5VG%bW;xOcZBm&>=`NPwt;lDWP|7#s`4l@b zmk$@Hiwzs`k%q|9ADG)S6 zFRl^@vN1-|HMz1s1Xso(kRq=%bdgQE$Sa*}n_0#hw+vnWTH{`U9mqSCW!x=AZc9f8 zPY62hj~97&|03^>7b%s#+u*b^8hp4k*4+*S&BLX&?v7WQv5Zb9ZKJP-WxCE~gzsA} zd@6Gm126WlHJ^acumzxtAoMg2F7`OIqg&x(k1Y^5t#Gl&1xQeGu}7n%S)=4)Prp`J z(odpl4GveMvc1G?5{N-@}r#qvhzRoB~cScJ9T2fyRWKJcp zx(70&FW_5xAhU*_x(70+@tZvmtC-SFu;;Orl2U$y+Id#VcWOKQjnS-JA$*OFv_^VN zB)S{3JfgZ99h~4xUk7j9QcdaC#J`&}<}pF3ZsFw_S0=bF&$x!JG98Aqp0#w&XC}2H z^mL!m@oVIraTWtU00c1Xj;t=pfmd|zhS*@W1ev=VDSz5;rT&>pMk(M69O?a@S!Tj-Ii zJ=V|z+uM~9v?Y&bdfZQsk=o-bdR#=0QQG5bc&NG9-)gGqBtESJ{R4;aNp|kKPA??h zzm@Dv1@iZl66fX{kSs~Q8h##tZ_)&Qdbh#XTxK-l+cJ~te+E1GTZ}pP4t_@XIgh?7 zr5HcIhDqg5lB-{(r6kRH7ar+PGShQeluG|Czvq7wpLi055+vy}FVb-s6->I2s$Pa^ z=3@rOn_CCRuv8Qi^qzu=LX}RA^-koc%3Sz4<<-!q|A^l5osxd@3GR1BI@iOrzb|v) zI^`{+?&nv-&5^KEGVyZ*jKGY7PC`Ki-qSEsqtdSgFy2ZH@ImHYC3s4=>8~}o^glEA z2>mnCUqD*#>HPMk|AgOD`0Y>sBIBIF?_l~F%x5aUN2hP6e>J~D%6A(wO)zte=>`Ox4}Opod9?jvEr>j4zo)6CVps~JcpYP!jV1fOyrQ_UHeNo z($B?@x0#{3Ks?)@Y0^U7Sd;%v`wSQsq7PEtKI)uOXCwW&B|J-TXepijPje1;5^R zR{-mw^vjsf;dZuU`tMoW_n*LbVfrLw=RNvcd>3afylRQ^_A<}X5eu&ZWXd3DRd4_{ zL;Aca{%cu+Y3xUmsQ)`ezSlSi$Mg{kS0a6i!3=8sUmSywbV)PY-_0}`#uou%z5iSI z^$s@*;5Xktk@y*5;1s25@UJ3ZIR-gnf&WLy)0-<9G^!ldjwy2GhxN-{fC#zt2Vze` zP~fit#_|5d!w`Q3GyAD@lgj@n0eH$Y9e$?2hB<3Tir?sSWcY7mnr=zs^Z$!P_KatI z3NrKKdI9BqPC`okcQM*d&#g#W?%&4@ejy=M{%tHqSgDktmgf#R0o)1*6(?e5Un9dO7?!>A@$Z7 zL7Y}q-eaD#;hJp(JDIsB35SQ(eoyd0=8>F~g48{p;LUWUBrQeQK@ae@1SugrIoKO+ zk|G7stXKk~#pxjW4g`&4s^R8p{N}U*{iLLX==5^iSh4he<9q*wQH|hV>q9 zGS5+eM|);^zhr!g8T^FNu9t7+e}@iR9F~7H(TC@d0ZN8Sq4gC6I}o0*%e&8W8)EoB z%V_&OjR;r%_nE;f(om-VCW7;OiIw7in$ceMP@ER{vl!ANja%pcJkz}9IUng~`+vh| zfAGwL-+ccK40+u{HfZ#}#E=8xSm|HN=6gdN&Hk@3D+OTe%rfO*l-Lv-1~PA8)QruvpDQwS-RBI+!R5TM+OvfL*vtbxJfR|BTu-bd9irU z#%~JAV=X?UJj@Gm+QaJ8G_5{O)9TYSlc#M{=|q-|3v|NUq_hN)O{Fc^bb> zl)i;_39?O*KJvuN0JTv>6=pl)866=HFm6oV=GS`^jE-s8zmh)@a2cn6328BI%gEvhfxOVf>0!$~d4?G!+C#VqA&T`OmPB zz1o|zSmB-wwEq{A*dI)wGMhSuNS-hcVQKSN!~`m{X&21^z=h(bGMj!mW2p;Kkf~N7 zt)o>M@THh>cLR~R{;gW&$C_DN0?_(Rr)`6WJDnCE%p@fYW|I03W=tdVFp^k@i1y*s zyp<)BV=_zxX;-42Miz$(sqZn)G{#}2XTvr+P9w@No)K@%d~rN8ol!^+83jx{XFFoA zl-O4x_An`Gj*inbYz_k)S97M!JesZ3Sx{aBV}{Sy@)0wWv`4CWu)xAh%+|+uQBLR6m4D3Sfnic zr>^A?*CAv{;&Qi=?S}jRf5eqIOKTZ9!&%{Lh^$aG0jFqnYIXoPKaEJ03bLPj0V1Wj|gP|n>3gD_u{F4S<3r1NaPQcp$>3qWKU4;iI- zEY6+@MAYtdOagOW-tikoi37gyFo_e1mtiQ#X+u*RA{JSxH?4{ zJB(K3DN|P+*v+HTUMKo?#E~SKJS~b3_$y1G-sM7_B~ZK0E7h<^nfPU+A%w{b7Sh3P zH|;s?OB#;D^@@{a69$=t;fo| zsFBgZc?izZEp#)^5|($J4)Pbpa~MuieiDgB5cy{q%Ks4I$Y(y@q}h-GIeoJwIqYC8 zkpVe+w&b6Pmdm>4PzEGx8Soe&G1Xr5aE=Uk4p34CxZ6b!qg(n<%77)rR-d+#G?ftk zANIZkzN+H-|1R_Hds!e@A+nP_Aqxp1Kv;wzs6kN>0;r&D0YMNbi{b(V0mY>XZbglX z)&hJOR*+&P66WYbui5YGMt4 zFBzc@kn|^FvGA#$^eM48l5svo6?r$>z{JD$fD7$kZuJUs5z50fC7%E9lBUU1$j{IA zoHC)~jn#BbG~FzxK@5#?Taa!N==788UzV@kKF3H%+UoBy&;?Gb*w~z0GT28U4xBPqs z-FZvp=PNphrRC=FmHRM00-3FX2bE)?3LW`0H6<3PIG6nIa8w+qASR0PyeTI1b?!{B*Cz4M@Bq(Q&>4 zaKFxXj%t9>cYq=MqYdZvi^dqa7vhq4XpD*aMdSKKY-O<0G%g3=AEz;31ZIo`csrP=X(`= zj|k2A-r&Cyp*i0>Dr4qU&Gp*uVHP@*RYuL zRr@nhlIDEAhR66yavmPW)coUeKi*5-WGlW>YL=c{sA9-}#5^(Cmy`RX{r+MKWYvCg46U-c(K zb3QIvBa?<$bG{lzw?*A$!wG70zB-LkwK-pnxESf$oUcZ*;WX!Sxh?iveDDf+CaIf% zgywuT{TU!)&Q~)CYIDAtDV)Kf3`yFYuRi>S?>{i-tF1ywbH3Upgf!=?j|ggWzWVrB zz}lRzwiDLoe6{0d$k66|^$F>@3d?gkXm=6n@#w*b-Rd=+!aK%4Vbf|Swbe3j_V z1Osi(S4nP5@X_Xcb-4R4j5gc+k?EuZFuXpkdmauSUBaktXJRRVlDG=fk^-VzfD5jdOXYY0hW6 zlN5PsbH1A6o=pZc=c~B_YjeJ;5=5Kx)jan^aDzFYUl)NktYM3_>K`5*3&fnSHhCGy z*XDfnmfR?9&R1^>l{V+AcRW5$wK-pHmYb{1`RZM749aVBzIxB=1xebRuip1K4YWC5 zZ4pG9^VJ7}Xmh^$(EBZFqs{qht9KU=ZO&KQM26RsLj6R|_WA6I_!q#-Fy#%V z%n4Iw(eJPUR3Ds#$#lJhbT5>XZMfE;g8bXjs76t_J{9oB&PY{SXHi;bQCeqFT4zyO zXHi;bQCerg3Mq9Kl%vj~w9X>)+b_yQivIyxqZ*ATNEbwvO;B_eZ>&p^fl>orz_;iu zYT(TTyRy(AraCb$j=q5_jvv@_W^@*H0==$8XHoqrO6x4DVkJQ3_`@h|@Ma)BbryBX zY=DW%*Di=tfEX9VbI3y29E8k+2F~5D9CYCDN6!aX}<(To4Hx7evCw1(C3EK_qN-mPq+A zl&oD42^tqf!o~%Wbq;lwNPi;uS&JQk?;#+PhFF~?G7Ova!!8?6(6}H{s&PTYzWh*U ziHu~!sk4w(Y$ZN;g*=ng{Xnb>;%h)c7es=_1yMMI14n~#K|Bn%Rl6VxrFKCSLhXV` z(6}I;3fQ?3*wB3(ODvU=&NsB5T6HZToB&{Y+Mk@)4CwC zYSslY6B4Wo;#L%~E{I zOC-w&+n3DW%w(pcV>=?N#s!f%#syIbj0+Qq&^Rz7CDp5eOW=8OeAoG)zF~yUy&eBM~)qtOwd_4Kcc8<_~bDzh?~$b>w;L0 zeB**h*t#H6jCDbjn+q{)cakDc>w?IQeZ~cmuysKsVqFkNAp<%Kzvyuq)=*p!Z@|x_ zaY5v7fOSFSjj}F?q_QrEe4JVrL{`+gAf64xx*$?Vvo4672G#|Uh;>0EVqFmF1#Ddq z9|dAv5GlvHAhL{gK_p^b5Q$h9L?YG&k%)CcBsb_Ry!R9-9Ri(&IHd{>orU;|1`k~j zcLFyqh=i>R;x|B`v+yZHP($m~<@g=?E5hz(;qCvUUJ6UQ46c_hRvg6NmDq}%Kpy1e28R3!*A8nZzKm@NK zH**HQcm>7IAh1x*-++V)(ORt3P$6mxuKe5dt9pfq>v-6c!8={A5Y;P0nV1&!3X$x| zs8@)vC!<~=;v!DHLKN=FpmI~M5J5lrVTGu9Q!KaSxc~4PLTzb$FSHQ%O^=UPr*R|b zA?_wYRO2N?-V!9%_*Rm=El6VH+rYqmN03I1pCH+0K^iw+Oypfbnl?Ux$a{jcY@9^o zeL+$hpHBu`1j%rkFGW@(ce^@~^|^?GK9TKxPUB%Lv{Mju__#{P{ZxozhmW4S z#4KP#B9-L1Thb!$l1ZC@4BLE7cv#G(>s?A2iH60fo25>Mo76DbCzUpO8u*Qj@xhwj zlrl$2dirlkK3c;A36F`fqtZVj&q_@{jp<`GO&;MhVik~^KAG@%o&F5zCusU1W^TEWaAul$IXZv3Hn=bWu>yJnNq$LdMRra%V))n2R%+W zcd|yZn%Po*2F#3EFEKwSj@7=O_{Gd`EBQE=*2x}s4sKPh@H?CM=rQQtJi*rz|Fz@h zi}XV1yxF`D?WD5HfacIpS_UuA&EW1H7XLpG&~_oxa?iy_A|&TACx0=pA;9vh0o+Ys zIe?7>RslE+RmxutU=)G10Ok_d2;e~iTL47S?EGB-&LOZDz~=<$oqEP$j#IDzwa7-5 zR-+2-V4}ScpM$-H$k`L-{NuYhg>+aiMwuG=D)hKh&@bO}dLTVO`*ogEkl)U8jze1W znzW)aq>-+mI?QB;ngUHBmIaQlm3B{&P8(4xZ9|wgy;j=t_L}bEnzTYytkXjNPK+aB z1qj-6eG`w=;tm`cJp+!yh|gp8itj-0xhsPyAc*)2u)JHm7=7;E9j1)L-u&VPEcIZ( z=@jv+NYjy}9tvrOlV&PY9u8AZW(seI`&htNw}`(ODIJbO3a{XX67%-twooP6D9Xz{ z&MjFF;k?}AU8fahj&i2r)`hQC?EtPoc}5-J-`|sezSpX7EjWU$pO-^axC|4Wi7NQb z79hn01$L{OqdGBcoGD=PGa!f?1BA2F9UQ~VEXW7=F4E)SkXw8akP&zvjE5V3N4`tH z3?$(KWV@ZF0DYh6c%q%j*gYJx1kU)2bGpp}}&FcG|sAXNN?_A6_tM3ycHLLGjM>VVOj{`QV?*|tEfR(eW z@0(EEuD){uukaM{RaRL z0<-#lkO2T##;(5a699lYcJ=)?k^QZ{bHUH9zH?UAz531^yZU|%%GAC3J{)rF>N__^ z+tv3=(A71o@5ckHS$#j~007owKmq`;g=|=@juw>Z=;(v>fA9cYa*~{WYxN_W}U$H^8pG^G4a# zcP`=D)pxqa*S-2a5#{abdtXShtM8l!cJ-ZzU418FSKs#^0Dv80SKnF2zHAb)tM5eY z>N^p;`cCA#tM9)=004IC&ldoI-GY&PfB*oip<)C%RO;HO`GD2)Oc+h)%9K|CMqhNv z#2ks>R(nuVQpLHm1s_rqpwFa^I}5v%S}^BQplRvT#sY7{a_+#4QSkgsSpa^2L|$e^ zN6$GAgjw2=Gs_(UPs+hr?ob2?gxbjYmouU<y?TWVYT0)qGO=&0J4C%g_Wg)V|H}$ln_of22woAT%bYLeRzFR3OjMcyR9Ct~ zBT2!c)BxVi(}1M%2&yK~R?@UurM(@nma{l^nN5S*rIPmGG7IzN22f?`Xa6C|0j%8}poc-_f%o|MkA3zTSyUkJ1KD zK=Fu0o8AjeDPbAlXfuLN!o7r>Q>$Zi%2h~f)8LOtON_SskhIg7--@7c^m^DxIsiOD{Rwu#k(`U40ZEg+&SS|muL%?~ z=w0K;wFi)lnG>eKA%Wq_u>6%VVanNXNgzXS$;s*5F+G8n@d=>J91o3wF_6+^i}ZAg zx&Y}}Q#*N1GL*1v7{IH*HfIxbw^StD0b(Z315rN^N)f*Xh1sfVwrV-#=JKr49U#v(-ne<8ipZMfo2}5XwfRfHj#pf2{sMgQ zil#gLAXYiIz@8bdtR-PVPr}xOdgHRz=!N>QHF$xmk9A%j>%2bJd3~&NF6Pw7I@dqP z^|8+DW1U0!_;In$YlW=|W3$l1$sD>KZXc3GwrsA1ZGf61VPvwouP7NyT&YW;oV*T1 zD`5qsIvMHdd!P(;fkxwGtOnEvkPaD>34g>YB!|IjqR??%IU&TH@a|R@fLNq-qm&!K zEt|)?Qxik!14fx?`xSI4UeVQZoUL-6fjKhNr;eeq6~2gTLVfD)6zEg)k?37pgc^lM z;vJej+@1pk+%Fw`6hnuk3!%g_7 z?crugCqa9W5jK0c37b9Kgv}mq!e$RQVY7#uu-U^+*zDmZoMPh6{9=2!DfQ=BhZD}2 zH-jL-c#+k)hg%4>7a74Hvxl4XKkt?$O0ZsJTk+~-y~wx^*Lsodvxl2y{-ZtIWPm-~ z{NlS<%|2kghnwl>*!}O}76Ri%#+|(fv4@*wtQXln>u}7mUSxcGw0pRjV~v*k-@{F^ zgV@7Ovj3eu+>|T}Z_?Y`9*`_3)6vlfyN5d@mp$Bse}O&R6l1-}{%_vH&5By1<=H^2 z7uliR!%aEXi|jw%vP5qG6??eZ5RBvl?BUkC066xkyi|bPt^NoqjJ8=8b7uU73e+5G zK*gEJ{~BnT_=$DmJ_L7Q`fr%mnLMas>3vceWnjlMC3M`s!C1@cj3<)YlvT=R30=y0 z8@O`*3S(oa|6GMU?k(5|e*9aK2IV9Sws)xQkm25fa8E%*ErGmX0Y0K!`0`V^+&2jg zVVps?`b~VsrZYNXm&*XQ#wPZ-@^;9P$mIw}Et>^;l58OIZ~~cZ4tN+HrW}TPQ|?<> zS4_MP`YBh;$`Hbbe_y8`$`<+yz~1k59vGu}CHx8l_S^{J{)^ncAhCN?P3)dXP3)dX z9kF{N1KvR)iQN+!xQZZS_e2IURY#-v6o8y3TPt=?Ps|AGw#r_)9iru4Ot@mkI>wOv)y)S5gHA*se zPgi`$3i0tfTvBHUl1R`&M;yexNOafEg3o}eG=9LDYm`V(R7o&tPN z0+BSt#_kCuYQFBW;S9s0WA_BL?61KHM&!}E2ZE7oIAiyaRctjrc!fNZ)L(#vv3r8) z2#BI%_XINt>exNOOty#X^n>uN^}gW4Y_x~5dxEV($=E%?HX)?m7kore>wUq;rvlcy z2ZHT{_3nXS$McY(cMk-gkY4M3!Dno^-aQa}acKm7DaQu}dmaI-cMk+#y#-kB9tieQ zZ_}}Rf^W%FJF5jaI0T4xRtsFQ5NN$GQ0~_#qV>KY;x@q3OY40>%q0W8dmu=VGFtBo z5?w~N(z^$OB==~vU+*3W4tLW~VZD1GXyS5a=-mTBvM>l^_pn5=e6W4Vvrz-H@APwUp<9=-Z??4ICx$g zOzVBYYtkIOdm#9imjN=pdm#AQ91l_ILBVkMf6y?!dmtF?o{lt$-4j#_tYh~CV`Zdh zy)PK&@=nvj#daqt^3<_=f;sLjWWacX!CZlL?4F=X5FNWGnCFf{rNh`g_klL7VT-lF zKRg~>C$W2iO&)(R^zMP+ExA#8_dxKrQ0d(R!8;xwr+W85pf@dRy)Sr|hOAKU3*Ph2 zge1LtAb8*7G|;;Tf-QpR-2=e~g6Q1?!H3>z)JDhd3ATFcfausg!8RF0TJH-!k}`Vt zK=833diOxET@bx{AlM;@-aQa}B8c8S5bP9g(EE7rDN;HFv3rP9s^Ey-LwvKr8M`Og z?I{d5iQN-?A+V0!6MX44Mh0T{@F_!3c!aTgIL<@7<*s0(Ohbv?6MX9NhDhw5V2?*x zTIvu}0aTd1boG?R^Yf(vZv{j75;~JnM&vSh49*E}fbU?j(z@H4Q zAvS6hB>7hooWLL8B=r<1Qv92sImE48#7yooh>j*JZMcnZ`ZZuN+{QP144^jL#)pPm zZLy7S*$%5^VzG^HMNnI8<7-)LMO*<&p{<1D1liScknC+9VaeNFhdi_wP6sYS%urp&RkK&bOF##4rdvyr+{ zOF;WIl=Tkzyb&V{J(89IlI{v%H^wb>m2{da^_)GaK&u}>%W|d1lwyyKg+`l4%eGQ{ z@Z174w}`D*iVx4%n9U6%O8pi0uLSnteA)C7uUOgZ(e8ZqTY`vr4&9TF4Y`SeT>^`7 zKDO;@?DG_0W5B6_lx&6C6v$m^D8#>kvRtr{%}tX0t+)^Q@;cI7^3$`C|3_%n*wBnD z=SJeoS<#k)H_5@aZ@IIrlnXqKc(%|_1>Q2| z=BR%{gD=F^;T&OG$gasz98XTcZuWMLdKDC@c@US!;<-ZSFWEDvU>5N_!5_mbM!VOD z=PTYFf64Ya1;-ID(0nl-3*KjQiv&Ld?;8b;nBPgwgRC!s7rBD~xt-O#MC>r{-@5{z zeU9#pv)jv$KH2e{f&qB=AbS+DJK+7R;4YRg6@Gc`fuBnLT?GHE18|8B(N*wmCBXNx zJ>8^xF6rbr#p3+XLviB!OE%6a7WapqibKXrpH-<0$o%^j7Ny-8-j46#%zf*gNgkvn~VFKam#k&ui;D?OtK_K=p;BoQaf@&3x&qj3su* znNnBa{vwjZXV`w*(IaJEq~kb#=SMn@BCkc!jyqVN3!|OJkA>iw(Q-sBE}24_ccP^| zJ*V?FJZk=mCHDex(O4tny>kz!g%yx67_V<#+zPd1@m!4i&gf6)ora)|kwLahv~i&A zT#k$~WN=*flo@*mlDk%%;5j3~q7AaZ#+#4N!QRFA9HN#~fo16yoN7c0Bjh{zPUorM z{O6E!j&S~noYx$u%bmMF&RzTU(-b#p3TeW3xR>r7bYSVF6+cwHrKn!xBs3f|U__L& zy{sqtu3sMdfOiP2 z1Mu520M7z=guuf9{?H4+Jpd*i1z;I~%-#U50q_?BivYaX2dCtbd5_(|Mjh=qJ--Fu zFZpavj|9xK>ygsq2mphRK~|c~ye)Ie+5zaA)8BK>LCxPsqiSx-P`9{?cbVb@#&?XI z@SW%{*)qrJx@U+k(gy9QU8Dm@9JK^(-f!8`%ZKW+Ke8M;k-utt21BXjFvX^OgLZ`T1K&D;afj1OskcL172 zAI4y;Ul+1Q`C85$A>g0AAn{7 zXgD_~g_5c;1(t{97Vp1Y=?pxq*e(0HK~9VsIDf1~K@4Ab_-ieAwpJkDH8>Wb_(H@V zY{^e@nlVp4dUHFjyT{LjezF(mSwjniYRRXAsFHhps*)#^8&z_TPgU|<;G#PThB(gA!yw%)64Vv`58ehB`Lb-Yr-@KA$lpD9s2C3!!k-{rJqx@{#6JCwh z_Z@&QUWeU)I7M+>)oTeIJY1ds92s1@UX0%p{?R9)-rEyHpx)clt@rk<_x7y!_Kf0L zQ19)DpD(P#)q8u^dwW8K`^ny(R8HJXI(u$_j#PnV8n@boN}|0JGU>+o5L7X7!^~vH z4q&Mxp_{x0vD(=)k5pZb!-DYIVV-k1h@6Z?fEXfX7pV4e_{`dcoVLg@CkJW=(Cl!F z3|ZwE%`3V#XB)I3UeTA^nmCF)0j@XRkd#xBZndU+p~W!_{jyMN+EWazNiT7mv&OZD zgQw5rK^}wM9fV?~XzllUosCw3QwhIn9VzG+bwG+7DX1D%65Ik+MP(C|0D%z`AW#ih zhqUl`o;wKA#IFW1)rs}T$7Wn{{O~DBA1SC#h@qO{@jMh|Tst2C7#=C825%*zT{{;5 z4388Hl38hE;-5o4jQbxH5)4iJQhPa`CyZ9M2PU))l5}XHcpoRpI^yT?iW!KVj|K8FV9TO#Fn6iJ!1B@e?*Ce!?ln zwKFKk3#&2l6Er4%!p6kUI$IMz5t{g09XMjjftO9wQFZE;#Q;^ z6F(bH6F*tS=(xx$81_zD?W8!a$9@8d%q0}aRA=D;* zg2u!@1h6sj6E-G(F4GwkKk1E$pA9!A{_`;!jfsCHU}NHc8L%<&Zv$*h{N!m({H&Ta z@l%2|@$W~7j5YCJ3B;QCJE8s7#D6#{Y)$-}8P>#41~BpSizSlf zgY8S6457(PN5^(YR*i|DImW~<1mfBWL;hgSVL4JTM68LQIo8C_GSoYvLb>GSBB&&qF5ktD?tDILv*)#_+LStHQgfvjdMm89n3 zv&jueeR*GUCYk$kKWSK$BdmrcN^d}dJRLc9WHMnjmh+<_Y8pOyjEVn!G|Za#`y=0& z_z7DRKgC!RKkp~?D7G8XxbbOC{ELAY6F*^V;wNHF{QT*JiJxD~kRH}hO#HV(Q8gxh z7@>fC#gcD%yiwM~PbzET=i}6x_*qeF;-3vfyLQ6FPltYM;^#E5CVnE;#81SU_#c2A zYvO+jh&Az3jy3VKj5YBSu_k^Z*2GW5n)r!W6F<4Z#Ls(Akb0I2wn4xB7V~M`;KwoWA#w$E zEEvCXSl~Gz88cYEZ6(luGw<9G>3cl#3YeG6-?-sG(-FB`kc)_%iw()^?qJWW(w4Fo-M08sHNSVb-4CeXG+*<+i@%7@`F zeROe%-HOcg(H%qV$bnes9F1-WDfiAvubgm3h@L(Or0569^D1x~axBxzp+Nu5W=ZY_ zqU|jD?^A%5SoBP|=%t?-l8zllq3_rHwj23>LT8dGtUABxC!#fs-;cCoKj1wVf8B-0$%nCyHD_(BLE!Uur_IxCSA&ASA-ND_Ms4F-bGNy79c;J ztgZp@JjN=|V5oT>v7K8&7Blx@Awpbq(`U%$Lu`r8mgezM#-jajgV_N*&!KixoWja5 z=ed1yE<~BBVGg|=YRb$*P6~z^Z-}2%D<_nPu35(RE(Z-IwyI4dbxEA0TiR=%9J!rt zR}3$Xg(A?fKY7SRZP~o#3s8efFs4 z4QX6(t+_YSk8a2C{#Aj76>AaF*oqZyfHw;t7lpPoMlRC2L2BPHZGIx9!kej3{W@&F zrDo22>7({e=ExbEnXLxK4t}utTc9@EM zUeQb34teA^W+BR$<2!yr%DKC%tnp|vmdz5Qze8@?*}Gm_5*V+n^PqS*LA7{ z>CJxNpXXEwO0fHZ4>E``%h>(Evw{5BQzgg%i$?t7!gH;|4u<2=mdtc??EX)c5CXFw z_#jV}U>Um~c%M@xm}BkwLkj(A}W<&L&QRH9V zp+8l^E*i89_;m$pAvFr)^6|U~bEY$Y1AgqO60E4*4?F~j-4EOs zk`CRe5|m^21AhVJKRQ){+^}dg8n=fBSxARq(TMnc2FIci@h1%)p6UEPJM2YdM85C{ z>qVo;m)>q5STuSG_m`mX#G(;9_2)ZPf(^k)KESCGwL-1@9omLFnMLl0n$;2&b*nGN zo3A#$P39x%o@qeoMKzfj&8K6{2*@-xY7r5v22Ce}8;QWVY6g*KfuxB?|I7|R-vgTd zFf^LUV3BqigI8}*vZ(wUyq8yQpv zsUh-3BKra)PK6#d)Mhw2rQl+ShPXwfY>(BK9U!&I@YX`QR|Uq}1lX1?QNax^<*fw+ zta6|~qF|jX3qZ1 zi5KgHh|h}SDPGH(S@cFC#^xNKm2Yosm{H{x8!KmQZShvf=M`J$q~cXrIhW_))4dv+ zXyWXHZv0Omrf(wkm7bsHBQAr6Km6WhiNeLU3l(11r3IFmcDW(2ADyeYBv@VB)1FED#s8v#eMkVbJ z*r=q0>rzQc|MRM(l<;F!QkMCTR8lesw<_1Ak}?||yML8b2!5nW$})AUq|B*XC1uV* zsH7x22$hs%zm!T^f6&?I)O@SlIr!3c%*Z_YbyFqf*MU@0D$zeyCFRYvD(OTZKa)yI zIfqUqCAVKlC1t1nd@3m$aEayyoxD`(Jdq^9JEa-8_dJd^=8IZ8W*-#Mw z1t4z{GQUJ_zELQ%+k=Ry)h>&?2<@=#kx1%}A_eT*hmqF^jdphzGH)G{#v`xYa{!!n zkK$9cy$_$)AhB={h&`xsyLsPX*PiUAf}ou2BCgczO~c9)R=*Nfd&z2bEmq&HnNys`4j+9a#!sVjJ!ic1 zUT@s24j4V7d6$yT0fIM}(Yz-`KuTan9Y>PkKsuJ9R0CRukPvn)+V0Q$43dWrnhGieE^H)bP(I(c{EWnG0B%-C<>Bv-Tyk z@Sd@n+!j0MVKXal?sBk1A#8eG1D0}gPXk%$JxJ#WaXNMfDQmbjtbMKiaZ8=TS;*$N zK*R7Z#RinXiE<^xxi2h69>ihfbjPUb%JIjf@w_K5NifXrgk|s@xg0qheE5@LM;x{V z6dsLKso7N2?8t|aE;Z|sgZe!S3@kv^voTxN!(D?EE%Zi|%i9dJnGCwY5kH1jVK3wH zq&&uDmZp4;lzVDZatgtXX)P%m%3O2GdJsv{NUvINM!jmi869O_fuie--pZX9Y{QtLE_BU3hks$V2riU?~^0a_0IIgEs?_&`#=<*#N`PPLbp(K+F<8qdbTcW2BJaPkUdgKU&ABKU!zR%+XHdTROGc za|mApQujH82N}|nL)*SDEdpYf@EI6S`+Y_ZcWHC52Sama)O9d)T_HVX>KrJfrx2L; zr4#Y^J&2H=EMwo7_6h099Q(e+P?vTIpE>q@Y5yTTNoL=dIA86-&?n(npzedA86?my z;Wq@CJsA2L+@6|)p@#sgIT(602t&WmNYpVy)Jt@X6;qy+@@00CL zQamL^`+Y{{xR(GCzt6~AfwkW!-j|qR-Begc+Lq59!&wDfFux_a9zEC{m5@g?i$? z>9P4v<3`X!+)aY0#!HC2B}lCCtt5L}ki^Effr0yuAdMP7L9)$)G;X|@$h(3xZF~Ze z_XKI#IEl#nf}}J)pA5DLlHoL8imXQNc6B1_a}fo7BGzE1vBa6#DTq3J9Q5u_g(!CT zX!sBA5;zf!XG0>Dl3RTqRM(U;me`)iC&7|g zcy9K`#c=~f} z^5=Y@;Z+QWWd&n_e5Dddty0io_f|; zL|ZD0KLUOAIq)33WE>w~f!^$V95*QaBl|+{ZQiL&Vjf=fvv>_yNVk3e478JQ}psx5;&g;M%J}6+9 z-BQ zKcx2a-m}x>c~r}DXCJkn_NaZ;epM66Kiux6)qa(Vr(7ht9P|fP`^nNo@+WM}B!rEb zgm7pk`H^ZrOByo?VPhsCY|JEtjhTe7F_REBW)i~2OhVY0NeHLZc z6*-Qu-tHCY*9;Rd-0l_WPlVgOI4h|ON$1<`UXfwcmh_v_aDsZfSL8HGHD;0(kw7LSPD?iKk!5WU?i@}Wl^TyOV^Z1t#s z>+N2VZ6e2-Nyxx@?hw)2y&~I%o8Imf*&&GD?iKk&5WU?ivQxPILTW!d_2*Oj!=br< zwO?t^9S+1KbtP1mHs)YW9#R<|tjTjDb$r%n^N9eJ^8+Vp%J59kCu*_)UrHB)NeWMc zbW50>KNm5U6tL?4&~@~Ink9Vd&6*9A3)m&h_>hr5W~Dvmxp~GzKJJLzzPLHOVi7mjI4>ap zL!Jq270t-&ifmqSO><3PD|m6`nK)T-O&ms&RL+A%_|$s)Dv(oePr;z#--*Z8EZ5ij zH2uUi`XA|LpUI97kKH0{ly$;JSto3ib;3qjCv22;!bVvqY?O7vwUqU49;EU3g-Bn5 zMp-9pl=X%#(*MI4SCnd$^+W4sFPt%F$|1oh>vg)>3t_!aQ{8Lyw7b^w{uVcTb{IOg z-lr+@jdwieL(LlfP&}Dy*68VpQL{!r26?hZPp9a5pQd`BCabJ}f$3zGbs|<-=S=(m z&Znsjod(==wtXA)pl9*zR?}}sJ0GRJjf!=44A_IjphxGB z#U3K!a4u;20-*3(X-h|u6M>`;U%)l;3a<)|>SEd=i)4P_8Ak`IBt_wE=L_yCMGUp-O%|ONnpz1uiYRK;hqbyKNP5OX zU90)18?--DH?q}_a`r7qN z0me92;aY=|1I(IaAS2>e{@O?D6Iqi-YihE)1=QK*SOtlI2!HQNjq1JO_l=I4iJmdb zyoAj%FJZIHOSs+}9-WYI4JOIH-W~Ot<5VXCiw%^Ky1hF#MQSbca+94su=P%q(NVo3 zwGZx!B)YTF*Vem(k2mYx!F4C=-N7HMy1YB;HOHT2gJ!+vSg$$OYmU^*!d3gNa1ZAfz};oO8*2@fNj zm+%4M;e_)O*02tz5iUq*&-Bv?w@auZpAm!$6W(R|NWw)4&ys!=;r0m^kY+UD;)E8Y z8AG^3!pnp!36~@k5FSgoqtoVe7MRXTyMIH6r9fwHEqg9gd&1@bI1^o$dM88x{td{S zHjI)V%-98LmmjHjA<=zJ_D6;qavJ-7Dm2y$m{ol}7DChSN7BlL03HSK8iBt6;OcKi zDcFuSG<}dFG^Y|E%~SxH8^Em@lu2$st>WIzh+mF_j<6(pO_(zm9BSoOGdFYf_lu=S zv7Cu$xch+5mhS)&Sfi$Gm!of@eKLXUK_a{ukeD+WiSA#7G6+daGC$flg>R9dsmuMf z5kCCr}Gsv6jvT+&Sf(*Abt3c{#0>US6iDgMX9y z$t%W}@}?2{oL@gNipxQ}g?x+ps>a-Xt?of>RMV~mA7g3@0d<(*UPv|W;+25uH}WyQ zLwE5f32Jw7rfN&*zX8PYV;{c}9)23Si_?^1{6<~^Xu||k&-x+1k)`Crn75Haf}y)O zS(+CL!sdm7uz8^%9KKN8jFJo!d^EmeJP!C?TvBJOol2PCNXKj_iV`L`(wQaAQZ!++ z6iwJHMH4nl(S*%XG-0z8P1r0&6E;iHgi~t#Mi8#e374V?nx$yMW+|F=woB1O=r>Y| zZ*FgphSc&Kd5HX^%Z3v)FBFt&mZBd+y73!f!|69dRuY|nYIevoNiiIS^&9yTNa#00 z@IZbe#ZagjCOEQHC>bU=vQ4UR@O~q&LPlMFBW$?w8@U#9%J_{u3)uLLFmS8!8}TrF zjNb@(T6b|)&Aw2ua@KEzYn#?@q$v>lLO}-hg@R@3_8S>c`-Or>``Q-@&J6oPK?b4U z2umc(2iupt2n{e1Uxpwn3=@pw7MLSpf+J6exm%wE9C_NCf_hqaaUwcQaG0aR1V^5g zGS+W|ZrnOdaO8Q(v3?^wSJ}FYv!2#(WD?5Qh%cO#I!th6oiMO|Bh0bx;?q#Zx{H&W z^&4Sxtlvl>$gI0KM{kY0_&LC8mZIl_ux2T`1dXj(il#AKmZGl!YwI_{5h>wW*sxk1 zEhuY*Iq)R1nz2-px)GmERw0!msTMht%za^)4`Im>Rzri*JCP%PA{;w1nL@vj9E0PD zRsk3hU%p1etl!84q#3^v!gc$N$jyZqwmV6Yr*#+SmM!BhPT0DO6S3~%eCCIKBab3I ztYM3_k$-rPK~btR;tOw-^&26T^&8>i^dS63Wo9=QK3#;=CcoU7WJCRG$7TCEza32`Ix2H=pTA zigT?s=Y$!Wbo@wCJR3O1;lXo%r8KtLRkp%FIIkBNtJetLCuyJ0LLf1!Lq45+95H4N65y~$fse#aKhm9jVB>@+;bJzeX~JI#cVK$Ugu@7zFg+#VBElV+o{{i5`FCP^PQv+2?@Ty9 zVKdW92^S^Y#`G?1N{JI=(sqE-m#))>XF<5R{h+Qs?gFa554xXTG0)|r{LPDia^XIg z8LckH>xH<$x0?t)BZz(sNv@#PKr(-5c&Pp=9mG&+^;hX2hDu2gLzF9F@$hsDy)qwB;r5?^{v3Xj1)zv6@|-RB zcB|=88+{kGa?1&RjZTb>0g{Q_wu_J_r#F}9104)BZu&Ijo{$34>xjZH{lr1YYjX+4 z-X^j+iBYkSC%UK;(1G+GKO1NqBKrB&aqZOH5E%_-a5735t|X8E_m6Qp$#k zgLqME-D3}jJ$A-`U!cbVbvf!{`b}LAB+8T+{c8Lp*o8aLh2^NMTb+u}xGAWn+lk=K zZ0wnWQi*w-XqBMs#4muxS8|w^W1u_bmjabO?aPP91wa!rNPJu|%5Eb%gXr-b;g@6N zI*Ak>sC?iJ5CW9&cuDC2I7-mz1V}lr*Yx2+u;8d$6ZYY*lm0jRke)_pH_#}fvXGac5kaP+-^DsfkG3Leq?I}bw@y9v%giT}`wR(~i> z2lS`BZ3gWZpg-#mr9pq_x6S%PX-3SP>IB?+XWljg*X4N8Z<~Q~`Lv zV<^-dIFoz>lFZ2;^@q|#@oV>vSAQtY|6hmFFetmb$lVs>`_T;e^DpAjD`{r~U>aU? zd1^~K{0e!uvJaaQ~oJTJqa?(dYL2~0gK8oE%EHR2Gd1io0 zLz%OXnCLMZU{MVW3X?p#CK+-X2*XUAm7*ckk8c=Rj3MuiBKO6YdCqbQtv(#@VcAm8 zQLJX-l|Zpub`-00B9I(xy&WU<8{Kvq8eA#Gk&(L>JZ=O>#um+Ew4OY?48~HO{G7{m zMjk9n^CYW1vebo<;tbecc!WYJC~`zRcO$p^8~0kv_*$p&zyURoWo;J1l>s#jk`hmeF+ep z6?6lookV07id7SOSg3Ko}8Yi&a%;c+cNLJymAL;;vyoS6G{jyfc5WA7Y)QkbS$RZLBKNLD(8d>8Y77zFbwTbTf{uBq z5;=b%f{qd74IsIj!nQDqlfJ`FZp$k?*;kGQy)X?o-0kY*4+t~NP+%gqt?&ka+yU$t zAb&i*-Rk4mMo9gbHQht0sLv;)IqDjG6eqJ^8ps6NfkO97q2HiT2h^^COdhwQ1Z9$h z=4KQs0lP*n`Ryc&f}Ln~H5{3`OWE}(Tl6iGj=q*_EVw)40Ca2uz#W+XIOurCP;qYc z=IyCMv0@&vfc@C)!HpoBq!C zR`~>}^1qQ&at?}Vw83>mQBI?&f^xAyh&uu;mGi7llon26HhMy1j}gO|)z}-v&=>us zmtwyq1~D3)3AFR9Q8>Iw-4~)FM#d?N&i%#9t13byrT?va392Bj%9%XZI=G zLYcRz(07XXKsug^kAxQ60V`ybJL;1kXt)_x3QKK`v_Y`2>~x{7uN`^AdPcmD8bl`&&1IE zFH0AG4vZbTPO#QD0-K25)@V6Vc-?C3*Miy12Z46xjazSqJI3LwG7di>bqp02UKC1HiomrUQ7Fz-#~=ZU(zz zo}c38$j|k|5V2Rr^`Kvwj?MA-v_1=@d>ClD2Z^DZHMSR638qw@@HpufVCd$2`T1T* zjE@72-YzIgYV=P)OXaM)0*}w>mza(Dud$M4z&OX-iP|twqv%|XFA^NQGhoyr#P;^gVzbw!ht4Cfxp$%r)_w1j zx|TI!m9~40mU>S9$*2>5`}r`q5cSok3>AONVfUrfE(2u!q}C&_7_H~?mon_;fo&(A z3;3lp?>3@n#+TBJ7lHNa%g2Jo-Me%hzLh$ZU}QKxf8q*|UQ&r-uFogSD54mk8e2*X z&CuA>#L(rQPkkzWIc77O@A>QqZ%HMhyjWyp?r>C_v$Q=bUGoczi1@jSkV94AXq|(S zPM1wcV>jeCzFudtKQ2Rkj*79ft`d)-smS9!b*qCWXew^`RnmlGP!mo#jm-v@S&7VR zeHj^*$k8BMa-Fnt73)3`G#d4mjPE=VWCp9uT?$bgaG!>C)X(@OpmG+$lK zZmj0tv||(EWz3Lj_GC5Xn8d${*smeB1Pba%K3S4YLM0#ivlrw?1!`bED^6 zE}}YNl(FN!6?Vs>6n_xD6;WpZ<1^ovW3QG0D;-T98vl~Hkf#(Y+2?Lzm?9dTB`6;I zN>Sc*f%?zuwJQxjsBx%YD|LBFURfax4=p z79dSZH+A~($SwCV|2iR4xhOpY;L#~y$}8r($JA`^b?8;6oIyw0CF^OR;m+J$0r=w2 z9fkpYNFwUg4x>|l02Xf8%hJKd9qKC#kN+KaC~m?11$O41hUOY~s6)HILOA2+A|DbC zxHDG>58fT>PIOFN?oj;UH11FnBA8bRXB1$r?xDM(aff;wuyKdt@kGWQiaf156dk|n zc8A)8BGw&h|NAT0AnOh_8_18{UqJ@-2Vm8>L-{eNZB0A%!0r6ny9BqV#vST-U^VVg z2fZ`bxE{1K=?IG&i#ET z{2B^h2uusVo|#V){apjpQ<)a4?O>bg{$^z5}^UFZ>1g|a!Hg;jFeZcfCb zN4v@A?uH)5E4nss1?t8t=!HNxAW_bBJ+01Wf}fY675w9YU_X~zP<`-o{P;6$R~U=T zsBZy&9YXajllS143#@VsCF{T{1dTU4VdKqyD54;;RYrX~v{4X+GbZ66NHFSKoly{l z@cV&PPDMF$SQKG%SXAvOh%EZ^MnR+m>&?D3QcHbfBs=TP&PrKtc9yZ~+ae%VePeVl zyFHfrruAm$9uDixP6pv&QFTQ@WHvf>|4|Ty;73M5WEtztULX9NO1}vieXvmw!~3!V zMeBo~eXR2iX0$mLKSV*6DI zvHca4tCUZm4uzCM?gc)%q2T$b|IGWKb4|u--dhqCd&2$D#r{n4cjBLgkei5qMtrm6 zw|@ZmUgGab{&mD9D&hN*zve;UZ!v$1RP4?_0GFuT9}0cTRluKN{#K#iM*I)NKa%{x z4*}mo{A0;qNjw#{8Fz=|m;Vv?Dpvm!$)5#0b@UjlKYl9tcM_K<-n#^E@+auC>&fr7 zg#4RfB#PmUVPZU(tYzYj3G9R0K$KC6j0$GFt~1z?Um+vy;TrtXhe4hB>@v^kf?V!^ zoyVP`V#UiC2?h%-=b6H}*&`^BF$+2G*qnKilaHK?)yP<5WzI{W%$~^5GBy4tS!C|I zrB0cO#w#8p=h$s^an3He$#afIbxr^i zHuORm;qO7(Nl0U0Nu9>V&qGcky7n~W%-bht0dg86X998cW(k@|x)8^_%16s% zJV~qo$!*^N23;XH49VUSX*N*?bz!Iw^TXL_p)yt zZi-$h=A(jtl_Tp{io=bwlc1yhw2iYrGKM2xJk6^H;h%ZqZ2d|x`q;c`&_rlnHSUB~ zU%yg}XVCs*ILc5puNu9fQ`n8OMC`^{B6V+^&BSx2?v1ltL9Kh^ELTMA##zqFx;M@; z$8MZG24(8rILqh*cH?aQN-^$~**MEEb9HZ=m79x!5d%e%+KV2v8)td^tl2nA*lwKN z=Um#ZoN@>vfUg6cOIwM28*rUB%5I$Hda~U(dm--hL2R7ui|W{ovtNL--8f6cZk#1z zH_qMyIdba3Jc6`K8rDD1Ma?C&1lqmeL0^i zvPqAJT=L0fII#2q02+WQL)MUsNwos$nTvntInR)L)-{0201PEn*A%dw%HM-8K$X1; zc`qZq3|9^V&9KHe;}ywoND?({WO!95k^LDmw;*|<&2n-}Kze=+o~>?&^AT_cXUq`= zJuKd~4|4W?H`U3jb+Bgs%ST|71Fqne{F(*j{MVaeWgS=amHfXWlUMZd0;BvP!goQf zSl;>Eb;~RIva=DDR!*xv_|#i0*QelliK_~o#=p&@YgV6sVl3})ciR+j^Yx}wUvEkU z#&D5g%rakZrSp~QnTglPvr$2RJs_tXy`tSt0yt*GUxuWh;%YVcY85?eIvkb~E0NOV z2|O1jSrid=5F?3gyRoKiLsGSl%Ygj+>xY77W&J0%ZQlkd>{D_)IZIT1fEn#K;#gG- z4&!GF_*+!L@61+7oESCMrJf%szvfs`e;^#|betG}&hAwzE9#u0c}B_C*IeG$l?NBwFYE6OCZ5dQ-!>zog@&le)jWzNv1XX3g3$dbhWql%$`jz#4j}>L7 z{x7bqtEKp<4_>+&wUDERq9q)YZB9h0o5`E^IMf)IHk0$E4^)}dn*q3) zoH=8Gri`9B4k(AlN}_OI6&^1Ekr?86FrFk=H`M4$$R&Jl=s!ez-! zcPPGwQmtm3n>J~z(=n`5qdQFK!n46IYb%x+-D6lMw<1ewbPQ|c4$hYP9K-s!L$c*q zA}J51ZI+SS0CH;zZWl-kTVuIVw{QTtwg0ne;Q;a*4^+2s0G|@q5Jd}x$4fw@g+tgv z?@6f4Q2Be`w&p6>DjF5YryoqIA=Cl56rkHtnL2la|Jmq zB&S`VMEfu@c<(~z8P=!8YEz$utWS5KBJmPRJPlax9u&>0X*5=eOTd;_Y-!$M_~I42 zHt%SB@rvD&*BxKHVwXFc9Sl}y!!h{OZv!ik&R`*{SsncSVca3snD>1JQ0gKGk?=JE zqd|Ht*&S@tCVacqZ{agK9sbuxRsou`ni$-#1$zcqY!vd2BUS7vV#qt5SQan@(y7F! z(;n{?$|*mVZG5XS9?#byfj-hFI6&{jv~rA*^2(g06)1%R>tP)V|iJ%tZmA(X&@T(YhdF2ugGvTlg_1!fgKa`cH=N)jg0X}q1!(oh;{fZIg2wLUev)CmqpN*;zb?oc@(hrq7J@#8?g4G4)*Q=ti7m%Z^=`8Q6tO; ztERoE5#}Qk5^R`{Z&1XB`Dlo7rrmIYm`euQ4JW7_r9Mb>Zvg}SvJfP>$DsY%4JSC< zO-F^b8&1#!@o$YAPLM1@LpL0jNR|(_FL@4XV3g8+$O?l>29J5nk)V>n6S8GV2bBz- z_Qs-~dTl&J^xAlc=(X|SSt+C4aDwN&WAU`rYvaN5lB0u425Y?gAV#l^2QNyF4k{U} z^{A-pwejE;kF!#*jR)(5Ob3+=)=Q3F8xLOfXzSB!JP9hf08I#kX(cI+ zNb#9r!)kT3pqaRL7{L!As~JlrsU`Sq!nF;Kq*~-mGWTUQX)=+(5mrNk(p!)qPe+a& znN0YZ<@{)Ea6I)GR5BRu?ncA(%R(^P9f34?SqLfx)-MaeSp6%|_(T>N=kiYTxJR}- zNs*^s8xQ8V%Yew*craIBy*3_H38I5a2J_srP!+r^@aujQ2y574ZSW880X%~xsARCo z<8Od|SqR>e8>L?sg13cAuZ<(j2Op<;Z9LGY(d(Cm;9c6u!9z6TeUH;X zuZ;&=1kr2b!3ToqwejFX?$9IhhS}-IHd}XppwMjH8_Jx2D`lohMNiVL0AWs z48HW506|bmK4l0B4+NEDr*fQ!c*|YEMwx~ZR5JL~;|-CZlEEI2vb0os)JO>gmE;7J z;f9;f^d!Yu*qU>~49&TcJQ<%c4v%XANNLaUS09F7@tkEyX`|)?_9sItiH+h|@UJ8| zfj_`W>S<7<_%}61$(5Hd^LZ8=O;-B&()gy|0G8uRJWPm**wN;D>AGN#N#%)4|yGhGfXZRE2G>2L!-%wJ6iyEK0#gz55T zp<%Y3O-oWeP_eAuAlv{$?Oq^mHN9f8E0EOZDF7n@+(v+_@XphGWdLvjfVT4ijK9ls za_L!d97^R}j+BX{Sqk710=EIECP34|UjXC{M~M&7=6tNyFTkgqCh8QdMe;w9tZTCl z7?$8so8Oz-Y(ZhEO`~VR+H?odj`{{aGFrU?Xvw&< za0lWQf41&i1ANSQ$4wA1pT7VUZ(oUmO?(j;!jow1n6)GYrv_4T4$zLtP#4^WLi`E# zz;)b+(dxo244l)~fn454n@fI&^~nFDkNg&b-%NZtE80@2b?{UtZd z?bwL~nN)$1N>C`eX+&1_pSN{Uow~y8-;~ zV);_xKmYH*Pi6hO2!8JCz$Kt)SHTa1HKgNSbgtV?>fZ=!?p2A6H}p9v$oMkt#3JeH_2@Bi%=l&!TAe z9Vq5r7(H_QSO}dNExFHgx=kU?JJD_U;m+l@=++I;NU?;ik-<~?He8IRB6lwcogSQ5 zQ*d{8Pa)0f3ibbExCPefHAF>Ea&$S zcAycm=pKZfp%6dDpo$`-985&WLIM_YjY^196(Q!iI6jaX(5Xat|bc~WITm5h&^b8TuR=24n0qRE%^vQPm+m{gm;aQ zqCXx~OZGy@A_^H8J0dA;NgkMpkh2L;$Q=NBl1zkbutFOBU`ukBp;RAmF2VF35#{uC z-ovA>&x4*5#qi>zZy`PAtP_ z!5WE>vQ>z3LLrS}4Y3X(H*mKRQuKZ(WFUZ^BoiSsfN3GsC>=gs_cIjBINo=GjDz1D z5mR*=H%JP1Jg4`{kpdte7vZDd?GNdBt zRHWz@mHeO}>9zqN+XGhUwxgjSNViQkg6=kg{tBQc$wbJfR>+!zyEmKI8vN9u+m;(4 zNgsr*=|O<483dpw$wbIFV7etv&A7+;*86!#3;P*9{D=NS=yGa`~cgb0#} zh~t525vv~gp}QiTbvv3{Mj;Ji)QCjLd@zyQznTEs@g9YcOoXVdM#!2+e@KXov6De2 zw|-3Q4I?B2Ohm{a0u(Y1Krcfog3h;s#(!UsRz||7>QRY!i2n*x^>gsYbTuO$M9xv& zk+&1S4sCGln@4Si{XbMKxo2+R`_j zZvLW4ev;FSdGgVFdn!74k7#y%9ye|utd%G)dqiiv67AK>%O0P~%VWSrdD-JrdD#lI zkL(frTKE)=1S9HOT62N|C8yz_Ia+}_dKiEHN`;oBkN+R`z68Fi>RSJtdxm>%Zl;^u z3=Dw)2?k`IK}3ikpa>BHGAVfJ!CE&;G zCx+Z);opd`u~zN9I_EzFO6_{GlmT(I^{2{ku(jutV64$C5;CD-=AbN3Ao94^0etFM z<9Fp^Rz6;=@cqj>TNeM)*Y3Xo{egcuUG_IyMsn}ppBI4hFRx^O^Y!EkAHcc z4lp}L@-MV4TJWw_dH|lvG29)Z=`_6zRnLFPqu~~9y;uTvTyJhaY~3#GP0B|-&?TCS z-vbiR2M?fLLYJsFi|6t6cXkN2^qrm7izPn$;m$))RpH6RC!rx*FP3QCE{s0$YjlO! zJro-eRLZ^rhsh-%$#-^mbxFhn%5M=6(9y$7No0WP@9cbRaP1PscXl4aAPx6VaEq|n zKhe5f_=QC4c42g+`RFtQ1Kp9}5*-Oxx*K{L!wX!_jUDJ6HC^)%37&vwz%IvKzPcOA zrU`!lLp$ob64;&F-v(nHQtZazuu0U~cn!DozV{+f-0e_9uF?MhLXTJtxh??K2`;Uk zB)tHn^G290Zy>EM;t1)>`0h)VRW@gaY z8=Hrs)GSp^=g!FV9Ohs)*p#F2Y%nv=5_}LcAbFm{JRc9NTLU<`)1mpN9=p@h)Avg3 zX>7XW{XLAy=3i*Ji+jjR5kb7d?Xiscnp-pc*zn%C9e)YE#e@sw)JCUJQFa?k2@R#Bvw#@Aw zNP|OvJFyxx^X$M^M&dHJJL7nOdYRiRT@J+TiRI}6eFTi4w&^JHE9{Bg zh?rdF?v3A|3XiS$lRP6K{q4jIH0yunwuyt7vbt@8pt)^=u(@r5dDhF^-XtQl={nm++le9>64)Eep4j$CM}0d{IMufkh4IVZHi1_zz0B>!mbtyRS#Wb%*ohtntiCMl zdcfwgus;GemxXa&HJ61^W_Vc`vldG0c$Gmy{l2pEy@2pEy@ z2pHppw@vH?%@!U3BPINH;uT0HJOV~a`0d1Lh!Y+GPswgFqXCzic8x-4uSuxL;0GB8HpPUIWEUgq`!Spt`3?zIpdE_1U-%9=C_ z7OQAMnIrUIZgOqISOxBP@hsVb&_4o*u`|fD%T45|MgV(Q#Dmz6AwaYv`;H7I*mN8p zMFx*-y1%1fp-ndhbYs&IZh23vG%k{1xeHv%43B`FLjkwq;hks`4v&Bl3BR2<4+Jc8 z^Jg2vbq?j*iB~2OXC@wAe3{$(Yl00G?ujK=cm#~B}Bs>E43#1bs0lOPWcm#~;gttvFPIv^2NO%N{NO%N{NO%N{NO%N{(y+|U zwr7%3Az0=n&Qt}5-;Ma646eVO_yKV9?L@-iw-Zz7qgdvqmLVuI^fEX5xyI$&i5!OJ z+lg!t^X){YrBXe>#DGo50Vv%KjnD7`mt(C1$AsycG+QD zTzUxv)3lk3U%N4fMvz=U-NG6ZNR zSzsJYnfBz)S3_)jFm{pk)Tv!$J#|_a@d_TyY!!l7RXrH_va?K}>!O}mK*eA3ZtE|| zscTK1#F4uN&aK=FJ1aYh7a;K8qScX|^z9rNYJN)ScH}}VB4;wSfmlS&6o#r(LiDkS z0o~-3(7Ol~i>T=-p-T}Qo)Y>Kk$-baXepXnTSNrEo<;N(55=>QzQt2Q-_oIYmdf0} zwR^o>A{iZh98xeAk&khuETZ2-Apqppq=oUb77=>C-uUOeOxT1-rw)Z6DDim!&S&?5ShhvFG0w1~I^sb!0($)R}02`wVNWQP_J zM`gWp+|)l(dT-(m`r#|=)Zj^9vgjV5%K4X4#jiE3r`8Lp+bx3^AE){ zqoGB#1W0HR?cPK2OeeI6IH*F4h)8G=5eY3KBB4b@q`5^zf6_O7D4vzdelFdj!V-&! z!_ZhnY!G7+-60mySMhSOTF{d}-^rJCDQ`2XoPkVEHC|de{c-}O)1`qzpky#Yw*`*{?I z_Wcn2BHvHzO`|bOho)I*>9pQ7D*MSpONVC{LraI}L_^=tIp|}N?}z;}^8N6wHuC)x zV{(XmKit?YzMo|f9r}L0&Ut9Q+T8;ovGdRjG8QOZMPfWX-Gm3j<_`!EU(b60WH4#p z&;O9~(DP6V?fba^b*FPEz8{`+ZqfI{h6*j6FL@q%4bllM9UkcjeLoxqq3?%C==&iO z`hKoKZbIMBEkIiK{V+~w=@1Dm9U`HnLnO3xh%~o!*khQaR0w=O#F?t#@cj_~ox!#5 z=bylh?}u>c`}qV2d_Po`1Vx7S{ji^FTr8bFz>THD1~HZn(^9GO^+IC6_d|b=bV>9y zh8M`80Cn=A8W9k{BSqiVO`{$81sr>2E?wL;cO24C<2Z8(hJ1;}z>vNf>ea)V(->VQ!BOF?CZvlZNN6$Dx?W2+nd;dY7ReWbwyDo5Foo{n$ zu=yGJO4(I^0Nqxdxc}+^26kSF4=t0WOO@=mswbJ24Oa@Qxxwib7(m>`ZZ}>5GpOs? z2s-0c02cyy4!|DU0Mt~%q)CF_?RsFt|B35Pw(m$-FCxU+a|md{ej36>z>fe(a5qN2 z8(5EVV1Enj`{A!AEU=UCl#3gC%>`vz7;5#{2%Oi-92pC1)L|z?VcoYOEC(i7_v-+Z z0_eR{b1C^OXP;_T(gUo3CqcxXdzAA@2HofM=t>c=c-v4zCLAC#jq3ZO*^8iwOG}-@^ z2c_J?{;ywxFWmn{V_fh5It^gB|0}xY#Orwq$@wfng zlILjuR}sede`f#JWTve4e-SkMzX+TCU(9p3|BDFsfAR8+`CyWQ#P)w(MtM?YvkA7e z|BLpM@d2{n^Z`;-@Jl@Sr)3tn-0l?m0G|P(eSid;`v7?s#;kT$K)a~bPT~B*)y~5a z&)kVf*sOM9muZWuoh-On?Og9c-|78dJgQ_?J0AvYRy$t>Y*srdGhFRt)>>Zed<#*+ z)lLW9FI?@UfRK=1z|9Pa-j67K(627hRNGJ3G&P7V050Jwk^Z^nHeSkzlAK)*MPUr*t zFCZ=Z02wFr0TKy)fJ8zcAd%1qNTj(BkZsQ-r9$8XB+gU?hvi88QG;vC@k8LoawHsD zjydRuupFsn2#O5t17ttfxcC4$42=(v4PtzNOiQK8?fDV|K0w*LPgQ}&XLy0jvDSfO z!gS3B2|f(ZfZgN!03 zGhB2qS?t>h(@I)^B<;qnFrm80#UK)n0<225k=@ByiOqAX zammPCd^`CaNY!%Bh@fehp_!)qCh{UV9Pm!CxPsejw;$%DzcGlx& zx;=7#3$Gs7%q`z!o0eD)OLc;#M3KL1XsT|nhGeN7*pRHLdPJx~w(Q2|LD`cA@Bzru zo>u}`N`Tjzp9!GH%>a6?1v_gJHa3mSOISk;L)s8=A@U?u@;a;Jdc=|{d7V}A5wKqJ zh0C{DdjsEq?YamC;bg?=C1(1&CcNA0kjOo7ECnU^VNSO(8APz(lRO;?0g}sg1eouu z0rVw@WVPROI;*(sT$#6#fy;yjT#buA_?H|#U?IxFKfivU`BY{wDiNB%sr#)N$c<|? zPN$NUt$N=DyBulIHtk=q0m#_2-=y2~)AleVvy)IM?sXLa%cl{%m7(nfn~CMihF zy5$PBwr&ZwWZiPz+E}+NIIUZX3ZS(t{%M&7?tcLZty`E3#=0fg+`1iyAYz3WpShs|Yb$bueFdw+7M!5NwCJSz?+wY?{8te9Ez{a}$D_~>Yz6aP?x0D%L zx6E40*6qI#CA4nyFg8N#mI7L~Zkz1o8-wzP)-8`Kgw`!bMoZQ$W0cB+!Ujf9}8D!e!4)RnZfITeYLF{J{5R3zbeMbfptXtw028Z=X z>lG(f-$cPe>-JED8S9pC%hs(lE|Oun3tY+!t=mf}KwGzjL+h4EXx&oh>%DwGMYztP zShqLOSku;R6Tc`MDzt9N6ziG=Jd+nz~Eg}}Nc&Qt}5bxVAg!L@bkqPrREmT+j@ zW`V%ErIsNmGGN`ZQrXWnF4irFp|Nh+AjZ07S}IkZXpk7NZaDy@yP@$JUf^=9b>NsV zU6UR zqk!G?62cvy1{|c@5w6?;I4Au$<97Nz;N0}x4DU=i`RUn&yIcdfFns~xzARNXoh01v z5F}Ne{sZCu3~!FWtr%JlaL4`g^%`W?z2#PFK*cNjjHaF6sZh7Td!C;dZ)4`opX zSOJ6n1W+o_wmSYA#9&i3Lf?n6I2*rqBd^?1?+Jsc!~Y9LrEG>ALbiVa>vSxt#~w<) zZg0{MUWnr%dy#5S?ox9nKFSD6l{ybnrOtz}1z$my)j5#1oW>=;{K$WQKJnWzE}ugOYuR?Z9l2;d2LdfWl#TZdd@ZK+90=;QCEJny+m>uc zE`=J~vGp7X)aNgtt-RkRTF-&79s-B{Ah8{}0vg(mt>-{6%V*oe81YWxg|EhTY&{2J zdEo`n|391qIUA;r{{v2D^)OlL@f$w}GJq@Q`@^~s(`O*rP6DRSz7oYbkadXI!a0y~ zlB;ir3B$kSF|vg2TF1b=(&o*l+Pry8n>W8dsnxvEt}z~)`+Bai)?==gcL=*)>y|;9 zOrdM6^_Z)CP@-km7`M{3>>6u5=E|{z_tw^9t~8eZmf*D$U&1xkddw9*FXb9@V1fUq zj=5$!!Mr*5c=X__VWrH)uif}I@}n>Qpn3B{W8TQcACD7Tv3wP7`d}>YEm%7}Aw*pZ z(if<5^b95yj-DqW_EQLK>gcI57k|WW{1{S&#R>c+PqHfDd~&U&hlZxm2!zwq^P)C| zZa*b5g+8-2uJvX#uQ!L`*E5h>Z$@ioAhq6%Cb!-4&Z3sr4&Q`z5Uw4z-i(%@MG)Qt z!sUu^?U19g<+Vdn!nMQJo6%ZtMw=5~JERjgTstHZt{oBy*A826M#Gu|?_=A2H>2?r zt^QUn0tR;(1F6}~Xp>>|i2p|nq|=D0o6$O>ZGJ&Cx*6?hpiOT^8xFB0Fn2!ZX0-U7 zA!k4y|B~lgC&)gl$#X*k>3A4O{9e!d+CaMDyI)`+wVoYlJv$KYu4+9yfFWg8$Xd@1 zL@Q+6jT-K*A`tT7C?x!R?6zQ9jW_MWY-s>?~vm3K!VJF4+4GO z(fRMe{I4d){P*Y!+AY9T{s%Ds9}|^r{R3GI{oXaj8Dxqx0cl8a763?WKsBi1oP>yDsXG@+;a(bjPWlSSU&-WYn?nlv_PK$GcC} zikG&YUPUeJ-+Fqr_4F!LA(Tn$=~eHO#C$kZqy7D@r&j}XjE*oST2HTf|47iD3itOD z3HSFC3HSFC3HSFCX}-Uor&IrrpI(hypbx_cu`8%$?t)p=2fudXhg{FZk<|*CJ$5~< zw+fm&_S8-tz-d>|?6IeH8Un+{uAup2AKYmPc`Fz-vDxa}k$ihxBYfzev=%$whoq86 zgJ8Xlq-IM}{IGo&Bvs6$>R{t_L1M*B>}ViWl3X#9`vFl&qnK&@9B6eFj-Fi!0bPd! zfJL{5F;B%*I}4N+O*O{1YG|t2sY}7+IcH3CkV)pHn=#mez)HdtajnZ2&|rH9+0Gq< z$23@Vbx4j*)0a*|VS{9Eh;v+TF}~)IP$x7Kf!Bb*MBsAsRKgD4kstp({J0lGN@Ddm z(i5Hom6k{(p9JQ<4bh3?#Cm><;CK2n^rvJ$VHm;d37&`t(sB185;*+a6w*0{2^2az zfO%Kq5hR|pc>vR2y8IXm@N&Rb@`p@KA+8k!iD&Ik5S&_NC7!o`MQG_#EAfK;T{7oX zQ}W+MO8ODN_FK*-ggb1hT--yb&|F;Stf7@Sr2LkU^Ns@j9fy-v-U2YZtH70L==U5Z znYW-1KcIV@fPF*os3`QPSZKeH;b<^?prRcO1`W=)>L8&OEQ!Il#^7JZ;1^=>(-E9EXAW|p1zY(=jw#XHm}Cu$WDSdC4U1$Ai(~~W z$qKge`%to!=#*HA6l~?!f(rh%mc3c1R$<;Uw9@5@bs5@Df%!iVGk9GrgBq3$YFIL; zVacF|C4&l98C0;9-v(W-;50VKPn6`dV(^w2yfp^D9)pjI!7s<))e&sv@5zoW1-;P} zRIpnVW?31RL#2pay|^c1`W^#};;jI!NubORl8EDs%HU{hi5!9BScRNgIJ3!+{5!&#Lq0N1GwEYtvYskfQ_+Jos z6~I3Td;p*>0l z2cXT{0NP>_vQA-eE`U1$K-6@KIt;~ll!WB~J|*xS02MxfQvn=7U<-hY2wV!_Jp$JP zn3|*%c=4y<@fONf!N;eZzZQeifs>3g+`|M|#GYy`kf|_pI3}T{Q{lbX1v&)vo;{t@ zQ0r9KIu)AfPwC~>sjzh_jHbdfx?(c>Q07LXIB+f&x+S~Xgr5e@lwD)6&4F!|z>xb` z;#`ojaWx_I)5p*{>!AVdPuwz2jQ*Q%J%*q1YCIkSQ#q%{8Q^R~uC|;W+m7Lb(_=eM zkH1Mnu^$fLZ0rYV$LX;hUIyE7dK`pXh1+p@+^+xtr^n+6aC*Fu0H?=465#ZhDTGGl z^w@qYQW^nG*pAcVIs~`l^mruztNnJ+P9=@g<95#0KjTbzcc5{ zcARH_KpN-She)d!{)dEB2&Kh?M0M4Gb1F+g}f}n>e zh%@M$q;UrIN&#>NEhoSk^mqU=gSO-BxrxD?L4QwxGw9m@tctrHOjwxmtrsb=2Z9Hb zA-Kb)o$8au?K&W6EQ72z+mUcP&b2dvx8q#941mnF?Ksb_XE5j4Ed)5v{+a;i+1CN^ zUq{Zfb?E4oe1!9CCpFK`M_3VufprN|b**hjaGw1L?|`|T_fW5#7Cz^69o}0fp22xm zz3$oaK55=O^(&`-*EH{;G9#N8%qO}cGGAhGj4?6cC;0VmWl%j|M%_xFzactR&}^=o zT)1|Hm6#@I+IIcZaj8+_U_otUGdKa63ligZB6jNVrFi>2;1Ga0<8gIoV#XqXR{A0c zw7W3al)!G>f!krRpG`bJ7y|yXwX%b6zYGN!SZG=w{;C?v{X3*2_O$0SCFKtD zsI45)R({}2xEYirwUrZUt0c9R6Kbn~+R6#FRg&7uiL_Npw3RcSuC^R%E9Zd40Mpb~ z&Wr|tR_;@5A&T10Dy2uiI*^Z}q+YcXyStR}6sh+e-Jn5nf`@;in@YgMA7b->Ur zQYwWFWEEFEk+3QO3=RoB6`|%Yicm*_8X7{#)IrQ*1Qxchp=M%E{toe0W)TR~PKwZz zgm!zAupWe)NVthHWK2#1A-fKi52tiJE}8378Nte2jTn~zExd>^dXaJ=D1|47=ysxu zL-c8)bs^em1kk}D`T)_p*;ho=CHnxqE<`(yMCCk&6fb}lC=|z{uw9GrLh&sM`VLX? zbqRXqD4^o*67)5q;_(u+S1nL+dI@?qP?Qp`MnRtfYGr~VR1n5?p}4<s*%D2n$*ZDpjPqg z$j@jLr7xR4s+Avs7^{`}6M#mwQhy-Os8+TRjcVm8qEW4UN;ImK5fgz%wQ>tktGIj@ zZd5`pCL$MFy|PxyCy}@bL@MPaO^FkPxD6ee#Engf>q#sbiTaF*+rmK1(tm3*H!-2B zgwjlnKLu{rN*@`j@js(?Q;nbVOv2g-No#%pP5d=2%z22fr+{XL<|t6yO3eDo&=fQ> zGzE>&TDg-r?U#2Mh*NYK8u4Zbh_`Ify$F0D3{=%YZYS(M?Oc2utM1<-C1b>A%8TC3z)Sj-*GKn)y8)bq_ zp&cco`!&QVswu{j3}Q6t19K6$GYr&yU=spkeIPNpNgwD5^fP_n4NL%7!(JBlf$h2v zyz(3z9Z7Vwb3$GH<<qHZ9V`_7qai1ruoD4+Io{hN1T<>%Uy5>%Fp3j); zi=J0jd2@3;!Sk0j^4ZI1tp3mdlLh{5~3~&?f4$d`#MI+ z3;{vxc(MF@l5m3%>OkOU0g5hU1NY+fs+mOyn+%}vMhRoW&w;t{fe?L<=%f(MITYxU z5bX&xvmQcDr&X?uK?)g3wzI044$&z@l`%;4Jfg}NBziN@qR1GG8G`qdF){>m<^YWh z!3v;O<}#$7q6HkK-iLfq>iZInQlCaNN_{cVmQ!Cx#whhmiAJg42o!H5Nd45Nsozb$ zDD}sPMyY>9G)g^x?&qc6tn8h@7)yNsP%Bej0;eNtFjn@l9^+3N= z*|#uWRQ9WYTA7hZy(d$@k~4@j^v&cuHS8Yuldoht_+mWM<~8A23=~Xj!Blj%2AxrcwA7x|MTASUKTUW9l`!P76e z4DAu~B9|-?jc&ZiOO^^s1pPY~^c;2=}IWwPht9Cd9Wv ziDmy8 zP_m0q3(S5qFY-ahFcX)-lXy1E967-k2;p83u5Oxvhe^o3uWRG(ro=ZS)-J?)swu%; z%UBC^O?=dpxVI1=L6fn-<;Z=kHtK{p4wXmZz^25*NG#d<+1gk`f>rcBa&kPP#GT-c z=w}qARTOLdG~q6J5tJ%W;tufUuO`<(u4@&q2sKnCMmRN;^$u2c@iXH>XejM|kbRNW z_7DWSdYfgF#V$v7OV-#kzz}Z0j4ym?SMsr>E6+4N%69|R9%aUKD}gGHvY>EFXpgd> za7$>9vY>QJ7?1Lch^;-!P`SpVydEIlOhj{ucR`oTZoCwQ7Za7-+AX2|$SfnZ68*?z z!+R;TgMiu%kx_3cBsQYHi?o)4@TBv6QBQfgt^wZqr=u(qFZY{%0ySf8~;aI>mt@e6`RGB%x?S% z3ZI8>>{PK4Z$tIyMM;M1cLstVgQBMsq63bDwpML(6VS;-Rof8#0nmK7E%sninR}RO zx1#B2iSt1${FUHrws*l-d>Ja4Bdzd963$l2eg}M3@pGWGCo2AQ)u7GW?mBSdVyKm2 z+dTs*9BH%PO;}eW@}`TTk=D}aa`ot9e!ggQ;T1DJx^4!lM;BwpMwg(`=n^yGon$roGmpy-p`lwbv++mVvK$1u8Zdh;A=-n;Q|1_PP!fwxn(^R?%n1+Vu!> ztxc=K_TmZ{+pBY<@N$>AqgMAFr3D?e%iK{b|G1F&JtQW(%{%pJ9?=9o=- zvVq)D8^|GoQ;wrIkdH;IE%@{K_>{@ftW0vVLgI$RbqMsFSGqg+>Ht8ilF3=Gem2MM zd-%W=7*>DvoF`U~Ld2#y*Zbp<2}||K@33mWOnI_kw0FoYLncplx|r%< zBU+w&5;6eUp9pdm5T7B*EO4hA>o5tQh2@U;F~H!j00VdA1AtS<`v@LI&`M#c)pBbI zyAXK^ev^A6t~cy&0E5l|YrF%eBXVjc9^OQ9SgCvz)0?!BEU5~z*WCqbZmNHOzz01J zxG;4F`6s`RXEwEn{8P$c;+3a(vz=Ez2ypwvq>6B~0jU^i7vq5IKJ4v_Un@awr-@Ju$6X)H6HCiQjzx$Q>hRi}WlaAPI6iJ-rROEQN6$lkFmrWI!5F$>) zk9<$VbB7xy)=5kTbUr!fAvFI+6xFUf7a)6F9SC-#=Q61Rwnef7!R;XJ6cQHBuWe8Uelf-E&+zsself*WUrb5y ziz)6vhF7Kd#S~Y4F(t(>rnu^hDJgz2#Z_NSN%4y*?obwGfR%FyqH%#D%}#;&p4!Ok zHV%k8m0hR@8C)tRe?%t)D8=ND+z+rQCVvz`rI`F$!mj1JrTnF0N)9_1pi)f919t)z z#gv>#4yBlqlWqd26jQQ}TZ)uoN*;6&V5OLnlUD#%iYYmTZ+A*DCF`#TtQ1pn>H~n4 zVoFYX6|hlE$yys&E)`R9G(n}9l4Fv9m10Wn#|bS!)+Zoi_=*6YE)`R9EHj-)>L76E z%YzxtXOKL=o(Gcemg6a$%(!qOP)_5JmnBfqe-w*(u!+M$HIt6me8UxyET>Ra z?+wX>5V4F+=H6uJtd3apE5QTuBM2>+g&}TFpj0!+?Z!dS1BFmE_5_wUv3W(Id>3;9 z3l1$*DBrh~IEl?`tqk>wJ(^AGIa?<>Ru1dqV91be)zQjO#n`8eMF>v$p@}ntndndz zV-sRz_GQ4Dw1~Kj0TUT8ovqCn;WOP%qM;UVCagr9r(4 zYA>Zhy$;llN`rbGsZEsz^(uEETxn3R6Sb+*pk8OnQ5w|iLQSkRsMnXHOleTBAIn)T z8r190aHT=L0SvDc4eAYKxYD5BAcoh72K5FL?jah~8$!5`Xi(2+PzH$xJs-c&pgXYT z$gg2X&UH@#?B2tG`Jzy$U6W$#x%0uD>?w+t+BF!lH)1I58jPF|ShQ;}ilEZ2K`miw z*M9t^c1;ai1yE_%)PZpLDD9e>NDif4QyVcYu|4O-+3Xu+pxnX6vpSF3H8q-`(ypm7+{&)BYf77azPkt+ z^Id+)QnYJoEHj;d2qH}ecY!>Z;Q|K91MJ;E^4$S=3MVrzoCxG@b_rw&RP-BTF;^Kf z3)M_IV*kL9Sx!Zcr~5NQel8>vLPVuqx!+w9XLZD)i_z`7uY+8uEI!^xO$DGVK3^=p z%+=5g={uO9i=zRRD7D@AeJ~b1G6X%UmW0ISMa73LqsgbRrP&f1fTLMYxG$%)6e1F1 zMhWNK((8%smqCptHZQQsm}t2&2k95|T?#Lc%)xT1?VQuuS8`azzX7?8RZd-8Cz+ox<5)v{Z1=ejG&davDrt2v}*U zV9Ex-N=pUxR{&O8DwujFV5OykY0m&QS}Lf07paL27>p*Uv{W$WW57yF1)&W%3SluD zFc`~Biy5{jMCQxmGd5rXBxMN-Co?Xb2pkMp0$BnTowJRx9w!(w3)M_IVlOddmQ#^w zt(n;0H{{zwG9g4%TI$D!&gzIozY;uPx(}fRN=y9*QcR^#-ucfV*Z|TE__Z6y zKrduOOU)nw_F>T~oI9DpbYUJAQ%B8WE#W0qy>+HeXJeNz1^tFP7czXe4p04tN=Z4a z#B~VMucfKgM+1=sbJv=FY^aCsF?#4DwS{Wv6-cX94|%cIQZM#e+Ny_WEw$>Q|4n)b zBfE(n;>X4klSL1Kv`zHTI4B3DhlBvtQ$*EMPu1gWzUjnb`fUX%3D`2>M8uG!VuNg6k!)Cw7juO) zBo`iqH~KLO!{?4&zI~*wg^l z0l!O7UTAVE3HFlE6v5sCo=30`K?`rrs6<{bsO`$TLF22u`!6h7qlSB53EqESi7nQg zIfVdk(O6f)O-MFv2u| zXd!4P*gF7?6YMCIPdmZLVVq#JxQr9*39uL^*lU1|6D%KdsBwbz1Z}bHo z3APEaF@Tb_T&x!Fd~!5F;{>}BuyKOX{-YD@CH(p>S0c0%jF}b>_#*&v<-rV#V_qI$ zzZN9l{iWe##)T7syG$TUprU`zL*KRC8FD?`-NM3JY z>tyI9OYBEB*hHBHi-|G5(M;o5b9kvMT6vh27_ayiSj9|In?*ct>AMu&+;JbA&hApe zTBdEO)l#r}FCbP=gu2$ib$BZ0*b90@)qIk$5Nd6`O1k=cOkW>P{<ttz=g`619X~>?2_>2p&gX-O;QkCzbAvs-0|d zZ8yGx(45VCA#kd$NGr|vR_Am^&HVsTZCM=goQ9(vD>uRTquPMObJiljbzwE)(r*UW zs^E19wr&iVf!Cr6s{jX8&^A;UmDF%p=)2dXv{(y$ID^ICa$CR2jkZeD%=ZSfZmjex zs3&iT)Khvr!-o=X{U-M$XbG?3_jp?AnFWBkzZRXiKqm6M!%=OEychTd{Mn7o_!@+}PKU?Za;J zYJw{~&F_2t|KXe5dq8R1-DzM{p$6>6^I(HiLOPiWj(e4ITdrojTRc+Xra+9m!(23G`j5w zHh;;Ig+@;=G~P*u*g~ThEi`)D*Dxh6G&HFLmydU$;`!V0V-+33rE8n~y+p6LB<${x1 zX!K(XjedWItA$2?z$n0Kq0t}6aJA6r4`R4lX!K(XjecyQ(I3j9V5bvDz-l(72BVYitW!x z#r9{UV*4{vvHcmTCi^o|(f*87Y=1^7wm&0ULF7B2UR>b*jFj4+!FPjbe+Dxw3v==S zJNF~#{Tbvu2&n9aKwvH4JO)PlGj0QBV#@vulB4|@p>)KS{TU=*Z$vgpSN3O+{F(h3 zosmVoKZEpFn?~oGH^{O-L;2=;Jq-tW{H?U`3jXuQqus$jW{){hqZ52Qwh5R4pL#+=1^>c4@SLSgIBfxh5n# zKDL2eW|gW1#0!8}*Ma0(58)M2>#O~>z8ZpaQVYN^=^D`pd@XgdcqF<+t?OtP8MJ#YXd zd)!RvPGlU*<7P^ClDKO;uBy9rKLRz^;|4zWpkD(n^w==&dfZIuPT{IrxkopG zTi+3Idyfk%?$ptMD?M(ebf+x@TxGeknbNIYh}Z#F)!oqq@k+$R#^CId<$Byq>F#$l zSx^kF%J?qGIM}H6=5c7aW106HGF^_pv^<#aG=t=USZe|M?kRW*C-X0y2;>fW3H*x* zObf*5AhW`J_qT>g)(xAc0rKAsnT1wah^Ou&RFW7Pk_jQ=KKRL{|JHY_4HIvFi^(8r zaD(j!nn)a zwSKxDPc^^Zru%Cn`YUVsUr~Sk>YY@z4Q5F02iFs&-X84!0tq=k*Z_j(exGDLO>Rbb zVmwE(e>uUGbgnqt@km}kyn(nCyhnJGvlDPi!Z%5H&Y8uil+kp7m=f5H;>$Zh2uee& z&1DpOKSqIbD)$s(W0@D|i@ZksUWeji4z}#^Ls3Kd1}(TwcpA_O%#nq?bpd~7Oa)ir zT|!)7Wk~B|Xy4W0OVPX=nb2@4v~x9_!bMLb!0pR`VphVr5RZ3yw8~c zgJdmuyperD%}pLjc+ANNFO*K7i`Kkv>oPr$0v1?iP>@ap?TQpDMBnc#l@s~N5 zjW?R?;sS+)*@a1GvUf=vW()N^l629n&hU1#mxT(&xsN zOq6hR#=sp6uxu(5Klnv50JR(EB65Z^f;~g!XhlZyH&bCtGkY__Y*rd(Myq@Zlk7p% zbJoLWSpE>j^yeW<&)I;0Hl&rWVyt0oAq|vXOj4~c6&#cQ170dP$m@_5s0G=USV(&{eK&Kr0;Kna6unf; zCLw&Om`y_XQZYOJQgLXLmx?(m+9FtUs}QB6lfK@kK;Px+dRg>tQP%9;f_K)H zTV?9q;xZuCpYU+4>ohz{Cphhu1&>%W|8lfe7q?#!TyLDos?>YrSw=B6 zsc8&9is3y{rG%Fg?vvV1cm;U|q#h-F^e(_d@{;`kuM{}2^2u9p5*}}Wh+Eijs4r(sZ>mAA9-DtQ*Nn|8^Mf^27Lh8sZk$;R}RS8!?;SUmf-CJ`C2Mb z?TJxTdy+(z19Em9&vK|Gc>AE9fYlPbJ(+v;)DpZsg&XtK61-i{U3h8<-k$nXz-kHJ zp7sD>vjlI~{uK!q@tw{dO;9bt+hcGMm|lXn^&UjD62f8!ZZb@)lb8(MEq{T~+~d$}a|?3@eh5S=DrYb&!bW`nER?lJv~(TIU-lPdCVdbY{U?lL{3oK~wEyHruo(ZzJ%Ek>n z6@VlE2@l(7{|Q0kKUo9V_)l<{7)|TD^!K#nKVjZ;$aEe4((+)w(+rXaV$pNryL=rI zPUc@Y5xCt1zF-2=0x>$stT5mGgJBZiZqqbCe$$XyXqAO{>fG!v>C%s+$b=A)J`wFd z>0_9V3YkO(QSqPfsGo65sNEf|eh2*nDAIbKIM*ysy@RrQ2cCKdeG)9Wo_YtR-Y)bw z`*>q1J!|EVl`LsV4dn&wsCUo}0Q1%ClbpGpgNLIpIeQJBmdDvAIhU~OarQ~hdj@de zarQ~hXLzN@*(Z4z;VO@_PjVT>)OehIl1DMThsW6`xtwqxkF!s51$hQ|oPCl!G=A$c=wo%28};sdjfe${d~2_@IO3YL7Otb&2mXVTMx!R$$vM`aZZxfsDU zmP2I~4CTc$#l4Y?-Jf;Op*$C?b|aUVvvgDSXWPTFDp{8y7kdgUtCEM>nmABMN}CO2 z9K1rKm6>$$1r$4+VjDxTCmFHBn}{9G{u_#wIHA}PMr?6D1&*b_k0G#5^2q}slFzY9 zpw7#96$z)0Co$3_Mw;L|R=q?@dOFf1or~?FntdvsN?Q&^zoE9g47qp?#Jn3J{Tnd@jR8nEkQ2Fq z9OVY0N_E9^qkKQ^$a|4p@%^}?2rA!?TT7U}A38>i@23orweN?)E%|=Bg5}@%e#l~c zKa?oGpTu4dKww&pJAWn*&L=tUd}Z||IqrOA^(8s({CPdV5+ph9d}Z||IqrOA^(8s( z{ACnVljOMbmDQKzxbv0Om*lwfSCD5wlH<-l`a!@$ayjn&l>!G=9<@h4jS`xHxK&HW zoyP&^ub}~3$cj6@+E(!%p(^u95U?2H}NbMKk1R2u;I@tWeUqx z3X95Eh4u;-0qK=rU5>6=%tVh-K`Ywa1W}KGjE@{xWjy|2pR7o8%Xg4lJX5EsAb4fk zo)b9MU0^E{4RDfJx0tp2S@edKBe%!cjiW^oK=Io5tL!b3>^-2eH&^9lrxqeb z=1pC>%*HOOeLLp#4OUNkgf3!eBvE-3wsOaX)Rkm9~rTekjTdMn8c0d^WUqc$cXT-P0KvuG-2}8LFd}XN7O`s=?q__zJWvHPRAzm43gxx?HYT_mc zl%dA(%0L-vgsTE&sEL~(;DnJ>6Gp%ZBdI2gKpAS{CI~oTB$b;$CXA$U6Q~K}Bnahu zp_wpzWuehcAQMJPO&A%y*inflFJi$Ho8)b)SS@N0c7}tN6O5)2((a$&0yq0p$*C0KoUeG+NOI7!Ltk9Rffj zvHu}}X#2MvxtAU%hoP=rR$Ap01bb81_LWMB^O$v>;7W;0Z{qz6uJw!4@l-}u*8yq} zZGz+~h#ZDD!Ea^|86(SOm-Sh7`pTqn1n1+SMp7~wN%|tCn?X|!H+SSyfW_hFjv}ZW zZf-4MI^4KI7wD11t@Pp?@5(K3H$9TX z;g(!M9_4UL9?hjT<#0=`6xcZ2Sh??l*u>$MTyr3TGBmyPNGj4JiOce05hXTVftXfS zjU>VjPtcaAktDRz03F7z=cq7U#%?S|uPWozP)~>>Nyf+2lfgwJ>P~1rd#WBuNru==W&uO2?Kl*|o~^{!w`2aV%h%&)Wx`CGSC>{?ea*Eo*_=jTeBHFSfO|5gH06lSPaq zbeTe?ybJ8Ij688Y*fRz#vVox^p_@JquG~W1ignrNfx;9)A3cG>X7m8*3`y_PFufVd zCRvm(?+!DVJ;*ARcZZn@Tao`JUQTR{H59X?^$=A_QHN$LBzY)d?G9ul?G7wKhbR|3 zW~$sPz2wHT!d`L*GDlNoJ7kW8upezl9=`EjqV9hZQ`w75<*)fF>qn-tA2XHxn5pc? zOl3c2Dw_oi*~ZYKsjOaQr8_#~*`Ly}x5D%vS^Y<`lAT<3M`tC?8)pq3dF-lA192;# zUD(+qI~ocke3J@)9JTMxbbbb=g5PBb-wXBvZisMa5yah;>^)+bnkK@%vS>xMv0x-Y z^qCAhxII$$nGCHldlU;q`&Tqnt?FxQi_{hgrjJRw=tGK^878s0zL;~tW9SLFNZB5n zrLh-eOvk5jU1HGEBSpYmbbM}#r~#Kms>{+(c?QstlrjH%h*Wl^@GdI5P<|I!<*cCl z!6O>)u(FdSd^!?y=?G{;i@_JOyA3S{f7Y##(w2p5!>thh94bHSVf?vPb`ze;Vn_{C zeffPzo{o5zV|xB3`m(kc?nvgUn@JO;K>>Q` zK7_B`qIzBHl_-U z3!rKxU>^qQm4J~q0i+ZDH~7_S2?6qFIb6bXN6{43yBeFisI@~jhr`sF=@g>SX%16I z)Nxw&EzOerJvHSG_>bUU1!5#i6Lxve9yKxpm{c6zc;RKOIu(Agy`B;j- zz)J06r2g}Or2a{8070FFW1}nt5W_j=6`h6OGYcIlyGa(hGh~=y(pebrZ?Z6ybd`mV zqAXy(LoK`+Wnnhhn1u-?4mQ5ymGRfe!Z$FcbZ>LVGF#nDYIdW=B~sTKfUc+8r$^I0jM*-v;G@{u@@=BzrMos_}Dfo~a0?0&%75|hraEiuB*i+E^D zOwqX8+L^{q;_z&2-r@y7PbODM5@FNHm7gTj4AQLv($c>{E*$k%J;}rx9mU!&iZxbZ z75;=3EDDwtsK(z<5i|3U5EvDvoN~(!SJ={`#j9{KW;iDkI*tBJ&b^h2YskpEfW|PS zo+WV{i?I{(FT=0h$OGR>{x~JELCM$H+AJ&M6|k=$`~D^G;|CUhsR=4eAcGjyP>cjsi$Z{AQMIVCwQVaHX}-$-3f))EVyowW2PJmSln(GRaMwEjoNzoH zh*%uqbCJ;NNa(QJP}kr1c+v|qk)y!-qVd##JO*yx$C169Blbqt2XNe}vE>e7PlQ`h zz2?Z^dIur#!Ih_9jo5$GPT5T|=n96g$_`*xFvVBjNGz1maDb}IMHzX~(Ad(9jD`dB zXegA?FhP%oLKzJj!xm|{P$yD4Y{nuCXnT@ErWbQe+jYzYUWT(QSR?A6{l%Vn3vyXv z(9(Yh58qr^sy=XZ1>~AnuHL9-V??m8KZ{6hR|4p0wOfi(xkDdDh;=#UH2gmgPc^M= z(9O+VHGGd5ar)e(c&fKe{%~k6jz*fA-qAWbE3w zWOQv@GIniT)2oB~LRXnvQ+Pv$xiw`WU~_BA2EgXllq&$6TT|`?Y;H~AJ!xjkRx-La zE*ZNvE*ZNvF1k9HPOsRtamoMl*T&sRO*V|9`Yx)6#(gpB<=Wt3ynzW*dWMIk>|xXu zG>}D64O8l9MdnxNuC~S+ik2^hs?slExDw^Z6g@TD#*y1g?8Z|dI&+Bx8fiBZo2IZ8 znFom-tgr@k(^DXq&dHr}JdIYOt~w7^p9RF)#5`Hq^QolP+=1=!<(4&W)P&xvRvy-S z-qMCSP``5)9@Vh&*j`ht-iwwmTiAQvg8oDM4()x^;j084Gp66<^*Jqs>REX z>OFc)-#&9DjISRvXY`N*=S&-4H>dBQ-m8`$-f+ykl?!__Y~Ojy7OtE(r+(q8hB@=$ z_Z&NMYUQXYmHm448`x`LU&~(4y+p3nRq`a?C-@MB;yhX22D!z*r;*WbYo7Gg?fjP@ z*?=>Rm693J9(*TYnGx+_GNFmJX^$WeX2d6u<;34Pi8)+0$}J%N58_tdOMvaVk5TWB z0JR$zqP+QJu*K+v`IWzscGAIm4lKZH?Q{h%Eo8EVw)&`ty`IjHj6sVY22K2V#pGHC zu0keoamIj}qXD5=mS{Dim#CSmlrxvT5A)(V+mA-a-2euBXa|$6p1-m~R5P5u1IhgR z7ZGPZ1SEPMz-LRl?Oa;acFobCd(K9r=#2#E(qO`2H3_|GBJ%Mo{JGY~C?BJAJ~m-k zG$btRFFTmRZoG~E%k6MhdtH*;HRkj<1o@3G!%*S7BhXL7wU_4r>$MkxX6=PA*Iqbe z^+zmdY^XiD-hoF!j#`G9NDg&PR@0AIJOLJ^@V$dx1FRIjH#r{}Qwrak(i5;!_+I@4 zz)InJQ;!C$6uviY6JVq8z37@OFLq6qH|9!~OMk?I8jK&YcnQC;J-XQQ1}52y`v|ip zkB#8TWdwPEofkNH>Y6MDGvmUEK)zZ^be2Fx|4uCCJBG|cHIt6ma!nRRvYd(>Pq#lp zMfl+%nGhl_#gG1o1>c&4iFFc_Aqv22eoYqpVI4&9BNj}mfFG|)h(B}}NPKCs8=r$D zP6~;UtPh?8TWlq2)jqTatB6Nv6N?%9y0*$Qh%?OS_{DOw)?!v4rViPAqS!Fbi#c@| ztRW+=nkBr`EU|gId?910N@`K|$N~f|qjdOFvowGcM=5M>b}wdjxxyCUVlCv;oOVX~ zp37Y5)6Qg))6R*(?2Kh>!)+j!tJBW@>I=xrJM8_-sa`DQ)7W_d`iwGxm+PVHw#R}g z7`)#i@o!-08uw>C(z838)Zo*AH4eR7bRlyz4T;yZX*%C6TzlBU6%ClkzV%t}c~rb> zRY}fd);n9*RSoKj({$?2Z>FwrxuSa#uGx`-R4f^uvWUwo%UV8Mz^`O=RlHN0qBk6A53?!xDgp(N;P6YnRL}v+9^tf(&$&gv7X3`Nmg-{X6aw;;%q|vE7 zBOv5iA(;>&&clz^ZDS1+>m(*a>o#h^{Hr)@2C;5T=d&AmcA!8E*+EJhttglY27A!s zNP+HP*||4_k!bSDw=srN3qWsKMO@$WPM2L2+`o)9k&8G4rKA5`cl7P(=vnaH-rCVy zJNmcN(SILybkq6p(e~yYy=m88s=D?t)wNf|yLJ)3=i+UaUXCqD=;dx>*vlW+z5M

      S&8MM)Q+tXE36=RV0~07VPdO19oE|JEOX9Aj{^4luTiA z*)wV+2QT5hz9*EWIdX+`Z-uQ;4q(^1I4ax4x@^l# zh?VxYB0Sgs8J>Cm25{#4?;*Uv&mg4G-wV%-e-HSJ{Dpvv{h5HX{t@6T@hc&%)Jkeo z{xO6((-t9H?iz@2xkBR{%BO!FNS6O31lVjqh_IYffI0KqfgD^2c6&4nw-fG*22gQ@ z4Y1UiLKuetG)>M6kYewru%$UWW7rBSeG6G{rHsOk<{pk;QijC3l}qH@xu45(Z8R|R z7?MX}^04v`WL5Uvg;0E_3yz5bc~7-I1cz%Ki(%o~Thgej2f_DZ9@etjfP#OQV!hjx zN!3Jno4LBU{NH!)uuq%o&le*Xu6rV+d%D~DJCLlrXePXC5T5Keg3qpa+Q}522`dKYDXr^J1zG&!=mwst!?7(;Rt)z#9-k210V0FbcD>yvZBKRHvg_0KG{OhleNe(8 z$l+fi&)OBR^j$6uOFshPEd6?2`cv-N&85e#2}tb2Qhf1M!PI8H>(t$j-{e&uiavP@ z!C?faFw_dzybs_n#fQUYV$J3R&VlbDApIL8r7FxyKT5bB1x0z?SwyD(iOBmdz!`Lx z<+^SsyhMAY3xMRMkW9JPb1CGd*MZ&ZO%T`5F0nk7`}EHlEO3ziemeu_rq@!6gcs(| zS!;QHdD%=B9gYizK|UTQ<4^L8gt{Z4DsSLN2+~&tvu?~)!BS89s$jzAs$jyo>4y;4 z+lz2v`c}fj31`#CGY5P(v&z$ZGnXR>w@+_p_&$Uyt-`ZW^1$}S?FFTtei?{2o}iU} z9MgceKjHP6fH5X8&z7C}37IAl!M2bf7rzI9Nea@@DjbBav&r^mokn?5WwQxd>2sKa zLzt?Yev2aJoQ?1x%?x;RS@4ah9Eu9KtBikIW`TPpkfOY?kPvvQeh(x)36G{%1&d@z zkiq^%R|N~Bx+<7pkpA*l1(Ux#eKB+VHVfW9eL9}ryNgllmFXimCBDCvEt!6brTysF zfNRqI`UC#>QNTU&=d7M@d7oZ|-1jM-vl^EeTQ`JbN5daP21%Wn}gm zR=#Jy0%YIfIZF{guqj}4_V@}=(h_Gv_AbWBwU38@y6jbWdIfeB!s@fV;Ue;iZLVxi z&mP5$mDn5^v$H>kOs`Y~z(2&a8Ke1C!3;;m^4Ma_R|N~hSG+2iaSF5Vv6J1MsD=W{ zW(TpL_asQ^lf8iXyf<+sayTIS1oQbjp$y6Xn3VeyBN1nKb`$0o@4*B|<;ZL$*>(!s z=dCo;<6_P+QDkPORR;8JGW*U+B%-U)Ie z@NT;*xEeXOvL7+gze+>7*;ARFrxIr)zd`m+Hpbr)RHs$h3@N*$amQtkVVtKEytQRQ z_K#$HCNUmi_1Tk2c~&m!U6B1VDbESAG zZlt^@=`?2l$~ga!IG1JjC-RaYS7+}h^0FW|WIGXgMUa-S3T7+biX&qRp#Ug9nM;9DrvG6Y3Ng%wmIDfV-Xw}0Jx zOom|vF9Y{pOPqxYsvvzAzRXMyF%g_x|gjkE4P z+Bj;d>4HvxAHy0J>@%@rUG?c2SKl3=j6GLf;_0f-Ot|X1_jo%wR>d2@pFoT~NTEsM z;g(FMFP}*FPeleCxrd#_SqF;U$Vnx+3@fDr34IrpkX+VJV^|>a}SVU6&S~F z0M_#aVqn~#*bHLxKs%tALIeYC0YkdGofQ=YpV+_)4xfdCu^>>-B znb&?7)r>alRdqP3I^bP?5AvKZ|4D3R{jm#vvGvE2ensm~FYq<9{+QHmw*Dmd+WI4G ztUtoW`Xl`HtUtyy)*oTF^+OR*NS|;0NgY82jYD0vekcN!@a3&PRvoNA{d`0Vzaay+iNNL&nBjrn2e`Na-)*sovE$dG&ziI1_DYs<(F&q{BWvxHWF4iC6 zZ^8OwGT*xO$2s7uT7S&ww_*J;o!w{sQQEg;{jpNNIqQ!FK~Mey>reb#GP`^7jR^5` zRf?ahQu(<$(Klt+QdC1FuBL^7VK3|b~ zV#l2zmjJ2S3hU4wPZ@6jt;Vt3{rPg2TyI-ltxgV(7+cmJcD<9c3DFB&x+H31hGjRt zZSXI-#F{5I)0J0*Hd7HSSK3TFwat|N18pYd1KlnD!d8zNnxoZYMmw|BV}?=N>M?8e zn1z0ZFKaW2Em7dMdd%q8Y4w=>@A8<@r)7^n1BMC=78pYVVS^}-*?9KrJ_zjuduKe2 zpCf>DhJiDI2F@iwy0~<$9mqKE5EWZ!;$A?DaRRtAY$#b$TtEcN;dPXFG7*|r2hqIx z6|kxtN||1L1FW1X#O=KJD5SWM%)3DDdRJ#hEU44z;Heo0YzDYsQzht^DsY(_Wmt8a zLP>HBvei9iWbHW+?nnOlbFCK<$F&~5l17&7CR>6yJS>pgMID>1eQ-BBrnK|OY%x6n z=_Lj@KSVyfc>>cM^;h<@5`zd?Njewp0VY4#W2 z3CcYm0rq4(u&jo4_^G}Vvipugliw~sV;A{_sFOsMl}?X95R7*X!U^h@ zT2B73^j_)l#mHx|?c}G^L~WpcUPv2)W$k1E1duK0W$)8Q#oD9I_+ln7viy8}J{B~r zc^Y|%a;&2cXo99&ig})jncLN(@I1r_RE8)ZKaAl;Y3UdRIxTN}FqJGaUUfJ5UlwO@5>GrYnObsYH$9^WRZLs%k{hP-|hK)1N7H8ikJ4Pi|Ba z)O1H#wmrUBdPgTz zb8loUr#Qx&j(FM6a@o&Nl%}0AA56O3WGXP7u~67N(`Pd=Sr`aKCDtCO&|)1K`DEm* zX3}U$iOp(e21`BZ2W6p9kD=u;eh6)&dTQG+gTmBK7fF!zx-JB%h@xYOgNadS$Iof} z%6Q|4oq{nsMXkv;>l|k^!%z>6E)lI6r7Dd+UIYzW4Qa8Cge%Kr;G#}cSJ@*$E^MZDBlmvkJ_Z&Rk?V^M6R2 zv|Ll4RgS?9leoxa10t%4|BF7Xm%`8JDnX=}Eh5M{#W<}|#RJu}BDxyugRFewMv?Npa z@}f9R#nP0o+v(!-y&Ks9UN21jRHABbqPp>Q!^@nXj0y*Rj-qMR!Ab1%t%3L;g$WWL zq(E~k?7qd5?WtvIvckyhTbw|6K6aepr(l4_3!{v%Cb3B0x41o8sY&ISzJ}_7z86v8 zjn8XS%QE(tHH2E{HClmRb6zw3A*PCSr^Ox{({b3)@d*uRXSO?gUYFD0tIMEs;6(u- zCaiO7+Yqb%J_w2O&9(oJy>Ef9s<`t%_sq@Z@QQ&1L_kH08Wjj2D%OCg0g`)fLZGyz zUE2_n0I4CN$qk_Ly!R$N*0$kcYj@dyySwhLUGdf0R&N5**0yeI`>|WQb^mL(ZVRou zwOvhuVr$#~_xGEbbLO7hJV;RJzp>@!JZ9$1{NBIc{ALEIy|NFwyGqugD*1{HUA=ZS z>D|JM>a!)i<3NeD2R`fBBp8^>+H!^woo+b~6U^WVCS6cYGZ9Ao-WLxON-{|_;3sPs zC4)yvAG}38tkoRxa2kVNZZN1}gKCpPiGk@Ripl-DB8>$h+J|v|4*U^m0YGX`WA|Sp z8j=%eR+5`LpOVM{6wancnfMO6%6jiM_07O>J5Jq$Gh9fbt++X0AAFDx{y(ax4@ zPbj89o9D~-WCzVr3Tu}Ws)&~i)RCOjw?OO_9wlFC5cpL$vX7GA2RU8sH3SyIjdB2` zyLD|Efe%3X4wH<6-~6)}4H~%BEXhEb#Jy@8n( zN1F6C88J*qgC`^xeLeM#-v`%ZK}MZn*Z1_n2jPG#8P&fJp3&Yn9XsuK)0CB`eujNK zo^cssoTjJw>8BB8xocAel%mZN2$nQIuOzpSXE#*brU%VAk zpNVnp^@;3%bIKA@G$Untans@GgEk}1qAlc_&!i78yD)81`f@K)&!oqc$Wl&vtl@3u0he5h zp5LgY{CutDE$b#j`M*~`Cgp##-}f%mk@*GE>0YRv?pyc*eOg2pmUXLi(r-g+%Fn*N z4^32*W=JeHk&rgku|)goOTCdx>4AM2(qp+LX%G6bVuy{7cW7c;yE6SN7EP7|Fg}Pf z)8hY{WF<4FIWzfbWB?{f5Bd+&#W_iMNEhVOOhJB`IsKS6J9@d2uD$y>hSAzvgd~#? zQoPwQfvrNJ3MjwfM)8mg)u&2>&dh8Ko znjU)`cBak=-MZA%zdZBkgG>RxRMXN<<>0VHlTwT>(_(sBxX}ycnVVj@VU(zdF&QP= zNFbv`^@I%zGD#GjQMV{muGI4^`5on_DPrY2rdO|?)VFie=#$KM&vzS+ zHlM9%Gh`@*6ozdOf#K$O_K z)p4EbveF^*(z*0{lh?>K7PnEr-+fMBhJmeFm;iIDcPlybHa{cYp7x+0i>EKgdN>UCj)mb2 znXG4nl$)qEhq~2Firc9`r5ju!%IM7|?v<1%8fR#Vs|~)S_O8+1RzHzl^kZfkje#ut z3YH9eTknjwl|E-+m?+A?)s&@#A5oT44kVm4WvRE*P208Si~-R{#yJCsdr3x2L1|Q^|Awz|mjBlpQ`Iug5EfAZ`wJ@t?%A^>!7L|%P zi@{Y_FR-ZaQhk(4kf}cEvurUM77B6qd)UAOA;61~>6*QH-I7q|@WKj?$Ve_oOXXLg z8EJF*-oT}irsTEheNHosW+?ksX-bs_r0gplZ6)ajleECIn&@`6cS|Vaida(=8o$!pHNniH<7tGdY%vbBM(2vnUj`Z_utOJig%6FS+q zG1-^H@=kZLzrOi&o(aj}`RXCeSN9!0_7+k~m1e|^d7er{tya>KUiP+;}5g7?wylKRw#qti-h!y z66#0@X-kMb9SKp&^Ljm}n`ul_3`JglrP;aI@1QJ6 zdoZln&#huNaC;8d4N0n2hNPYr0?A9;Fn!SwC??&<9fC119B+G9;%$aVgJAK;+e{gB zW`2$o?CuoG)jFC+Gl|Npk`#1C1T$>zG#;8MMJ$%E{&huXgwq!vEsHrVl_QE(|E$XLBbjK#`-7cmuc17pnv{%GH9PLwRxaD4ubJRMm1?%}bM{ zO`DYV$3z)uNc@&88t!sBhqX;gaD<)7%S<32S!Jv?pe`pZxq*?XyvWCm zInD9%tvWJXFYLZ$CKIH2XiGfA>jd(p7>re{t)~)iSkih5`vpcM?Lj|^Z`hoAr_3pn zf74LxS3Qbswd&E_=%0`DxX_(tAVHonSBew4y*QAM6wP^VPD;_tmdP9k>!hz^>W85E ziboEshrz1{S1$B#%NPU&(Q&z!P|z8MSv{T9j9&W)+LO3XU;7B$_h$(Vo2~EkyyQS- zM<1wGD#OL{@Fo*l;++p!2!^p_xZH}Y$o|oJE6R4#&WXAuJ>eOGT6<{DR)u<7>3Sxn zg3sA7eSqQFoFUBSxRRX-!AnCR3INM`_~#pqeZE161@5TF-jL`$EPrIFN`oK!ijd8o zuSo=vT={|=P&I9#_Y%=MgDi}hhSpG7()8HONOgzMQ#s8^cQoh9mQ^s>SimLqPme7B z>(7)zO|l$zO=Tcp$oq3@XOz+FQK$+afI>Z!!1wbX6ndvgxTu&^F0E z5?YEb-EGW>^70LWG^2w(NFVDFC57|eIG|+NV1|?!e62w9g_&Os3uq2KM^^PaO}N9B z)H$`JRD42_o*`w4Fjb}>iBB`vPb*ne8aAK7D(A^=!fDd#d@!GfS^LkBwTBInbBgqI z%c8d+h-W%W0Y2;*pHH4~Pj4ba>{Pi)WH4sX+RA=ZQ+5Y$n?wd=hJ`|yuagEIion8@ z$(KEIUHtTtp1LrAc-gR2IA>A;c%?{+rWf22y%@KC=fo%s;-aX#q9zqhVNyw31mv|R z)9cbVTkw$wStfm~5HTsmJC1~BpXfLe74o3~mOfzuu^zg0%o7(zdPR{At=dFE?3HQG zK}nx(jPlO-y*_?~Z?F+LF%C8&*YW}TxKr?9oSt(YFI~-CHxyumwbUG7p4U8a>jZPM zjSrlVbBh;huWgd_ye^V)i^)1%F-1FSQ}y2T7xUy8hJJ~O0NPI13}64*!yCX+R72pO zm=1mkbuh&w4gQNH_!;h&HARDess{hXUh>W*dOGw{jgn~^CC1L?QpFA)CEl4T#(6xW zM~@vnX0&Dl6-@9Rf*H(%d^9_7^lTJ(L$N=zL(d5_MYx(TlygX&q3Y4hG98?uzAHII z-4KwC<7Mb}oSJtYO_R;zH6V=x4B$g~P@SNmI#FWc%pu3TtAn#V=8$<-h87%nV?fN? zjl_F6G98QrId{m$p*7fY*X4ghc`Go|fg=WN2yO-#Nwyeo{gBhZ(x(^kXmUMZDY!wb z3>H}2A|&m*Pi89YZ;}}paiC;|q691hc61Jjq1SpPG>U7TIZ^$2!pS(Ag*f@o2W&nm z25QsC+<*`$IMye54H;~I#v*K@R;CwfW%{Q?b?1rh>)q0D&6R%a3~iyAa!uP|X`t$luvE|& zw50cUI`2ilKnuN)7J8IdPu2{;C%tM%x|)35$8y|a-`QADncua32dqc++=xNiFZz#XNyVyC%gaN`;s!{GTtohX@_J3Bg_DS zHA`({pfJc9s&$y#QImdYZ4FPPd z2QVCMN4*C;Slhldf8A?g_dcu1C;lG?N} zJt2}dOHEqH5UA!-9rVS~dSE1_MrkP|8(>*&Y3tX^6-txO&DUZZbs?^ynX2CWwORu*gccfqOtMT~Lkm+_h1j#eyT|iQUI&^LVVZ zm9kB1*u^bRkfo2^2x3bXHjm8ie{^1-v;0~wbn0y9`%j5EuoMIj_aay5$y;{sy7qr0t!c6`@w94VSLU}8aZTejSR&;-UJO% zkDAV4dqYBRf(9ec)=}H?gy;)R+{sTWvoFoQB}`m2*dxT*>&-Ghq=|&h4DBncC~#j6 z+n=Lcqvcf-wQ#%8FWe>#j@UH?4{#9V_9Gt(C|H95e(R(t->`gK5!)G)nS&#_3?mOD8OYlw~7IVfGF^ zg00SE@usUMYmGUjCvT`Gr<$~{MX!2ikZ}J#-uZ|f!;1C|jp7yt9r~R*4L8FjCkPw! zjDrP;D_l*6ACYcUItKZHs4`d*XOCR9%^@ukBLc=;uHS0I&wvLDTrV`B;j0V;zsOA| zcQPLg=p*+^vl~GfR4XTqrua=4WCamFSQaQ#nl6`^MJ(%5ZOQ0U?FSUM%uKp2rp`PP ze%92Wr{M?#Fl;?OmI$L5rE5awd2cns42p&D&BW^pVaUufQG)y|-7cYoFk~PM*;?k? zbBiaFk&t-QSCmAfbfgC;2}W4xdO&G*x(1`+n!t$hYNv^KMD2AW1T&q+s1#w2NvUKD zjnl*o;UkGT*DM12ToW_YfyZcRpY*ethZiZICiQQYXD0r^0M(;<*WpnBq4^6UU*v5` z2+0R*5qe#wi6QsB#0!G?8lrp(c8v_ge$cYP>HW2A4rZXC z5!1F;v#e%d#7_f8lz=G6ASss>m?u3J(X^pN8}X$vB!i|1c4>SGuGCC7Ub|IBl)ew8 zZvsMSAP1=p1aeKnbvsRjN6Ayht=(AUQju)KQe>mpWd1EOkt@YnoK@Doq4I0BNd2 z5-t%40+U)2!B(1sLw+H8Oi4sA@M+<93dHFu)ktUNS$>aO`_q+Dq8m!m7t_)ZQn2+$ zwbEhhH`vqpC=I&32zV2kIv*Y4;3Ca}lXYeYa?&-A_QC&N6jgHr1aHrP>bnwLca>Ri?t+Z+nQ z8mNPa?4`%x1~=m>>1`m%EQY#<%j2QUCnF5+hu~t6Cf)Wi>!Xo#rV-Mf+70rYX}u5X zCpt6nn_1tzJGki`mVUFyBRYa*@A+0|EEC^VTKsbxuVEdN!<8|kPMIKneE@`;Y^PIH zX%SHm6F5&ZkQV-dE3$Kum^CHaPwYK}nWrdw%r={xE`>957oEOhXeydsG&-ttBb8@l zT0wrb)1xmzju!9{@H{<{g?>m;=)yOkrV+ z=QSeP}4T28Ex#31Fww4 zKz+8vQ{T#;uweAm)O32Dl_v#M+f@@{1osg9GK}h8no_Z%M2T z5zvO77*bm!_-H~4HSB!v(G;znzQ#{2eQv1Xw_tp-#0z-HfJT-_-D%NN*bb)JcO`O0 zlO{bFf$+JU5E!kaL70D#Ppo1J5}p^?c>XCnP*xTd6Y)GE5`l0e8i|(Ta(Y;d3zu=x zZf@b%nZ7t{RzcDH(u&(FH`Fv%R;;XPidC#>+E`FPJ*lm)vif;n&~#T*zhyQy)^4(_ zlDW56R5di#%);<2tFkUu5xZ++P1CIPG)lUytE+8_;TD~Zp)*ajRTbz#U2`=TQgznW zRW_}!sIJ*03sSwxy2{24xD~P)_Z>m5jUY5D;B2y|=O!X=sK4FL!uAelVZ^z`d3g73 z=ix+0J1!R`+Pjt|y7*sNYey8179^w2g08Moy1U(3(30qCk0kIDrK$@6>8C6^7zo8f z<Xq^DlIo+gqFk?XB(YyK&j7F1zjgTz!KIw%8cwda01OJWgd=qs}7dR#Zu}mqne0 zcK&2%A^O;!#Aonluk)yLOM81u3)gavP#e@jFibz8XnQ+7X{Dbo`Vldw5Pt(9eBgqV zvk1-KVo#qq^j2=g5NTvdz=FEfjKSgpPg+jl4~kHP^Hy0Go+%o@$iW}z5+*mcUuC~5;%S?L8#b(yjttG)lVuO)5 zv^MK%*A%R)sxsQXe%4%cNqwO|66o*!K*+2>iq8#|we^yK0H8ai)HEe%Q>?nWX04Qp zjWsp(BuN#uX!26jqUCIGpmG)kO*xCmFx^Tyi+4nH-MJvT{6JTGdwU1|@qf;yH~6nT zFW1@B0nA)dumsYq8>^4DUd6YH|4PjDW#>+;$`viuJvARqBVl9420f^PV_Gt`Ca zWl7eNHvm|+^2cAtN*KVw>SkqWry{-njvVLvtI!|V3EGndGEGn5{3JLWn zqmaNm!dKlC;OlEvz`3>EX^xx%2J9KYlCvpk&&VUqcuh#@AtBq{ zN)`zI;gP0ZsO6K1W8fH2dIx~NknTyQ5}A@pr@u+a=4NPrLXP=fVus#7EZ;8Tx5Q_U zbVQuH-LJR>OVRq#4r0%a_HOy-l>F0?=;(m-j=f1m#Mo3jbwW@@;VkejPtxUf{7}D+ zCz5Vve*bRv&H2)FoahwS2PRq)iZW0Fpan8Qw;TRQo8b4~LQOi)>UJuwl9&x>;2x=vi|+kqL;0ZWbChU5NxM&_Cegw)K%-;0R%b z|HFwOy)_fsssK8SRG8!nsmUx059iLTuHCeup&B9vnBfPkLZ#v$DUp9QsQSjh#HC_n zxLkZF>?}+yT_(1~#i%fxjELA0hL;g36`R81?o>on#KrY-6dn^6x5l~b^2O0IDpg3O z?hcE);t^347vHnux#G(^!p7*0-#b_~MSRc!6F&qF(DJzHi0FKko?J4kS(q zOPp_`*O_Fsgn$Q!`kKuc+|0QEg}fw)R24vB);3rLYnp58stYOsuUO57jRkXWoImHL z`8UkHzTno|i{4jJx4E&Pc4I+P!^WzD%8eV@`nc`=CD9_jA}IILdYTC`ly79VbufZH z?UHwRSeCV}CRU{gN17u*iEEDF+BHXDbZB!#KCW#5fx&EGJI|xFm#zV={mcraq;3v} zNW9pgPX0Ygzs`*$QP_GdrK_)MH#mANs=j6g@`|JQU%ZT7hB7tKKyO&UvS;zX+`xxe zEWIxiF}=f3l=kVnPaGzLC|S`96*J}-RRgy;Yd36cW-lL@w_au79?t~af%gKm1bQDD ztDcu^G;{*!UjkXyhRQndnq*d&Ey0V*j{urn#xect~qPkVBq*}90bC(pjJ-Z+l1WDjt_`Ddj>rDxX7b;6GSWjw(Kbr z(SM58TBBhhkP+M+p}OhijXF6;=nbYtR4*b#U^@iV)4 z@|!J@63Fk;rO{%1s8qzl&acFHmj9xjYL@Lv>?}>}N+uKHmF@98ViY1hW&0u};^KH@ z7hFT}L?A5Mw%fA<32{|tix?Xh?};ailM(T{6=(0SguaH`t#NTzcrX4f7tQgq!~ zZ#WBK(K-p{2hyD z;>&0bK)~%3@$&#Rk}VcteUfqW9T#NX(fOAAVx;-v(JEMZT zJC>iJ_ys)H9IiS(?!@eAFvIq+puc&jg1)h~e%=3vf*!K8WDSHgq50tZ- zp3M)$#h8@yC_nrS^W@XRbkJ+~_8m6xK?lvTs`Zsh7b}Ac-02!z(j}6CTSx${faPQD zDUn<_OxXQb_&5>Q_IiLGy<`P)Tb7opr?)cazkJRy{%34$1LHK&0~DN*t!?o7+D)4q zYhyLiH8#9tS5+wUtb_0mkNN1*cqv5x(nxy?Vl0>=)*f_%FL;VF!g0KyxP-N~Ek5cL z9%Eer(%O0h+W8yLh9AL1G#p*&eb(n47aNTTuMAFkXqjQq4T+C7!tvFCPC26r8}?L5 zq$ELiw%Fnn?$xvqybLE7JRu$gxGn3)+J?2 zmMt!eP(Ur<+>~IvuV*X|+wPg2b`s=`bu~2k>J#d&KvuSU9l`j$fs2yPrX^xj9F}{D z808!(fd~4rFlN6gYv<4yvdzB)5qoY!*XJikZK$kTUt3=@G!L-<7|FX6ciXhq^a4z4 z0CVSSE1NNYq~~-&K*~6TZg-#JS{sD8L<7<@0PW~{@-bWu7z>z|PqQ30t*x!8sadGCS~mLuG8eywZjV9+Dyn&IgU}aROqhT^LA|M8w|(D7-)s2-Yo6 z(hbs$NVDMCUNJF+0EGBR92sff*dC_Ipl`rOc^;P=!{Ql4Y%UEi;Rc*oC{l7Xf{Cx= zVq|J@`BNpuNMI8!D6%+=;7C}!v^^Zbq_v$C0T?>vEeUZzgqMiDDDgXb^#@E5{eFA+ zsA$ELH@Bz6zCd_|c#WQXB0!NQA&%bd#GKptdnwsraRWZ=6o$l)IIKYfd5h*4Z1K_- zgp$PP0!X0Rjx;Ky8FZAq{^oKD$pl1v2M=2$IjR!i$WpiXrRBur^aH&e6LL1uAMrnS zxP1kNz5=l?s_hhU8xuH_D{6_0tBh1;NQeBhtzcAvVU&dT+5*OQ;G>OVi>YS_rL=nNd)pI05KF;+R-wZJX+^Cco8)i7| z`RiDWUw~G6L z?KUtQIG|MgXP|TWj;Q$T_V|;fQQ`-&IgYrhxGXGo1`t8A^Ydhi2j|Gh%dAj}kr1RH zql7K6cO)e97l{h`K&-eS4*5XMA`jxEJ!1l4C`Wu8a)Ur5E8{&u*o6@{_pzfz2=6E->M_Jz&BM z3J{*CX1Wu(@hXb8(*Wrf7waU?fOuw=**+}sEbzmEtZ2@h39 zh#L84N(xt$n8$+|Cq5JCB%nnhal{5haj6tdiY6px@!ccl;3b}W4n7cS1#QxY?0KB{ zgH%EZi$Dkjq%VOWP{ns;C|ta45#~bTGl;XFusg-yYzvE@+nD#hmb4$L+#Ar@0&%Gq1OZXYN6kK^*Zdh&+s`Lrg|I`nFDSFWNfH(dsO5 z)P{hjzA@l{r!CyJBSGUx(BLj5{(XC#L+M%K$?c3VSp^_|0da0LktGhW+{{u`<1m!b zq@*baL3M(lL&PLOoC6RO1(QG$FDueSk$~8bCTaY8G(S;II}Xs#rW~0p?rkQ#6AT}Q zIQzXFPwd9HcZu(ksE35$PnU$nw`6Y}aZp~2fa2pZk5C;Qp*l+UDRFcgp$?*3q!>Yu z-;*j4eo#% zdJUTBkK3UkAXE{iX35npsQr=RpKYdbG;tyXt(+2H+13g1Npk0V+v4J0Jh?T+IDNuS ziNC__ksXW=5<=oDkbmMnl>5StxcD&)fcAUh%S0+GF1`X0`5g-?|A`$K%x*w`WLSJH z7>_JViji?l&WK0vNkM*w#UuBGOZej9d%}@~`2AMqy@1#SJx`r_;qJJ&iaIOA{|4d- z@pusFDX9BoFlEo5u%k5EEuPu|A{1ZV8h=XM8^m-+(L~&yyeD3);w+sZaWt4Jeacy| z3t<+TE4s%n4v2$6uvmVsIB^e&lz{UXxUaZFd?|?5=z(}zz7P{q;w3P~noe;^82*{G zFYdQ7utNlZF>x>hNH}QE3)uNG*8BE{V1@l|L?F-El%uC&Cw&;tIzoX-m?4PJ&)xTZav z1hU*;Ao{o}Fkxxw(iTJvi3C9dd&EUfVHxBs-?MHbZor~~@`zaO6z+j7zAw@aKQ>kp z*)1#aFHPx^mDxhnWQ%BA%!-SuxTGy=2QsI$I1zzNK;9ZKI+)(yD$JXa#` z{5wG2SHi4}FDBu4fpentsR)wCox6xorO(H%*$%pAGG+O_lx|rdv&X}MvBmUk8U381 zAEYhPpXKzklOK$UM`R?`DU8Ewjh1$EgJLwRRrY6THIA&#P4Z{B4!6LchJh1pmwuLR zCjwoEWD6aR&HpIL^guw&4T!R^J!8BmfTt6Uzzrl>zPwCKN;#W45?$gLblpGS1AFM` zQTht`-o;pav5RzJe%#rzq*cre?_R7p7>;Qqx)e>6+sQ!Q&Sd2ENJ|HlRivaP5na)` z6F%Y5WTMQTo`cMLXY(;Pbe%Gjg0?V1dc&37+$gi%q1$ zk(d5@NizAGc-c;MB#MDb20auzCj|yV$6cipXk#g}V=@X;tM-wGo=)Q9jV1a=X5Sz(jZpRnN+C;da+O7+DZ^y?)gHy;|qmQ%Y zX2Z0@GKJUQLZWn1WT(*8$Z}B~M<1d)k;uUX!=Y#*5-Dy?CZk?qySg@SJ` zUdHee7fC5g)?@qbB$b5>PISS5z+aIa7vDxOBfE2l(;R(G{4J$%{33uwRJ$h|onmq-SxmT&NeL|K;#w zE-0e^fUoge6|I8tvzz*l4?eoR6NY(7q>KnKDZYUa4uVWhp|c4NO{b_%0mlregD{+N zfdxLZ55HLc-Yne*LG5CFxQ*tGXn2LxOSA|*` z2bt6qrVr8+#Al=cLkzkkQi^XZ*GVzYZNfNOZBVxx0ZHz4ZYupM>e=|9og*Ep{LLu$ z1v`*i+BL4&x#a?Os_umKfhA!>oBibY`T0Mgah}?dYisMPF@+&ls0@9ffonj@i4!^X zq5Pv&L;_#20~59JwVED$3C34WAmJw6)X~Eq;vp8f#KK|>LO>j13ok24ETtI=5S2KL z=<5IAax-+K`Y$Su(=cpOIwm<#VFokPn9pX(95^10PHe4r`xaPEv)gN|RfcoNGfzXmJ9CyY<^L?? z8=NNW{^lYivcjAXcT!68rtper7rX{GxVNMd;#!#7&XEoT#h+>~VP&!*-VS9FZQsQU z-9U6xx1yPE&=EA8;KWK1KP;o`>~I+}%h6n3xGV|R1F7RjVKJ*^iBJ-MK~cDC z!r}wcUb=#GN{EB*lj%v1bZqFfCa17Cdb}*MxD~+<1o*HrZ5Ng$!;z#M25oBqOWN!rVP_fB5!Td$9M$s$eQ{#6mTa7%W;N?t*b|hFuEo2pQ;+(6P^HTi3 zJ0!0s&3mMGWR6nk8F?A7(CJpX5ngj|+Eu2;A;W6M4iNN>fyu2>d(0rS+~)b`$#GE| z78kN}0T#h?MAReBBg;VL;(aOcJvD{>6m9BnHN>n(79cAquWcb-)K6TiRi2s5!i#4L{ ztZlAitue$DwP7P|@Jn2gcCxv$?#`jvXv7+?B)}S1V0s;MN!`1g<`QY{W6qM${u=$1 z>=6y1er=&0NutJaOIC3f?jqM2#iVx;;90ByPqx^UmXcO`6=LGHwF19G$itGEjTZ86 zDry=V>l-8ttldnKFAhzpvqSbLkO_5OY7P!DSJu%&e_%lga$v;`H{%*SpDFKN!X2Kl z&qeSH@{KK^y}z{sdC?_h+mE%1T{5zS3E57X1WeKcZ4SRmWgj0An55m()AXQyL||f4 zld{u_C*LxP>EG{qRtmB0fqbSsEoOKzMs3 zBHStL)ItWc%+aDrKLO1;I*j$06l)$8ewt-wJk%Z8l|r=q}sN^BV~Kc8SK$4orcRB-r6>1*zHAa4vCXCyv(vR;|zAU%BE1 zcqIfndw{_2LbU;dh-XEUuSGj3v@kL*rp2)+FmDOwHZZeOjyc!mw66vx`s3x!Bay{o zU7STm%P=Mo-|4aKhu*o1R%BLfyh~~blP&$2c$F}56R5ruNfa^_3p`}cA0OI>8H7^A zTI}g##i&kfW>T_~b2SsMFB2bvt9T*S=~7UJ5rM3?p_m%7S3`>xf!Q(6PlM~jDCz3z`gIyIlCP~08!!t=m`HF2!V1XVAsBt= z;cx~*fwu|H`ASusHo*4Z*-b(466Re=p>pHf{u1>0UsmA4#ZKXFM2V2&n2k*iYMj`q zD5x}vO+q8unwx(w%I*oke8hHjM4OQLtLfQi0vuiqQ%W1V!JM?95_AwlBMr<>j+bN1 zQ_i&ZKfpl%@5SCobT4+p#B}Tl*rZJnu`-P9!Vq`U(;hoNfiHd=U%VCD3hbuO2_)Wi zBoh0$O`b``_Nde}b;IUtWapt$dwMpyqWhivBwG0uBET&rrTYKagEmnrs={KD)J7QI zt5ob0WHBd`yAdHM-Bqqjm$pPAWnwK-_44A&BG7DK{q31Uags69<0 z{`vhF$vMSy1m`m-ht&6C=x8b|IFt zyVzOqW{H0LB)$CtjK~^n#4#DU$w=$v6y;J~R$P*bmTdoeB)KfIq!g3UcZW+$8E?!8 z&ZG1_UrLQHx#n0T30eWMV2=#gu~VEAT_sV>nCw8BJMsY5g~fZq;v>ipL3V`Ou_r!_ zj=dcWOzJ>Dd0PVf8ePhR4~4Nhq#UbNTwiU{XHfK^EX)DLC2RrW6s5H`QAmzW?KpB5 zkfqL>P6j)~td#fwZ@VFBNOEZOtECC&<4JqEB!cPpqt)M|)yq1GSaRcHLpUWaqa@Bf zUyrnwf?{;^@;Q&U?$nU7gN7S~~2ZmnY$qwiK5D6Zl_Q z;&lYUPegXY5H<*TGIVu}Ox;+=5Fkg`6aPT-?in}{mi~O1??)^hG z!G~`6otMTE$3fI?Um0A}e&ZD6uXYpOel#IKIf|EuJ4#!&%ZX$a@NdEDx$>u2BvAa} za!CCf;@vQDoUMdRxlJ`l&|Ni_M;x+A`O@iOECQUX=$vYps0C%SuE0&AkEb(muK14^MqDet3%J#i(^ zoDgAQ%AC3-v%2gMhnZR3V0oRKUiq3@QTOzA=vitA9}e>Zm$jtONAeIyhR6mOnZ#-V z=Lo)l9irN~UGWnuE^hDC-;uFtA=gxl#2SQVqi~j(izir%csH{7h~%@da9G+4SnxBM zzBWRv1?;MLcHU-Y%EBtFkjPJwxiLa~FwS9^Ellvk>HOzV#5UHft*xs&YeH7KoiZ*> z47cj8lc5;lK;H-l}mCeaQGk`Qp=aI7A#2X+GnZhY}N=1+vA|m_tA(0h| zyF=t)LCu%20&nj=aebig#?lZnYaWG}I3*-f{lq`D3=BV(rE%oL$jWyH>@VGI^zH*|mxR7C!)l zjtX3O%2~LLMN-1K(CZ@&OhMwcd#pzw#O&YgBPs8MF<*m-sW2oBx}(| zhj*pK1z3KMNSHc2<`5O_w%{C;MUcYT3e*2hRnjf?eT$@@s;l*<(2ke#a<4QndFWh;%(kPq6I6I z-o7U+62Wj}&#~UN_gF)_dywHQ#M3)Ey{$ULp%L7w-j?GZu&)74hrtb?O+d=}*!=^0 zAf#Rwzp}#ZU6Dj7naNm!ErW0v9BwIVYWF7a`&(E=ya#gj^hmP&vEmskeSW#L1<|`* zI6w-Uen0^K5fQXMjEt93AKj(gY>o%161DaFX0(++**~Cce3aSNQSo)GV}23^BH}yn zY{*m-h!ekkH}WAjht-A-o_ z-OS{-cT*G^dsn=IZ7;Z}w}%S%o}*&Che{y_n|bsMR0l5O53n?y;sw&_;m6qWK|-dt zy&gVfR>`7|V8y?=nS$K57t8#yM}Xcw;W$MW#A>WyGQJiw1Jb%PWHWf_D zQrmlA=jM?3X26Lh6V4a$u`H}CWXQ-Zok2v{c7e#f=e7~lH{Lda@)`x$2SJPP(fHyc zXxoDLHoPApe!Y##@g@ya9y@gKEq3DLzeJ6QTZhGepbz3V6zwk&pQe2q9@x=|EkDEf zD{1fbEHwSQ?T{A~c1E!HDi!n9QYW!E-wtbF6VA{+RbiW zl8W&GB?Cy2(>4ff9m7gVOMxa^d+Je;$F-nfnO_7MK8ivHpm50WP%9>9#$bVIWDhOQ z#6u}V>{N*NWfJR@J{cp830O5$2JB3K)C7w2C9#e)l%Qz_>@TnOvcR|RIEHUyD%Q=6 z`(MzRZGC5G)F$&ihj+3Z%t<(w#k<-LCcbPK)XnucBeYtkzY&ea2+!AJ3tUY7ZZA#W z*7AHodH+7^&=V2QOV<#`*w!^P)hLvok%-BJa^=FmKq#M$YyeD0F7cuK0p{}Id7jMK zhi7A+C;w?c|I{QbdJJHGTI8mpm`o>;jQyZU5|bg5MKXB=&y8Sef_9CtY3|QH^}kqI z&i>_G*8RiN6X$SxVlDILAmWypwLhMwt)_jI=;V7A=5g7A=B#5l6S26M8y2-rtedti zLDEWlgtmvU#RpPiDsrV(cjCQ{P`-2=ZS3;wtYlC~0e*DTQ#e=5?2L@gx0Gx?4Q^NQ8etbc|$9dj0}%>Ge1baFW_xd0SO z>mvqZa-_}2@DdA%8^aFa=>sB%n_zCj_VAmVuQBewJ2dGujQgQ1M67M9jK%I64Dt;; zyDo;ZH&=cGIKMu#y*cb+KpRr;56&gSQ(_#L`d z>0fh^P_C>#%W(wf8D{c~7{8Rw&LIkRX&(>Uor5|>8L^|P##qCKHPT%HEal2wAV#q~ zGG^SsC9btN$E9I-8o^3Z=IaUP*%VTwInl8x{Nhi%1gjhJl9AmahFl4ipdb7uiWUTx zE#AGja~YE9OYPYxvIqNxoZvlpa+ySixZ-=5M22wCHX)iQq+&3F!JrX|1es>%qnBrh z2rdsyD&HG{{ZHE~-yL>S?Yrsah3qG!=nM;X2d-q3aC@I=GbHfRPinW^laYJAqqE)J z6^zims5w{p@aks0T=8DNuC9U4l~h(t`M1!&)=@m2|2Ny?9cA|XymBnLC=>6sAH`oLO&9ayOIK;S~831ai9xLApKsi!gTv_6iFsxaM@5|3gz#+&f_ zImPCN^9NGTeixAX?MQ}H5<87t5eBg4DPUb=Z^2%&(Y-FbTCnOt{5{6(!Yq!XQMLqd zZb=-6gwXU~50LFBUS{X#MD3V_8W!_DNz;@`@!1{NFpOXa5tI|fmgjbL0Glw!H00uF zb05GaUIYkLw&iBXINMrwhBO8%du?py+KrY~SzTSd_Bu&J)eX&SuxSF55J^bu2LQ!; z0+YL*YKK?tZ0(}d0ood01Rn^*@%xWRgeHG_3eVMFMj^jz$RWNqPL~UD76|>pw(%2D z_@4sKKjF_&n&=V1Zz8K&1i!`2Jj=iTM(2p&*sUllXlaJIIUR*Rk421pigO zc!|zj5W)XMa)Jnc#zqcDinsc>PKF?nw($_YI+DA@0fWmaKyVF3DQD2t&D6Jz{Ehz; z;EvA2rl+}R>~{XQRP6t%PyU39pH#nG_+%i$ zkh+i|@o~al6V>CDhWF6bRtERI68P9b7VWiRx7J-0ftkf0x}Ivyr|$j&-3`%f9Jau~ z?=74ge;c(D<{x|#ml2fwN6NSdQBX_mxcHp}`XXxT161~-^ix4UmGsjm zD)&(?hzNdKg8f1H=P~Z!#2P4Sj*@fGEfiC>sOG)@5_(=xCrvP&*`Fm`) zN?1uUK6cwFPNY~J551nC@vI@zujSF!rJO=el>7o~{W}KDdeya?T-HM9w#$5}sI6A;8X()5#|Amv0o#7k_l=vz2QgCR_mhf0j^3#j>AYIvf(l8`ou zt|!yesnqJl_9A+EDLuW6p1y~kPAB-=!7J%HpZj(bUEbV@pF%rI?-o*xMO1@H>UP=s zVtTrio|aIBusx5;M(MhY+gU^JY?cu?%>vgB{qU~7qp0;`*IG7qXYm-{!5%HJlF(~9YnMJXzEBg6P3vgPaLM;FNDA&WqhC{c#BiuiLq z&RQDBsQ8O`IMmK74F3^iYFlN4Cf1sdSFcs3hMznS{fx=;q6qc`$Bth#nIGh@-+-@E zD%boa_<1isDkLZ@pdZF#Rw~XV0!t9oEnC8qL*E`^PuJD-+S92&@1>_qb$kxUO!TOA z$?G(T8$)60XjVKP+9ju!X`yKmlLNmgr`I2#pAXYd75#jWa90_Yi=%%!#G%ynK9tk_ z59KPR(W|29(9BR6!-ByY<&iBjM~2r}B|_aUqMs7bA|={|X|Uytv_6N(mfPTk;D+Y9 zfeFD^PxK}P7v-&kn-gQBn5p1afH%Br_q&#q$FT^5c@%c zK$6I_(O8U*!PXRb#HPdrQuvJ{P$SQip6Ai^751J0-PmM1V1}X}LFGt6b(Ipom%_#( zS)~P%+{9hpjljDJcsG}YRWGvTdKN|txc`PQu~#Df>wzJfm`%-VTon6dNAOj~>SQd` zUIE#G9M~0<2>W%YI?7ruZYRAf*Q)d33fQ!s>@?Ef51onec|;nYUzf(`cesJ?vH|ct z{{0VSe6~q5=NoKAJi}Jmx7qmov3&7g()j#2TM37I7@wGGBI7fg*=8KcgR2O+1#~rs zt`?CXM-C?XkL8PWLv66hG%tyVk4O{rE7BUmriv)R`e_Oc{GX+P_@CVU1Kfw>((L)3 z{PUvx^D}LNKF22L1&sOIsS}&o1pTVA2T$+-U*(4Wm#@DszwjeAL0@DO^e55;eVIS{ z21Dx){QF1#-Nm5#lrlj-B~8$;DHC)yjd?D0{io`aKjTXOqkh?%`yXY3PGxv(BJSBj z_3j}o@01^*{7VtsFQI>sKY1USjwp5=*&*-cAub?G?pN9dy^U?qBF4p1YH%6cn4A@hbYMrk}O+6O(3Wj641?o1tHpW++TeHYDK_5O$SS z`d8f5zb3RmnkqB&Q*4IrmuBce9^fHmhJH5CDZPWZ94Zd@Lu2>am;oi}P^0b9b;K9- zJm`;Wd-M=%n~w}y#jma1QoXjRRt4FlgP7SMwN5eDPQqRT=*B39FdI438G6^k0V1>W z>LqL^|26lkV(|T{Yp9Gh)mH00)cWe#PU_k8z#I6wWFb!bz7Rq;6yd!iCSp!lsRaQT zL%e;GyH`21?lspBu!DEJ!5vJIU6jRuyAWC+l%O=3iOxpOwXb&j%Ni(Ct*=GaaAxy{Kno!cBq>!BVXkR+B&u_-pUAxg5q1F6b$1@ z2f9YM%$lX2dRGNFhIf-y(A?Bmz!ANI%DPxhV`agd8)nUxxwkddO$BRe>kHP^Hy31{ zSE;XUXqr2-rf#i{zVSybw4`?aa-g8hIFfEm7zlEwyqBOi;}14wz_7McZ21^ z6njC2ggXVPR{5+ah&^vXYOtw>Uy?CIKMA;Y^~mlJX4^>*Y+K*1&xyV3-MEO?Nd(a1ngu7ET(D0IPAS_Co9%)&QsnYur#| zYtLyw^f@bl<@YS2O7YaTmi9Gxx1)a4a|h`Qau3+`Xvnf&Wx~A|zdg4a(_c z`DNZPlQ91TVZK*hLRe6YmOJ&Z0iMP)(IieD-h`|*r}hZBP^&^GrqIWExykT zBMk6?VT8c0v7nGL07<5#*Yw}^0dbrcB~Rm(+BzNHt=$l8N7{EQ302K7zTi&?#xfyg zfMh>3Apfo}Aor;t0OWYrn@r166YOJQ_|Z(l(50|6+2uY}4BAjhDf++@vn$;(nVACw z!xJ}7dK=yTCdpx(Nb<9%q0R1e3g2{|p#NdByh)IrHH`I~KOwAhN=lzdHz9FG{N!2& z3Vy-g_kniIU>7=%tY8BmgJIBZPoMmB3rv6(DZ)MvjM!E)etW1aZ2-84X7qb^Y+*6B zH&oWvW9S<9%G^;3T3I;C7OHO`joHu$Qc1F0Y~y z!q2OOpWZAFw&8gNbq2d*AF(h8GXhWH(5F1I+MQdwCENZp8n>-a;kSRbHNZqRwhKP# zA~78{*3{KhHq}(DC0Hpo)^Jg5tX03_W9h`G8_$WMA0tbh$NkxXkK4sfMl8i@wU|ijJl$yQC}jD@ zQ(0HpxB=r)gspFt2#E$Tysz`{u9L%yh9lUDVLFZ;b#8CRsIJCP1AKbR1x{i2o@3Fk zv3lvmMr!J!+j%T`%(X9{~begb5mVSjoJ@JLj&V=*;9@E zH5+4}LmR0wq5h1eXL4A2DjC45H_xT`oF;&u-oz&#%>`ef7h8t~D0V_S?H8V9jOB~^ z$8xXiIIEx<;ia0!CW;K1kp=}f$k~e8`q~&khyOfoRj&<#G?`bu)$FN+k-n905yPse z+*FA?uZoYwD%VvsS2j{;NpUu$vMUN?^-SeS%9rQgy8 z5wH$cRv$K~A%CQgZsW=$viQhEYA#kp@(6ak>mDsV8)eUb>?mtg_PZ z^a;b>!3B`WAVhDy;P(Ww!YqL7x`6XA&IQhM7OB6Okswt_w}>I+0YtkQT)!DGxY7-8 zzit>HxD-A5h%B?h@Trq@kYxgc3!@6d!1ss}ua&_4d1+`n$7x|B!%u_KHXl3M5hYH(3x}IXj>m#7+T*0z zdGshYED2*zw6Igum2?(%I43(mt+x0*&d`SDx)(>mj_Y)8jkNFNnn?3-Zj&l{CZpmf z14e}b{J~RhZ9^5u#S|`j5eGj0KXZCjk!G|*#F-og$lm~`J1Hi@@T|v9^)#I%w_&AC zOY|l)xC(KCUnp9J+3u53J}(_R1#!@H0|SgKn}MlXBhL-N?3{3bSWXM(*0yS{*6^W*JZ?MZ3s*D=7~es=+` z7617J@wTym_>TbbUz7plr3CWkga>t6V2s6?I4h7rnynz3D2zBParEB@)jwC`4i#LbiL&~&L;aC7`APFKGWcJsKqsk z`sQr5dU!k)aHn9TxQ?Z7kZIiPV_zS0>zWXSG{`4&Fi1Eq&LbFCP8h>#OhuFJuu`tk zBgTu%>BZ_4&KmE2qXip<-`L*Wg(8Kl8H(eryQ3`E4x>riDjv|trAfD@x#_M7!_6?I zkHazXKqAuz z(ox=%5WGmBo()rS!?j0Y4P#FbA_7I}8qxlOuoRTOx}Un+tLgs%*rRtb%;KSruDt-_ z6`h1wTYL!KC-L$7c|0>SjYp3_0<56|i%1%qB!Lp3?#;uO-y5LGc|j3!!3)HJoNnfk zGibOvz2R5;ZaB(4?jOaqy`nfefl=Ju!5#e-x3{A&2&7}yyJL*Hx5|a7?M-ae)vT+m zx=V#qlp@xwt9W%M^=tvOC5{mp$&r(p&JhS|Au7W#>vDm(TSy*>#c{CfdTh})vXhus z6o=HdS3Ackugbsj0#)>c*2HZ@i>)~v(y zz24BKhsf6BRKtcetB6&~1r=)Ot1raRY1;W@q6H(EE@ji|Fiuo)ej!O1zjAUvh(Y59 zdlf7sF}+kV|2(jXZDrxNhtZ{J`JITQcm&{Hr3-x{gjm}ENLAN1)>J7`?`kh_yap03 zRg6`M0sTTjPfG=5>q?cTZd8y_%`cWuVjgvV=`1AtPluY%OVWA7&ZBS$@IU5&bxabo z`XULI0fe=h`dH&#v))JHPZ9!1-NIQq7PdHb&m@A-w8a0l+yn0S(EWd^dzoro-Pfmj z0iqiqs90ZFUtKp4N}~?GO<#w6#PR2#s(SHZo3Hd(yKN>HqBek?W7cu5t>VXoQI;$&9qUgjUyK60qN#3I3gS1b{py)zr^r zvC761VLdn%P*-}-rF;HB=9nKo1R1TXpbGh0YG7RhH8892kGh0Y%onUDjO?TZNiIR&ZQpbE`Or0E@z^T z49+%l?%LY=YW8zo^HPfxMKM~WCWBk$eIzImk!fTH(BsgfWS=kP^<{<4#k~ zV6XD`j62OAA9}B!RJ~pRg&B1gB0@svWZ6jn9*@5`c#Kw<2^F``2g4u6IRfNg^5#p_KLaAkrfj07*Ecn7*Bg3&}FZm z+}a|&pO?Ak&t~emyD(W^(DLN^n$4K_?QzPgTt+>#?GE~A9-;QS=IR=#q*%=sj;)iV zm)9)y<@dc<;}II@ErHywaV&XGp}1}R8-9B*@%c7JCW-(5wj8xA4{>Ld>0n~noWgT4 zu1xLYgz=jLbcjv7ywq6`fZY`8}dKwXj`JWbG@9}tsd zumT%~UzmC=*&SKDA}VUb$BJ}-jMrB2~dXTfhvmMtk;5{X8Z<2-Ccwj&9#1ZTCTm^Q9s z+W7V`ZJblus6uRT@C4!~ihC~uQQ%A>ENc^U;&j^T=5SYJIVSkXR-@DZaBS_2PPr*~ zJhX2yVmD7?%I^Ev)_w`1pCyr&(()ap$#$7p0@1QLMf-;4#Kkn2tYqD7U=yV%lZm38 zrwk^Qr*O!;Y^tR+7|+L!qU?OPoR}JLOX_kjAa<1GetGTL)owAjy;WJZb+7Ed+n!z5 zv)l=kTkS7LBUK&L-z^F;N27mJ-S))GJ^NSW*jBZ_y`rA&J&1D8@pz|s?H%daUTl>c{;v-pc5QeZNS^(=Q7 z9JCH{&YXTEyiXMW00Q9(__m|IG@E%j#W`8aa8}-v7!gtZ=Rq_JmW~j2!Yo+`s z2F&-{(KaEA|G=vtFX`Lnx9GkN-+BS{^Kh;2Uoq~@@{3UBILg0`_hTG__l)%i9HPw6 zh))Oa%Q_S~IAZ_EwoziMjo!Xg^y8v`EBaB<3q?OH`qv`enM{4iLtD-~sRR7)N%qad z``On+w&0rSO5E{NvK92Z)p%FP?{bPh7p&uV{&whoE801XviQSerTYhPPwn{26Fncn z`wqN6j%(NsMQx&dKTv^8pr59Vhstfe!Goa*Gis+B@7wU6=mGtY?guBMnIfYZ{yvop z-q?FPg*Z}i65g+r?Vvws3X)-^(U5-}bRR>#I@G%#*Z%iKcyvlFy063iVb&qj*P8%4FoHo=9LNMQqdn&?**^81+RMTHdR#*; z7ws3(19o{E#=FtOJl+vcQ`s#ji?|5N<`uPNMGxAm2r~PF_G5m?c>Qm?+v8no<3Zf# zpgld_CvhJ%?mK+<$9?zD`0k&@y_OBs{>#R_$>THdjTiCJ(|A8!jp3lZlDglP1!AxE z4MCTmx)uF}eI;od+>Ej>Y0-j*ZGyy&9FO_EYJ9JFEcjywcnbq8&z`-Wq;K0OEsG_o zvY^T=hV71Q>-iu2>knV}(ZBuprJojO&u$yFf8+t@;D|$^`?5YA{ERq^FB2Ti?-HFH z$9G>z*w!<+F3O%>eFy`TtaUIX>BO$Xa5Hk2u74ysxcdJ7Oh+Hep$9g$*w$8DN3)%B z;*nJ@XKchMf6DYgjK{b}55dZBx#HT``a?lr&A`>DS7`MB5gLGKqC?@hSP z$M;U-eH8CIfY0*ma?toHV*Z2n0bxI9^8Ov!CYAoir z2qx8d|0>=WGcAq*S&_zpB@VdsrH2or-n@N~HyLP-c)A1c+y2tF9>jHVc24`5ZsTXD0JAY zQZ$-vO!`jFL5-`a#rN3)OjC<>^W$cFDn|woUA0BU6_u~EMaZSDi$r7SXsc*?-kyk_?RCdN;+j+);iwJj_g}B6P=6C+@ z(fw9@Z`I#P`;5j*_c7elagrFX%g=0$7z~}Mt!GiU_yNzy@x$^Jyr*;6rLF1|wGoqf zf*GKZh@!TsEFH@3;F|hH_jD#Z$#iKaxvI3-Bnn-Y4rM2JB5otU;~7akzC(w!(|0nB z>2Mz8G~U0I;r${!>%e`6sb1p)aX0# zyeRu6vNI1sXh;iFTbyD>U#7R)E*&>I6>ZK??XJds2d>Z5_NMv|PJq6{S(H+ik*!L0 zDl|#ZZo>%EIFn#W<>~xLtq)ClAh~-Q@9EsZ)wpI`mV7CQ|Gs<|sy7?4c_gDJSnBbw)y-6x#lf{T!P1t2Azx>d}Eq1P}U0_jJb6 zPFx$Z$6ka6wD9qdiRx{=5O_enJ8&&=0RM3SICui}=s=eicJoqhcwnbR5tic*SB9yI-2w)>1>;*!>8x` z@TB|a(8e=pgXm?Nx~DDe3BJYIQvq4ByJ8wCJ?Lx^%H>=Hd+=M(QLF=ItUoxyv*Jk$ zknfFzc64Z9a-Q0(Mw_%D`+RP5Hbe^f?^>uxStS+?yv-SC^GUQxJDXFiBL?49OJtaD zJ$zR`tcp~&`;B-{8`!>t>rC(4@Sb*s?auI?`u!N*(H}JJ_~fGN!ZMNkD?9QRB$K$_{T>4SBLkswI7Y0 z;!ctt?Ja0si-{ifrlITus7E{QJ;?Q>jb(c}wMQNL_KP&0H@z6ADNm^{r}3V4WGh!~ z67Y(~PBo&hQnpdKCsFQsE@#B$jd;6%tkY0-)l|f&Pur~fDBd9F6)Ey8W6J(I)Tet< zhjzB=uTNAi_?GrvFt;TR2N8*f>)v43_-f-(-eqv)M=SW}b3LzL z#m`XP0^I-CW%T! zrOU)d3Z;j18J@{!y3=K*Vr90JQDZc168ZE>&&kUXBSM|m(2t^Qm!63tGS(q@Jc&BA zWO*w0cb+Rl#oK-BfY(ru7T!+x*9-QY7m@!S=q4;e-6H$we$O@tkoraCWp!J`H$%feU&G&DhrZQ;IWM~f$m zaqY<|qBsV3MmoyJ2WbUkfBa45UO~ABxNVP4`_gSRmOc2Pb&9LGp2y#uiKOO@{bQke z$MHkU5YM#UY1E_Dh4c7(uAU?VMSU%^4LAD=$ZXV;aPZ`p$*^=~Lp@sMbtbk0eeVI(qxDXG(cUyw?q!sF4%e`+ zwd^6wgn^~oIx<=FjFErV56|RZ1^Wm~Q>cxi>>#y0cB*HRWaODUP=^-bv~eAS7Yt&_ zgoi_@N6TRHn6C}^cJ~h7Y52x-s7K37p5X6kTCor7@%z6;btu3`3qoWc-Tc2Tz15-d z&qEzrk`cqTnOkHV+%rv;qs1B0PSJK8OM;AYo%+{?wrQP19A2Nqx^27QU7}y!)d&y%wIXjISFyBm7Gh^SIlM+>dn3yatg_zI~g}K;LG2a zML8GbGV6Q``*5$}fgXLCgf^3Clae>*;o75H%fe2IwR7Q-+tA#EQzYxxP2(Th$9-LWP4%?e`j~Zl0~Ufd)P10`uDQm- z3VLiYf_>L28j(!Ri*%Z=n`_0^*EUsDSH>!hMyM{@ZmO!RtFdmau5K)@UAJEM35yoc zD?Y?j)}zkJUTrL*cKCO`wT5=#!|7~jr^&(*8s7E#hQ`>mw2sz)u(38)6RoLV7h7*F zyS<`h?(G#Tu-&0mPrvFp^}l&OcYJMKCAI|MCHYv;Y^`Z%s8iLV72Fi}I@++=eafv- z3%rQVqK@*QnriQ^p+!=1^zw8M2KUFBtJh)gfd*PNjgB;|UE73}VR}6Lk?ZDG@PO%u zzD3QueZoa;l?MQZem#M|iOX>}Dw-_nmu|-eN!9wwMyrXz#HgST098xN-aqa3Idi66 zKWpx++0$ljsBf6Le)CM6!i8&G;3OLNA+EPfo4Ib<%w@AJA|yfve;3U()~v-Y?{{iA zOL#GG=iI=B>7_28Y|li|4Lrz}{m8AE<4@iq3+f#dBs?L`zmKt|*#cTJeFB@`^b( z@JWB0D;sMH=-KU+*x0MGVr31mzNxmlrlDfJRoNVC0HUgzY2O3>i(N@7>#Qa82xP?j zK~`H^6G(QMv0V5##AfN@bfYx+`i5e{+!esuxwaz~0kdoa}HG&vk`meqA-fOSD z_S%oL&%L~Z6VbcpyUtgv^-A)KvY4&etmL%)!t8VqjP~iLFn*w%u|8#7>`&zHOpTqJ znmVfqt}6C}qltpb%osLCmD#@8!KgxVcw%-++_aJt`ns*Ys8-S6HJ^?Y3lkrXNOfg; z$W|7uApLW-NKMp7#+CiijOp+>g9X?o3xjPkX>?#bqz1=b>)^Ol5Ax-Xima0^eSJEr ze0yYwIZzp@jg8f`2o8;llfwq4XEohEG)tqdj_;W^Erk{JYq-zqPiPP@Mr}oW3%O6Z z{ymc8&vb8POfjySYNIw zJfInTabijXK0YuvlQ?v2LWWeP>mzcLBB&wj8-^lp1+@#ArgPgLoAU#n{2 z+}U!Wc)t5wr9@sba6|eN#Zmw4)Reveoy4jdxfoT3{V(_@7SwAW)0lO``(AnHeCIhE z4q}FuE7Q9~9*1Tz#d2fB-v(3&sym@!jT5^=MN7$m93y9p)#fhNW;JdD zbCVO(vr_|13JLY+{@9B6&2u?SS!RV|ZH&OtswJcJU9Fjk4=Y;Yaq75zg*7UkJ)e%S z7GbF>cWTp9Tny;1?W;EyEWx;bNron-KGx1KJ1%DreS2c=?8KRU} zyA@j^3g^C~keTs~e^)Kr2Q!JSC8~Ay{rBEEPn{Bbq3QVc`S&WF=eo~zM(=l@I`x~s zVf$dEe@tUGJup74jq#M3;7c+FdAZ`i%(-OH)6q||XgtlURc24TtJS}2VtRzH2`7W$ z3w+w;ROfey`oxlpoEG+&k~i}0cXF0l7pH%~9(pP$c<24ID;8=vq}*@x*rf zJLPlbbCqJLP(E8q66eMyXFg8&u8GNLa7ue!H2yoY1G59~&5mjGSaQAMdzzBpCqo0L zBg9l9Zr6UK1>4JF%I+}#IhZ%}jph@a3&tk+ zBgia6_7_b4^S;j9H#;&~e_cP={Ojr8vi|kCQ@>gH&6BTB_P;)=9e?h1et;&;#S9hK zoRBHgBX5bBO*k2Lu{J(q>%^=Q>hZ4y=|8~^|P6FTE0G-xJj zw#yn?KK%Nij!4?y`agVqa%y5mf6rxNO2UtH+UOe@9hv#~w}(gc9ntX!yUdJ}GBUBp z&$NC7pPZR`*6Hh+cBR&+Z4A;`NNuuK5aq9Hj|HbCV}#SR8rBJ4yTX6gccp!h{7dc6 zJx*Sn;nHsrX$zl-w5T#}(>jaHRHm}Pd!Fyjk4(Hlbp;-4bHA#Oj7@3>@P~zpe%HHC zyJ#ZAvCsdFb^C+yZ+KPd|J(JH857lBtOx#O!#8|C`CIRTTN91xKYJ>qDCi*!04uW6Cv#}jmF^C}agb^VE!dekRf z9Mcl5v*VT?)8QA_DfTM*L*|JlubGt8xrx)bTGa7+s%{P1f~&*AbZu0pv`p*nHgpov z!Ds42ZS!LTW6^A=jm(?KY{lZaOc7OQCT0|&)U;=Uk@{RTIIZK5I-Ho+KRi30>(k8C z$XI1&SZC5<^*WkO3QyLC2Bv@T0`a{oI;NOG!E51QL;Ln5kai%#&-f@UTl=V@vEXos zgUt~dOFh5w2d%X9R0eDMrLKB3X1$Hh%h0LLfw!;3bW>W1%7CT#s`Kx4Vk5HJLo#9@9Y3bYxbz7_Y8%e#OOqyo( zr_M$uKGJVn1=di3Ji-xsdhjDZ6zMi%hDSEDVphg|0JE0)d*dy2~ zhRHfd(|Udy8qpwE^cNs&R)1C@sTTC;R@V;EA0>wCVvy%sEya2;#BddsHC*ZaU|?!O zI}F-o+4G+8e$JDWPF8kz_WHp1?AUZvpT@a^{#Qh&IEs&IQC3!;Cb|5k?$2`3qRXF( z9!dN4+&Mim_%TkSqq>~ag?4VRA)V9~jkRg$nhLO=@%owRLf? zC4rv3M{)SyP4C<00?1z2YA$1dIt?Fh*4{y2- z8jEJsrY;x8Ctj?ZT5mn(SOF~fWZHCyLha`?Q5n2g8`Xt8yR~IcGJQU*)cWNX-9;sL zE6GiRqBF_X!ywAIud*8o{;6mx4_EjbWaRNEVTF}YH9vLnM|5{(s%5(!)wPb_;`|&@ z$e*nn0-UNvBh#Zgz9jcuEXCZYX$o^X z6@*iY9l~tc8`E<=6?DDCMPWG2j*r&4WE&s-aD3w8_=}IG?}h59&aAdb`XMVk*}b?z z#$M{4f7)Av`kjvOQ=Na?k3hcECu5_(nmhgRSfAd+XpLue!A@QB4op`1lr>rF*Gm?* z1^uf(Q>=R?ElK)IoC7m@_Y$@YtHf9bT@?aH`$?t$A9E$BMHsb{62uI_fFbc;GM%x^^Gp+^cNuyd&D66 zJEA8N&9jklZt``V!dMzIBvhD84RBM$do-(Lk~h=5 z6L7QA@ci!by*SxspHaM}DK-bl4fevCJ! z`gL-g*6}gu!#thaj{jUrS$m~b3SYc?b&dvh_>cxYew|cKTh`k+W5zMofVDyP5AMtBG}fiXL0;&KT2xjJ?(Fgw1`dU#HXj5 zi^F z)YCkwJ{lZJ?gzB==B^d?O6k&|9=P=~mm3BPycaTnxFuTE`t!TO4;|K9R-G5EXpWK=i~xsUR;y8P!^@{(D9}_HqrxXO^?&|@AI4JKC)VN ze=uERKYP*ozRCPNPPWNGMPpvLVI~K)waV%R?F&@b(6DAFpGA;{gp)u0(*^0L3~;et zrjLw-jOTYw+K7Ez0XuocuNP|VJ;{}Wy;rL19g-HC$yl(#Z=={o zU*9Et`sJ8x)42xs>Qh{}Ko8gTK^@ZG>8iZl-d;KXUg=!ny|+6n`ppDA({dI(ZvU!p zD$>R0!wPTrR8H{Wj;Q?|d&?&sy6_s;$IB{%I^E6osqmmK;>Ep%OwLi=@_Tz~f14cr zle=?x#^7={_WIzYK5m4ejPYJm&C=Am;<y&-V-?^UIYFRY=!P~$dSnN_JnD+AxJ51#na z85#O%C2d~nVeL8VSjogSXW#8&Ah~j^=wVDoE*|B>a~)I-ggRbpRE)N#mY&2 z5Jh)ZXp(XhGY#IV^gmxz>f$Mj%AmC*wfY>IZYqOLHHC2GDG8UgPYa)t*sYnjWqI$G zJ3U0(nTqO;J@ipdizj-1pijV2GL>gd^nFPa%6mSKX;DZ#rWXvb z&lO06BXf0q1}+)C()%n_dcqr+t7*yBhVR+Wr;7bV??miH49l3O5}&{SuBjf?U6i>i zN$bONy7bTs$APhtshU2Yg*GCk*+wo^-2Cx?i(~5&W7-V)9wm*-sZ|wSbR0kr)csCe?r)$O6BD1ymIT& z9@(H?nelsMhI;=!G`Xvaodow(@zb4z!~q{)p3+CmX7rIp``oEsY;)~BRU6wacTu)O zC&hz~FU**l$SJ4Gidl_^zX1*n<;&!IOj$G3%<)pZ%74ljgh6>w>b)Xomr5Smq?6L& zmoat~;IjiszTD{r#dtK6X;lZt^%^a&H+fN|fI@pdhePdCBD?MO-}k_h?8o9|J%ZtZ zny#ux#`LVt^Sq}+FZpRDU0~{G8vMh(q;;jtPh{E6dcO_^JkjN?;N79D$Z7ZSrZI6^ z9;~(GgON!62b@x+h{A6V>McJ>|LnNlO>obhZ;Wk8jd4G&w?3nzebR3=Oo4F}$wZo< z`hLKu^i1W1_Od&#H~j^2XY>IF`!F*f-pm)XXGFCx8*{%Cnf=N!4^{Rl^jt3YV)B`u z_NNBEA5G0^fi_FwK{J~1cSGxgN$q+Vf4J)a34 z)~6e!T-$)|ajmu7k6eF|@#$KdYkN=Ecte@Kc;<5FsmM?3H&$fM$Y7N;J<`E(Il$obs z{laqQjOXeIaiy+UoVZf`QdvcJvCrd$@Po%+*cE;d`MESD3)B}WBKr$F>z7Z@V{e$0 z{hATeQ(t13&qVt@SD6%knLS9e$*8%aFNkF6)?Qq?nHdG$cP`hy}d$SMc}i6OZz7ZE*MLIQiW{ z6=Yqv&u6DU$LI4nr~2t4a#1c{ZKXd`=S_R^=`t9VxYz2~M-%dzcw7jZiak5{_K~pm zg(G)Q?x)RmHIt8Cwe;Tk_lqYg=X%ec>nd@1Q!IC#D|DYPYBs(9Ug!7pkX9@`Rp{JV zebw;XcQg^t73>Q#m2-u{Q>D&#cAoo=u31b)z7JX(zT|h#_F6<^rOt0Zvx)M1=ilw> zJooN7U8ixW_6%D~g}1w&opbi>a`9Z{z4uF%uEM#ZR6Ny#ch48!?mYjU_oTc1?b6%a z+&$e*?6cfw`2fQU=iY9w$g6xi@BPlVar09x70#8)h4)nSe6gr6P*_j;YIP3BHk|1* zi_WMzNj_CSXKCr}!gtQ8PiLPl)KxakHg+28FE;00m9#!F%3oTN-oJCMbhg9Bhv3dk z_3xWKWd=Txy~Sr{oa@#1xAbMk=kr(byZXN4GlyN*aOaDdu2Ffv@GKuzp7!G2;Q!)5 zxBJ^dxvR8Cvv*mlGcQc~bJ>>!{T>}Q!YnpgR`hibeP%TItnJ>JLDW7Sw79pYz!yUQ z6Fy7>_w3teJI;BXZ*%cXATyHBHaRT1d#yREn6V|p%upIVvk9&R0==sFb^Pc^h zpZ{`jcG=?>yHEIO-y)BjMazgQT9Xx}ALe_0&vHrz&)1&4_yj8cB%fg|1zcJptLEPjs9+oUbMU%{pG&$ z-;IMp&&+g|gU+O!YxMy@A^if~m>%GMA_l3AJAxJst0eZ{P5tY=NyjYMl&_I@=7y?J zEjLh9aZw>_W0yiIp#;1p+7}gX2)t4;RLVDl&MPANmgQ#yg^OGDcQ0fHz|TN5;l&t^ zKT@8vkFs4Z`hr}3nMgwp@Q14RMcshcDP?s^Qe^*y*0H!XEm;gD^Btimdg(}}zYz2z z&Z=eoqYIlNSp{4+HuWjFGbSnnTO#j0oR>|f5oK3I(gb{gCQL@vs+BBW5}->11#F8b z0lI=>;tBKhvSlBSv!Yo?__3PDA~g>j*6+jYi>km;5muLCxHl}L1hgAl1-|Q2fM2rl z;-TqGbs5z`Upc3*jJ{B_oURBhk{%Sj98IamsrbR)oUPa}Cz2b04P&domdN`Bw{00E zV8_@h@UM+61Nf#W$P*9mqqg3W7cKh`Sj`pR*puN?Gwji7Qx_Fa3OR;t$pZfdnECF^EJ^`YR%8&=@*asB0N(aX{P z2fwvHir&;8#Xn&=ZGz*@b_q6|y(M_$>>>R;=Sh*8*%CZy^5Ij)gpc&i)bc)iv9VKq%1$UKroEJpu$uC)NdN98#(xxyY_!DRE z2p)-2omtm<=+7PNPY>`=lorc6*h2>&S_jkJW+v%{+M+W%qW#guMhr72`!qKe1XrB} ze$Bl90p5RPY|mo>=Cg?jT8u5xiw!fqv@N*eEU;tj2e$=uN=*R!&HfLvUX>WI6_)}& zcBO3WN?F%RS=UPR(1-DZFpR_!JyKJb)81Slth_fpS#L`8=81Kzgt6B#E&6CahQV^V zr#a3H?!ih-GkIv+5~1}bX*+BhYYS3vNu&iJ21FuTk+yOfp@mZAT`+_6#$|xC|T1a+7Ri$ z$eg-$8qy(J^G1hJ4Q0a6hIDXTt9o?A zI|ne|UP=1?)-T70Wl6gmMx%E{@?WT1RpA+{TLy^quS9RoL??dbNKCvhdEScPk+X;8 zr8#q2Ig8;k-G5-Ml!=2?Yo&Zi;L%!cRWQ;a9eqM<|6}vO?;0gT1{_ZPOxTdDQlvP&5`LX0kkH)Ei^|Pxqe@kRu9Gt7o?^x+OHR1 zG0d>(EoM%>fLn01dI5MZF5vH8k^Y8Tb9_Ne-P*VS%msPq(;3YhUjS-sBpsrcqBAi& zkFQ*{I|_B;Tr-ocgTNKbC|+}xE-;#s)&(=pve5Wmm`><3iV`M|w#VbDgPmg0f+xgg zG114)gEmP~NT`bqRxe4@vc+5hxMghpj==TTGyU~Ue?3!L&y?1K(j8U5YnqDGxo$QU zfg7Ti^$7V}UrSG4HdAM`y|%T2x-Wi6*??=H38D>@MUe)N;*8!`L^ks=1b!yzju#J~kpSjCHH zL^=Wl^TY=0#A4hjQ&;Us)JYo56q{Fi+LR6g7u?`%lm;{XgPH!p(D{AQAWB!PsX^e6 zj4c4fhB`6x%HwQ!s_Mj#MS3^_=+A*@K~DHa96A-!q2-Xyn(q$X3t6F$Asspz(xJC? zH90EUAN`~?hM5sHML+qp8p9DR`=WuY_XF5KkV#7O2SrvF=8#BoZ1D*(K(masr1=&J z{U&WPt=7dZsq<9mpr=CjJQcKh3dMNSQ$aVg_?Vq)O8`G$sqo3?94VlGjBosc2r(JCZb8lf6d--g|TEd%^U>24!tBv}$k z@r4-1OLqe+K^_!S{f|Xb3~Y#YYs(6h^98bgQ01~~0RGh2GH_M2TUk~hJw{My$Lf@T zs($)ZYZ@>t^6GH>W@>J+quQTawK8zQa%(`SR*ti3>GoJk3gjl&9aX> zqw++;2WPb@{asP+Fwvsq^V&=omaTdT_?fX~fFFF_?I|Retw0I5Z)^>CB=WLw|Iso^ z0KW#CJKAR&%4m3C1*<^NP>wSV!pB>(>B3Y8Ze!f_=A-O3_ohCskhPy%CeeeY93XYebEp=aW^(pRL0ZQ zx~X>0+8zMb{=Qf1j^S>Lv`Ya&Uv~`GYYhzm*hE9!>4KUW)r0m{)t1q#4Vc5Gu>f=i zIn08|i$K!-)qM9?t^1>D&NRU+mr_i+!Lh* zNjwy(Ah7)3CIw-xh%{P2)7eB0b5x{#2DlL9Fr%VWF^Nf$6adHC69q7HqJ2?6uodJm zS4D|}rkKQaky-+doeK)YoDro3Npy+S1)!eKBQaxnC16=(et_99c@elC)a zl!rO=53Dyp^LGvIb!;xEyHfOyn@fL@EzFdOtKMrll)Y+#Hi= z6KO^P$3&(W=CmlafJD1U1%Z;t3c^%PUH~S89A-h3Dj=~ek^*2=WC~!`MQK42+aiq+ zup_d9F!xPf09Fe@ftYnsra*~pky-+-plg}e@&+~vsB5N2XDx$9dbc#$4 z(`)h~Fc;)7YbNgpR!hN9F*_o~C{QXVa+ta(?Er~Mkvsrwh^#@F>n869ZWvnthI^AD zFq0y+0d)8Zr^zqof=GP;l9g{YUHLXo=`u{DM6SfMla3zb@BV(&9Q%km73;>(P zegJHV_D8?hh+(d|9Jpib0Pw)r67W#8KbmR8Fp;emC7|VPYY~_;b`Y5Sj!A(##ts6# zHeLf&!Etl>0B~BQCx(47%pI2l_l*4jSnf7;z?!ii0LRQl1Hh=U9{_kO_jJ)KH?Xqm z*gF^Ffi;o#xiI@p9;Un{wl(c;+Z=2jiXUja9*XuypMDZ&?bnX?C?-UzF%&a+%OXo0 zR{NM_37Au6Pz^XEdPNVjtNS{Rbo@$85|dxiC?ujCC2xtWcG$9(6SL*E81vVy3nj+w zin9#XJ!3z}#^-~@Z|R^RQhWh7jI9AXB6X=XhG{ut9sv%COb&C*O0%Yhp~4s+M#z+{K%?$xS4CsH%4`jfT+4Bin$wv_iT z2_jp)tHbYV0THR}n&5`9)dzy(@0s+p;6lRwjuuFf$^t$$YAp(OpBjU`Vp*I}CfG5y z2KXH52kx4*#2jg{#cB{}H@3DYU_Fy_mleX7L{e7Q%<=g&7~+|@3bEGuO)Z)t?gf6L z+abjXkvC~(Wr_{4s%tcs;70txL~J^ z>Zahj$cn%mw8*Ujhm9=&9WK44c(`jZF>qL?j58vuxGCmxNWeT4siExjQRS?#C~As6 z+x{emV_Cpof(?-=+7`nK;iWhe+zVL`5G(quT)QG>O~emH#4uMagsL|cK6gY`s6~-O zAX%cM*r|&w0W&I6GynG|G2DVk#sEZ_>E(oQT4Ys*#XPattF#1cxw9!{eILdr@LSL}-iqW#tXW`7jJM;2hU zY~!o4@!b?z6`0#1?;qS!Vk#TPODx0G>h4}{z_f{U)Buhd+Xr-rG$P9l7*0K^Iw@c&F*)lAV{Hi- z#!!U-78#SX#B7Qr2QCLW%r%z-hixlWV=zZVI!yt|QE9mm!U7|-)A|Oh@-S?nk^@^J zYZqq6<-naFhw&3aUx=*IH+`W}BoZjSz8tYCMZO%dDzOR?s*0J@77kU`8CDfZiHxK6 zm{R3=X3@gI))3YP704o^N@Os%CCwtE8YZly1PRksEWyBJ6`Mssl}YL++-ep9)oj?S z*|1j`-orL5Rfdr9lQO0y^G_^u@4mBPH<0w0yfi8jyCuMbVl&@WgYT|uy=Nz2R)Wcb zf5{g7HhEKnajAh@ugi0mfVm;kqZ)9=7sg8sm`;)802`u}C*T<|ON4g!t_I0-_J%!Y zAGBFt0up;R%!FaLXQMGH=2l2>h`lXCq<^Eq_$DoHG;%F(q-XEY^8Vlx z9uhoA)k6ZtgSrIxDOM*$D32gU4q}jod1|)WD0U++RzL+#I^CsrVZPD(6opLHm!*1yV%}1`G4n)Pzn@XQI!83t!>cS?T6LAP+7Ux7P zqQrepbWn>aHzx<8!sks=Pw*4-xgxBrOo6;eo@XJ=iC9xvP;;U%EICowA#ZLfUra(4?}_6HbFTBISx84`{3ErTNDED z%rX{b_|Rtko4(!#9gGq@Sn}0x(-v5kH8R4g1|JqRugb%A3d|1rj!&(L(mNNKoV1f?|89Y-lIT~%+(P&u$G8k-J1kWn=SVIaA zJ`u^%$DhEs-?AsJFpDUh+e5b+&l)Ug*|ODxQKAO8;*QvAz^sb2Y5~bkwq-lnx`xYY z!)%CD2*4bZhjA<^F1Rr{5cPlFH28TFm&ctpAwA7A+geE1K5tspC*o6y-}pQ)KH>?45u^XdMaV`Fr zq2H7beTEPHHQUs0mNCkQese17wSFrillaj=jxZvM6<>Zn!Y9-VzTvPZ5r=In1B0(9 z1FnnoC;;%ZZ)G?@;X}%R2SvI)1~^Vx85kbwC<78-(gScaQ% zA(9x_bsoEBL!uAA2zNx9PC)XYuVaN03>SL9NW&&QK=S;**kILT7%2mGh-4

      x9Sf zQU*LCk{*B&x2}X$(h|fwF+hb73zk996A)yCz>wpJ4`Kr`cuOQB0K&zp5--O@lmWRl zpsGTL@If5HNaC=EB4*tss^CL(smPZt!AvxH6G-kGbTUDJCxxX3vB1of)};mm z%2-;0k>kQ1Lf5dhFbE7YNbZKp_;0l%!5soP526sgdrJvxkPPgWs-bC*?y znfJuwPhb{BY5`a>wgC90zm#5A;vq>CShe&)OMU--$kJXT>auC?8f#cvi_v z8fJKKL!{-0*Rej}pS6d@Q&)CoMz(@})=nUBMHcdyHf+wQV}<0B44z5DJb^zgJfhhF z&+AJj20W_`?*ZX?=a(En+k=~UEw&}n1>?gX#&8^`jK`vxGK0&&$ubz8B7`SJ=}LK zPxEy#8AM^eJTjU17@rug~cqHaYng!=uomzom=1KvuW$YlZ zEwawT?6@3w66D$CXKzV+WUt8E-VnDbGIQ^Xc@Pq9dXaWmWH~TLMGS(VF7o-j;mbc4GA+oSvkrBw4S>Rl)gwtx_<4FWbw5C)>9wv}xl$Y`eIe z3*%e5%7-Ji3e_ba7P(fqQIQ#VNzA$@T4R`7B2@wIxx6`sY0-iwInXMy$}q=V4x9~g z_Eo7f+MVho}k+ zY(wnU$*te>e2nd|CKPi`7wjAsw?$&Z=}>I;a<__W=)9z%HOgNR@qU&s=fFR79ghUe z1eNCKbki%|65yDe4oo;M(&=TZ0aG=5YQq9It%hm5ZPX_xGSh09cHhRm_CUa><~~B$ zsinWyc7Xy{l(<_*qG0$9%_k-jpVd$fLnJmF?Zi$>!r@hHxJVQGKoUkvY`EbR%l#%} zB{tlhiDkGLE3ph0V0oV02a`iO*iAbRMfxzqCvkSP)#p$`30&JE*D@16iQ#_L99;nLxo3n9dn$C-Q=zk- z3SIS7=%}YcH$6osZ;3nwcSodQ2mYf-F?R2VFmpPCdnvd-5UCWvIC@6#kf(xwJQcj- zseI4rpnNv5XG|>}IvMgqH$ysfG^9gULppReq;q3x`h&$GKiC}7!RnCC+kH!;ro$>g z7v!kr{96FM>(rnpk3Gxzw*brew*brew*brew*brew*X)KrZsfI+7I?yA{g(MC8iT= z4@D9#Hefu|il^0uc9D97)3$x+7aK6V{FNN%HEF=DD$F4Zf+FvL{r!Yg^4zv2vO+MM zB30(m>rZUP7qj=G3AjPw2BGIj8mBCJEt@N$4Z}$t0wB1CvN(52-tuMD{QSE$T|HmQu%dNk*00+9lahif6~HRzAFv zn9}E`Wp=BrANnwLE~ZxUF}0G9sg-<8t>j~B#bSyXtMQQnF<5DdV4x+ijrG?O!7Rds zIN???SOp&fgS&w+{_vsRG1WP4u~h&VOLnuQUt+`Pi4DUhHjI~8qODn^B?n+kBqiu* zPb`sk*I6Lx;iC1hMg33_9^dJ#*w9t6bd*653nYEkRbTR7hm2h^gGV#dMl&Nu*VWvn zwLD6UbF;878V%JStCNRp`V0bupANKLF*h2`R&)?(F}46;x{kk3keXeC!7fSjYSE?D z^xu6F!yOl?U4ZS@Gic?O$Ww5xeH88ZBgsfQzQ^v!^*s0_hHLfI|MN)<*XF5z|49sY z#8ZFqNetI6(m>JpDYc_ER0*zKq`O3*Q>2fl?u%jEs1imsxlw8p4hML3d~iEW!TISR zJ)ShH(aa-Hcie+x6gaGQh=;W0a^~UmuY){M69<3S>;-<|Z>F)1JWajkb3-qM(d{I?C7isW;YsS_9nv)c`>C(_D%{(ws326U-f;FH^ zJs;^D8mzL(d87D6wLqbXTT@9c!T! zDaTtV(E@d8Ayi&fDN1X&0q=Kz$h{%aJ0t_gwmnGB4$aCDu|6oHn)qoDu2k^-VF1yRUFn>fXImz8dnqzKH^kfy37GpLl?R>#`H@Kt zv&d>3`@U9QdD9Zt#B@$4iAgbcLZVroJSwtcU1BOCDF&8;d{xXPmjfF?o*yFot(O%R zIk*WPFZGJxL7c>L7hHrqcnBT`;T8Dc6!_p3_}~_Jyh88cL+9Z`-{C{o;X}{iL&xFi zH=V^c`br0phyR!-2Ngz=HGzbb9^;!pLJ|2h$~Nc9Hs{KwpC8NVZON5w$(3yhdhb^i zN%EftYOR4Yn}(AF%&Q`;gaFR9LJMNnLIP%8#LgnvGIkKy7Fl_MmeH^TL3`H&bgcn% zPoy0hpvzV$*!EDiJrUtQFqtOnX6K^Yx!$-W-EKlD*VKB0rdmW&x!xcImP2A&%#DyB zAUZ@=EJTDQ2#Ix{1nZ4WF_%N)nwaY%ZB0NjQ`Q><%MG6?>x~dCrfWqrh4si1tVYSS zS#N~kv78V+mI&cvi4Z@Q2oB0t&cd43$ZNJ-7Jz?aZ13u*PA;}E_5stz_5#Z;1y)7- zqo3+8=83uLa=;hYUf`ZfTQvEPi1bNufF5eg$=2E4dz$}`L@E$$Tv0Gz66t&fY>Hmi z{?Y!+G3*t~DFSyyP0_;tsXrnmu4=1e6&Uq}^0MG$pGQNfU+b2L$N-ycWq30N+eFRL zkL)YF`a?@f9Tk052M4~?+xFVNm^87Jl{%`x?-a?%Yl4MARKFR6>!PpfkH3V?1yS8%20jsKHUmr!NtqUBoMl4n7+dI7)O2aYzZ(5G z?KbINll^`odnqPOWaP_*^;OElWD?7Y%JyR8CA=e&m~G~fiQkMtCXzM@rqPUu(u5pw zmTzNT>ANC^2t2O&^i>@V!w`|~6g3A~%=B9m=`XPXL@dff~xp z!`GDFFGX6C-=LfE3MJbU#_m>5^YOe$WjMsD$5vBjIX`rZ&Lu1 ztmG>VR`P?2Rx1Y6CSp5LR37$4eWd|ISgO!wBgCia;&ha({d&!$L`jr8E?FVYP59E< zfawr1Vr&V?;c2B24o_Ak#I;q~6WGZ~YNc^Xkvk!hhX4*$2cpl;98mng6Ps3_-QsRY zz&sGiNFdooHfc%&xH76&GRUvSK;gHyb(IH@|Sq^=WE#(HFB~u7jKTGr?vf z*Fhh^q=P;%Ne4F@y9OZV_09A?^lnuLcaffO>Ft6@yG#tOiF7u*D>$PWsRK)|U@>9k z2|qiZjBjfyvT3Qrk~XFlk=(o9c%v1^lDfskRzpuwF znw700EoB@)9^0H8WVhffAuDeQ{75m#B)TlJwR&Cqb4==r=zv!58Q#W<$L;Dy@V<<^ zF9}|zqj=SwFgd?3TX-jn>vCgBqnRAqmm4q(Hg-kej!471+<>`j@*W^Lr!O}+ zBlwc5LNLQNo+aS1$ST9U>aGCVv{0HH=BTZt1z;n{VK!Y3Tn%yu5r3HlG7ksB=oh9yJWJiwx1^00bPfl0;@)iPk7h%^WQAz*SCCLk5S?T`_M zhCMe~RhILs+j6=>$Ofiyh*SjNtZPNWp_i(X5pyOd+E@`KC!$!B#4;<0E3pKBm$OR(-}~#?cAs8Q;wJVF zsa5(4|2E)++%^E{?DY2{$K8AzznC?o-koiarvNCYi zLn=s?g3FC;1P2*G=BA2-I1ZO7T9>#>NmhZa{3@`O-lfp*+{kTNC^H@!-mOL$7_Haz zCRqfw(!sbc_wI<$0zMS!@2A|8%h)nZoOlNw=P<)nB*ZV`&>i^D3GP|BQ)RY-EH~tX zZFZ=s5nn!BwQ#1>ZW~q;v6#GL&DA)x`&iT%i(?`cAl?afvBW#8LVk6SIGA%%p*Al% z5LG{K($}~+OkEL$G?SuLoeybT`al%YVG@LNRrXvGh4iL)%nfNm*&i)-#WP|qic}t0F}4o)L{pLb>h`}A zsR+QGi00}|!M`@P0B}npDJK6+q_V)au~mSldHwZ`uDDg<{)-m*1>mT$Re%S3E0V>& znh!nW>gcYB0RxjTzuGu0qdG-I3wu#=(z$9|S`xw8*NCsH>Ys`9oD(#2kF{>10+1-a z)X1)m3h3qVtVwdI0mF@r9-;w%!BNg$a8!B0;VVxWc<5z;zcjW0v?!WQ9Zai8>VP9b z4&zH|0bqr)@-S^$m{cC{cP0g3!KJ{DjV%DzT?+V{lmgJAsfh-G??+XjLu8G>_{vuW z*q}_F?NoLPkz9#hYQPY~QVB5Itvrk`dDRfYCePNt@+L#CRn6outb)=Lj&>$z$?%QK zE-u)-Ob)}WR{1cwP0lR$Ev*W$t(iRA->PBwCpDZiBE>Ag^O3aWMkN&FiRVvjV<_@a z@aN{&^qnnN^ZrWXc+7P?^M{ZmoQMK=u&z}?m#7@uPf8hLkk?;Ye*hoRGSJ~t;Jd~a zfK8VI+s5_*KF+mloXd>!juof@7_Cl*2O70@Pm)ayEk=NTRPO`UwK$nr$U+JavYK*9 zEMUwLgGX)bYk-GAAHcRo32@j(wFdYA_W_(sD3Lv})xr*kIJiD6x5!v_hi#B*z=y^b z0DP)mh3$>PZ1FycY9+DDBE%p|v`UAS8ZlGrpp9@1@GGYR;K#Qb&}D9{13dW3qk#Ll z{!BmTPJJ~FMDFHVHkWE0@@(GYYz-KcdAx>~ABto$@R!CG06!u211&ZT1;B@)4)9ck zwrn!i!em68&BYpXk@E=R>;ze3{Cv=Ihe>H&|8Edai}4Rpxy^CA@h8paj?AC3Wr z zb}b5jq5+;0(t)UM#}saZzM|98f#`dmH}!tr)GGdDE)CyfY5x-sTp11L(^{-J>I8jP z#dGZtjv0&r(<|hM7Y-raDSt1CLV4ntqgb$qwRlYw%7^*AlPsS4BHS?F)jO^Qw9B{T zO?7EYB#!}ec8t;c02q!j{8d}QWn=pQR$NIB3)sR{k>dedcu+qto^C1&II07Tc8Apl zjGu3YfJ1husRKAcI=OjSGqwO+66yU~BZk>>IdH|;A%GKt>4xFGjuy}GdS`$1qwLL& zt|14%9&~Tow2X9AXBt3R+c| zO%bI9M3KpvgnlJB1RV5r5IAJ)5a7F10Z8r;RvR!(TMatE8fgk){0gxjU^*wyY8#;l z3F0wz*3Bv(aa+Xqav0Vel@Cjg$>$_v0n#ISScgm= zRw7G;IlE_KCdcO0#-7PVh+4fb57J#BLp)d=B4XFbvr1ad3z@pDTFtZcs{||?+YhXZ z{9})BJC;!bnm?j=KhSDy2{`0Z;G|1|9hU~jWUZBHZPk?4fY4ew&RQ#Ht<|#D%2{hQ zT03e@lmTxo-8(m=*DXw6%wLhnyHdR=_Mu28+Dv&hSW2N=mRoorc;alxy)3WYvuG#) z_eK1;4W@59?$4_bEZu*g{sPa9VZFm=6j- zm$BWzyh~RF?wRxl8ZJq+C9-!tFjqvHvN1?m%Luk2xGz$S08fmq0!@H*X*2o_6bjZy8$#&WOB+ zaGjP>0(#So;L55bA9?{`^r-?!cDw-acn+8L0nHzWR)Vti7c4tz%2J0%N`9$+3Ouno z>6Ajnm)4;`Buf|Lu?1@4QQqUq&E3|F_Z)vQ`IU+tb%D*+U? zZxz5ic6p@Ar%XW!1vAbD1(#Lmi52Xl;04R=%UViTuuT>E=ZVIo@}>&^U#3G4?0z3#!6Swam`tDxM9^$@Pb6%Sb>6nWCaWN1gOmg!6k{dJr_0q z#@V0-t$*Jtlz>A&q;3s(&DaufMC2ZVJL(z0v4$0YT3H!oZC0=f1P$dl(@@Sdq`m4? zwHB+^P43q}L4WrVLCIO*f~Z+v*`15pPU+*~N^N`Q6~PfRsT;t$tXwdXCT2vs55J)% zcC57?;IT-Nk$yJ1OQmjD$pXeKSjobwpw-$dVAq1Ps{;2%I{T=4$E&xi&ahQ4&> z)k(i*(5pgMtza4D+g=dk?l}u!T#Jl5@XG)9wT0*#>Nu^_dHqEZTBq}34<#KJ8+tq} z-P@+W2wWCv8*ZYlb!(sq&@5uwz3XCtAvmC)YT^eyX|dg!DFR(0QzYC5K>* z7EvUaGOxB*&6Hl?l9{qFcUuiTv^IOPUiD^ONxvT+D(TaQ_f_|iNWbJX7sGhm^j?r2 zx~7S~C7?T$7I+KAGcwivR$?&loyrCavleG z04*ry(vRs*CVDw+98FAw4_)UD8w0(bK0+*>(M1m87s?0!AP?pfgUcfI2-q}s0Qi?K z1s=N;IJgj|He8!XHGyl!4gj}Z3f%uO1=0(LthF+&wOGL_5cHN~xI>mv25uT#1zc}A z(_4MI7v1Q6V)oX7ptsxfe%+LH1FpB8>Fv(+rY|e8_l)SJ=%Z$ESfr(LNpQ);`cs6$ zdnWEXCbjJ%d<|}kv^4-%M2JCexevG{l0JaeX$E{EQbi#86t)DMGPV!s5TOpd;bP!s zA_i}o=lgC8d=SzticaONXN2_?kLbGars#k^%{iqn+rZxy=?H)qL(f*kuZa%mH{~e? zPZ#wC4dAK>ZJ@`*D6o@=L3*wtbD(!yf22+(hhA-ozbvAKpYp9A@!rc(;I@bsz&j!} zOlRpSJ_#|wAo;-57#JGUPDOj}np)22SgqsgsRA)&1q2={l5^NY-0InK4bVqPsl-4->BkiFg zEs?+(V~2n_WBY+i#ts6T#tv-@t{B^YNASQ|;JAgx(4=6+*nZ%WON0A{Wr73tB(5$`))rhZ4^-WWsZgw5g>2By{xNnHG>H@UJID&uSVp{#F>FuRQ zf97mx6;31$QW$Oo7b5brY_Q__3uP6+-S%OjiaSQq^Sv@N#AzUSBu5CCF$f0b`l(!p+mv6{M-Vp3K8ycYEG3#;(xF>SAz&-GceAx>oDg&z`%9dj|FPnxGdJl>8NC%Pj$CM0Q01GwO0+(&W>P7-rt&1z;h_VHQnZTo$YtTf$=6 zSHJ;xMAF_A!#r?#=yj`-7fke@?)8_c^{%Fi*QQjuDE)odD~V{ozE_lL2N-MDnso{sA5s+mk8n z4oX!ZXlzoUoTXl;06enlMXK+ypE|UqM__Q5Hi@xz% zQ;guAh+jx{VN4Qp`GsVOWUY$ENH98;q9D$En11%8LxtSNxx9_~Yi-y#Bhn|oT4ETC z4@x%fV(AMP}w2U`T)@A{^+Ad41?CMDh3WK3*d<}eN5yF3fPmXMX%RmQwwkQS6y+ub$u3bE{x&I)U}q||NwFl! zib%c-qkvg%iQ*WBA-6xs2;*k;0(+g~W|o7QHV-h5Ry7Z@rcw{k@@2~{0r!lp0S`rl za4ClSE6XSWrj`BD4T}ImQe;=Lp5~wx2WDIf%!yvolQuZ-VgRkX z=QN6Mo8oQ&H5AbOkGNkIX#xYGX7(3*sJUr1d#(vwNl#X-C)hrxT>8pc2V4_rBmpXF zgd1_Dp*PczUQ43kw$-Wv53QDd$PT8(Y^+kteU^?1wQ!au(ZLvIRisG-c*C>v>6T4T z5NZbrcCRS8VWJ{%L!{fs^!oaW(r#2SGdYd_cG>eCGpzu)Y3cK3heR`$TLYeZv{18V z^-93#|A@ggU{2)G1GnfIp(j+kWYx;RV`Hm8WCaI+7Lh)cyf211YV!I?!5Ndw+b|`Q zSF<)!Z_~z~n&NK274!qawC)&g!8G&(Sn0u*H`JB%0LQIt9}txEm=f<_dPPUuGb*I7 z6&X@kfAGO7Q51Rj?~cm;SCVPYvUE*e^;gBhKSGyZ;2Fv`RqS8QQB%&a3SB*atgF7Pm2e>WL$$wuQR8SsO_d^EE15xhmap-5d z&=XM>ydY9GFf1~CqhjVn3a}WQ4;e6vqMW{mQr}{tB5+Wo0gq_rxQkB;&N$mH=yJ9s zSaB9u6HzbxcS-3#wd@jbTjWzHU*NJ8C<8mj)&Rh=l*vBbCEKuutH7o;tceYC z!{q=5sc&huJ8JQf8PW4ZaLBUtdPI0yq!knB5WTG9oc>-B?4;$CfjMK-Wpz;u4kRlF_ME&fNr;(gIS z#Nam3E71?5-?P7V6_cciMD!zRCW*zlQlYVSsU5Y(eiyi@Qc@doX%ts!YXk0!?C=8P z#`I>!d=QM$@{JMgrmGKdch&HVw~(G}vu+h5<({!R#nH4*x=K(l`X`uD0v3$z2UbNM z<8W6z1Nd`eOF--A)J*rPOj#LaqgJpFm^8KkEV>jxvF0vt*`=BOGWzd|utC>a;_g|Q z0^kj&t9sUOHw|}L!72?eJDYW(N)xwC+6}m-Y8aX`5+%lNi}h}&OKwY=Tgx=oFzB&J z1Jo>sxW;rA9#+m#%e9XFv9p^38ptnQ=};8tM3d;{Xd!y*YcVXA=nrG<>sA{6sz{ZA zYa&}HVdxWoH%!G~u`SU8i)}$mzRS2uhf9Fo>+jT82RQolwl2kghAZ2t)87)ozNkP~Q=F zrE*p&-JKpP_n9LcJVSwJMouWut3J+nKY=ZgIx!l_$F zxeF>zH5n6XH|ujX2WIE>ryPQkSCOEnRl?wn|5BPuk_36sSe3R>(^v%XjUH!OgO7N$ zK`$M9*^EmM0EmkJ)cOld{!hW>a2G{#1hAB5z+Lu?&^$_-O-UIzZfqZL+SmfnX>1w2 zYgWAva82p#Mw1up1}<2^{>+x{V2d^}3~^1}z$GhN2KFc|NfRpM?>PbW#C~}lTJN%g zbqb;}|5=gVG&@~I<|JAW=_`V%cjr^t@tC|-kuFj$2{uJ9>%gi%T?%{Ib9MxeoCThU zlD}^X+iV7vTLf*!rn47~TOy4X^_q6)()3-+tpX2>{Q#iw{^w_?=bjV$h zJ*-QFvi;GI4_b$=DxqBzxMuQC=VKVJm#*&fspvw`jtEuzq7Q(ZB5jEWW0>0}@4hR* z$XB8t=ur0!eie))-G4O|>93w5!1i1@lBYzvTm;S-TLd~p`rK1f4C7{|Uc~Ypk%kBl zqBnQ#buFDL-TA4~9Z#y9PnEslN!aL;CG;5$xp{Kbn(6~i8`}+Z8oPI%d~tL~Y4u`e zNRc2XaC7!QR_oqQZ&t83OelS2MmH&Gt#rtnq}~lJWVH%e!Stw=*-@q7sx@8(+>SID z@B)P~O@Kq1wOAeah?R~M3rBPGqeK#xk!C%%c$v&gMV!T8yy~l(=Lb789l_j8f=xa; z+33rzha#;F0Ild#NUd3Gh0q$}&e`;g99au{MKF@mJtFOez`C(@;EKqf3*e}k zdyRfdqEV5KMZlu5{lKzFYt4L2t*b5vZkv2zE{3^h@^0Y1$v>KpVIEsTpS^&ItjYdn zL7TIH8z<1)v6lU1`i%K4Fv!L6ih*xIc>$Y0jnadp&y#Dw4{Ls9vWK?jYx`R zhg@&whV)27Gap!P37Gt!_l`3K+C|~-0K-#C&f4{NfHOrsD0(8|vwVVZBtt(Fs)kupqJEJ8Fj%L5AF+E`KX|oUU zBR?t9K?XQwY!&Df*;5rvugj}~C1caK-a$e3v&s*@g{JR{!k2r|K-G{AYVvi0A5ni* zB!hq(qF43uMK6*+irUV!#RzWg5^AM=Ai+bCDglp0_Gkw4#N~PY%O)xVv|(=sVWL0s zk;)!vE+<74*uffwfZircjunyz#Z+z)$p&CqWKUN4{5H!kw+n`y1tvxI%<}%%V)zBm z3Kh}j6$@1CwA=zv5^+zcCuP`FkJ9inA{hvvGAWr?d(cW00aUBXJlN}E06mDoc^3op z4sl+Y+gLiPtkYf+UEY}zu;|iIhw@&~a#1PYh1{x=brW?1_=%6Z&PGS#;4^J|`O=t} zJN%(<(?liUwy{;6+d7ntEH9*6hyL%-$B>O!|-uzDpAQ&3Bf*_geo;z>={wU{&Pqg1hD!z;$Cw zz2=~<4CA)cfhLjs0t8#iG28{qr~|_yeXm=;rYL4njiF_A2tjA7bc4&WTghttc#%st(>XWIs$2DHf& z*w`Jzx!-Dezjd46w#;ue;A&a}ZpSl#;80B+Ic`*>lO}*4y$!g#o&lIwt?_KEyBX`? zx$YS5ib$$}8zNT)_rNm%y6hPt#(R_A=`-3#W!~704Qu* zg}zjkMbAL!Y{;U!Ppnr10DZCep#kDR--`+15US9vCC>urnpJ`E&`!Tf)0x^-#fEJ& zuL|IQ?nwCtRyGz@%z13)RI#8g(uzxqYZg+~O9F4C8k|MPFN0e4&q;1fyB<;IqJ1=u9%mpS8_mj-T#tZ|t8CLaKp zMA8B*8CwEYL{^$k(_?iFU}~u}aLw2fa9d=hVVF%S0-QE>0C10`uNN4W4)eg?VYwn{ z7#4VjMmr_oeyV1E8p`}s&HOZ!`Kg-uX$U|4AJ*?4;>2B0&0H{q3%si~#@^K-fNm)W zvfd44y{l%uOTXa2(s0ejy#O%ont_?!wJ?1p#aJK7bc#yKq+|@lVv_r`2E1iHEdcJ* z^qf7Xrq-<060k1fIgtq4Gz&@qq0gTJUeK$7Fb(+lm!`o_c)_Z0>$S)$0dt~I8fRY- zp%jbPMcRx29Lgsmq*RmrhEhA83EW)YkI5c(J3@8G3M4=k|VKtvtqk~^j&r(6? zW-17FrGnt!+w$oHk-Px>l}Jw(b1@7dzP&2=>?U}9@I7@ z_Yo^}O4+=1t#Cu|7tY>NS00IU4gwCTBYI2OLWiCtJV@tMBy@`+^yrKTJ{U(>dvhgR zUAH!hz_3UkX=nz0gp2suttc|We)=$9V}(W_8{r}&e8;81*D4Uq5gV)#8;rTBX&0G$ zx&h|Co=oTMs6&HV%dQCirLzD-Zbb;OMQg76s({vW&j`1r*f*0p@K9uT)i6&yq2CRc zuG6M(u_=Zrd7?Rn@%D?fGb+-a*%HGnc%n6iaSMuAaKq&XV;DCl?Pq3AEi*??o0vn# zwE^bM(JymhPM4YUX>$zY<`gn>K6P{Et=UgoV;DE5fH~J)elUh{bJ8bT7FXs}&S5OF z_jZdYv0$P)uq2WbnqruBPc+9c9xQb%^a!!@>8M$+@-Qrcs@xo3k~JG|Td(+s2Y@O-yv#Gyusq4M4K(#S>=R4eR#Bo^=SfZ4BGooELl7 zAxQSpEy@F__cgT+Y6&b~)10U?Q<)c5o+d~=7NF9wJe0Nrfr0|6m^Q@}qQ{xeb%?&G zfuLiHHl02es5$+duuc_t2u}49>RylIy@arUQ@zShtV4`AlLDU%Y9@mecnmsW-74@9 z7^Scz+Z63&o1&d;YbM(icx>ypZWVZl0f97Oo13G+L->wfBsJ{&OtcDG5O7Mb7Rxdv zYc2pf`y;4rf*Jysg_#Z7(erLEBQ{ZAmsx75X-*^C|;_b#)u z3c6%u6ZDdog02V-Cl<{{O`rx^F){;%Z1NP@h6&U`%SKLvyo-9di{wAeswO)DS~7A5 zNcDC)$-fzLa+T9=c|AonA6SBvg+ zbSZbH6i`e0;7lVFUDei8SGDL$GUbj^{-loTsiV1aM_RUuj^@f8)k_`KQ%7^?d-i5Eleb)5CFn z*%M}2pjUiAR|TdRV%N&YKqEhymqRoJxq{Ahm??o2fR+TN0OE?E=m}<1AVJWUzyu+7 z1%*bKJ%I#453lEf5S9PB5bRj*5_4K$Y9JZ{MFMms$|0_LIp`qDAv%Amqz$H9pl(5Z z0#gj}*R6aUlnDx-X2mQEOc3IVKo)==M>#~_Pg6b)+W$;05AjH#a!}9Byd2_`Kzcw6 zQ4Vp*%Ev)>qa5O%F(2+&hj=8A7od)Rkt>Dh78It#^avyf>JzxTA_fG7Aj~O&1VN_- zCO9NwBp8TMV`Kxwlt3*&i&n0DgIKllG0;JjLv;Qu@?)SLLD74!m_C8qCSp(^LC}zq z^A zG@As<3ebv?8E92t3L&m}Iq0^LbD%u|3c+`sz5wpF)qDn^vC2yKI>eTjgS!4XA~T~xOGeItdXzgV1&tb+ zf#wC+Pgapq3c{ukLnxB0+7Tc@TK%*D`mXRjf%JhM=EbDjSxc=sGVGp{ATlkV`{aOB zM=IcW4DEf*!~sn~{!gpDIh)VH;4zS#4?fy4nSBOlY1(Ex`LyleAKL2R14fi?5c*Dl{90SYxAn|RX+XB}L z-uuw{xH#uTb7V^?F658>!Y3kh);sG3;c^lBh#2=%$flATp7! z-~6Swu0whnw%AMj(AOgA*h|!u{PB}ji~PAEP$|jNZ@JJSC4BT-jQ*yLev8q+C6H`I zL+Rt)N`u^Dto{5m2CCZdv_K58=4OnvG%&V4Of81Vr*w;5#K)!uVru)J_$VvJLgBg=d-|ToY)UB?zN7t)`i8mz-px-Exx999Wd5IgAcjXr_tMs*^Uw zY+_A!3so#&(**3Slbv#`*W8^ZKlhz{By`qNae7MVf|DfYV<$W1ZkIqt_6qeoNj!Wb zohCbnEgsXeMLecAM3QqfQwDiFrXwDkTC`ZWY~>ezti?{J75($Y;^gr>Cyzc2u$4o+ z!$$jg&}rFb1+^pZd<0wRg?nv4=jM29SP%@n&Pxx{Ri0I~BZ6*t{sy8SwPnrxHU zzHccsiS2%?GfA>^o4J!9pHkBxzo(dF*}Z8_Or~SiWO8CMIWd`>m`qMgCMPCw!cV`G zIC0vXm`t-^k_5Q!r8k6bISJxyqYnTtfZgNbJ2}_n6{o7>mFbr{W?t&JF86K;f@i1I>O?M%$hK(FXhC8x! z{6c0)vw?|0UlsYoA_E=1A`^bnHhiR4kkz{1`#Ku!B3uJ^U5Fzg9s$TU>0Z0i?+nQ~m z)&J)bX0=u^h$RMTB7WT>E^ma%G>Js;MaH_0G`U9nRSc?H==ER6gnf0giOq;e0>q%u zFa}4(%y-6z;ut3tGxr%Piet!B%*Zin6vybPIMz6d8AuXT#c`6Mm<5mZ0vgP=DG?Au zOmPggienR@I5PZ^hLo|?9uvpXFVPPGzhUrAC%*RNk;Bk1 z9H-MEVVXII?-C$2*;Pccr{KCsCgE9uNVY!Q5}6KT(_t5>)@^ppfKCYPCPK-Bog{JC ztDA_1mSjH>&x{rW|8_Io?`ujoGj<2#Ud$vfW|9{($%~of#SC8T3owJ57WZf-c{H;j zZ+t@^OU}& z4F+OXAh$vD0^RIg`<`{E1%WkzxF(P{pc`J^y$*5Hna*{HJ%NNk_oE!*p)ns<@`!jj zYEEcEe4R}Sxi>1J8Vtm|KxTm!1a2181%Z1mVp*UbL061?4`@x`4WKp!)&PRZrv{+c z39P(N#McGG$~PX&f{2d=!;_pF!Q2$_E5R^{Stg|z#4{e54r2LtOQ2XkB?IEwk9E#u z^~Li&p!2o|G}2+X!Q%NVHk%bDp8hP@LfK%4^TTfOP2C>NWj=@b|LOCr6Ivp8z$6EC zYflsh1kOPbQ@s)dBC1{yTUs>MB6am5Z4oi%2@;!SiOq}c5-jO0mb}fh=rKJhYgIz zW>Y*VJ!D`>V)J52A{iJG7!?9#10Tyc7Vf-fMWCw)6cm$O27<^C7h}$GXgO?dsB#PQ z2F8T^A=^vhGB=5DUMz_xM?&0;&!wS5xa`!K;s7_sWM`)9coA8BTY1Rt;npuJ?D=}9 zNysD`5qKRGYncK7Vt^039IDK*&}0mXkU!*dNqqBSNqqBSNj$k6;>uQd02Z? zLy$Wq>TDJ17i<;tU~^Kr9M} zLytJ6hpdP~eO3~tM^U*e7P0)eq1nV{p6}q|*0f?=EmjREHgTB&;_&Te#NE(gqe8J6 z6?%<9ZGLpWdNCRwvc1%H^J1y(=EYLm&2rmPY;%WjGMYk1OX%pd90>Lj#=^be46-e7 z4vG^ANg>3UO8B1wbxaFUzLabdar@Y#rpX6J^=- zrVTy)ipf?%9sd$nra>nJZUa=WSA;nP9Re#<%@19e)*O%UB_Hv{!}X(*+evsP3E?HV}^x+}=v4ii=Vd2=2#VPq9F z<)yDwRzummK#xX1+eS9gIcRN~r-fYUOj24)N{g@iBn#^(-7`%YC@j=_X5w5~mXy_# zvMelIlHeuNFkX=XuQOYUZ(f{M4Snb=585^IPa6zkGjIB;avg*xdKVK2?TV9jbEY7ZMp$vdr074#q%NU!iCFkk{T zPzWS9lZJX(L-K7z&CUw!E2j{f#^{ar8zOdtf!H%f-%N$L7d7Dwibe(Tg)``eK&v^( z)qbGE)P7b4`X>M#B~4;N(~xS>rq8eG<$;q?8_J{?3sEI9OcEJ_Q>tlsThOdPuZeW5 zLo7Jcxel@DOxHTZk~8`?lZZ>s=;y0MTo!170bMaN1FZ_|hcY1CNqv`NPt0Ab(Tgq+ zIHKM=3n%faXB&-G(4dh`(2&4W2I_`afIeen6}0E2pu1igUMIaZ^q#WX3>126>q&2R zLlv&Kmh@&xZ}I+XmjwUJ_J)xq1Gc1>?n-YgrP^kyEk0{JE8$UVFb2W_?N|tIJGmp| z8jI)LcUAPjYOA2n8Ce586nL=1HcFoTZ){*d_l=wZJr=kuRP`5-DVFfmtVXj30+|Jh zX0`RytgtLKtJCa}HOoNJtX^uCg^?o?m=bWD0B;Ml;?Sng>}sA88WJD@c5{m;4omWk zH5vomGqRQ1w^IAJm)aMH9MpYKp!5YT3J!-c3Dn90X=O?R^|C-(nb5iEU4ZTw*#!NL zku}ggfp-jb-zuu010xH6ld>AhqFHSn>T@Pr1JMk9$<7s(+%2s{{2Rj(*F>#?F5=&Otz10i_W zXS#5KdRZWi4Nc}vLlv}QWD~S1a2uemdPUekv+uH^8i?A%9aD1K1gfA1Mm9kQ0@n-G zxnubrdTF*T(DyVzzh&eY2*Fo&v1K`vV>%O-n~b%BYpK(ZJ0>!z#}UGG(i8N}kmaOgru6po;vX80@|lPsCuq+1#&!T1IA|Re?tf>c_023fd_uqU)rj zmXyqtmDJXgl3H0wT7;64I!Z2Chtr_2q+U`|4<$z`#YTe$I>puKToIu8c3uqL5vVwf z9~8S{MNK5VwutH@6@BF|)1V3(6?jmhc@n6V1(IJ$pk5Y8L!SgD5IAGKH9^r^N^W{( zgNk3kgdREquOAQ8FJMAv#``Z|La&tSp;t@w(5p(2Xb-(%ytjwGU8;xPFV#aoEY(AI z982~=cRiK#L!U6-^+WfT>Y@8f_0Wr@di;;;$3z^j)L-hDc&P)rh{JJ@!>7zouZJ%D zRDJT(>ks*<`sAnAAM#W6`1ua=)9axNKUJUn^!h`7svbWF%`dNqF8ow|_;^Z0*-v~d z`_=A8yWQB?RkE|)u68@h{kG@XWmVdHP5R|k=uPAGVwm_>&pWRt@2=Q*__#wCd6>P_ z0e#Qv^{yGb>}E_N&y!!?K8=UV<7a!`oix9_|DF!zmB8$m{uGDyJam0e%0nM7*H@0y zk{I2SfmQ_E9KKIKYzIwPv_!|KNs7VS@Y3*6rQx1PJQ71{ySS2GlJ#`0wQ()iC0jM|v9X4oX$Y#cIrNsg2Z;T2?PS zmW||hnY17>J;eV z#l>|9&&^`%r$4lFU|{umVD#II)t9t5VTO;Pe84-f!O|aoFO#)dZ<#NuAz7$5|L^2_ zR7!I<>Ky2stoe8f@j3FtBX};9o)xiVO262Gl2W%`n*J&C>T}ZEHoN)p_yyBk_`fRF zqkAr?IhWcNR&7YI%lvDBX!CUCBNhJj(0a5i3R3%)n%PAz?+7$fpgTss0J>-7ILIw| zA@nKt(KIhmEJ5y2ku4pvqR)&P0}U8C4kBwvijG(ri$}vZ)X!(E%Q4Wlku$qOdq$3d zuuDI7uK(EdqEZmBW_C0Bzp2Kz z4=d=^EFAKg2=Yn!0&5DcXe3!2T4vH12(MHc8Z)(7^5!6Bx|WTY@EXaasTyrMCG=Wv z1L`(%rcY?V$SP=1kVX=2*egM9WtMbjSm~w}-Bcy`Zfi3JY8g2*FLc4k>9B_oxbkdP zq$_VF<*lSVi#DAKPPYZ9o>r~maZ1#7>%Ye`)81!)hx?yfNB9wKUr`g9S@V7Mt$}Z+DC$C^c%`##&YE?>+s&fb{Nt_%VxQS8(T{eC?0&%mTc3|l^dY$>AVZe zIkwQEAof!g9I=g{+!T${7DhcKE&Bg=bWO9I4Gm(CsJ7g`!>nmV(U4f*K5HOmm9|<& zEE9+f!A4PAI_tK|wVAaY11%Ui2U;?69JJ@9C~uhZIgs~L#B)$+BI?;jrmQxb6`FTJ5EgV+KBf>YDRJR0c_qjdzK|5YknAZY#i|5<#M^+b8aZ=AXv@ed z2uq()H;fiL8~h!w26;zW>Zn)*TdH-7Ubt4YDG6rDqGC-a<|v3eIB53fc+vnpV!Yph zL9?qxJ@jg+9y-&)8uidDw4py1-Kb{)-YC^W-!$HzltAAq)k713Xb*kQc()Il{Ed3( zN2Pk`(@K=6$N#v>cks|f9CW7-UB07-F8ow|^3$Ib9P(53_<7a*@OtRNPt`+zp;QlD z_^Ep6`{swYhc5h7J@i4T9=b35R6X=buk>xFp`kTm0Nh z9X-<9YyEhAv^yoD9KZH>wa2GD9{9gk^1t2RcK_P_2|ETwwCD4t%IuqJdIEx7PtIpO zZcshGaswCn3SH!Pv-|`^^5VH4xo`5~6`$m%=Ocb@m|xCAdmeayDGyv9aky2Ihkj_h z%M<%Si@(c54+XFOpEMslZ@hiV8*fis+vkVpk;|tzJ0Edoym@q@-2+5eb^9EnuF{ww zDvg+^G=^PdcrF2)J`kuBgpb^z3*9nu283rSjl_^__#zFF9%ztUkFr~`ARLfD_#uHv z{nD8#vR6m(RcrICJhXU-8Y%=RyvSlLKa;_X%GFV4z z&=n&yP?rU{)hE<%4_bnB-%1RV5o%S_R>! zWI-gMG=MtIn+(+LjxkK^Jdy<)KaQ6@^ILkVWUtC zL75pl*Ds|l)8Fb;{5-P7T>q@gGd*F1_@6ezsWc2z`|*>*m4az1J|irN=aZE@7PsoEWZ?#J}kt^@v> zx}xZYN=lDDc=DiwGw807Rgjx-E_G5E_&~LvH^DK`VciU<$6PG=T^GaxuN_Mo z3xh}i#^9;Si`*QjiCs~wpX9caYEjW4*=~zzp<+br=;0#ePUV;vfle5ifp{RGh=Y9S zv%E)py4+{c8VB_oImXQXo7QFwbk@jbL&%#K9%IxuSnU|bcx_?hptP-+#`0oMa>Qb< ztYhkGEl;zucw950rc2hmN}C7PPc;b^1GFe1=2$5UHpCK6O`Wt7*(~a_{$}XbSBzr5 z+?4#@VKv-t`d#Z7)8e+Z9RuwNPS`*48UE(}=RR2B?_cbE`OUrSX(kqdcu=URp{-(S zCX&V)8d=U1h}68eN$pn~Uk6^GjSIezCg%&OO))cQGcM3K$3QfHy7Ey^t58xDpsG{r zT2TN)-l}!zF6tt6=;4(0PzMbeIS%rE?bLuGtpuQMBga9mx>yu=lyb$IkAbclX{)zp zh?g|{3{H~IX6n%@;@#-=vaWM^UA zwuJ7PVDZZpyJGjPsE8C&kF96|gkjI<5iiDmKu=Gw&~M@=;wHXaO-u$YCiV#y;VD;# z`Sg3=Iw_K#em(8HGwX@m<-ge3W0Qz?Q4rdqsWK-8uyn{QG6zArR*rz^?kQ>4ni}nL zHoD5$=!luvEzrD+q6k8%h@BsOrRTP(=v*{hlfUn{;Rv*rY*Q%>Y;lz1W^yoGz|UF*Gl!!TcvvFirk3y&|SuR|IovwdgwEydg%F5J@jI! z9-2>yLO*n$@va~GdZ`|IuT&2`bv(6)zF@q!hrVB`hdwCPL-#$M+C!f)-rGYDbf$Xf zVdK3X`dX=8(JHQ%sCXR&x{xb9gcwyhIiO=7Lq9JN`ACSvg-8aRvzti9c1q(Rl3^nB zB8m8IC)xbYC?F~wAudkx@y2Z@iPnHTmcXFUf|JCkSAMD#_otj(6xwrgUkKwRAXDv1 zc4x`%EZLo5_XV>%!|u%N&anHyNf6m&AB94=KNFns*902cbvQ@bkdy9K*XYG4AbyBl4;RN5OFHRVD5PxIW=#Q$_Uzu zlb3}&e%ZdrdtQ29XvjjDfk>Z1CCrr9WkMeD%;U{AD!T;Qen6fyO@iODq-mz$Hwpf{ z1;0t~?>Px#J>dV8zoUO0vdhMz$*OSHNk-^_lW9Vctm)kS{QD%5b2;hJ@FPRK{D86(#% zqTfdDdCtZ@at%gq)<&+u$Q?Mz$SnwT1YzXvI|*XAIyG|N^0p7_ECbhV!_;8#e0nt) zJU@9f(%>~1JVs4ALEA=-GkABrl({sd&`TfV!)K)pdO2OgK<0MB#6OEt>GG0ImXZ}8`)s!t~$xk?HW18 z&^`3h$3p!!UJcNIfE#@9DK7>M3sP5bRj=gC*d~3BO)yM8eI^M1mIZKv0Q%}Pf#36H z;sj=GIw@6lVb-ERM>WjqGqdbY({C@w$TXf0As}10y%4!2wBsaOI?0593}7V?c|ry- z@FE#vA6FYBMn|QL0Rb0DXqinSiKhpyI5MTxu8xIOv#>rTlsTDVSSN;=8Cxfmb4Jz( zXQvrk$AzksME1IqcyrguG;iv}J2S`X920k(#MK`2u@1szJwXGV_R_Tb)YI718JiA^ zN4-mk@TeJR)JqB0T_*|F35!yl>?g@}$AYLpYh012@DH0oCJB~CP2hS0%_t+kdz#eRLWxRNjVMj={Nym z6_)_(wWr@Sh=p1!3W$J7;DXSKmx6riPFLhgk3a&TJ|ib^*dsH2UnC)sz+<5So6r-? zZI&ICmR27YArIZO2S!ebxNV`a6XljARdJ$ZXgLuNSj4h3LVhZ?r}ku42j{|dD34#p z^9Lrj4wAQpBp`AO`Gz}bS(H32q* zi964gZweE9%G0Jfc1J}%9vR37VGP7TxLZ*95(*;tXaSRME(CR1Aag;ChgYPL$rvC; zht_G7#uzL{%H^T*^%#^D6;N{mT7!KEi7tOYEj7?3F9od$zEqEX-u`C6+upiZ zdHu^Tzx-Wq{x&U{Jgl8W$_ieW>!>xAj}0`d&wJU+|V(`UBRcEcyX#Tu0`C;45As_dfKw5UD$0 zDcL+FH01DX~0J?Rd`7P74?-Da)v2FAHrLIR^6ep}8fJ^wf?KS^6h) zw5rVX>}~EB`P!@~+qwlB{IqT~GnLF)X>&n{)FrS&zM3?ZBX*HfR|PsBg0=;((SgOs zbDbPowiIcANFF^}2Hi7qEai}$vPm9I4^WSh4RUDNNf60X(jU3>+M>(1zioY6GPeXz zt8n<6lu|Ia1+p<^S0iOtV_3sVF3C2~s*z)$H6t6KO+l&aNV48M9iEV6vL%x1%X6vr z>s{5 zjQ46h25fl7nfEuH)DoHRALC5EDGS^<`^S=?L*IpXb{*=PSM(|zCk0*sbxNQXLh?D zNs~{y@kg>aUkIXhzle76Seyrvne|vD?H*V+RRZI=R*dV}La-U?cq~C9tt3%2sD?By z74bZv&oZZwx+3unfua}v#gr7>?*tQSsT|Xd+xH6oS?2c876bLlW&Jn#9fb@ zUL-)QE624QXxp+CQ)GI*c3&c zR0K{5kN}?-sF>%17$K6r51b@PCM*jk6_U!VKms>}{2}KgGk3spb8=Z|#mQYokSIzv z3>y*Q7R(U~*FT5;Gp2tIG$J@&S+3~3w4VB#V^Q<|=2CxislU0@U-6d58Tu3G)d3K3 z>8N~Ew*pXiydnloGT78_KA$5%gg~XK<8x|Z*RcMw*yzRugtnuVAI!k0Q*mgeIK_RM z9(qmfbf3_!lOQ@n82r$SiOZnHWtupgw75?X2%UA3rvpA3(-?W#OF?7`V+;16O%t?} zHZs#GXwwAko(CXUVspYg&-#QoILQRio}knQ)>9`-A<9y!WNE}QyzV!zv*dM_ zQYDjhc5UhJwt%RCeH`o9mkD&>-B7nz1xV}*`zYB{BcC00Okj8Sw`y_Wn;%x!_HQh(>^7Vkrf5R6)uoKO9q#|&%;sbtUjG;z--)6Pe9 zqCtcxcv);0+u==twj>Z?(Teqcy&kQErB`){f3~V2~YeWSPf4k7*2?kb#`6WUrf~)C5sza+^|t-VDX4R z0%wJMWvsJ*u6ikmJm9WF=!Tcx6xwnUxY?t4U*#I0%eH5}fPb7=)Q7Y6v_ zqh!OmSjfBriz+s9W1uc0?LMpD$aA0p!LiCmFRnwZ+6+1e;ucc3fFPcj`5q7T&?`s) zckCRF`2pn|=(<3g8HlJqt#9c){%EClJ%&L0KO@mHr^i8y0tN%j$2vt5m{oz)ff%@= zE=E!6m~$S(@f5>z5yMPF<@wWyz|k2axNW024Z3aQSVU0g4Z`O!Yn+kKzPdC>znd0< z253uQ58WWPt$Z9rq_moWxJP+LhsSrk?IZRf0{neJr{Gf)B4bZ%oE(k3eISpF!QV;_sbs)w^8g2;rnm7i!Z>1c9?8+2%9~*l<$(S%U zDfG?0jtFLxU_KB$r3F+UC8Q|Xy5pg-#pb{Q)&zB%e`5ne!%mJ0T{E(oV$>uS2VM%Q zxbdJa!LiCm^pDv@5GOH5)*(DFO%Pce8B83Y!7BpY3r9RCCN2aekBtYUna88DrU+~c z>~|{kEg5K1^LXX(ioKAT)jhUWT)0jDBT}^}Lj64sz;H8AF(*}N;>>+|WhNg6wCM&kQG>knf>#$M| zf-V|4aYg9&oYZ8fToULbB@l}gfx!oihX#`vI;)g|l$^+t6IpU1OHRCi6D%$21ScLF znc+l_nfpS&ko!|j{$y$DzYzY6$m)h!KE5ndSxj{=i?wdyBo=m>{&CDY?IdP3oJ?j- zVhctni&8)*lL6z&fZ}$Ufcl3}RpR9aj3)!eF`(PJs;0P9Q(UUafN|o&+N-m0vZb1A z8BexUlP$%B;I7;aXe9$$$$%CHc-&j)_XxL8%9PW$7$U6PtaMr|?L}U);Awq{%3d!; z^`f9CNFAJ~1N`AmNeF)mF_;Tp2f~h`E_r(%Z#laab;;-R;d4W0nD(^U`Wi#PGU=K5K$wJ3{x2oB%!aQc$mD+(gn;EQzD4WlcJ+ z2g%EoKfk^t`jS9~fw0JbKHz=Ymf<$M64YT@n@MXk*;jOc;GTeId~^W4FVKR9k@-Tz zkmQR?QfDiQFJ8sscR_931p8FX*MmkHQM)nmXf={G&6K_khIQV;*+`?{K*^sEVW;?Ef#xy@clktz7c_3}pFaColp{8g$W2w$29 zL9O|Ad$f*tyh`f`&8xJID7{MS2-vGsN9vBR;W$+QULtF(@YzKUt(;GVKT+UHvY z(!Y{W&YDyb;p(*C04Qfnl8K-snTSJ@3D;9ec&ie3(vDtukz~TBq&eImAK0x*M-=zjh=*8M2MYgLvjJEQySLIfnmtE_1lMDKnN@`HtSL zX(E!$VpfTyJKs3|n8y<+#Z!3+!aGja`sP4*n6u$!{!mEM#7VrE66k;enlpDzF{FpLbOxDZBsmY|O4E`$8T(*f6C=upnNL2^`Ke$coeCzf zz`LBFp8CFt-%j_ zHOLp7@-0#7xOo1%sV>}wtg~o-J{g;(Hs`~vQ3)Uj!J*h4{d_#V;DC;C8@$yOS!qX?!g||=A86G#} zhbLz7s8y$NEG6C|(|oAMR90y;&(xf|z0#+aXT*AL*%Ph{8fMMa@LgoMYFl7Z-w0P& z1@dt^v`dZ@ip#rXGWCUslXl5u>I-2i&F9V3DhLyw(g13kVazZyXT#K}&0~@8T48Wr z8%F1B7~ZzU(Kn$|m_XjQ?KhDx`Th~!g&fu2}IQJ)6N7pP*%xFH9(&DY{iu2ut?;WoYY^t=m$GgJ0-N}elb3~7o!k_<=1&_Z^N>Mt@pVxxDg zrXD$Lg$Az~{q}V%xSN*?*>zHXM+C?9Q8AWhqFW{Yl4x{9{WZ~BC0?Xmz53e_$dgQH z*-2VsjY?6n;Uqe4I*FQ4e0NpAi{{cwGy9<@vy7Pu3P$xx>8t2#Aa4B ziF*rz!{JF`t*S1w`nTI#9rTJUJ#XYRnBEC}_<#((KC<$pPpqYAyj62SJRjf0TQAUj zjuZ9J{&d&h%7MODs)xRJG_{BB&?A6o4}DwHDD*@BPsaOOH_$zrs!@-9aba70UGk8f zdg~?G`RZ@o{2ykg*FzU}s(rH4>krwf`ediqAF{LUts873-eIHu3msv*DiArShztpk z0MoOI>Co4gxkq^nO8>p?tW^4^4wFP2>oo^K(xaf{FUp9%Y3e3-g|0}Yq*$dtEf5K! zlV|`r+?v9Gq1k3}*DDwTe_&gifAZ|}!=C+Fy7%Q`tnC$8yS(sV9qI+rV|45LY) z$4QLYuz4~KIwRoa7clW6K#Rih5ets{i{H~AoJV{|Mqmiy#PWgBKbV2}EP!(GV}Uva z5o?-u3!f0!CsBj)U`W8v9WUx!35{3!m~&QW!N|rfA#wq&krSw5!^3gPZwPM-(DV^$ zg5D8GQ0 zPBH|U#lFT6_*u1h8Fa1a>v{U}6n!3aO>n&OG21toX73XmsXPx_5=0d=W~mB9B1ILn zPtNBt__EpaJP4aY3fgDa^J#W@x6B7}B1F@~c%GTYm?$q+GKKdRh_R1R0_hjQXB8W_dnn5?3-~T)=3>y6);v{k6nFHK;#k-lUW2X}&YRZx0I1eU`^+j}X*^o*b+3ES>=sd_`zxQ|CnYhuvucuAbhCXaQj zT$6LxbBAdO?YE!JihRInp0?|YA?qms&2AO<30|WrzRmE#H?OaVB^Z45?!Ee@_a_CW zWP-f5iz(<+qlQJqnoy>EtdV~mw&WL6Yfnvm8o!XH@$;x+LDum*=8H(?2Mei4+-4?= z1bGA|K@6x$nH9bSzL1+&dS~QaX%@dL^!uwJsf%~f6Ww?PlxNSoK>GsaJgCN>ajL1z`}y5xX_z+m_&XMgPe{Zx}$|HvaG%2C?39 z?u`Yu{0aYA>BSoP9jYHmO^4>O{0FfpsCHW^aNDwBd|wE?r2z3J!m_skvHU9GNF>%K zk$j~O6{R*0m84UanZ^44pvc>qux3yanMv7?{kBB%g3Sf33n6k+WuEQ-X%tnZXiad$ zjuBQ$(UjnL<-02{>VXgRtclb?bAs1;)df8%cy6779j_0qeBilYMPs0A0&ID|w1r4Z zWp2y2N70{d)sNO^@{V*ah@ue{JrW$L6#iZnJ77_2h7{KAn^X~Aq;6PIarcT;r!_3p zuZd+fKCb1oePzy-qllIzqbPF6TVVkMe8zMadl5yL&U4J~R@k8XOoa2DU5Ip8(R36c z#UPg)M#{T87gC|SBt`PLRG}*sy*nCGblTJxF`$Sz=wqC+R9lZpS1Y7O)o8&Q7SHml zVy6VJSK9G?$M+XsWn8CtUhwSUD*pwx54+r3xywfgwWlinb5j@fk2Riqv0~q!ES1;~ zx4p7;7{@$X7L+C-^Jz}-dJPAy7n5-mUl2TdxJo|(E;-E+zPf3~A%xoJa>4JeeAq4f z+E>bkDJZ`yI9mC}V(z4}zxjl+^i~pb*R8D{kraAP9cr_o@=hH}zOny9I$Uh#ElPK9 zj}fp1saW{1jho82FNc2I3Gesy)W_8l5%=BDgrm**180^MQtAJLtpfSWC$E?Xa` z&j@Wfxh>>}$LR+m7nI(T-4W`Sp(1%2ci+heLQ`h?bSAXm|acWb6C% zu+XxTD?$xB+D!9+|EiPMgigp`^~QrfToNe<>`LBb$(t;BV_z>{GH!U$2Hp)plnB|*P@aUpg|{339UGJS?HRRpz8wD z4B_F-JeVY>C!6+0ZhMynT5O1{pGuo4vQ6@Y9aaJMm*0Vhuj@l;!S$;wqH$;vG!iT@oZ?+JA%hLU9{t~j|N#M5k* z67ri)ZV7qxn-TqP5hpF=&x06&(j9ynkZ}fNUcd=fsMF#-&VVdBnFeH>0nvCP%YdA8 zk^z}@k^y<_WEzlh24vSpVVnWEW2F6dLe+vi&VcwRj57+GUdkxkcal-ybg7ORg_e_y z!UZQ8g^C4koX~d}IY#IQy_C>bjjR&-O)n+%H=QK(cbz2kq^~lU(9at=4q6m&NCj_t zF~h)T88VGwxaTD3fdFB!k4~`=a~9xvSUg6CUKZ#Ba>j%EA(3f(%B_3SDg1!P`>Vfm;jOm zF##kCBAzM*k@e^mlHHVc2xUf2fY_E*ns(<2V(v@CG>Cvp!y_S5T_oAwtFVX+hoxaf zC7V_{4I)qy;6THQA#y~B)m-FdAzwI(WsuO^vC!C&aL2N*I1)0%U5d?+g(({m@`zCznSnfh_Mtq{(vdK& zs~HawcLdlBW+CA*3D|>~-4RJZ5dr(El7T$NlOW>kZb6aOJnIzl;7@``T$h1jr9dZm zN1&tyF$gY{hQogSk|9Ap4b3DY#PGO0)P_KcK zvC8`|tV3KCX!e452FeMoSYzreH8*YSn_EKrPVyLujYG0&|EQ7>y#ke95Lz;F613u_ zpbY`9ujW5Q^uE#$5p^g-e6mdpi1fUvr^!}jvaJf#0ccHtzhD+M72g+nBzWTQ^XS)I z^mUc`j@rJU5w3M-+>qd@&ZWuWZB2P=UM7}=pXMj8jWnARx zD}U3d5Q!9h4(MWur59Jb^ei|l0Tr1TsoJ;_O#UlsCnwEGiJ#~IRb(FDdwM_)R} zQx4Wr4vt3-9*S{f-WPW^W!yL!*Rq7Fri>dW1eE3ylgo^hEX9b*L$?0P%c+pNjYp zzh}gx+ZDJZ)PX=Mm@_xrA@+mQ=Ec}$p*1I2(D$5tBy`}UhRZI_=}VTW#d6;#<4>6b z#Q`%iEq=;|oa(fMsK>ZORVZGQm#j8gbfSod0CQ$_Gm5y^&sT^jS`e`;kZ%ONArKim z1_o7FA_R5osuiV z0vCijYtD^<8iHb^$bCjTTCxZ)38a*)XY`|rBf@|WBXQv=R8(;?xaw8JXIKzbteuQ{ z@Ix9=_WaoIx8kdSz3%d#_UZ%Jz&=MaFdqfOdQ60on;*QD( zUg}(Lh~iO&w%U22B`5XQE*?1{D?>p{7v&0wcAjuaLlq4dOr{E2GP3yC1{+4KXgswU zPi@Ad4GXyB?4sLIfw~3JwRamvr~+-Xf-c>C>4S%_)MxDa2@@6Aby9za1ZbtRB>_M7 zJoq2*A%&RY>JueTK`kTg$1S&=1l=*R3c71#5%ONKv?0+^yDECS#P5lwNz{w9Yfyiu z1R5%u+;CEV?V@&Apy3A50bYQSmg^uN>LzI0OZC^TXiuYIl@Lt(M%x=Z-e2kMUx#l9 zl<^=8DOaO>Q^1#&-l<=ydz&4rZ>pp;Mf${IkZeSL+e!Vki{Ot64w+hH1R`H%wN()2 z=*|PQDCp3uVO4uM^0HTe)`|+K4S_}sysHktpXjLg{OWgpYtYyYTPHp+n^#6FOI+TX_+~fN8EC1;OFwNuX91 zs1?JW1nT{2J!s16pdrDr$_I|DLktVFXpRUqoCIY8iFB+(v;^G32+avpezEA~vFxO+ zJO&}-c0ysbD#E8ETPw@f){|_p(1$>&c`7QT=wQ=30qq&t1nmpl5U9nsVn`FTY-AO* z;-z68%B~BP$Dq(qTZektD?n&Lb!|QAtA#$Vc%{mES!KPXvR+nMFR84TRo07uCo3n) zDkn-RC(0@(N-8JHDkln+r{$14_1;TIiqb1`b=SNeBLMfDtVk9unrh)BVZ3EURnUd! zj%ogX-0+gqRdCl=dig7x)o9j`h+Z%TA?9^hIv9C0Gz#6U2p%&Qpnp9{l>mLuWaxmCB(vbJ!}C zcl~AcCzus12;xHKf_UI^sr;(w8-hoI$E9*)I=;c7M>sC%xe^cEaXQsEM9&J&>Pd7% z5ZB&cJzBXVcsz2n()XXp$G>&7a#t{PR`TDXu^ByD>HphDEBD4_e^vP*m=){_Dz#VF zb2V?@E?3sykW9P&uf@T=y5MH1zpZc8SPE_lE`OWb(yjV60d20<6+gkY zU~oe7MQ~NH(l}b#5v)uet!xP5I#8RFk{v)^a9S`VxUDwxl7B2XA^APQ<5D|f3=i}? z@31Ev7xW#$UBSNKzTko2K+y3n*)O;)hzt3XQ;CN@`RxwZ7gG5n(M#`F9)Cc63i<@Q zg1GLAzAxzcpxO%JLI-jH!a#2hCxwCG9L@^I z1>MO*+5CcjP~xFa{liobefi^wAO1(0>w;0itRSwNS2VtYyMleeeZjydG?qW4IU%?# zSQW&D&eJOnnJ}>Q{SM3jnf4vQgB;d=K=V&xbo|U`udE5Z9eH`B#%0U6($=f?!b)7xboJSFk787d#Ri2>9#= z8#4MAEDP33HasfX5qd&?RvmZDd6d=3;B_X$Hf@88$W$V0)L>dNhhRktWW z@4lc*^(RX0H&k~=KplNhM;~$VQKz;H`+5(3WU8Z&xUh-OL+BgbgM!ggeGfJZbXRRJ zD-H^83vLN^1@{CG1nupfB*VukL_678fjd2mzS=o;dr%UBLS0t`C zRlY00{sTc=#I;Ml_oz)@sU2l~0zS9M)Gn?;@v{Q@(Q;SBE9y5?N1Umn&ls<$$A(_@ ze@lQL*h1eY1!cP#r+c&)FkT0OKEoozsax)$}`4EO24&CI$lz_awF4z(53ibrN!q+R{^D|y=jZaJG zMXw0%3l{Z}&A#Bi;Gtl+Q;&HCn}WEQW4sSAmD|-N8-@k++Y%I)Y{!p>(p!{bw zV=<~YGz5H7I46kMToWDFl6<->xFT3B`G-iCfIK-NAXjFxd z&KrWbsw!h{QC7|$<_EdLhxG@70d;s)up`(P#DxsD&8j`R(Xsr5HX(mn@p*%I3madEkRt-rro~< z2`ma$1#2NJj9sB?N;JAc_L691uL-sUw*f&OJxFM%+3bq7sQO?>-9?i9tFRJdcU`?&)&@I4ts)og8PDpg1Ek@jQZ~u^a+>?Cj|on_LAZf{c1AoHE}`niGM>dRVpu+ zJ<0EK*^}Z`u3wSf%Yrq*HNka3`6`e3NmUF87~^V5h9DFZ+2+!l#H$yKncT|A8QU9FQ-k1o(=N%&8?o+1K!qHM8tn z_!9Om$RIn&mi^3ca3zNUJkL!U5&K9gW ze1gXn_VN)k*Kn>cK0)dk@NzyF<7#|o@Je!BH{Tn4DG^nE%uWL) zVt@4WI*GCRXWIDJw((tU{Fk)xf3A&xT^mmZr|X!$@morbN=M~?f0?{lDaPwq<(rbY z)&K1_{(Wuy_q6e^>qrIY|9E0e--dYNc}n<*;`tM8^}i_otm-*;QUB|0^}pH1|85)q z`)&N^#c#jkSpHptrz*!v0l+@)ALD|5Qyc%c+W2SN_^)o`x$le%ec#Z=e^VRJoQ(_l zaq-{r)yj1h9#O>JEuPO=w#4%Z=y!VK$>Ul-43 z6l^WXe_A}BQLG8W|Ew^dS44e#{B~3y7ykTe8~?A{_}^~he@}du4)T3c!uYcnJa}i~ zUnf5XRDU|J|5BgA)+PNt+s2=4*B8}zRW!0f3~f@-QV%Hdd`VF`(@7{ zUNdd=?``8h+{U-(!;7lt+2Z3|-zUW1{hE{?dQ@H6E%D?F&l@9O^q94{h|i5SzVt}a z=4ZQqrAJ~`|7&gS@3is%u8se_HlF+7xUlDO8-LuFQWK&6^?wZi6>atXZTw$sV*9HL|gs3_)EHpX5FU# zr`qa&zKuuU4atYT|LzI({ePJ1|MipVzmV#Gr>*|?#rJ3sXLEaAZmXyNj{iHge{I|T z@TNANdy}|`FYO=vQELB=w)!(|{I`j}szIZe^@FoxTJc+D|;{SyAmOJ`Q> z{l+$)dzHATUu@%lQ2dS8>AkAl{vU6v|G74v=WcPK?|0gG;<2iCXCfYd*jE1Ypeh6HXi#fe|fTxXGQzsck}kEZSvfA#f80} zZR3BfjlbK*|6v>dWu+q@`aaiIf6&GsYm`42Hm|Q7x93*8U&H;y8x)Tb z)$*BBcHuoR0 z_vo?8Z;EfdUY`f4Km7Th#jm|ZpIheo|3G}4uhI9k4jyw~rOyZR`Wf~+**}!?Csfa8 zJ!?7ttav_8oyz&I63;sony%L0*NNvdx8c11Zxqiv4H2KeA)a?LW^?&*@wXJe{+yo? z&$|dydHeT>KXcqBx9R6=8obL91SZ~uMbhr0DyUf%wS_}<^q=V{a{K0Lea z=+*f(m;a1-W=5~^M=GBc-zT1n_}mfCXJz5>SMH-za`X=d&esNPo|Y=N-2By#A}i z^Df(^oF5R+J7m${H;6wee=q0tXT5r3fgK0;o0f1mic-@~6D63@G75sy!a=iYWL*Z&LR`3!#{=WmPW{f*6> zzayT{e0_Y5RsJ{e1IpLoy#5Q~7hZeJz7LB(J-P+tUB2k=S@FDU7xDaRyG2andq#Yp z;%WIW`@dEEg7)X=zb3vz=fPX*kNG|=p7(PiKJOF1^p+IgW${^Qd_N)nkq*tLa(jPR z{3RW#Z|D5az~}obS!(6^k{9tMQc&B*Y`HFb{HStp=f1ej$ z`E|YTqy!`%X2kP;4(DLT=kJKW^{@0kkoG<5-zUDR^UPk}--pC6mh4#(&pRS5+vT4~x&f>{wy%&xpT&L{AFx{yr<7&!O4Z>F>W4&u8NOd4Inl{%&bK{~htXpVX1J z|6jyU{XxFIsQu@~|GiTDUKYfdeyr0|1>wi-GsLmrJIlm*Gcd}-4{#V6UH2;_2<^LV=@0b4ykLmmO;>-KfABbO7 zy@ucFuW4zU*ZJ>&_VVwT9=Y%i)ke<0R(w1UV!h}Uzwn1?KYUJnd42gB@w^i@oR81f zi|752sS~dMTg1kyuSS^^52`*@81?b(5+`~dHXMj@A!OLUpn-N>GGFo|H;SuIq|%YsOfC+`FioZ zt9LKwhs1ZB);k|>_4;w~yqBmhO#WTsc}K82m;d|Xd4|V+LA;m5^Ukl^f2{Hk#m|-E zvnGD2G~eF%2E|ADaW>cYlhl{we_lNA zZ&iOs=lxbL|9j$jM%k0w`?C1mKcDjZ%k>D3_W=*``hM}f&m1fA^RJ1&`4#DWQxjj# zr&;mk@%k?Dmu25c_0M_i6XJPi@NBOCQ{so7()~wn-_MEP{qx7{n{e3w%i<4Sudg}e z^8bT)-W{su^8c24?Qa)y{@;s#EPbOne_R7q&bKcUe^&Vx?N5v69bTS0)885K!`h!? zzK)8&_M3VqN)wFvI4Pd@TqAz(7SH>Ri+O+FA^zSsXg(^znExLX&%3XYZ!6*-+*5m< z3(@xp@w`J9_WWbwiQ1l9F9ZmihDB z;^#D8kzfC-c-|$R%H#fF*i}m7!c;2m#^WJ}`@-ts1 zUJeq!uTcGkQa<+Q?eU-Sf2a7h(s)ma=UuTL)ziKuo_7XW&*1;A`0{-J9`U@Zbt13- zsCeGDzVs%S|9eMJZ&SWO{|)iHyUgcP^!MB1%lZE~@!QX79n0(g2zmK;H`o7^=6_lK&29Wi z@#XuCLGc^;{X6~r74fHqTe-fo;@5iRZ{FX##6Qq^iVfuTM>{I##Lt$-=R3vo8Arr( zQ9SSJWx2kO{6FlyTZkmvnjThTW*D=LL6#+1wq%^A&q164ch;pZyZ4^z)?{U7b*Fb# zW}VEe?!9$1j)}^Q%!=Ka5xFBGt9H$qkw(Ubu}69_!jizqda)Sr*hpA51D*%r7t3Iy zF}6VXL5wj58w8df3}X;ufB(8fthnSoswy(~YR=h}aa(Ks>+;{$|9=bD6!R>{=hq;A ze?{rP-=O!E^8PZee}MYsdia~TrWkhIV*T^Cas7|~h_c>sO)=JTy#GgBk5E2dXY1!5 z;F{u4{}(~e|Ay4UOtPf^|G_oImdp13%)bo&gYu|+T95w{uK!Q)+b;!u z|2nRJ6N}}45as_IuA}n*>Hqf&xTbh-uvOOnFL3>9e>CDR2Ch|kTt!E!{APgXKaKJK z9r67gt|?ZqFY5bua4q+5Xnp+^T>rb^xBo=E|6k#H^2eg}|MzfBF}9N5{ZI71G9LdI zu7gjY?}_sN0M``HF5Cat&_3G3dL_#L!??b|_jsN4&!50G#m~$2@I#^p`WE@IX}%rM zbF7DezQ=m_4A<)Ve1~h%T$o|BKLn z#C-XyxTgKF=i>dpj_cosF#ZM6p8o>Z6nprehD=AZKYpTzY9*K+>;5ZAwp z@BfB)|8rbZ?#Z7N*G*hg{N|q(*I(lLcm7ypUtZz*r?JsXd*#&MAL;u4MZB}1_ZwVO zJpbPk-~R;HzlHkceE$z{{TG$>_Lu2<)c1GA`^S)%zYTrqcg6L8jOYLSzajKbjQ4+r z>tFk45x@Hh<`c!Y{+f9IAK*FVaiLq-{P;V#{=3R}|M$4290b|k{~6bmpYTKR{$9Df zUwd_KRL!yFnMT+01LMk{?C-l*U+=%GobCHwZ@=dS2W5B990i6s2rSQ_Ut`mD*e%=p z#<(_}{>bvmJ$}dW18>?3Y}YZYZ+q5+|8fHF4sSG$sz%TCEP8nwxW>TkO?_O9?TKw% zd3Jwj;kh%ghq!r;nR;n}U_1Q*JvWTO#F#p0-$yFTcI==$F}gPU8=4v6T%tvULLzi5eJd7k6q zH(oJEtVeoAFSwhab3qXrus7-%W6SqV>hJKD>)fCtK3Z2(q6Hn%yB0mto6zXt&e*-7 z^2QU-qHdcUyeJ=i`tlX)EL?lmz#fh8W5meW^Fc#zWct26paT4$Z&SO_b-gjC(Pv#` zc$~C@u&bUue--xTv*(}f-SS2;YN=7Zk?XTbH(zzpae+c$&Yj(&}N z%VLA(S!SPZ++tq4<16-yexLzt-VA%aBm6S^{r=z?eqa{yAJ#Yh0X-R7{O?Ho9ZyI6 z1%G5DF|+ufu{G4UZtw-&$1lT~jz$Kf zo86LQOOFRVC!pSDzlmz`n-eZB&k(t4dT|Mc6kgidW!biDCw>{W?->{|6dkdD7kBzLxPz?6omdV=j8#J7;sy*z z)Jc!TPp}P(%{Pm^N&Vy4u78A;;T%yXgzq_hS{3RgeJieM9fk8!r2)^0p9!X82G+OW zro>~xAV)@cO+6tV(NA<(hA4mMaGgE$4sjpD!!7=`HQWzpUS z1RM$&24Jw%HR(CPxCLJEED~XmkE~BZEyEZ6VlV-KrZMdTOUndZhEL*~{#x#U7Aos~ z(;MFG8N!EGoGD24v8AtOPFYwA7{(lia(q?+9Afmzx|_&-R%{0S*! z-4jXy_N5&y5Hx1Qh&7B_x79Z4&6;5_WrMvL(uFxX1;52gK$iqo-?#!7hlHY;6^YkA zuJG7)@%Lt6bIk)SLO(j_06EI_@g%sT@)Z^oaaPtbd}Vw3%<~baEljr;bO9pn4*`6M*QWIO^w$L%N zM(&k4GK3~N33#iR%%wrS^8HYdCiyIz8YEq*RMJjRDrNzxK17`8{VRLOIgR179#OQ9 zy&Jo4xyCiU3x0?{P%}x=lgQvx6cZVY+H%Y*XgNah#*+0&MRCzDY%!mS)l5Tb3gLuq zW+RNRO(+>R7UNN0Pf4vGpyR@IN99X4%DcH^1#hKQA+?@8#xI^788yv_`D#awrwCE`sQby^zCy78~wTi$_Klmpiwo=G%iu0vuZyel&$kVWPcDyS0M zIBRse;2WH;gQa66CoWs}tb~+8!P#tY^vk{-^4XM%#dH;v>4%e}_stYyU04Npf^JCg z80rPER$4mfINllRTII5q#OuU!do*HO8?0*s8sPzPZQb7R`KOb0lg;{_ zET1+18Y zd~(TKf;=!X52MMrAWaLjw2u`#YhJL%3T2AxI*iUp6(rHaxfl2lE$>++@z;pov3}+A zhV}ETFPQerI3yGS2;k`iBc}*HYC5x)@B`BZ|LwVxJFzwex#kT`RHjdGo+FG2+~kH7 zJq@`8ld`1UF(TQbRrFZ;hRY7(Ud$|K1H%0QOq*~zA1W7zFEK5-QjCd7`W_lBB}3D? zMLEQ9`M{vpNVh;AfdAbP5uy&_@PQkEYZHT?)kkdE$UcIyMC9h39%~%VCpcIAxjB78%z&xF%H2Mi}S`s z!#HU)jkEgu`kAo7@ruwaq>PDeuAaj!}!@CH8D_^3W9ZG^@unn2Pa)W_sp;Y}djgVz){i1rMN zxtO?kqjKusPFx>8DC%=2u<;{Nund%BC8aCU_IdANTD6&1E6a_*@QUC0i`g)km$7 zGD9xzEcqwcb`7=;n7LFbcz3f|LZwQ*f}8;;SNNuA<}lye9vP5$zMu?!!+wG)xbx&%&Gh zlF2an=<3RYi6pK@wqwcP15Eqy0u7V*F(c(qqSyx$Qwx+;(agqF#%#1kd*$9_x>x=N zq8`-R=m@fb;CmL@D|!MxHz=D>55#XK<-~9DvrsSfq1rNoj(*!t_!I6R@!OsB$YT}2 z3UXNMzabwL%ylReU&Gyjk@fXNB%k5wsKf3(tOPkD>tO zKl$Do3ip+WV!bTDB8UpEU4)x=sJ5 z9lPKDx0RQ~ef-&@qhIOC0bSr9z4v|oll@ng(Z`iq!tdd|-$dWYf086W@!qeyKeabO z#D)AP%lPw&_kRBm5asYUp!#i8%kQ0r1x(p%Ip23pV|9^zaU#5weNQl@AZG_XW8zutXbCkyNUPy9^U)C ze^tK4$CR$Hflgx^{`3CxpWXZQsM>H0=vLIL6gB&^d;bL6ZnRVNTlhcKOT&YJ##niO z^{@Qw-v5eSD)~c9T>zy77r*OmV9Be3`VYj~V*YPFDV2_eY@pw`A|X^7 z_g_F%Kx78StAnf8Po91D{N=Nk&kkP=%-)r09=&`v`0U9(`+8sb{Fr&jWx*(nu1m0q z?4I@d$>G8Ii-TI_^!cmj)lc6(DNUeszkZT#Cy6`gy0Aa2KE%}ENeP}suLnW;`pLn! zr{bTiK33)N_4JBK;}ZMf8B95P{p7`yk{wK92@9`N)74@HzgUbzhX=_Uz9C?2jZ0r7xp5GQa1ljXyHs zfxPw1$;9$zmXz`Cuh7)Ev7s!$dSufM8i?KdJgXt<=acKZ^r!F{jy_KnNOv(fet^Ni ze@&-n8Fn9I`lK`-4B5|5@tgNK?mv6}q(p^;gFwT7_}SsX^OpyB4|AO+I^KK2r!Gxp zXnJGl>YguesW(fc6TN;yW%3H2lxV;C^^?BULwP1P2VOrx6WGM2#W3Szwn=UGv%W@~ z7kw?-o%!{`kE4!r`qsBuWh`z!3u?$N2x>0&YQnzws@_@LBC)Qr+9Hjh%4r`Y}r`YvXYJN1YQ>19hC zf|f0HOKh^ndkqW|hQ*H$eo^<`6y_D#k(|3y-$k9XxCak)4?=p)=s|SOj2_fHY>kp*BJY$xKnJzK7w)z$b)bD9hj+yETH|$7qpkS-W?j_s9Nr_CDIZ z-MtSl)YkPpwRyXHooVOLpRqj=jJ&NIfJF0mW-Pp5`xb*T7S#Nm84JJfZJ$g~27|SK zXGWvf-)b&J&EJ`^z|7iai9yZZnX#}Aw|g*H`*&tECfhw2)cl#yEGQo;dT!OYyZxyjLCKn1~q?Y z#=<(6hoZRMT(I@%g1+p5q2d zzdT3hqL+i&K|Sb# zDRC2e$&7ipU3A37WK1p|?^6l~kIz3=Q)ni?+`s$g+s}~&d*vbnBg#pLXN4Rds%ar) zFDA1>UcIcxQbI%$1h1GS1X1lQ{fV-9M6N)1ote_Z7B;2Nejfh%6-xMJS7v~Oeqea; z{Fv|s22&Axfj*&3d1^9%3<>wp=`-k)&xu_5cwhopvKKwGCQnL0i1bYF?)8&f*F$_U zPhPu!R{I@nn+J_e7K=$Dpj3tSpX2WuO3_ zg_ZU3v)JNDH2@H977%=9e}5Fu$4M37OveO90xXuS+7~uG08GI!{4|k0^AkR(G+Rr^ zyDqWeN2-$t%n_uKVOF#BBXM=~N@g^b4#SmDdWzp7!3MVhXgL55-?i%prJt03wKT!_ zmj*ANeR}Zn>greLcU1`(5NIIai-I2l(}Fep=OodImLHY^7wtP*r9`JctF)sMAl@95 zxS%`L7K(wLS>&^fGSj(1$NMxxmHa(qAr*%=K^d=16+j_I!u5=r%rqQUC4qqM}y?F78GTEY4yPqsLOlndV zw0M0XZA2@wAU|j6UiiV77v03P{QS=n^YNy{J2(`9U_iHaaLp6$z^fRCO24FVCI*1O ziQi()zmUKQd=ef1>2Jp)xlWPMT83b<94u$Bf!9wiyQfcI zJ^9o4v!2xv~u1!`)U=c&nsUZ9-LmhtvoXMF#mgbXT}$z12&-C=v>t6wYTj`t-eYpd|C*)mBz2t1=X`JjOtknptz9r+4P^e&M>v; zpB_Fk`B9@&bVqCv@Mmv&qmR)WbYnSI7^k!oDC{GMlDky=`U)&_`ip{JHh=S*M4=MoO2WO~*o$O>qAqSkMp$JIchBm6pCzWbXZ*( zdTmTsO%s(p&je+ui6#k3&a_7(hXDx#n#dCKjd^sWsl_e`kq|z#XoA7=VKa$aSzXMG z$gU|d|D0d42n!Q4}WN*<-6%#UgOHRr3@WK1Q0Mti!H8PSMPT9=in>l`S2XNkmjAo>q6OpCG z?Yi`wOc+pjEhJS8<0Ke#aA*Koue~O^Dn?dhN2b=Bq)%OG1tTrZMHaIWNmd3Q$$`2w zD`EAmGj21u$)n824aj2J9pfI6j4d78euvICkuC*!Nz#gK?vr@JPx97tRhj+bH~E<( zaK*f75M&X&80-)dy1`#yaAj{`3h*ENrUyCCi(9L;@B+F+X-0UWqlt zj-imOkX`IHD})CX7;1C^MU~w8tFjC++@bP>u9lvrwBrd6rL&1oGkL|wZMKkJdu91| zINQQ=9e3*2abAHRBM+drWxm_Qz+E9ftWlW_dAUu8k^F#tqReH;4y4o?}D@XxyE_mUDXC?~lG`TIR#ZsvJiNC!Ih(k)%3- z0FDjO!_boFuxMi}V;RW~&XeD5Fag;zNm?gLvsbdq$al_;B45WcJ9?hs%_7z9ct67~ zOZ(7RxlmM{~Yu&fwkIrlH(jOiq7;L%@r7w0W)sp+K;5ll4CQpOQ7^p|)xB zTzLBUaB1^ghH&1y>s_1YbTo)I&u4}qgNMpV$Q3tvb#J>t+C1l6P@Cs$%URC1d9yE1 zS**?T+_v5F92RY!D|W8bYh?#-n|aRH^4uU3Zq>}Q&*$5y8yo1%@-k*yi1Vw@vd|Zq zh#6i2-iUdY`pU-gN`^YGf3~TcPeoQ z=Iy}bt%%R#u|oRwF*6OaT%8pIg)g&=DU28bb!tuPi{u$Xn` z%tG>9X`DpA>z^(%iswGMGz&sbE|ZiODw>n}y2;LdvO9RGrNBVII_-zMU{1ws%1Z zcSKC0Z!|9` ziZjCe*t%ex(&un*o6T|JK6rLu?GkmiLqi9Bkz&uh=>!R+?WuESIm6&Ow&b!$uE>En zlenieM$PszeA=B^J~q6-3KJ3X!L$RUl?RE8-tC)fl9(m+*u0lbj?CP%hBo;G8i04C z zKv6*JjKQ;vJ_w7;sD4rO9Cma1Q#&vS$VtZ0$$M}y?MxV5DiBMDKa?K|({KZJpBy$V zq7|uEY7XXmE0vGedQrMZX( zeU>=NeDL`0wG1m4eJ?NhL%Gq-HCK6++qO4zVrbB3FxM@@zmG!7HR!VjeI~_3W8@2= zC>i8^vP%Ja%UCBd;+4!v_ObD}pwGgH9OEq5z#BJ85nIk zNhKDzvjoPnP>_mZ<0faN&RGprS2-UG>_yT!t2$>D2RjMyk*$Yu&^fCMu}5NCV#QKu zbk1slC56se9r*}V$W`si(^9p4owF)7ZdeGb?Atu!S!J1d?BD5})eOsR9pNMfMf#?5 z+q_E}HacfDD^f_>IC&@E<+;>!&Z_lhot1S@+dRpIMWNj*rO6a|u1R8+%#z8QlpNhu zI%joz^VxOID#2G~C>T0tmFq`RTbjq>^Ay24XO(u3xbc{`D9&}9bk3>_MJ|?aOow}B zaC15H^R)3d(4chAYF1hfX~dZcIa$fFI%n0=Ijfckp#$()GJGz;Y$8k&Bzid?b<*m? z#Xm?^n}_SOfB_JBl6Qqundx+9W^2TvJGKvkizxmYX5G0 z<&H>Foj?G`hG+}rE<0}P6uNHW9EBxjn@(4Hz;q><*CP!R#Y?eL<`UU6^NwAd3sZjTnADV##vid25qO|3!pMBQi+>X!kcdT{PB6Y#+LoZiu z!=f`U<5(!ju_K^r1^%G#PJ`K|H#-+n8{gXa=6gvS=fvcx&uHU2-w?$d)o5*eYvWrR z-+V#I{r7mZVxEi;;fmF3({ZfP5~fhzThcjl4Q+gffdkt3o+1MO{+Ev4YgepT8MPvL zQ}OoN4S$~T%~_G{<3toMV2**~i7S4Uju||$&kwqvv++9L0^QjB=Jn4rz~?t0%M4w~ z0_P2wXM?Y7g05tO^ZI8S;j5FsmKDyMG20Gby&0Pt;#CgYENyX(*nDG_N2W8JjcKft z|2-QggFK82G`YX3CFhn%&JAIkZ+c_HKI$2M-<;vIJ+X1h!ts7|)B?A3IIe%Dyi$u? z%5mEpeS87KCG&)VmBp1PY^jPv>U%(%&a8fY>Z!<#M#LSq%Yau`E9Zc*&IGRJkCp+g z4htc6a{RrgPfL6k^vd!}W(j*1!;w|u@Y&_kx!a%OGUN$(#j`#MuC07G?CJ$=e*3d<0YU>dNRa*w5>m6p;&;%%q7x(2M4QR4Fuq3&~x zk~=m`?ZGY@0Sc8q+D`xV6Mk!_10}6*v2O*ye+^qL^gUR804yzVyh)muXxM5ETYWrR zFN9*YghrI!!d4h!oGo&U*qvsJQGj)Im@uHi^g|uun2>D1fZ;?k`dXvVM=(K*eg zo6^>m!ofI`Dg=;q%;zXHOL3T-J~(a*k5-F>17n4&3J1*@xhG3*L(bdJ&r#eBEeFyJ zM>@eAi#r*`n6Bv?G|QWu~K5MeV2W zD`#spAmd!mb?QHRtvAN;A zvxnC$51TBM@Ejv^wt6MnQjnmiBzZcwl>5VVcq?`c=WQ8crQXBgIV=QB=a!=9<<70( z%kpx0OEOP}u04nuGD>!EK{&YrCAE2YYcMadbbd_Z#-XhNOtHxfGjV>^SwTW;MRf*q zGAmba!lH=Iw8y{?U{)Z@env0M_g7@N3s#D(4d&+cu1@~!u;RF(J}*QGJ2S?OJu&Q{ z7mH6)?k9qip2H?5W;wy}7O$Tu@8SDL4oy0(oHx$C5*`D#Y?CUn!fgZsO=GN-(8`ZkO={VkxJ;U3_`=M5u z8Jg9+JU^+ojn=7AZJo6)+s4INrCIN&9TJCM5Pk40PukNaK{n}&h>4M4RN8f;(KIe9 z?aJ9%{cIsg;8EvSZSi}&UHl2rgHN4U6*4t;5YQ46w<74`L zQQH5`s8qX+_jLoV()!urL3sJRkYv1q+u0-T}TcUQnHHJE;c1A zu-rltQXw61Yt08Sp|+cd^q#y?xM=Vk2Sko{q&p@J{%bAtrxY%@xwLW|U zQ<{6~r1Z^GqHi!)S?JPcDb_wx{xZ?K)`-Xhra)%?U_2;J$zIb(9Qtn0MnY?UEr^PH zW1n)G=b>b7m}wo+sMFFGXqb79nm+Q7v3S{~dGdQ%W*&=6r=`7_ZGH>En&;SUAghzc zw@yprDpkxGoP!r3$05?EE`*A2EcU8cqqOpohp`Rc9B9dtp{HHo%Ujd>$U}kuc+aRb zOQ)rYiLuCqHRs5MxklKgX=zZc!Ga&>xI@%EFCA?4l&n?q!BF|^e7w0`4mQX^8o8f~ zVN|b^j)xY^K44+x7A(rfTV#JT><_DtbhN(ZhTA$C-fYn+3&nL>ZfJBDmoP0KmA#T8 zdnGqLTfy$rK675a$(6vxzy@>96sz^Nq@YmZyuuRxH_~^6#nM??lgLOydU0Y zZ;&)&`TTDf@CH4MZiR2Wt2f@h>%L(?De@I@kG%uHo;OJGq(}6V-K)NPpIs%QB zzEJP7*N8!abtEf+CQYx;cq+cUs8_n_D%y4RK6{ll4`Kz0D){@cC+rKF-U0yZ0(qzP zZbwedj3?n2ov+SMT6{9|uRy%$ca)cY%07(Xpp4Gt1&!Go@lnPT_JxpDXW->*PV!kJ zznbxseaQ)}oHgDy&+E;wqU3G%E-Sjx1RQ^(hVewwWJjF~S&xtR(=6r}e=&AyTPQZf zM%t>TWyZ$rdbVZS>%44Y5@tY&#+Ao9Fq>FXaoOSs65b*OT1WO+Zs=TyAO77Z95lc@1qsO&=_WCxyb2}Cx@41=CPu5ijq!IVxRzX{9X@# zD%05fwt8*iM9WcZnZ*xjkX$|Hzbh8k&?!oxs;g6!rq=PkjJV9=Y3(atM8sgB+I@-FVPqoh4`nV4-W}bF~w#0?+fy6 zOFfh;G4d*|m2RNmB+)eV8P@K|G96_bQW~#CpOxAY7qK!0jzwU}_211itTk9j|6x!y zk{o?Tz?twhJgh%_13|U4CC=-Zixtv`wKLZeUtOv_#v!0G2=O>#>C3Z7?(u`IY|m*Z2ABhmjOk>E|)iV z)f(@clfdt~vF(JOJ1*m#Zp$;vmJ`^)T^NSymm5_V+Yi0KcAX11d*7kJ8iCpGW3StP z>$%fOjO1)eifp|KQZ5}k@MEMXqX)R4-q`J1qcWe(<$47ja5BX)2<*EIy<0@^=RAfR zNTQ^GHAlDRozG}EH@{_nW8^=qh?Qna9$U_l>u49_`y%QJ6QYvith~Z1ys*5^-T2B~ zW^Xoj4q&nNX0M1nv>djwPF%pcn4WFw`jCX!$wQHT? za!z0yK^1fYKC;%ed1Jd?jQock(>!@+|DYmtCIx|$YB~jT(LJuo(6k-%(2OnE!ciV$t&0q#$fxq z#_DXJn4Ebvil626uzW7xdB<4X4pB6+9qZOJCy&k^aui3{!y$VZ4uKDLKe&#uhinc` z7z2D`n{NpgEjZL3PsbNhfKTa zWXUO5;KdZ!H1SsR?}BRiO@?o`de<9Se$Ems$3=HbX5k9_qriiwqs=qspVYAPj5OO| z`YC(dN@GJD1YYvooo$kLV%yL#Zw#>|Gb7D+{uV1~mZ>uu!U8k4G}AlXiPq5NT20B? z?d657(~Mi_1D^HG)XMM3##oW-w27#pJ^6WI0c!;%D1~m)t{KBNw@H~3ND`WPzU9Ih zbQOBaXEbQRl+KvZYLS^eG`7aBcXvY2Mk0U*?heoH4=tIj5?*r?4EvkYk<|q3-y6RV zd_M_{&F=75{1N5`tHDRF8Rg&{BjsN)dObBs4DyD>TrAy1(x1#vFB*4%@#nx3lT5OUVSa~)BC zqvL(=BSU8cEiV;65>lt*5G(?#&DEco<3KT0LB}Di5yPe95C93tRWq5v!{?>E;?M3S z%Us7HjHaQgUR2hi;}D8EGCB@{>_$F1Ql~4b=C9)rq)Q^#)g*^K597@CbsR$R#gUFf zu!OqC7gB-ub#xp;D4q&DO62xlVINV)Av}_`q~j2zu2saO`Z$jpvP?3H5(!<~MyV8d(f zzun%Qe;K0ywdNnin%CT4IgAL3=w-j2Wb^l7PP z`906Rviy=+!ci#0kyYZwmrLg?Oafoh5r_zE#VelmNpNkIL~xGPFClO;z*l~0;Cd)Q zw9IB%gFUNUdis>r6qX?x{jO1~)tg53veRvy8}+lwNegG|t$c{1pk3fDSR?bw^-RJU zP`9WyJ%~%Pe#N=6-q~t#qAyxF*{@z}ba@WJvd65&NuIcVU|4+{=R`yfrNR(QC-pUJ zbRJ**eWO}8PAlh)vlWl+&i`1swAfv5IN8yk{4~hbhVVt6gOx8e7w;;adbNe~L2<5T z{j6SHadsAwvWs@(ywd(^oslXcIgMuZ?27}O40~}&N2S((bXjj!S6oy_bXIC%i96hk zxFXWkx~OycW}LTb_0`x#v(-MYoE4F(i?cJM`_;vY^w2T!MWp6OmpIe)6nvu9JT+>q z=89w$k)&$t{G#5(@z~9d;;d0`Z$DY`Xz`sR ztn;DKt-do_@9S-&)dbJ$bRb~1f4~xS5&Nq#j=ue*)ti-*v$~;DxHCMx^F`yrcwcR8 z|0wO|@F?73brYkAdeLdUUOTCfqSv}?S9id;IH@#i%m=ppODH5|hs@c|MY~?9t?MBw z;tHy@J~RzZ*7i?1cvnx2cD+;Yk~So@hE8WkREIcur0neoVa)j|434jilT{tBMO;@P z>Co6Yl8$zdq-X1s6pL5fbb~N2=NBg4^YuxJ;i?sgq!;UxR8a2la_1=ebmu5~wR04G zwp$d{zwBwZTbjRpDDhxTCbTwopWpn8MA1#Es6o#_5XD;f0JQ1WAX++9ZAYqL_iypz3ISs){;L&vuWb z=etMJi}gt=D9?Mja}<5La}>SWIf_2pEsCTbzP79_B6ES}$6-ILa)cayRY?M8RSc>8S-WE}E)R}^|q&5F8VVa*e=OX_Pary@%%I&P=&_VNOL znjKtErzb0WXbL<3SdQE32P16*+he44y7i0Q6IP5gEH8j(?;deNE!;_!mkrzoXEAQW zy2Ak+bRbN-(tNuj^Diuy1WA`T^mJEfs$Eoe3x|~m+9BMeh@2Ho$RaKvtexGOY(&rw zO*XmTvs=Ivf~pNrpo+Sd!sCJIP}6R^wFAdX(u!i55eFbMR#)1KI9QjRM)R$4dUD=4 zZ&XhjwMN?@^=&nUy@-U7g3ixsHO?ES#%W_!kcuJ_Rl(VlIJ5_Odq$^zQNhX1`0>^1 z#~C&Yc@FZsMh$xi#4$SEO0&D-j&Zg7efa4W9LLgO3HO*Wf_SeNCB-aJA3PTi;Hpw@ zKg+&-lzsa!`}RTnHrjWfsu`uDutu7$%(E-LV0#C#r{t^8e8_iRl8;cAn(bP8aX_W< zyArotsh{s7CJSL*MoptwrsP9bmckKBtcLItJcf0g@sR@hTzWx`VrRJ-Ec$`p2+N>q z;l|1=L^(Hz({Xlj&Q4J)nWJDb8nMn3=Y*H&=x;&)fy%{so6%G?gIn|!YXzf^Tu;e1 z#1B?4@w3udos^ZU`WBO4vf5IuPo9`v!fR27;(~97W)v0!^5X>Y9sJ~J_NAi({1e@v zB95p8v(e6=m-PmkL7^PUMzCi*8Y1~= zT*Fz<vvUSyQ;LF!MRjY|0)_k@Ym^yX=OtI%96GIYngCqr`0`zh(WdP7As4 z4irp}h%4i6$d#Gg!uQI73|yAsUhEtVMZ)nd8qtWHcU=bN$hV*l*}i=>vfg}BDwPOF z!0x{h=W(&$xP81oF+Fo^1(ru|9fwyX2X|jT5d!|A-FOepWkvaZ(f$5gPNi{LuXHcl zb%XD+)xh)cP#!y(^(p68k(V&Sg%q;6jmX-WS^mOJ&hqFEs z1CsgC!K{zOu<$v_PKZy=2R0bzjki+%b}n$7vhfykv0kb;chphI=8MsdmVL5J)>A5j zA%-MofM831rrw4FuYOkfN}b$FP0yjt(Zpc?60LYvI(jkd8M=wDuB;Ey9kU;Tti;34 zJJNNPWyLy+jR0G)q`ss1-~{k1bid-+Rc659atS6D zt~Dwl^BrZ)&3s2)doy2B*Wk>T)U`-2DI8u=RH&C>E#Ttm# zF*~9gVs<165yMV>6TK@Yj&ejatyG*34U?8Nh&Tdwr>G18CRo(Wlq#amr?f+Pu|1%b zAk9^1o4oiuecX9li5PY$15hwlv=c8czCUt%AFY0A>f=AS>^+ZuK8t=HML!Rtp9kSj z)3bal2rq?dPK6e^+Zr9Cd3j!MH>#`c;WU~tKkQ)%H=udNycP0h+{um?XHH=Gg>n`V zxCSpeb^>>JH+H0JcsJ(CjtWqHCMYywO)8R`{ZCEn^CCT|=hZm^be5=0O3qCCs&%fdMUV#Z|=g}s>gyR5s=FBpJC zeLdG1*h6%&nd~6e_kLhavWtrD7I{5GJfAk&oh}nit4Ziw56#GJsgi({zA?TxwHj5} z$2vSXy?9%Blu;`k`**(W3|u3)n^g*y$rQsTwmUF;*fWwnNDr6jz`k{3_pDQMY>)1; zdutY=HQx>`LT_z2hlZA8dEk9#*3j(Tb?mX)4_B@`vP>rp=q;DCIhu+My1wPvH&*|R zcp@(`2&H4NV|X#;_MN<9kK`tw*x6fo%P$;zvE`ST5l>1VZKwbGNslD3ftC01N$CbM z+IF4SPYz#}W&Ypyo<1#gudNcKfdlD{$EAsjvi*|v?ZhR!?&_{&md0*>I0Mi66CK<{SH;MhgFG+kQ4J%~?U6#GRt5wjwyI^GY37t>Y3t87tbFndu7GdZp8;x4XrqTZ_MpVUwy;s8~mgbZvEP1M$ZgDVuIeTaP8@ z6fKgMjJ-+j22RJ=r}#J@Rw@cxgP9^g+we!`jU^c<&MX?aj=Z-cHUU_sJ#@yF6O(1u z_{!?{t-gO-7Tzuxd@ym!wtsGoUGJ{O%;1>3L+@l62gmz~DvlG^V$|nU*>s)v?g$MS zS)IW3%puHnWp>e7(Zzys*5^-T2BK#c0iD zrmqT2if6;T=?f9p8Vq2*#3*8;x0tEsOktkl;);>zcinRn8;4$uI>nNMer5-E7(l*l z;g=f;Js^Xx7MW_22Q7y&(-Ulh_f5~X{FWp4RJPsccSZEE-Tm*Fu!OZh8yx{3S?k)o zvEBHj-d=HueVvirvjf47*46OxR$e!-)sw2uwL9u}?Zl2rw$vyv6^u(_6Y|DlvoNlz zZtwK7eHadPr*9_=i*2UpbY%M1F`2i`)TpkJogpgieAyAwMz&+!dgkQOnM95Zd~AMe zkEi1c3v9v}wh;i=^-RY%S$smA5$4C%g*vPTX~6R&+(P$8+y{zJ+$HL4hlURNA}RUa zbb?*1_S8AEoM8}Wk=Y{`3zIFFPizwRqwWX;q4?4>Y&JSQ5eX)&mhABsdpad;$#zjsURp4mJ#cbFnk4hf~*@219IQ>=<=$R81$_ zKLrDuCf;g33{Wk<$#6E+XccyNy>BTZY9#0hKDZUsfJ0pxkYPO#h>e!+{AggSCG7aYlb3?#;@RnxkVDnXiJacJ!hguZJsxw8&_)O6~|@BA)ak>Q4EjwJ&WSfF=J;ncWl}Y z;#sfwIVniZM))z?509`g#5M09j&sx`APm0`fTH7lSf1(=V5Nkfhz&fFv<&Oq$q9ADh#Bs4;XRu?mZF% z&SSC*kbCKAq_OtLsm ziu~jur;{D@7EojR23D+rl&2XhL(VBy6fgv$*B248aKr4GHVwX`rooW_k=g>MquwtQ z=d&7(hTOfdc^b6+)?gyqNk4eo~N)aX*cJ^QmII#2@q}R zupIUQb@?$Jj8n7xo@ZZKIHIP6BXov10f$#mE}ioOaYA#JonrF|om?Ywl5uhlvgZSQ z<+IarQfKItOZ-5a&#f^;&q9NsF?9zzIs{+X$M3(iuA1i$af0XJ9a`e1!puVWwcp?9xxg0tVNJQ<{*)h->GY&rZ25YxG zwaZ+F8Wf%olgnIiV4=s>RqBX?BsbT&4VjULYA5E9>M9pg9^F-lll*rXcFaCDVE2Z>yr5|P!!N_)*5kGz(m?-YS4hU6$ty@ltUi0&*1&qxaGHOc|3k=2{KKmk-xW|8~t*(%HHXHCI1ccWbs1Up`zj z$M(eL4iz#d)*5@YhzD-?Z1jzsaRnMAj=dP$#RGS73=06MTdRVGu#Od4sl`Z8Z>1W^gqiX&!i$<6zE{Dhf@E+ z2yz zI|CHFV_xCN-OUk@+g9cgx63$iBsM_gZ7-Ht7Lm$`?AXr7_*phTK1&9G{CtM{6kHmC z2}LJXd;7U@#*EnHux5;^b}9&C_nxx|=X?8?a4K+dL( zy<+%U@F|4G=0+Rx%uBh}W<2;|rm+guU*{kr!6icx7S5ZZ8gchy*VO8jPN&}P7B>b# zbIy#pDy43bHcXi{boh{ zhV;>xe&2k!>KARl@WVw7wiRcD8Pe+Aol$JTw=xps2ok*CxB3*l;5q|)IQ7iHb{(Ml z0xsP3?rPSJ-OEavORbD_GQi4JHeKhvJ3<3SRwr;hbNEmdUwH8Tm{%if6LOOd6>)fK zWhDANCMq(MfceBLS70uV;cW&KNT)vRDWPhM{nMot<&^8nL^)Y-(^tzq1CQ+vZ)rWU!80ba-7T59Nn6C zKBM5={FeQVjcXdBL9RO4_j%e)vdmm4^L@8x-mve6vn!xhoj z17Tdi#fVZyH9~X|%G}lqM%V>@U^)RGS?k)ovEBHje%LY1bE(2FH?n(nAlT8m%3R)h zYL3(P+8y<~$P$Rn*KDb=4i#cBWSEQ4aD~>ABN>cMjw~G0#HL|fFWuhxhf}!hVcqH5 z2_s^gDLNgQ{&j4+Z8J5h7i4FMO8Z%MgtU?ESht=zd2}X`BkLQR-`eBp_yS1Z*kf*6 zX20v1j&Cy8P@EBNA776X_rbFRYnQ0A9U3}#L`ci`rW4rU?WuESIl~~%BC|&h&h}hQ z(mYkCN!(?+BMijD@;Za7Bm^845mnjavyR5ZIm5jxOhm{B(+)ZTs9Ali`=D-t|Tn66aTzRu4upsZ7|QgVT+lbG#!9?$#QOPRJ)?F>Ws~w|9j& zQU@PT8t17k-4ZD)$>Vhx$UNQRUZ~jtW~jrSGWfZiEO~BeZeS9#-E;0wR~oxg)DvPs z&Azu@EGSOnzBNK*&hiZ~kvOql-)B+}vrY(l!Y9d? z0E@!jLU)AW!>fcXN|{tC-4x;dD5>%#k}7!`()Vy8NEZiuU}H!GD3vA@q2!k+%-P3* zA6IucD1^gClx((p1R(l>>y>aW$S2Uk0=qXInVx(l44m@IrDzXS7HT?u`p)*~OP&tJ z4jDle?Rw|(tXpyi^g>o?{A7_dv~(0SAa;Hz_KSsC#Z)I{UvHh=+N{jeGG}8liI=Jc$&+7Hz9%*;vW5qAeI4MPp{D zTFwOKI7h=s3rS&~tGBph+uk>w-nEt3)K!>O6oe3R`ZA3#b3sQOmdBOW8?rb>x011K z_W($p=LFZvd&Zc_4la`N9?nChy{qc)D8tNAjlwEgLU~#qqJnV0asa4c#b|g6Frb-H zBdrx%I@Dy}n4f73bZ-j|PrOwQo*8oz?)-KICqjDaHWa`^8XD(@Yid}JJ z6vj%=(Z1906p85hB@)av=X^lUDe{KG?7me^8Vyg;pW-m-(D(QV4&b%;W^sK(k=u4H zzh-d>)2<%Hbt2t!MRfIGTc|&^)pYKaxT1!qh)!*e?-j{iI`yuGr_k^e1e}^;1Zgym zP{_&+z674cti6+HGl5S$4NoDtZ;?Z^M10D+8I3bC$hmE|I!=R}p1JJY@_D;j`0CbY z;hGwr0`bQgDi8y3D6ZPNp+3&2EBfgO8lEC_07%i0^MuTke}+L58lFPKQdVda_;9D`6kQE!*tc~ z6d4BGI?_oDN;U_~Q9NmQ3LamD(94XGTPM%{p+uL4r?B3vv$E!mPmT*qB06Yzih0MK zMd4o$#qcyd#rB4hYIurS(9;^8VuhHfJQZFIPeCE-+`i9S8s|Dr8lFNPKf2^G0}myT zb=Xse;UTAfo_78Q$}QXd;lU9~V^@lL((n|3$5;;{LBmrB;m&{{G(3fdr&#hZfjB$` z<(+D93K>ZE$RSSQi7jgdao=Cih!nheIKwqKg*gHwgIQh&S|;aB!wr<5WLT*Nr|?98 ztybL?ZCF~tl7kdUb>B&FimwB6XiR~%rC?HUhGU2Xg7_P8q%Yc4o!}6=*H5CiNXVO zyN(e#sUBE)>;U`gjdEUP_1x@vZn<5r)QnpFqWexc&Q!QuUOrd&WHKUtr3$+5fHn56 zbyiDPqJ~PZTgQiXqg%gMDtHa|MMgjHaen9+GsXsxTDp%g@w|WYYnqKu56h|}Y~>E4 znuc_IWV#aH@@#Xo$%s?oG|kdwv$k(q^bNrFTpR|;W-_fXF-@s==9QfkvF2#x-kwiK zfjt>n5uB3%5ub&KAu3BT;CUNRbKnO;d=^=tq7zb8Y4m~P{g4pGO2R84FR!Op4FML| zs0pk==30sGJ_|b3Sv1#Y_nRuzinIiNS4u;AD3qyr(j~mWp8dR@=8`*=Z-2rT-IaZ08lTR-ONLiQ55hj*{8k&;- zQemW#@qj~MZmiaXP6>`J|HXtgTSlYVt+y*xIy2b#&}i0LA7baT7KSlQeXA{wP4G`$ zuN|&2btu#OwqgV&e+o6uP3g*Ol_khxhu?7Cu>*9`Dc(2M1yo3kSjQfp;!N+yAxn<` z#`1}w4sSnmXJl+Yckhipp2DV3=M)Z2S2M)K`hRi2|Ek(ZABJD5lV*I=4V z^{iF>!f02z_2d261EvkiJEk{$1M;F*=mr^I3lu1B*L5aVPwkb(qTnc|k}oIb?xAxk z?<;4mrqQ{mS0mD8X4N9vN7m5n-SN|ODx@E)U9g61;Pk0(;0M(s`K)UYW-FKROq1C$ znmFwIb`zC$@^Ni{@v6%JYAT9mvU57M-x>i&#+|swi*2GZ_f~hiA>piV!8CBD z*yyh2K?QU8`qDs|#Z9wH^AIswbC`(q9c6HlxdJ>2DNajplqr;C{!Ctxa>D9M`*e~z zN&2XT8NB3C=3|azF-FqH*@|B{?rnUHMWc4&x;V2MXLLv{CUp6UmV`EGG-mvXs(36n zWEp18+G7?H7vmifU1xDcTh7HSP5W^PWBtykQO01Uz$~VRhYnj%V|zII1@4wNOd~tq zk8bc~!q4i-I=6)twZ>^J3}jFWFm_?=v;d1BGpZxPxMq@hd5*}sIoFih9NC6OG}VZv zp%9QPJ3|VHN2`m04dyCimW;ow%Pa}b6w)pqcF8&2dIwyoJKX*Vr(;U?DISP>J7NJO zcFIest#V*w4D8|5gHP6V%C>(xGX3k=IAx?LB)4Wu$qn6$=cph1u z!1c_bx{)iDl=!~kexmPIr|}|w4tfTeu{7CquO_!a1#(+uB%&W;@-Op{A3muyE??#l zM+)fLJXP{(Wd~tx)p|o*z9ZF(9g$W9qd`!nart5kHtl+rvsBKiJf*)ZGnbhf1|jdB z8XlP#?R8H76G~E1jSP*)m-4q{v&}+C1dYdc;!d5u>DiXX>i5rpC%elQBo}r1AK&atY+f#T<<}=oNW#k@fKS#MCLOi-htd&JY9O z^zLFDF`lp@hi9FI#XI2M*A)@+!L$Pn$2TtoFABtXD1N8m__o)7s^R!F9ACkNu!iG{ zl5X>s#(64BEJbG}dAt?WbnUUo0Ta<&`RPdyC6INfZidAur+%Jl`UXZL+x+1%5lUlM ziuyEf3>8Q%pMWN{#EdXdgigC&Ac7rPjcA3~RF6fKL=mSehoFYVEn7bs26={b@zPeQ zy>ABQ4DxyY(5w*LXNZ;H!MHpymsLvi@Di781LMW{bwOC%O7+j_gt>DdvkzDkR_p}W zh62E4t+B`ohrU(I%;=5deTWCk8{jxxmi!oA3AhLVP06S}_Q;z~0>cNyhUM*SZch}q zAhw`Pz^z=kpg-n+P@=@v0T&A)ePT_yzlrT8l_Y`pN1rf# zt8hqvCylOAJ*!vRjpkdUUVB?N+V!`M4ls)m^qno9v~g1O%#%;pfqE2`GY(`Pow zW)1~2tI#hqyiscC(<{^Y_>{SU(sOAerblFm+E_XO3tCOrvC(3nI`RSyMN&W&U?lH5lo^iiv1L;w;%;>3`tIyR5_7S7Bl0DN9J#+*pV-086}BHg=5Y*ZGL z({6N_4zwaY53zJ4EDL0zIz;Jk3!#9fjJ2YS3@oJ82j;B)zJ8_%vAO&7;XHeYabdFL zJ((m_E64;A#y2X<& z1G%y&Hia~f&IG7*?97NY#z=_CRi&#C3JcYaq;O@MSQIxSc7cG1CwGCECbiq}fg1ld z=2?;1N2;|OG5Cy`8D+Wf`KNmDqNKhI&5zZTcH%9Dh}FB}iXA4$OLlNUcE^+9R-s9z z@oz&s$1K}3T54%s>i$<6i<4nPrOdFE;u$plEe?+wTZl>W%cUqJsWhRWOB{gc^h-G1 z(DptF7YJ^87EVUQCg9~+x8x4!1#v(kVl1N4_&JAZ#O%UFGN$#AwOPH!zm;n8@_@z} zigLtN))wG74(bebdD|e~8M747ZtOSZ4Xng0*~j_i3WUWi3;;tjWvsl=`Taww@@vRj z4SB0TY9-?})`0b040#*hnM#4|hEh;WR*{yZA#b5(#Jl7z9d*k+M7ifoK9qSUMt5Db zH1!N;@MGyjc?@-W#=O;#x3L+Tc97ktO)KqW#Y?ufcDl=&cSKuTL*BlL9atPOl_F@< zA{j~~__YQD0Exub7jvzTak6Up#2z-F_YEyiG4JJKE3m^)L*8o0TWch;OEu)JRp6zn zA#dg3cT?+4%&aMv)L`~M;=6CGlPQkj5r@G)iVG%BjZ7onYQ)<)foRZmgx;U;q|=DE z;hCRcd$Ml}x)m7*g>3`jrR$lFPv>U4PMi^fOJ-=w>#%jvC)u0hIr`S)#C`DWz}hA1 zY{wo?$8ey?tbeQDo;qiiGYqa{Mo;$0sd`9qB4EJz@ga=?*SP}g**MJ9T>nEO@e1J*xqA;%<3Z8Gx}7KR1_7&r+;gyKNe^7YAv_~h5 zZAg21v*Cx5H~>j{9tK@dxJTr6D@&r1Q5tzs5;`aN9`Uk}6VjKEfwLo8t!^35i95-C zXf(c{nFw?W6FugQ%tOpI4KT>g-fEGf$;?&Vv2p200v`fQDG)k2xp-R6pb)Q zsF(fkF2bNtSHg{+P$uyQt11hEl}KfXYewtfUWz+oDZ5 zjW8&eEk>*8xQQBJu-W>6<4=lb@6CWrS%4Bdn~94jt4gGG?Qs^atq}(AI4pQ9Pov&vi2%!ngs~A~x@I1F5;aKmHE4$8eyi(j`t~It?99^2Bu-eu^g$zwHjWCFG z11`ce!XUbW$D3gvFco;lzzP=zG^D|@*ba+~W#Fh=zU=@s_XeK~YlOi!8euSxeC8ZYKXY_z-uVp3=G^?2{f#*zw%5lJWg%2Y zZtr7^swG@3h1R?y+BCwTr4a@#wt36~O_%c>No73`mL`Om>$ zBg@QVscM8ljW9@IMvo*ZG{Rsa@U~#=!S>IsvFqK{EIMc~rZ8`>8DRSwVUVM;@ofYt zPx6#eIohLf5I&7CIN=fDa#vE#_gmJJAVxgf&6VfSX@tSVXx+zJlV#?i4{C%#p$W-g zF44L2lJiZuaQIS@SAwVBgSS{C4Bnrl%6Ih)Z6C)7A=Pb&BMOHdWQrrTYDe@YjWFog z0e}R<dB`(#1-nBjPtr4&c*J(m#oUKygQcchpj~e6gct6`p z-GDJA0ZulIKu{CKa`1xZNSMxF$*_5(*<28(F_V%TVm!LjU>?Y$#IK2@2e*1eMg;t@ zsg#HfU>>P#LI`{PWNc4tV{+Sf4Ce{modxh>?_L$ zDlRfEh9gTL-4{a3-T?KGoj$J*#oC?84)aGmaM~(kzDLQ;K zTltJsBeFv6XU=4mB2I~tTTd?)6>I#*t$<7vuvmGCNBAI5o$%1h!e$+RKO!SxQ%$!@~I^3CAF(LK@y|(NPT2Iy$q4X78?Jk2mBF z^X&0^AV=drdWfnWY5Yf80WtOHAWG zqANtSLq@QJ#(z`;CS?2rViHXcaU94B5lbtIliEEL8jb%b)#TDbJy}Zb3`IEy0iM>C zS$%0`xs=eB(-U@vx-2M6Tho#-X@nFhM2zj`D&lyhLx4Frt)m1aVW5_aCC&=u4U5Z& z;*FmIoCeMH4<%wQKYZTsN9K*SGnl!Fps{o~fX07}RR358crw1Llnhr|+?N{vQT3^S zo83c3uf~6rQbOZD&W{^d&R)+^+-v+t4`I!Ujv!~M#(!K5C@RM}iC8fI!ty$I<12T> zy|qI9jPG*Gp?a0GJ1kF9Ji9-%`jvhk`k&t#3=l^jqlh7qjuEzBKCy=lC$NT=moXc2 zrcf&D%JwMh%ykR~jsK|eA2t3XGkfK-%F_mxW#+L|HU6WrhY~ZSisgf0dwwGeHa-L7 z-W5n0jsKX4@y=n^<;fm2{$m_$Pxft5+4;zJtXt2VJUWxeQDN5jk8@3(b%d6f#@TMJ zJcmx>KWh9(mKpQlUMvZj*a^(QimOZ6E`wNPe8I%9B&KU84u*XgQgY-7p2ZOo8&1z1 z?;Jv+q)y{Mt|OKBG`n}96xcNJE(yyq=6Az{EF-np^31aDPI_avANeq_@Z>ict~ibV z$RiQu(9Ii2sX^!AywLcMGd6(>CcYSE+6U7PmKMf&vyWV7oo5FYQM05p&YPATrLm68 z&EV#8uHX%I|}|ETdF<9TA(*%kWN28?NTTyxvX z+|wSJ&am7GW<*!yyq}#TVOmOViIjX){Kt%pi2UFmMX2y*_>Zy>5~msfk^m>qTeZgN zSA;m(y6j$DcJCQ;vRb+5UbgE>{J22nP)&qn0BT^%uh!?S6HUQG6n^eBBpH?q ztLCI_4et@wAY%u4j!m&1(2fRxOauolg`e>dBNHaQsWXhlEoa+!Ld}r~>U@eb{0@oY zBw8&m*|XW(3As--0@mr?O~eVe3sW}*J?%z^DM2gJ^AIB>b2c>q2&z2zyVy zWp(XjgWajh^xQ3-W$@3^4w3b^vIc5>7q-eA zoJncBPHBRZ$^*Ydn^iuJ^}4#labO63r1QUQ#}7;g^%Q9X-TD?Wni>ES-U<;H!`%;x zw=fSt38}FtlXLBSaJ_+E{0;P^Lpe0bGyo))6JKIkw&y%uYPqDBO;#E|_HyL*J}NV8 zB}_ABnX-K-GFm~+`gmp<08#@$Y5+(L02%U2$=O1$N5m{MH0I^FWm;!mS}kGBlKJ1) zWtJj>N(p<}h+&4sWrXo+07!f2gwX(tK?_eurhgq97%sEpdno$}V98`~)#7^2t+DIf z)hx1#AE3IX>%4bIW?+x3PT+dx@F6PI0Fdf7K@==4`B@$|kp_U2TD1m%%)?&aKrSXt zKMepmBSk?2KxzO;`)Z{4-Lkfb_rvwXU9RD!@YZs4L=6CGmMtf+gS*h1?Ux(X&@FvI zUTH;7m(rrZZqBQz=Lao^VJ~R_$Ot^4PFSa`R~YC*#-M&^wQHT6M>a=+h|Ln(2MPGd zTG!@{?RtD$?@{GM#Kc>X`gAK`dTn$w1Ge3|yo@SZ@E`mpW6Ze# zKsvVT9~l62IZDGnCZ5GJ{-_}noFn@BiSina#$$s&_N}Ywuzc8 z9hk$C-7kH5@cFanzjAnZ@cH4h7YYkZ0b?ZteA!4U5NiT0@uczAs5fhkN;6%t>QG<1 z{C2(ag;A;1+8O14dvI{@{NUA59u-wDE{#eXh>Xoft8-+uF%84XD>^cV?xI@e!x>I< zs-;l_@Z+D!0A*Btn(K}Vk%09pk*x`64~n4!(VrUmwlZZP=CPlngM(by&*@pE z^UkPLtM#)wfN)xEgGLLnT*=I_QL7sl?Rp1HBVAV>B!!ioU!HXv7iVA1t}YLbt5)~r?5gsitYg&bCzo%H zYAXw5IUjOl9i8swNqU5GnGGGI{$;ma!GGmKZZuC@_f}cs?5zH_a%MD|oo@TG$~Z?@ z^}W^lZ=GK>)GF_#qQ=7rkYqLYC_qG2*#hMG!DlaXn1PLEqkHd6k7gSaQ1_~`G%wGM z)~V5XSE;o=5W2h3sdw*5I<=||<9)r|$yD|7U_K-kc%P*g%K_=G5b6gTaZ&_25A5O8 zL%yNwlxuLCR?XhE_1;D>()eULXpASLblsjg-elIrD}7fx>)2yftw_pQ%qBip{; z_g}$DovM9q)d{hkOlEdnPpSmSwsv?80%x*M-BMwYZJoiu2uQ~JufM<552^J60S%Uk z=EFFE)ZMFly?Z@I^OfPlb-YB`pOv4oh&KET70c3hl>e_j8 z+E}FTUN~pfg+?S|iQHLGYNSXu&v5|ERf?YB?c4L}URy~sJ@~WLJV_V8*?rKFMkYHX zIWSxJzC*Lbt_AfB_5V9SzqfQbmYh)X=lzT>0!W<|73SU|!g4uQueXHPXYVtKPxQ1( z;r&`?@gQDY%K2yVC%K9H`%B^*$Ovk~k?ki^l^Iz{rMLIK`{#NM` z)-H6%dCp!F#XrB<$a6$|kBX7eyu1|Ke{H^Np2k6PYqV+i6!qZ9JTUxYI936A#byg< z`8SAbYEXnz7olV)0vREn5NH&Ug#z;rsK9ZdNam0X4AXjBGNuBl?keT_k2uHa5@>OO7WB0I}HXfkY7pV4w_)#hj&mAq?cx~ znp3pw`*Sqv_Am$OH7+rw0U;Tx1d6k`}g&gppaNjpfB$?UtsE)2j3?ZCOC5rpj%Z_Y*3b}BMgxOkyhz}|W(3e$dE z5*J%D+{(@Te1}z7c`F%xN=!yB-cfwOY83s^2jj>Ek3^T^I%gPjXMrO#*oxlxg(R2U zsJ%_br}@fRE!?K}ZEJMUhFHF8Xw)&$B#aLJdi<5w-Xd9y0>0J0r2PJ+fNqvN$eRFXeKv6rnFio=3 z;>sMlq(|l?JgxHH{zL#+ayTi{+Bm)a3^?)BK~BzdN*r_Q|vqmRIuMef*A z0Z|i?isL*9AfeFY*9{4TXunx&c`T5ChmNXblX@t{^k^|=eJ}`)z?_5F&743)g68rO z#}S}_uh4l+*i0d@?tCScJ! z0QE`^k1@d=z}xA})-ZK#%tP8dP-R@-U(Ko5#}Z{xCa&K{X^-tF&=R4gTVv-MNOh5& z1oRGpL!(E8i;gK@4!~{=W#bu))*BTX$j4>EO_HjtZf`*x;iyCsT+jWcqF?Iz)y$TB zjBw5R^$`_B^3wau887B{)b`m~JbL#_2e~;aKs(&#@x{Mf2eEDEDk5 z0BW_Fd_3V8$`N77#}D}+*!~EP0Rd-X{%n~Ki0xVPF@P9*-*Dl!gKjgO!EyR6m3N7C zZ_Ado(FQz+Pu?{28`^n-md*=GCLEa1b@-}*fvJZ|hm*VZ>dAy!?YWzs(;v1*v15=8 z8Lg}Fc95u5P71__0SVy9ryl=Y*u&sQ@n!hD8KcgNdk}MfMp=4R0|Y|(QX6Ia_V&s# zs3WeHY<9d|L0{Y&w~nA^@pNhgv*ieTjb&HoBk?1^a6fcd{<%Xb^&2s&m|`#jL$1@< zUS~YQQ3!NGG6KpE)yNdy-l=3D_~QxYv{r|U;0pU2CIZ3}2M-t1{kqlKNK8dWLe}_s zd-T)oxz(lh^H_d|K^2jkn}v@=er?eznqm6JDwzSa`-G*r8MtVjv%&}^aa_4rd8`sk z(O@`XZZ1ygRJpkTk8$(HE9K_$9)~A7enXwSyb=7`*`6G5?<-*m6XFxb$n}1hejoY~ z&2@*X0Yqt!;z}_o<4Zi>rZJQ#(t^?b@zy(D<|qI8;$?kDosdg zImJ_(p5~_l2`^-R3dvg>65O#qJh;B$<3jvFbysFuP=(daIY8|HJ@-%hC2RTUGNCv~ zy!qQd;YOm61w>=I(UgeHqbe>#;DAxlrF4)EA7~}_W^Z2v2L)|1I;<9dqO!_n53F;3 zQOs*v4%*{)7Q{WD;ZRf#ariUROGeb)Sg^a-3rYB?p7>#&{}6{Mp*-=!y!aswQ$l&-hxz`8 zI7|uUDIKP&5uBi~Oat#!k53AY6Vi3m>hVcYaiYg3!8_IClfvOdk57X4nvXBV zsq_dF(wV#d{mg%&Tb$Rg$K(g{H6Nua7*6jDb3pf+504ecGVT_$$!h5uaL=d?_|z+` z^Y`axKc{E8ac}gtA;SEv{Zprca#7oYbAFbdB>l4Buv^8gK)Lw%;o?FkDB8U3(Hdm{ zDi@}u-yFQ`sYKGG9D(X8&1}Asle?OXL)r~AIo|$P^*qg$*jXB6soWqlx9Ejo2@9*G zfwK9KSg&~J!JS@I`4udMb1o*sZ|SA-UKm<|m6V`tZ*zLZEHM;hM$8Z1G$-qPA<;eg;cGd|1LuW$D?H6yn zd{l`hReL)BM=F~W8r`;rRBlDEa*3A5)F9oVYNelyMx$riw)4em^lvWTMSf%L5al=M zYW*qc(+J<7-|@obJMCJlv##t!lAwyR$8J?A4)GJp4r2KnKRMN~Sr;Fl!6Xf7C5Rg-K0Yc=4ld zA^d`xw($Lrx`pre+(Ii1LH+?*Vp2WXn=>Xh^oL@QDI2;kpG<7%55+E1HgsREnb^=D zig~7N==MC6R4L9bZ*UFW4R4`OSq!QAWBlfJYbm50!wXvyi4}9 z>P^_}aJ>AF|EV{OzVSboL%1VQ6!$H%t3F+_D-3Rj|2?Hg&fhD@p!Ju({{8H)i!=Q9 z_li3m)2H{pd^GTh`~|=MeK>(>KXit0MbD;AbeQVm{O^@_(TTDzQ99?6Yk@9G$5wWQj62 zJ|p>nZwSg2d;^~@GWbULZj4>@&7NUfb;lU=JI+<7KCqp$>eG`mTKW@}Vep~Di9Q4q zB}WL~k4@%XjGWorosMwXxIm$2z%#50&c+~hFsce1%@WS}F_<$lP-29&vp#uqFY$&t zC(RPh`O9u|(k#yW`*`Y16DLMPh7|mO=iV%hy|aN?AW_Q5G*&ormJG=l`49Iw zu~Zr&9I&?CQWxJ7f-ruy9sTC?s~XFH*oVF;h72l?s-k zX{;SCHnnM*j@7#E_BwU!CMhK$L6K?`&Uc}3DCI5o4hDVYYK5ZXhZ;!Ei<&GC@!5_l z>Fd%kj^u6s;rDW1cTyuT-7kLlz1-K66z=8wAAT>lcOpgkWx^}>W3tT@-^jjqm5H4_ z{~?hzWoP&0rHP&WF(S(o@R6KW z<=90-9`_18&nM4q_}kRI*?h0jGJZclyXsyWMcAl@d27{8=gMeV&9C7`$i3PW2#J_b z*Pjk2mj5S+?+^PK1>>G9#AT{ml8!FtKNSIfs|`=3nDnT`<<3!we5ayQ14rvwqrMqThk6V zN@7!VFZsTt)Y%GO+GFdZ*R3 znpXST>GW^Ro{+tc)umV3U!C8sx_G#5Hk(eE_f(8N$PjL96Q+cFSoRHK%4l}SVfp%r}X*(e2vFA;80%qgd#JZctSImCZ?XtsZntc=V0FJt8 z1Nw&3>kKfk)5WU0I5L{++60WyFP%o+fyk>5dOfqDz{d zrQqcoqt~c+x-`y>VLRu)&~Z38fIjUjeTAu&Gv(j(ty|OS8a=EXSaH=f?3>^j@mAxX zkjCr)vEuHa?^vB+7fcM>?O;&=l?@o6X9r8YHG6%aYQ59rXBU5hp-C`Zas;v0As(^; znyug!u|m=nWOmn%ZHz9V zW&jLokZR@u|d~a(w%qy#I;jHY>=9l;oR-Y4MgDnJlj@iEM-(auW{a%MK zi(swU4IGLE+7YM$O-TGyZ`7Qg>9o!Mmrn1q(*^mMy<3jDa2ol#7@X^M zJwCczCO`Ow(RKdWH-P3n9Oq3}Vl9PhIc%5Oz~I~8oEzJ=V&gWj8CQ+Ox14t{R`V}~ zpYgRcOdL5l9-(s&|5C9=02(~fG%X+{8w(?Ik!g7GQgy=#=kP!fE$O3o98w-Rcw7%1~3pzH2yVbt7g5E{2@SG zqQ0I9CXpBv<4NqMhoV~oHcA@{=463Bqnjo`gCXtwUcWKg;N|im;X9Wm?a%m54z+W;Sylz_k`VH|P0I9;q=ad4+iqqXq9b02G;>`5Mx`u4& znjksoEP_({#M~sje0?{aCYUyzs&U(~8pLTq_{32JzqTRw!k5Hi@Fkx6(z8?&5d#v# z;Dia4qE0*5A?<|d!>UeFSzt5KwMGsPM=gI9gDXdCe{F-LN#lt< z16>H~yKWN-glbXZp$2FHTvo26!&M3P5>OmU$-mO@0=$i>9PGJ)O^0}GcECq^U!C57 zsYz@Xl8EcTGyGI~UFLPZQf;oI|1PPEabGnQ`SL~jP+=JD+TmV%!$c@ur7W#PCf zMg{q|I4M3l@hLuke0Dw>s0>~(NoY*?CvjFG#Xtj%%?<7hS<3tl&`ARj`6O-VEL9(W^(j)$05>Z z766H*z7>%>Vs#xZ%%K?f2ytVJ??CMZz7o$g`}CLV#L#{kY&1I)fUZI8L$Gaya-y5V zmIVe!sM@}=;;gw#FRF@f?Co^xBL+iYqXeRcsmJ1EgI}fCk4*uY0A5%p5#-!t05Z8k{80P7=imou`O)n+z96O<35i};k_X#96zu+>WA2v8L1owflEkW_!$gI3M# zN!1I_l58f9N_I?a9pR5c9?E@)YmFQuy0>vP^tSOe23O0zvp{rcOi;NQI%hd$Jy7-di?8t z&#FUXOfw0@i-9nL!U$>Dk|)ljlyikYYZNH{kUZ@aElez$CRsB~zfQId!!8LHf00>K z>v<3v9T4L<#!MxQL(24sS_hi!7shQOq$G}37#CR*T0BJ#m)J&&UqWUU@-IP*;)zTZ z3%t{4!x@8X0&sECn-rB&(e?Sp3@&OH!4kw*;IAN{e3}*WQt%y5f#$Ye?>2;6b%di=YHD~SA4gxtYAOg@t@DDuut4OR`ADiAe%6oxm_%S0lRe;sP) z;deG<5se=mz-~dY=I=E-5X?1L{Qa+8IIu`>W`;a*7YBzc9hS}82L4U1%howmotD|^ zFyB|}{KE(JBk4imkCC5#I=@hU`bY5Gd8I{O$nY&P(t=NLbvoqlV8=%vI6jkO3yXnL zBX|+#<#hwdBE2r+6^ERTFlPDVIC%b#9^ege9s`LFO!6=tm#Mfo);_8DG0!U>LwyB) zh7`%naF;*ahkG!bu-mcwWI)%9&urU?nK`1)d_13F1qB zBd0vCD5BivSYZ!pP~;rYf|Mq|(VDPdpmMX>>5>70)&E9oNPJ7@#5M{9!!%(AETJ?W zt;2f|`k%O73zZk%8}dpLH9=MF*RDXIJ@dDL1*wPE$onKL<*orM7IO!`GOrhws!|}i z52YHG!Oz9}&Kk8PoP{fQfCo;526GD&$&%s<4?J)V7A#vZIqk6Eh_V1QBd$mqeG^J5 zY4^g5Vj4X-r3ui)Pb3ZbOVBFG4R8GpnPOZ2!v6{X_#dw61W3t;V(J2ZCtV#1QIorS z_+UbnAT1v&m+CbACUqZ)y5L+l7OW$3P}(;zSNJEvg6JeeT%t$#$WjE?ICCbr#elE~ z8r&Gb#AM=v7c-I?w6Rcf+o{jidvyR4yxOoJM#yf^ZC z;7(max+H}L?$!i}{wQz>#JEZxptW zTxrY%RevV(kuIn;!$Y^o^B6}(uC|8ih}DJJKo*Az8Tk_+CCzpN=dpR08Gf~lHVJ=* zf=*)q4VK$->bSQS-9zSD~zv!CTikT=#ie$w(BO0&7Sifs70h0`}$+}HFk)uX>J*wU(t2kd4+#R z4sPI9{CMThT=UP~1mC!T(C+$gUeCZ@x8} z1LAXRXbzR&od%rLw{RZd8c{47VhT>`3;DE7zJP|5>EtR8rd;y~-DGmN9Ig=LbNw3& z%tT=Zf{ii8Vq*h>H87Pu@~a%<_ZG|_az#V41HjA=PYMP}NX9}KkdaGMVfufCP-QA1 z2Q>1Cn5q_khG_-DWly&y5Q-!dv5ig#wjxU^g>15F+!z)k!&d;|1I<}+w2<3Sfae54 zfN2YC+oeSf^O-J}2sEBP>tc2T2_(#Z_ zpwi-u7`hTfgfkbvw4tv;i)oU(PVPUh0Xq$5SYi><;Hbd^!D{?*2}EzlwT>bLm5F;E zc~u^UBgjCgO<{HHi1{en1$msGwSGEl7*`*D`B4A)x;T5W*B0=L2&lFVbGzO6xi}l3 zz_8<9)M2l2Ct#z~f_{;{6&3LV&Q>11m<$qh>aq5THkrS z8>O!Q5F4dNFsCDujZW%m&5#G}&+X2awoYskRl)bJ{*PMsNVQ(NP4{6qdbYhty_p?K zKJQp*Wmj-mEcN|xX|sZ|9Jbh z(tmU-$cvx4tao>1KErs6iu>1mws6OlyXVTV@-!Q-CT@k21*kny1$~Mt%SZQbC~v#; zMytutmyZMmZx_`{Ju&ETI;QtLpWftUau=1M?_vrdF8p7W**!gwHrm^_R8hBb8N4Z= zb^qd#)gd$7$R5~jdXh|NDAFLEE zq5--jxJOF1>|KmCZg0_9QS8t@LCJ6@Rd(Rjo{N4l|H)oaFj<1!z~v#_ z*nQ11P9xl{RFe?3*`6B9QA-qVFPdG@M8C z-B39f%4|i4Oqe*s@o>Ie^hIv0P$d=aiM4pk=Rl4ws#a)vb;+Dl5M1(5bp;w^hNNvB zrjICQdhMgbMMPkvLlSXN6%&-f)LZ6|eVkybCKX<;t^CE3y_a%$JO~GgvPG5q!^!vI z^Mb+98ve!pMo#ke+U2LLt%b1lNdJnQSZEDpYNAXc6&EMbd2oU1=JxZ`-E0zpEuF9Y zQeaSsIdrCsu4=Q@bUgGuchQ+nM752L-UgvI6+{z@yY%h|yb<8y3@~z5ir5Q{hTM!3 zm(+~{vrV{R$-}zj2kSl#zj-s!mU`onN(rp+fb zp*wu)xx;Z(ydM{)J&d3@=}C~j_}(&RuD@EC9%qwrAJw`ed?cOcM`{ph&?PmV3>NJA zaIb!I8FzR|eACGmyoI4Si6TdIT!hmL)TyyLZ$V6McsGes(Q7^;#^O#0B5HyD-5<_h zoIPk{dQZc@$QVWs7p1GLP=(Stc(kv(1{SW9F900xY4y}ay?4Y&5JmN=f%uT!yhk~D zI&=k=Pf^$yah(ou(DKGjT+sye4AAK<|~G3el2TFrB!V1bDVZG3Pv+c|duwR|VVv0Hy0P3YR7 zsD9n=WEO70KMH(!?Qq8I zYvYPYn@=17&CUnRxq|Odcvv~=1#Uw1+|@^h%)1O?o*4_+fQKo&z*zC+yKUxPa|-L{ zPdx^LX%%A7eEZ?vtsa~w_lY+GbJ*?uaD*HCg|nPF{_H7w zb)TDGq@0s7cE)L89W(#AM!{bq6wh1Kd=K$bVaT5N%){$=ICh)j^@6@&m+zEs`C|*J z8qJ>KEYt~GkRdf7_>n)%$RZdm*|aQzw|B#ZE9~-57au=<_!(rXekbOi52v4Si?*P@ z^cB_^1NAcg1;PPy!yain=uj)ZJe$A(XPwd0b|5*X+=}2mg@LFrV;|nX|CqTCL_){e z2;Rt1_g18~hg^pg@6RB))D2RQ;*gs`6?}QOzqMO{tF|S01!n*m<=Ha z!9E}@gbjk%Ld}>_$oMkg4hO3yUdY7$59j~L<^mUI9}WmS*52}o^g(cL|HVC-3=(- zbvE;~NExAZXMl7_)LeYu4IK6bA9f)^>h_^0Y3lfpc3xK4yYu*hub%YJH z_5PP^P2iA-$d<^PUGD*7&@Fr2Illk+GGajKRlKVVhzzmzB!52JU9aC1HJ@z1b=tx6 z@o=LuvYqECr{DHME75upAicXf!uf<3bi2!sA3CyK_`!9$*0mn!38x{Jf$iM+!np}# zwpO;X#|2MZ9?PX*;B2{n|q?HUM>g$m1G7P+jtG1U6snJM! z5+oLxb|Ns9k?jShp)#_a31P{WbV_77rVdtDMz+ga=E6#&og&*0U~XxYMrB~TECj`| z4*p=R=%@Fnl%Rd4K9qs&tnQtx+9lYr{K#!hS+ry2DYc*-ys;s9z1{lz;^GY1KT}^ zL~i7Ec%Lv?K3J~kT|V=gVCJxjPRc@WVmdv(Vs}2PdISW)!p}6=|l`uJKHTkBZvj;!6S5J4Y{|Ub6_*dk% zRKDfeY{5f&!kr^wE0}5<$VJLl^4&GC!!QY+7u%s3_8`Y_{ZSLlaK@brvLFuczNgLsH~01+-GS}Jf;iq&U@V*cmKT`Z->&c9sDR{qHS z0d)0(Xr+4yhHQi<=wrSv~fXH(trRvLj+ zDj=3^GM?v1Ye&X?&j6R=P*h4_68mJtA^a98Eh}6oyW_DtMgk&|;qSeN z6?!0|L16U}Ei4)?HL!@B6OYfpDTIL<$<`I=Q~ild`s&J~d8o}`%CN~qsQ)Ghe~P~= zIcGv5@`{nhL;#)Tj|;7Te(F^Nkju`-&D2Awyhd@Z<8WF(I}?yaUx zclxl5vPe9T;>?S9I79D{SpLhphvx7TEGf|NqWA;7$f=!E>W&67^)>^x!so`z*GB+tLI+mKy5RSOhf+FdImuwNH~gtTyy^JYHehZ&d#VnlOykJeopSITr9A$%ih5XOwY$nm^B?N8iWMxc1T2GjPH z+=`53v-xN?cFn)g>YCVFI|CRru3Vwd7$UYDk!KNokUbVvtZ2+RV!BhtBn5`4Tn%?I^1VA3%1>nhC+kFN zJk?5THeTUlR6qI~=|sY72b~PTkW0L^V0u_WJF8Z>s&pC4Y`A19zmh{yZw*KOtZFoy zoiC2ncI>Zq-)uQ8v(@Q+b?TjV-)#3Cvtji+J>|46xyM@d*2FU$wwt=uGg^*qwd9nx z(`h%qI#*WHJj}ELG#fx)b&^xOd825DyMo3FD|9=(esX*rJ9j;>9NM;8vs3@<^uKmf zw#pdv0bOvvuqz~1+vd=^M#E_gdRF_|`O>rcrqk*)QqZvh zhT_zXo{rtLF&Vb6&al7JO`e*L{j;rq0TvvX)lK6>@IUO06>N%Iqd7>~FWIPZ?@8!T z2+fkav=<1xpqe$kpH&-1AJU@*#0DWXn@+<@5g5eb*$=w|q)d5jw$Vcs$R_4K9B{!* zH|Fn$o8`u^o!VEx(=>?R1nxN<(pK3vz_9+5e(PRoJSAe?jN9Rq4Cjm0=-(t^Bj1@8 zoCszk-irLjlzr4AsNfn~KDbk;g)IGaf#@v?lSC#eBDt2%Jpv}HOoMai9Fq_S0$^*v z^UO^5Ajvu9=B%4C&^m=yJe*8s->s?rj0BaZ77KBOQxBH*(j0q;2<7G|ZwQyPMg{6h zk5X_;bSWKd;uramH0MhhBF?RG`HB4`V^5M-L^M+}5ase+01wl=gHHmwJ91C;u~{k@ zYkY?So|sy-Hs0=-;E<#Fi#L`LgK2I01OyR+E8hAq)bohCa1H{1L{P=$xf?=_SHnpc zrmedE*GCqd<{IApeFB>_10y#6phQj#8JtV7t=D}r1d9hw_irX^t{`RF!PN&R_s!fZ zG}5Y%?q7;9$j1kcb7cIQ$!e&_wHdUSrB)n(xc+5(|Bgr+6mED>9cf$zN@)H0z&L`q zBtKhYMQPtG{vM912soS1C(QuN2i-BS4oaLs>JE9M1h-faiK#fKng6XhG;J~oV1fNv z;2fRll~e^PRl=(FbG|b=4lv{^WfjpnbD@2!plCjyqoCacQJLqF@WnNnn`*G{ zeJr~4XjXdY;&31w;}}*87ujwN_kM`GHlmgTlm0Pa8qMaSG$WHEStDt+X|whp3HEyoDuQw zYcFhanC&fvBuZ?wzAKlwWb)u{c37bAplzXQIlHond{1|*8yr8$@PoY8Q_J}M{OqcG zZES5g=}?biJJ2w1EnHe%p^#hiD{2p`yOVzx+~INs>{rQmvnp>i8VK049p>(@oL7v- zEnT2B;OxEXRL&~wPT9t-U8`#~>0+qUzcG7asgBj9SK42l->$lNxNhRgplRNkO{Zqy zHq&UgJAI>X(T$m9vkNkMij9Rq>_M0ARWU@vrh)K($G)+y`uL4qhvMPJpw)E@y9IB0 z&8b;^+qvrWoNnif*~1$qE_Lt_zkGATwRbSJ(fn##wnO);SEkV)0L<{w?hSsdqhfx; zw5{v5(}lAh*AU7BxJFmMytdk=$!^AMqlH@}da_%$X$^J_AFhng=DGNLA^yH+f9Xuc zrifb=z9V!s&K|&Bz1^pOSy;2vw_3E#bn`}_U0HqFj)6_+(PSrJHm-45Vm6JhnEk5T zWrx!=`zGeWlIx}o=o?P2Gr+)37pv~#$Y`$M0;EyL&Ad||^m-H+@Wtr0X-?W%3$X${ zT1UMJIO*Glg{9!-8>82#ce*qVU2&ZMLdU^w)o5SoD@?7NDgUN#-I`9<=wa=^imRq! z-vrNyw;KN(0Q+#Qw%fs?04f_WK+g`AdTaLjK-GGu$ImYQ1VfWx zy5tCAuR}a!12hrCATU#`u-|C~TS{BP$7M%^>HD2)lZZm@0xs)uJUs%OUuzCPP`$nZ zD89x=re|@)!Pk6^H3R5KMA+%}oxWMW;T%$~N<4&nvn#7^;jHY>=9l;oR-Y4MgDu4F zfV-@8C0L^=NOF*i;aYHQcy2G2oXGC zXKV0#jk1^& zX?|AFT>RAQf#YBkOn%aGWd19chR&_gvkWk)tnsgmHsHpgnm|@?s@VFj3}9fA!US72 z>z(-XSfxZMce+E_3r3-lS?Gyxj)4(Ip!jnM`tmoJN-Y@_Zp z2Ym|pAT)+>fVhJ&rIU?4I-m=BT-ZZkNQNn&5G$c4taiO;w!r7HCL!(OPjO1a@3`3? zG@~n)BL&Y8T+I#^lYCZyASjpi;0F99^TF$;)vw(#`maYksqc}KDDScvY5?;Q(n@$rR&L+*tyiN)Ych&L7% z6OxD+kQfG^4k|^RcCbU*36YOgv+Pd#YgQf6HguZ~Es76hfz3qM8aX_Q%?MN`Hn?)M z_SZH@nlzr+Gth;wzUwxjK&Tca9%_IVz-8r1I$V`tFM$rAl>93VFTmTF%E6u+P%sZ9 zNe6rcafiJDQYm&H8t=9nQiuSn)3y=bXbNqn zgOmB1$$>Mv2}z$3VA5^A+9xYjOgCR)zIGtK%Rl^ z+*m+{Uj0Tj_@Z<5jIITXDTD9y>fs*oty8{XRMjwRgKLa zv%3(*q^QcT#pCSjWAE1L1Bb6Y{?U6Qp&l)pNN(fo0i^={2tT7 z#G(_AMThCv$+ltGC4b;AGK*?G4rn zjhl&L+1!@~jzA6%oF_B^@(hqCNw|m11{(lekaF znS=Qf3X>2dB|~_4Xk40~Ni0~w{Id*Z=LQ%5F5(Iz{}ds2Fb|W@phK>p564OR`A zDiAf|8^RmuWg?NuzYewY@H-o_h$V|e2C!RDtoeJ*4g_-z7C)s(aJ`us^2A*n9IkX& zHg6mFH@Plb=TLPJEQuT#_UXe1^&{y);g6A@emcKUe)>o7+dfV++$msS&)0^YXd@WRYGM@rnbxgM488@otAyJiONfydlox3-N(T9;V|m zF^gkmX+AN}D<4CB1%8GU$;@zl!6{9ECVnDm$X|k1Np5)ScgPeSh;4I7_JamgZk8xDwYHO&DSY4P6WO1mFiR2)& z-N1Ql-erbgEu&4spP?XkhI)c zOL98vC$K7xHR~U!4TzVldxn|u$_nFapoy9|6?&v+wC%bHW3%VH2WpWhh<*Jr{2Dt% z*EF{b&#&N|#zOda2510ON*|O@QJ) z5(@rTT06ULki40taLEbzP=a?Fa8lpGd4Ov~u`3W$(3vje(>D168d9c{t2~%;%_DS^ z$=!0eLXgk(Z!9nqg&7Do#u$r@4G7l2RQAZPa*W?wFn`Du4gWU)W`1~5Fi1i&7Q&7w za%sxpt$l@1W%-R9(8wcVs>Cx)D-bSwx-EfFB$OqWXp8rgH9H%ZJY6AR^KGr45otE7vHD+a$%=*3F7 zp@fxiTM7RNc@tDxoDoA;f{1YD;+Hn`RcJ9ya@WcI$2DN5!3;|*LK+-3cpzB56qi8s zc3kTyQVfE)D&Hjv!S_&>5?!K~tlFBxij=9*2&wU@=Rc)F&!a51O(@D8g0eZVBn_C& z`7FhUhLVeN9!*AeQGt#o1ZMNm6dRg{mFJ+4mcvrnSX`7!IXU8Z5G95#THdJ0)Z{H3 zl*JiSII9ZX4#D09!9fpkc`QkkrH868Z9cKoc)ZM1o)-pK=sF%g~CV!mEw!5shnS*%5KTf9UZahEMMNKV#ilFP~ z28p$3X|3ex$qtZGng%hxYePiWW&DQSpyilKkSLojne-bE{zSP@S!z(6;(U2;j~-pd z_QL$R%Ek~-37^U;3kF&;ur)teWp{E`Uosy)COJxObY#KHjC-ibf3hmEKy>+$@v3+c zUe;ojvbe;8_b9f@hT2#-t8y9Vgo}U=in3S>N@F@1P8h44RY{c28b#WVdkfagOsTmb zc||mh?pfOugzA|@zD3&1r>jYu$tpCP>n2xHIN?xu;mXX5}}+^U7TfY^;_<*;);X1_<+Q-1h09DM1u|zq*<{`QB-Q+)dOC$);syD>+#wx)+nt)M z3$!op2_;=DCvse6k9EbrE4Af_KQO21CTQs3Y`yHUo>q8Eo}6y0R3~RFd#t;kbf1q# zeTfPuo=j6$3Csa|x*kc5m0iepYq2irx2T$hgs$wdzKhH~5(*Zm#rm-zE<3EhOZZTW z&P!My(@1?!;c$wE=1NsbH^Is6(P2a~P)yXU3L{WrTDz=xDAP)AHzLS}Dq5&pw3+GQ*=`*hl|%qJanv3Q=2 z9{t&Lwpy6u2U*Ki=xC$aQV7F5O~IR{WbkQlpy!*a?8nR|vn z4=uYUH;l?%chW%lsrvCkO*P&Xo**rpm+ovIX4h;Kyh6=s6lc%swW$RdK26PNf6kmn z0}Ak2>L}fn(Kqy52i@>l*r{Yq^HCcxsAGsq0qxHzgb5{oZ=&I_&?Kl@*ySa2Ql`>D zpL{Bmugg4~_c{PVMNialq0>ncjF!_!0c}qiH_# z>^mA^C-L&T=c5btmNG35yyS&WPA5-b>nWG+VtMDnfkGX-mjI>uG@MsiVr<;rczSl^ zGwk;*flHr>@6)=eX4CP?gX4Jr>D2YZBbMAn?kE!Gqkz?c%V;&({w!0#4d-X5<02oi zs@cNJJ1fdw_MA06;+avbch5tZx%Q-2fzAmypIWb0z17s3Rqd_jK7eYx)%-i_%K_>L z|9#)6^Dto^vN)ct&`D5ruyI}yRmavkZ;#ojz7HKN&+=ocO@Y>bm23NBdS8Lo-+k5F z|D~5_`7zzKFuOk*E+VlsX;H^14$k7Ne>Pb?O}}{K<)eBG(O94$xn2>Wg@J(Xf^)84 z_5BoP{j#hU3Tmw;sNOc4QZx36dK$^}PH`e4{3D1cS$Cm#OqoD6(R%JZ?pz-0v!|!w zbR20(nTlPiGrKT{!!B6Wqga#FFU|y2#L(8H zH(j7Vw(pK_y?LY2s7RQ}44`|kRKdBdFyBXu9Ml->B9P+< zDe>CikhwMu=#`czlgTkDlkMtO!?eM|Diii%(jy(Ic(sMIv+XHE$DzcUq4TD3C=+ic zYmkSJ4*iEu%ylc9rPCK6%uTuug6QV6sXJY^QFc)!x6R5OE7%+NCLRxWL)cmL_JH?< zwr~d~w?L+&Y}$i`_wNYk=}7VM=kv2)e)*WL?(uV1V+Qk>7}{`K1dHdBV3A0P@Vs6a zggYqPIoF?RG`#TglM$PoPi`iol=8GiF-~JTfSICV5g| zHiaBQ{G@WZe0BKbn2P1ZVzHB^d0bwVMXqrt{AmpnkumKlTtvgcai%IOEd|x_b~(2U zUh*OZzBGarXqBI*2p8ZAlz=Hbwc0F;+Qdpyh%G74j!$a=a2aqlqb8Ze#Z>h<#KxC^ zjIlWej>7V`3f7OtO#m81fsDvQ{b}n^eKyp_IQe94au&8PB^Zz4Kj}6N`=>Uknqr86 zJIgVRe51^4ik0mQxn*V%-BQMh1YzlTOplZbvUMkx+ttcBhyh~?3It@PI+q(8=t9e8Zjo!vebkbSb z(4lPTfZ`>*0#(&C(8G#}5hh4eGyybtryTeoZ>C`7OUi~08+AF|YU&>5eq4z=a4!eJ zH`5IVjbOk$aQ(8GL)pw>hzz3fCyrU^Sf^8zm(3i6ladQ3xwS>LaLQ&5DeA?~#Z@iJ zUJhxIywaZ#3E?l8FQM$^;C|Xg>pPadB>Nw*3=5GhvbGv_k&#UTle2vEE}$ImTpke9 z@A_pohoncm=-LIUkgn!Aaj?j|p+M~%jzxCMvY*52qc>K*I+BCFR)Xz9a>ueG87O5x z2OiWSLyiUU%a8m=Y11EzGbBU(69J!P2-6FDq}k=qo(&!T?a+0$Qc4O2RlcB4%CPxP zWh8_vgoQ1MyYb6+DM3uh63xSZJ9nw}3u)zs9?EoL{;iuj z0RCMY8=RxGMPdQlHa5Wg{&=_jPlKQAk!^PVyRUC<272zv|2{n*@^g!BZZ{IRTc^SMZih+e88uiI+^hYG9CP%z*alM!!U^~U06c?~kH%f7* zAHqwq$3-dAjRgBpR=ZU;%)b}wl%owy8Z{dd@|k#&4^ErH6_d&lX1^Qm#Ff)@qiv@~ znX^pcya93zfRFxAYs9Kb*%9V`Nx;W{W}JA#K(U=Wz4;P z&vjs%|DxFTJ=+FZ4|iKdL&zXC(xDr&EBL?T!H+X|| z3#JVfs{c#P>O1wOY4oi2wPR9Id)Y}tK{ZiEP4!d7(-z`c3zw|mvWp64smN^SR7_>tM{98&~t_TX7&$)n&ai7N)?EZoW{yL z#~B5()T?JWv8r@&q0*{66SIL({U8BWoC#8N>_fa_IjzE%Yr_$$85( zo8)}^bqyjo#B?m(k`YvLlD(*b=J*DO#SH3N+EK4Nhq!M52@Z8cclxL>N_`&d!+Fnr z5Uvkq;Uu$egfvZCYWo{C|*FwMn@^if)7J8;m2i77!_!gCDLk>zC| z3{{vkGl3&un&>Z;$xDb?0jZ{Nmdr} z1vBR!zBJo2B(-b7F zIltsC>-dVi5Sh8e@lk%_;cHSa*#lP@vt$nVU700&IWyRC>%!;3a4Auq(Fm|)?0Z?q zw~%#wqjxs%5ZLZLOrOwCiW`iv&y_@U8sd;6<%!#~>FtbKzfN3xIrE1PYG8%bOLR|q z0(E@bMjt_B1-6Mq`deAX_fw>USg6gsggPA$su71&*6%$LA4`~LRNp0ms?eHu2wShL z-}~to&8&Y9wKL9CcyZgYfn;iTvFP02qbf>-zSw=v=JMy7A=K&cE9>``^?S?uz3iqz zl2uW!9gu`H@kZWKu%lgjF+@y|P>CyoOX|S&dk^HSh)a#Ke6MiA7oDI)OQDEFf4#So zJC*M({t+eJDkpMYW&K{ox0~u8%PE`$4r_Np$N73$z_%>m>r(Xj_|wkHlH|8KVl}8d z(92Z})M#cCAY0W7RI_Gvlxx#{Dg;c<+Nruh(RwUp`QEJV*AiojYAYVPeD9G=sRC8+ zJr=}e@!qm{FViu2Foo1=*P)+eMmm&=vUsmfmab76myx+C=Ay*>f}9x(TiUaBFTZP2 zs!YHN&RM%RrAC{$7SCCoP8J-^n0vC4So5CHy!`_CR%JT_DHn$GQA`!4~8QZvjU;jP$ZPNY*Mc^cP#_gw_2#1 zXSBM>;mSLYFCX`-21jM2HdYN|r*y!&VQhSbiEh1H*@eTj49)!Ri>fzHp2A*- ztkemEUjZrf!>4(23VjEyt6rEl<~Lqx-uD#bUwdnE$XwU>eMzv%8<(HD=OG+i^+-+G z6$XH`l8J|{%ZsG#N=u6*yq(^oumbY+KV6$`vxlJMPTL_y6Zs7j#8Z6ZU?-J;G7Y;- z!+yzT1k#Qw)38PM5>LrfL}1Y_k&-;5%u$ngUlbqFR`m}!bK0q~9HJ}|PM2V~ z9hfHkM@zisEGENmL0>D;(LsC{b*}K7(pT8n3hYu%i`|?C+j5^~{&T~nT2v9)mVuRV z??WZt7Gm?1$q>;aO}sh#HbI0*aO3u)xUw-OV&5a-u5cbvO?B5FRu{AR2rbaUVx9~OI3rr>5}%OzbThp%Xpfw*M~ZiHfA zZ$iN-Ysw*Py)p$ii>V0Vr8-{oaTSes#bpYvl=rAi;w{l%1HzvQN-nBaOw^}H_5zFa zyOqCKcBVD@Q>NgCTAWD=8`~8oNK+2=OQ+YcHJ_|TiiK@N4SARRWZlQ%H*e;jh)66` zaB;yV%?9-{R)+&!BMz1+xCe9sNt;$jxN(_+dys1ly+@Svubc=wFH>++)Qer%y1+Lz z3?q!g(8$-z6x_5RASsY_=Mw7VB6GAUSq{-ElY53wgn^VPxFVuL`UnKd$d43{mCt3M z6W3%uahZZUS>O&vYrurwbK(ic$SZlkUB!cq8;{{+aU#5-Ou?Oc%SDQUQGXsyT>PLF zFEuI|%Q6MGOu;Qva6zCtEc_s$IHxq;#r)vJe{k!gjLJ;m=29UzofO4I_;4_AQ$!7O|A5+h1=DNB*p8G`|>MZKpSAEBl<1P7@E< ziH9d;jbHB!+I_R<*w(*L+&;i0F#{z_2l~&hQ(RfUn+>OH_N>l<65_6U=5NWxr!-gE z6@+HTXgIe^q%7zdK0VBn{hdw~elS(@7a!#}@Ui`e&PHz_Cq4u*LW2{A|C3O~EWEF%)~` zbO%k_Jj`z8N)2TU^?<3NZ2W!BJUca1%g{_dAcdA%0=&IvqG39EP9D1s4n?5oO5q$% zz7L-ly;Z&r)Cra9Qu@=xFY+Txca&;xQV9++A(O^2iJ@d7uYgdonpduMfo*)8TyaI1?Q&Hb5a*Okf2Tg=LZ0nXQ)H6c>en_ysCQkSt16@tyY{c6x` zI#;IAAM{KZgDGs0Q|Pv;L++#A1ewC;dC98n;ENbL;d#96sRESBoZlCbgC*Vnc~#Yvw!y*ays$y^;7*ZDxOAKD!*KL$dr#`w z6klfr_V9EFp#wl~kZx+`Bydi;mO)wAC8F`lI5?GggaRpr(2gIPl;k00VV8qMKnr_R zu}~OxBz<0SvjW#~@)9cGDeyM-z#~6TXWxYfFL7$MSyrn6v68?t4lyz*n2(Q;j7t)5 zobM;#YDSGpNn&YM<;w!5qa06Jj7QwQRx4p{VP#Hr1aKic9anH6>-m2R2!!3z;wl!G zNVsFG%C z;9WdUCiZ!A*_{oQesoWl%tAW?jGHU`=mxV-p;nEo@=4Jvyu=v>GFPas!s`+g|A{Dh z2dO30M&aCBxc9Y6C&@i^X!BxA%2GmERX>Vgm54)9ZiR(mvJPioedPomCBo_^w1$7N zzY&5>y5l5kmjt3yy^v#SA-ct4Hu7L)j!#d|4{aExxkpZH&ApvGI-!MW<(C?@`8(wB z&@IIC)7@+mfi3E|m*D)Onf2=g#rI%TjSb#msdImifZ_;5?6jVS%g1WXd$8bHesFz7 zKb9bBhEOM+@oGtn{;V}bm_W4Zio!a}QbMkXx94Ohk3c8;)QPxMBZ6d*~#7lGR~Ziygggd-m>?=zNrD)2#uyuiNGOIz{eF*H+s8p4ugn0B)xO1xl{+_71^!jj30+UmZ)lD`U`JM0bVmsCpj)0RZwj-fK zibq*WsHna~BsT!@w)0_t@Z>uw3PxEFfbY+%oeE0boZRB#Kh_|ArP42gptLzn!IH1wj2lzvv^!elsos9MJgThOQs1yKtU zLY<_kIa)&_*kH%@=+TW`kSd%g?C=<{Yj(aqG43!ygZFtfEjgT`tjLg+cBftBn9Nce zPB}BlL5ide2Y9HgcPoV$IG>@3@!~AosA6oajcSlu(=ya!NX;XK@Ygp}Z??E_kOqBG zC0*HhVv$qt{&zWia8^)Ysv@a?eZhPC(#wB%)A6rw_-}9Mi>UrY-=GVYzs#C|y#v+j z*Ej2CO09D;^bwSYoNef@2v48E#20RgvnELl24x&kYU{aUw!Y{=m z@i!9;lf4sv>TgKA9tCzK7~N&~iMZ!l?(AKRoY@=>+8M&9ohNVZNjA-^jhr#|s*gu+ zp0DK8Ny{U~sXs>iu_dP_EZ>_8#52B1P93C5Clu(HLOGQqADmMsSyg_PEtuz_`Sl2j zw?8~4$4;NIa9Xo&G zPZhfP60R2K5Dt*cX-fXQkw3d7e=hkmJT1w(W+i`S>_dz9BjivyxN?M5>Q|*h1EM;1 z?^X}allycKw!CJdk}+>!%xAwu+Rm&^pn#W+ViFGGBTRY+O1Ct-Pb=NhheEldD$XH~ z%E6dp>{35#M!Pq|w(5>C=y#l}PJLiIXVs@CXSDPunjO+>rz+X=2KIb@c2VlhrQTfX z%`)`iK(~fzTq*~n7A#XggGT4l`D6m7O#eTGDp#ms-c04qlIUby<#+_&Uh#7>Ur96T4TdfG?RtKTxaE@H9v~(*>F@sLjoho@lQ)UP$gio( zD}okF2k}Wbh#5zEAtsAE9sSy^7_?-t8yW1VRA@_uwp3^jtk8lVy%2j{eAmxi`Iseh z-N;<;O6FQJ*OIv&n7J;#zYuTz!+qAzT*aUzciqTchb4C{xogQ?56oS`k6wtqQo^#s z8b3Kx{d_h)ddYA%GTeWZ47X&sCBr>1!&Mp6OLE@%_wn>#j5i#-S{d`DN~0t%?8^kTGC_?hj~y&0 z@~8=F5zcf(=Ic!t2(Mu^&Sgc*Fjr1r;&5^r7E#9PTCSO|WX~JebD2MUq;%*qJgjJ? zNB3gSNq&^8x@E|!aW z;5K%VE;)U07PFN_w|yun*L+Db3MVefh&6XEej_f`8y-3J zBhnBNdsR|Fkh zyyKpx(L|LdqseT?IG5mmFc!@d39 z!ht#A_=}|mI*>9gF?2}-B@M*%p1ijPA%gCK|AgW{ok7$2%s1#pCz!=Y&}KF6=|92f1V8mDpWk z_iVhHxSvAAI3{}Y_5oVhb~sdt)Fo1Lq|RFl9tfyM6`@+~TCiCTRN``p%N&>UmEG2c z$*7C11(C%#B_5Y}EEJ7A6^^x`F;yVeg2!^85|K+p=7^lHn5;HTR!b@BLFvSZC2p6v z&2d{rjbqw_Y+g}MQw!UU4_KmjiQ)>1=Pr?`jg5zeXY?@f*mxy=m-x-`J4B3QGVplS z4nlIZ-E)rnc)THQcEUTE^kGY6FOi)idmKOVq5M8=c0(+E=Ut4P+1#CuW@zx>kj4S5 zmqFyY18&0sL$uEvxy1Yu^Eu`xQ6v}c13lSu$t%yxccY;1G|=oa{6uN@)8Ui*>zfc) zW5_?k&;IZ*=pPxoB!H3t(g=Vf$#F>lF;YDJ!kZFdc+;ip-w#Lb{-G$DBbVr3qCZD} zi6S{rK8Buq@c+=ZsCxdNF&V%VAVviKYQA(9h@f$Og%*OJ*ms!;NYOdg>O{eaa;l)YfP{b~jviNj| zBKNH6_9S>1f+#Gwayes=Wv1?jlZAV{s#D2AXJsMW(<+3!6T#=!XyY`fkK}{MSCaPn zNIN?0o+ONX?2?3!(n8_Eox;Xnx=r_CIC{3dCsm(%UG-5=^S}ugPEGXGkJ!dQl9abW-F&> zuCiv)>rLeN;A@R!b(T~@E)C3L8<_mGa~hZQFvFn3nV1Sf?IFM7I2*^nHpmY&Rj+shQDM>}ut>P$?!wOQ!MAD=_rAuCt zRAW~ldI@F2EG3bYM4}RjM3)@Ik&3oDr3u!;nqS4sd}t?-b+eQNQW8jvKtgoMLnT4s z8~qZ5S9c8!4j$GQe$Kqcj@vh(jjj$^qJD|`D(dI08!JqFeVUTuP7aY<1F|Q^Eit~t zcopMA1j)hawWGb%e`NE|g{u}%3n^g-HlH?hiTowY0k(a>#;Jy-#Z#Ck6eAp82 zOT1U{KERKczdqN-9vPAPrSsg84^!$?4VZ(}pg|pdVaFq`2U|P zcd?8mgpqfW*O%juZI|V;eyT7wGg)&bGG|FOuaIiOv(K4BnSzW(&!!Av%t1;iIgeBr zD|fW#`pL40DP`7@oJw+XM@w%u?aolehM@yOnnmBmC@(&8-sO56e4n0q2hUNyclJT%Q($UsW6-ucMbC%>(l2bN0MUW>4Erl(xxs+h9 z>~?#&9>105*rESGyM`CU@s{_BKTt8WUG1X)TXGP}zLzf>s3Yupyo<|u{otW!Nz76T zlTw&u3zHCCUY_JE&7`>!~k`l5h;V3b>8wepx{X7UIgu|DlP?AD6DI6!ybOSA<ydUBeyojKxt|mw zzI?~Np`X|xj%H6)D3j>ITXm;PZ~4qxKi>~W?xJd$6ZZ+<)+fWo;_@APo?cw6?l!;6 zGj5RNCLX32$KGN-89uiYYf^up2Srx$r}OF=8oj#y0{J|E`0`x<{AKX3%4HPl^M7RS z15Z0xc+)?Qw+*Z1@NR+=nobpz$=;UwWH$PvVom3(CECR+`xV)ik9zloIYga8?@Nzr zi63NNK4hZH5M97$Q)jXC-QklreJJ)08Emx`TduZZGd$OdL)+}tY~_#K!qQoCx5Rq2 z?$`OpjmR|_ek=SgwcMwf|6FMe=W};lvH6nqZd5jAjb_vF%3C_f;w&sSfxXijb#v@Y zWSeTt)x(yh}tv7aO zmCkCpUdj2O6+7gHz?ol|4Y~5630G>X`+L`4!#mzrq1tb$1!wb9q18MM7ts2Tw-sCJ z!9qSqB~MGNIg^BT(u*@03Q%S~nH+D6HgHz4B}TK~Z8q1S%PyPnQ|2ZJo2l5^9JiV_ za+FH=MmJl^pBLdM0%3~HYq=-pAiVY> zlawNbdkQ0wt&2L0V$!23|23Pt)6onkSe^L~|7VSOzSu#n&DQ3ayVhULm(BtPa)A{( zYl>~6g;s2_(Bo-w@NPRo?Ntf}6vPq!&%SY885q6(8l2fhamiNcxW8ZS$lRM1hM>Au zr}AIjp+B5V+)2fH*I7qMyKG9fR1%vK-VGLJQ`+8aQL(H_*Pr25W-(i5D(c;nkh%Eo z$n{`?9&b~&l-D79=>GL|2fl>!?fi>?FV;g?YkGgJD>T> zTM?^v4nwSRadC0^F8V!U*j7^y%?PY9h1=bGuK(%sUE-_1UA{A?3-<|;V?8$Rr@#Gw D9i6;l diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map index c2d815c..61576d7 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.map @@ -1,231 +1,223 @@ Archive member included to satisfy reference by file (symbol) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) obj/default/I2C_core.o (__divsi3) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - obj/default/main.o (getc) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - obj/default/main.o (_impure_ptr) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) obj/default/queue.o (malloc) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) (_malloc_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) (_malloc_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) obj/default/queue.o (memset) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) obj/default/auto_focus.o (printf) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) obj/default/main.o (putchar) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) obj/default/I2C_core.o (puts) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) (__srget_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) (_sbrk_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) (strlen) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) (___vfprintf_internal_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__swsetup_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (_dtoa_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (_fflush_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) (__sinit) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) (_free_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) (__sfvwrite_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) (_fwalk) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) (errno) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (_localeconv_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) (__smakebuf_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (memchr) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (memcpy) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) (memmove) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (_Balloc) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) (_putc_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) (_realloc_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) (__srefill_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__fpclassifyd) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) (__sread) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) (strcmp) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__sprint_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) (__swbuf_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_write_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_close_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) (_calloc_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) (_fclose_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) (_fputwc_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) (_fstat_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) (_isatty_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_lseek_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) (_read_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) (_wcrtomb_r) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) (__wctomb) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__udivdi3) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__umoddi3) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__adddf3) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__divdf3) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__eqdf2) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__gedf2) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) (__ltdf2) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__muldf3) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__subdf3) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__fixdfsi) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__floatsidf) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) (__floatunsidf) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) (__clz_tab) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) (__clzsi2) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) (close) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) (alt_fd_list) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) (alt_errno) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - obj/default/main.o (fcntl) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) (fstat) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) (isatty) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) (_sbrk_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) (strlen) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) (___vfprintf_internal_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__swsetup_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (_dtoa_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (_fflush_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) (__sinit) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) (_free_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) (__sfvwrite_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) (_fwalk) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) (_global_impure_ptr) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) (errno) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (_localeconv_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) (__smakebuf_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (memchr) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (memcpy) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) (memmove) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (_Balloc) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) (_putc_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) (_realloc_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__fpclassifyd) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) (__sread) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) (strcmp) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__sprint_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) (__swbuf_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_write_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_close_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) (_calloc_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) (_fclose_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) (_fputwc_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) (_fstat_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) (_isatty_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_lseek_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) (_read_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) (_wcrtomb_r) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) (__wctomb) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__udivdi3) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__umoddi3) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__adddf3) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__divdf3) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__eqdf2) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__gedf2) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) (__ltdf2) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__muldf3) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__subdf3) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__fixdfsi) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__floatsidf) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) (__floatunsidf) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) (__clz_tab) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) (__clzsi2) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) (close) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) (alt_fd_list) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) (alt_errno) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) (fstat) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) (isatty) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o (alt_load) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) (lseek) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) (lseek) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o (alt_main) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) (__malloc_lock) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) (read) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) (alt_release_fd) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) (sbrk) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) (__malloc_lock) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) (read) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) (alt_release_fd) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) (sbrk) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) obj/default/auto_focus.o (_alt_tick_rate) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) obj/default/I2C_core.o (usleep) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) (write) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (alt_irq_init) -../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) -../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) -../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) -../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) -../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) -../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) (alt_busy_sleep) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) (alt_dcache_flush_all) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (_do_ctors) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (_do_dtors) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) (alt_icache_flush_all) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (alt_io_redirect) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) (alt_irq_active) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) (open) -../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) (alt_exception) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) (alt_find_dev) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) (alt_find_file) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) (alt_get_fd) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) (alt_icache_flush) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (atexit) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) (exit) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) (memcmp) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) (__register_exitproc) -/usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) (__call_exitprocs) -../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) - /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) (_exit) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) (write) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (alt_irq_init) +../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) +../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) +../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) +../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) +../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) +../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) (alt_busy_sleep) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) (alt_dcache_flush_all) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (_do_ctors) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (_do_dtors) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) (alt_icache_flush_all) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (alt_io_redirect) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) (alt_irq_active) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) (open) +../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) (alt_exception) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) (alt_find_dev) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) (alt_find_file) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) (alt_get_fd) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) (alt_icache_flush) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (atexit) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) (exit) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) (memcmp) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) (__register_exitproc) +f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) (__call_exitprocs) +../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) (_exit) Allocating common symbols Common symbol size file -alt_irq 0x100 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) -errno 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) +alt_irq 0x100 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) +errno 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) Memory Configuration @@ -243,16 +235,16 @@ LOAD obj/default/main.o LOAD obj/default/mipi_bridge_config.o LOAD obj/default/mipi_camera_config.o LOAD obj/default/queue.o -LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libstdc++.a -LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libm.a -LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a +LOAD f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libstdc++.a +LOAD f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libm.a +LOAD f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a START GROUP -LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a -LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a -LOAD ../D8M_Camera_Test_bsp//libhal_bsp.a -LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libm.a +LOAD f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a +LOAD f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a +LOAD ../D8M_Camera_Test_bsp/\libhal_bsp.a +LOAD f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libm.a END GROUP -LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a +LOAD f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a 0x0000000000020000 __alt_mem_onchip_memory2_0 = 0x20000 .entry 0x0000000000020000 0x20 @@ -266,59 +258,59 @@ LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gc *(.irq) *(.exceptions.entry.label) .exceptions.entry.label - 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) 0x0000000000020020 alt_irq_entry .exceptions.entry.label - 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x0000000000020020 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) 0x0000000000020020 alt_exception *(.exceptions.entry.user) *(.exceptions.entry.ecc_fatal) *(.exceptions.entry) .exceptions.entry - 0x0000000000020020 0x54 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x0000000000020020 0x54 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) *(.exceptions.irqtest.user) *(.exceptions.irqtest) .exceptions.irqtest - 0x0000000000020074 0x10 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020074 0x10 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) *(.exceptions.irqhandler.user) *(.exceptions.irqhandler) .exceptions.irqhandler - 0x0000000000020084 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020084 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) *(.exceptions.irqreturn.user) *(.exceptions.irqreturn) .exceptions.irqreturn - 0x0000000000020088 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x0000000000020088 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) *(.exceptions.notirq.label) .exceptions.notirq.label - 0x000000000002008c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x000000000002008c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) *(.exceptions.notirq.user) *(.exceptions.notirq) .exceptions.notirq - 0x000000000002008c 0x8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x000000000002008c 0x8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) *(.exceptions.soft.user) *(.exceptions.soft) *(.exceptions.unknown.user) *(.exceptions.unknown) .exceptions.unknown - 0x0000000000020094 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x0000000000020094 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) *(.exceptions.exit.label) .exceptions.exit.label - 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) .exceptions.exit.label - 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x00000000000200a8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) *(.exceptions.exit.user) *(.exceptions.exit) .exceptions.exit - 0x00000000000200a8 0x54 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x00000000000200a8 0x54 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) *(.exceptions) - .exceptions 0x00000000000200fc 0xd4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + .exceptions 0x00000000000200fc 0xd4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) 0x00000000000200fc alt_irq_handler - .exceptions 0x00000000000201d0 0x74 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .exceptions 0x00000000000201d0 0x74 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) 0x00000000000201d0 alt_instruction_exception_entry 0x0000000000020244 PROVIDE (__ram_exceptions_end, ABSOLUTE (.)) 0x0000000000020020 PROVIDE (__flash_exceptions_start, LOADADDR (.exceptions)) -.text 0x0000000000020244 0x120fc +.text 0x0000000000020244 0x117d8 [!provide] PROVIDE (stext, ABSOLUTE (.)) *(.interp) *(.hash) @@ -383,513 +375,492 @@ LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gc 0x000000000002103c Focus_Init 0x0000000000021164 Focus_Window 0x0000000000021344 Focus_Released - .text 0x00000000000213f4 0x778 obj/default/main.o + .text 0x00000000000213f4 0x588 obj/default/main.o 0x00000000000213f4 mipi_clear_error 0x00000000000214ac mipi_show_error_info 0x0000000000021544 mipi_show_error_info_more 0x00000000000216bc MIPI_Init 0x0000000000021744 main - .text 0x0000000000021b6c 0x260 obj/default/mipi_bridge_config.o - 0x0000000000021b6c nSWAP16 - 0x0000000000021bb8 MipiBridgeRegWrite - 0x0000000000021c24 MipiBridgeRegRead - 0x0000000000021c9c MipiBridgeInit - .text 0x0000000000021dcc 0x8bc obj/default/mipi_camera_config.o - 0x0000000000021dcc OV8865_read_cmos_sensor_8 - 0x0000000000021e30 OV8865_write_cmos_sensor_8 - 0x0000000000021e9c OV8865_write_AF - 0x0000000000021f08 OV8865_read_AF - 0x0000000000021f88 OV8865_FOCUS_Move_to - 0x0000000000022058 OV8865SetExposure - 0x0000000000022138 OV8865SetGain - 0x0000000000022204 OV8865ReadExposure - 0x00000000000222c0 MIPI_BIN_LEVEL - 0x0000000000022480 MipiCameraInit - .text 0x0000000000022688 0x2a0 obj/default/queue.o - 0x0000000000022688 QUEUE_New - 0x00000000000226f8 QUEUE_Delete - 0x000000000002272c QUEUE_IsEmpty - 0x000000000002276c QUEUE_IsFull - 0x00000000000227d0 QUEUE_Push - 0x0000000000022864 QUEUE_Pop - 0x00000000000228f4 QUEUE_Empty - .text 0x0000000000022928 0x1b4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - 0x0000000000022928 __divsi3 - 0x00000000000229ac __modsi3 - 0x0000000000022a20 __udivsi3 - 0x0000000000022a84 __umodsi3 - .text 0x0000000000022adc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .text._getc_r 0x0000000000022adc 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - 0x0000000000022adc _getc_r - .text.getc 0x0000000000022b4c 0x88 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - 0x0000000000022b4c getc - .text 0x0000000000022bd4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .text 0x0000000000022bd4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .text.malloc 0x0000000000022bd4 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - 0x0000000000022bd4 malloc - .text.free 0x0000000000022be8 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - 0x0000000000022be8 free - .text 0x0000000000022bfc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .text 0x000000000002197c 0x260 obj/default/mipi_bridge_config.o + 0x000000000002197c nSWAP16 + 0x00000000000219c8 MipiBridgeRegWrite + 0x0000000000021a34 MipiBridgeRegRead + 0x0000000000021aac MipiBridgeInit + .text 0x0000000000021bdc 0x668 obj/default/mipi_camera_config.o + 0x0000000000021bdc OV8865_read_cmos_sensor_8 + 0x0000000000021c40 OV8865_write_cmos_sensor_8 + 0x0000000000021cac OV8865_write_AF + 0x0000000000021d18 OV8865_read_AF + 0x0000000000021d98 OV8865_FOCUS_Move_to + 0x0000000000021e7c MIPI_BIN_LEVEL + 0x000000000002203c MipiCameraInit + .text 0x0000000000022244 0x2a0 obj/default/queue.o + 0x0000000000022244 QUEUE_New + 0x00000000000222b4 QUEUE_Delete + 0x00000000000222e8 QUEUE_IsEmpty + 0x0000000000022328 QUEUE_IsFull + 0x000000000002238c QUEUE_Push + 0x0000000000022420 QUEUE_Pop + 0x00000000000224b0 QUEUE_Empty + .text 0x00000000000224e4 0x1b4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + 0x00000000000224e4 __divsi3 + 0x0000000000022568 __modsi3 + 0x00000000000225dc __udivsi3 + 0x0000000000022640 __umodsi3 + .text 0x0000000000022698 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .text.malloc 0x0000000000022698 0x14 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + 0x0000000000022698 malloc + .text.free 0x00000000000226ac 0x14 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + 0x00000000000226ac free + .text 0x00000000000226c0 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) .text._malloc_r - 0x0000000000022bfc 0x80c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x0000000000022bfc _malloc_r - .text 0x0000000000023408 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .text.memset 0x0000000000023408 0x128 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - 0x0000000000023408 memset - .text 0x0000000000023530 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + 0x00000000000226c0 0x80c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x00000000000226c0 _malloc_r + .text 0x0000000000022ecc 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .text.memset 0x0000000000022ecc 0x128 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + 0x0000000000022ecc memset + .text 0x0000000000022ff4 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) .text._printf_r - 0x0000000000023530 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - 0x0000000000023530 _printf_r - .text.printf 0x0000000000023560 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - 0x0000000000023560 printf - .text 0x000000000002359c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + 0x0000000000022ff4 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + 0x0000000000022ff4 _printf_r + .text.printf 0x0000000000023024 0x3c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + 0x0000000000023024 printf + .text 0x0000000000023060 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) .text._putchar_r - 0x000000000002359c 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - 0x000000000002359c _putchar_r - .text.putchar 0x00000000000235a4 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - 0x00000000000235a4 putchar - .text 0x00000000000235bc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .text._puts_r 0x00000000000235bc 0xc0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - 0x00000000000235bc _puts_r - .text.puts 0x000000000002367c 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - 0x000000000002367c puts - .text 0x0000000000023690 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .text.__srget_r - 0x0000000000023690 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - 0x0000000000023690 __srget_r - .text.__srget 0x0000000000023704 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - 0x0000000000023704 __srget - .text 0x0000000000023718 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .text._sbrk_r 0x0000000000023718 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - 0x0000000000023718 _sbrk_r - .text 0x000000000002376c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .text.strlen 0x000000000002376c 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - 0x000000000002376c strlen - .text 0x0000000000023804 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + 0x0000000000023060 0x8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + 0x0000000000023060 _putchar_r + .text.putchar 0x0000000000023068 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + 0x0000000000023068 putchar + .text 0x0000000000023080 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .text._puts_r 0x0000000000023080 0xc0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + 0x0000000000023080 _puts_r + .text.puts 0x0000000000023140 0x14 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + 0x0000000000023140 puts + .text 0x0000000000023154 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .text._sbrk_r 0x0000000000023154 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + 0x0000000000023154 _sbrk_r + .text 0x00000000000231a8 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .text.strlen 0x00000000000231a8 0x98 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + 0x00000000000231a8 strlen + .text 0x0000000000023240 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) .text.___vfprintf_internal_r - 0x0000000000023804 0x21f8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - 0x0000000000023804 ___vfprintf_internal_r + 0x0000000000023240 0x21f8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x0000000000023240 ___vfprintf_internal_r .text.__vfprintf_internal - 0x00000000000259fc 0x1c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - 0x00000000000259fc __vfprintf_internal + 0x0000000000025438 0x1c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x0000000000025438 __vfprintf_internal .text.__sbprintf - 0x0000000000025a18 0xbc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .text 0x0000000000025ad4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + 0x0000000000025454 0xbc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .text 0x0000000000025510 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) .text.__swsetup_r - 0x0000000000025ad4 0x154 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - 0x0000000000025ad4 __swsetup_r - .text 0x0000000000025c28 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .text.quorem 0x0000000000025c28 0x200 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .text._dtoa_r 0x0000000000025e28 0x1688 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - 0x0000000000025e28 _dtoa_r - .text 0x00000000000274b0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + 0x0000000000025510 0x154 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + 0x0000000000025510 __swsetup_r + .text 0x0000000000025664 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .text.quorem 0x0000000000025664 0x200 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .text._dtoa_r 0x0000000000025864 0x1688 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + 0x0000000000025864 _dtoa_r + .text 0x0000000000026eec 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) .text.__sflush_r - 0x00000000000274b0 0x21c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - 0x00000000000274b0 __sflush_r + 0x0000000000026eec 0x21c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + 0x0000000000026eec __sflush_r .text._fflush_r - 0x00000000000276cc 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - 0x00000000000276cc _fflush_r - .text.fflush 0x0000000000027728 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - 0x0000000000027728 fflush - .text 0x0000000000027758 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + 0x0000000000027108 0x5c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + 0x0000000000027108 _fflush_r + .text.fflush 0x0000000000027164 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + 0x0000000000027164 fflush + .text 0x0000000000027194 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) .text.__fp_unlock - 0x0000000000027758 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + 0x0000000000027194 0x8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) .text._cleanup_r - 0x0000000000027760 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027760 _cleanup_r + 0x000000000002719c 0xc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x000000000002719c _cleanup_r .text.__sinit.part.1 - 0x000000000002776c 0x19c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + 0x00000000000271a8 0x19c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) .text.__fp_lock - 0x0000000000027908 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + 0x0000000000027344 0x8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) .text.__sfmoreglue - 0x0000000000027910 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027910 __sfmoreglue - .text.__sfp 0x0000000000027978 0x118 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027978 __sfp + 0x000000000002734c 0x68 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x000000000002734c __sfmoreglue + .text.__sfp 0x00000000000273b4 0x118 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x00000000000273b4 __sfp .text._cleanup - 0x0000000000027a90 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027a90 _cleanup - .text.__sinit 0x0000000000027aa8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027aa8 __sinit + 0x00000000000274cc 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x00000000000274cc _cleanup + .text.__sinit 0x00000000000274e4 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x00000000000274e4 __sinit .text.__sfp_lock_acquire - 0x0000000000027ab8 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027ab8 __sfp_lock_acquire + 0x00000000000274f4 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x00000000000274f4 __sfp_lock_acquire .text.__sfp_lock_release - 0x0000000000027abc 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027abc __sfp_lock_release + 0x00000000000274f8 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x00000000000274f8 __sfp_lock_release .text.__sinit_lock_acquire - 0x0000000000027ac0 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027ac0 __sinit_lock_acquire + 0x00000000000274fc 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x00000000000274fc __sinit_lock_acquire .text.__sinit_lock_release - 0x0000000000027ac4 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027ac4 __sinit_lock_release + 0x0000000000027500 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027500 __sinit_lock_release .text.__fp_lock_all - 0x0000000000027ac8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027ac8 __fp_lock_all + 0x0000000000027504 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x0000000000027504 __fp_lock_all .text.__fp_unlock_all - 0x0000000000027ae0 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x0000000000027ae0 __fp_unlock_all - .text 0x0000000000027af8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + 0x000000000002751c 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x000000000002751c __fp_unlock_all + .text 0x0000000000027534 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) .text._malloc_trim_r - 0x0000000000027af8 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - 0x0000000000027af8 _malloc_trim_r - .text._free_r 0x0000000000027c1c 0x310 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - 0x0000000000027c1c _free_r - .text 0x0000000000027f2c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + 0x0000000000027534 0x124 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + 0x0000000000027534 _malloc_trim_r + .text._free_r 0x0000000000027658 0x310 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + 0x0000000000027658 _free_r + .text 0x0000000000027968 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) .text.__sfvwrite_r - 0x0000000000027f2c 0x4bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - 0x0000000000027f2c __sfvwrite_r - .text 0x00000000000283e8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .text._fwalk 0x00000000000283e8 0xc4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - 0x00000000000283e8 _fwalk + 0x0000000000027968 0x4bc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + 0x0000000000027968 __sfvwrite_r + .text 0x0000000000027e24 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .text._fwalk 0x0000000000027e24 0xc4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + 0x0000000000027e24 _fwalk .text._fwalk_reent - 0x00000000000284ac 0xc4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - 0x00000000000284ac _fwalk_reent - .text 0x0000000000028570 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - .text 0x0000000000028570 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + 0x0000000000027ee8 0xc4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + 0x0000000000027ee8 _fwalk_reent + .text 0x0000000000027fac 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .text 0x0000000000027fac 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .text 0x0000000000027fac 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) .text._setlocale_r - 0x0000000000028570 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000028570 _setlocale_r + 0x0000000000027fac 0x7c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000027fac _setlocale_r .text.__locale_charset - 0x00000000000285ec 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x00000000000285ec __locale_charset + 0x0000000000028028 0xc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028028 __locale_charset .text.__locale_mb_cur_max - 0x00000000000285f8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x00000000000285f8 __locale_mb_cur_max + 0x0000000000028034 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028034 __locale_mb_cur_max .text.__locale_msgcharset - 0x0000000000028608 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000028608 __locale_msgcharset + 0x0000000000028044 0xc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028044 __locale_msgcharset .text.__locale_cjk_lang - 0x0000000000028614 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000028614 __locale_cjk_lang + 0x0000000000028050 0x8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028050 __locale_cjk_lang .text._localeconv_r - 0x000000000002861c 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x000000000002861c _localeconv_r + 0x0000000000028058 0xc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028058 _localeconv_r .text.setlocale - 0x0000000000028628 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000028628 setlocale + 0x0000000000028064 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000028064 setlocale .text.localeconv - 0x0000000000028640 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000028640 localeconv - .text 0x000000000002864c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + 0x000000000002807c 0xc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x000000000002807c localeconv + .text 0x0000000000028088 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) .text.__smakebuf_r - 0x000000000002864c 0x1bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - 0x000000000002864c __smakebuf_r - .text 0x0000000000028808 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .text.memchr 0x0000000000028808 0xe4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - 0x0000000000028808 memchr - .text 0x00000000000288ec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .text.memcpy 0x00000000000288ec 0x148 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - 0x00000000000288ec memcpy - .text 0x0000000000028a34 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .text.memmove 0x0000000000028a34 0x15c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - 0x0000000000028a34 memmove - .text 0x0000000000028b90 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .text._Balloc 0x0000000000028b90 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028b90 _Balloc - .text._Bfree 0x0000000000028c38 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028c38 _Bfree + 0x0000000000028088 0x1bc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + 0x0000000000028088 __smakebuf_r + .text 0x0000000000028244 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .text.memchr 0x0000000000028244 0xe4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + 0x0000000000028244 memchr + .text 0x0000000000028328 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .text.memcpy 0x0000000000028328 0x148 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + 0x0000000000028328 memcpy + .text 0x0000000000028470 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .text.memmove 0x0000000000028470 0x15c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + 0x0000000000028470 memmove + .text 0x00000000000285cc 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .text._Balloc 0x00000000000285cc 0xa8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000285cc _Balloc + .text._Bfree 0x0000000000028674 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028674 _Bfree .text.__multadd - 0x0000000000028c60 0x120 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028c60 __multadd - .text.__s2b 0x0000000000028d80 0x104 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028d80 __s2b + 0x000000000002869c 0x120 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x000000000002869c __multadd + .text.__s2b 0x00000000000287bc 0x104 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000287bc __s2b .text.__hi0bits - 0x0000000000028e84 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028e84 __hi0bits + 0x00000000000288c0 0x68 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000288c0 __hi0bits .text.__lo0bits - 0x0000000000028eec 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028eec __lo0bits - .text.__i2b 0x0000000000028f9c 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028f9c __i2b + 0x0000000000028928 0xb0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028928 __lo0bits + .text.__i2b 0x00000000000289d8 0x3c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000289d8 __i2b .text.__multiply - 0x0000000000028fd8 0x1f8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000028fd8 __multiply + 0x0000000000028a14 0x1f8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028a14 __multiply .text.__pow5mult - 0x00000000000291d0 0x140 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x00000000000291d0 __pow5mult + 0x0000000000028c0c 0x140 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028c0c __pow5mult .text.__lshift - 0x0000000000029310 0x148 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029310 __lshift - .text.__mcmp 0x0000000000029458 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029458 __mcmp - .text.__mdiff 0x00000000000294b8 0x1fc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x00000000000294b8 __mdiff - .text.__ulp 0x00000000000296b4 0x64 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x00000000000296b4 __ulp - .text.__b2d 0x0000000000029718 0x10c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029718 __b2d - .text.__d2b 0x0000000000029824 0x14c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029824 __d2b - .text.__ratio 0x0000000000029970 0xa4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029970 __ratio + 0x0000000000028d4c 0x148 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028d4c __lshift + .text.__mcmp 0x0000000000028e94 0x60 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028e94 __mcmp + .text.__mdiff 0x0000000000028ef4 0x1fc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000028ef4 __mdiff + .text.__ulp 0x00000000000290f0 0x64 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000290f0 __ulp + .text.__b2d 0x0000000000029154 0x10c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000029154 __b2d + .text.__d2b 0x0000000000029260 0x14c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000029260 __d2b + .text.__ratio 0x00000000000293ac 0xa4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000293ac __ratio .text._mprec_log10 - 0x0000000000029a14 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029a14 _mprec_log10 + 0x0000000000029450 0x74 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000029450 _mprec_log10 .text.__copybits - 0x0000000000029a88 0x78 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029a88 __copybits + 0x00000000000294c4 0x78 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000294c4 __copybits .text.__any_on - 0x0000000000029b00 0x8c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000029b00 __any_on - .text 0x0000000000029b8c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .text._putc_r 0x0000000000029b8c 0xa0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - 0x0000000000029b8c _putc_r - .text.putc 0x0000000000029c2c 0xc8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - 0x0000000000029c2c putc - .text 0x0000000000029cf4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + 0x000000000002953c 0x8c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x000000000002953c __any_on + .text 0x00000000000295c8 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .text._putc_r 0x00000000000295c8 0xa0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + 0x00000000000295c8 _putc_r + .text.putc 0x0000000000029668 0xc8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + 0x0000000000029668 putc + .text 0x0000000000029730 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) .text._realloc_r - 0x0000000000029cf4 0x564 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - 0x0000000000029cf4 _realloc_r - .text 0x000000000002a258 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .text.lflush 0x000000000002a258 0x1c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .text.__srefill_r - 0x000000000002a274 0x1d4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - 0x000000000002a274 __srefill_r - .text 0x000000000002a448 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + 0x0000000000029730 0x564 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + 0x0000000000029730 _realloc_r + .text 0x0000000000029c94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) .text.__fpclassifyd - 0x000000000002a448 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - 0x000000000002a448 __fpclassifyd - .text 0x000000000002a4bc 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .text.__sread 0x000000000002a4bc 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - 0x000000000002a4bc __sread + 0x0000000000029c94 0x74 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + 0x0000000000029c94 __fpclassifyd + .text 0x0000000000029d08 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .text.__sread 0x0000000000029d08 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x0000000000029d08 __sread .text.__seofread - 0x000000000002a510 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - 0x000000000002a510 __seofread + 0x0000000000029d5c 0x8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x0000000000029d5c __seofread .text.__swrite - 0x000000000002a518 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - 0x000000000002a518 __swrite - .text.__sseek 0x000000000002a594 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - 0x000000000002a594 __sseek + 0x0000000000029d64 0x7c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x0000000000029d64 __swrite + .text.__sseek 0x0000000000029de0 0x5c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x0000000000029de0 __sseek .text.__sclose - 0x000000000002a5f0 0x8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - 0x000000000002a5f0 __sclose - .text 0x000000000002a5f8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .text.strcmp 0x000000000002a5f8 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - 0x000000000002a5f8 strcmp - .text 0x000000000002a6d4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + 0x0000000000029e3c 0x8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x0000000000029e3c __sclose + .text 0x0000000000029e44 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .text.strcmp 0x0000000000029e44 0xdc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + 0x0000000000029e44 strcmp + .text 0x0000000000029f20 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) .text.__sprint_r.part.0 - 0x000000000002a6d4 0xfc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + 0x0000000000029f20 0xfc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) .text.__sprint_r - 0x000000000002a7d0 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - 0x000000000002a7d0 __sprint_r + 0x000000000002a01c 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x000000000002a01c __sprint_r .text.___vfiprintf_internal_r - 0x000000000002a7e8 0x145c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - 0x000000000002a7e8 ___vfiprintf_internal_r + 0x000000000002a034 0x145c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x000000000002a034 ___vfiprintf_internal_r .text.__vfiprintf_internal - 0x000000000002bc44 0x1c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - 0x000000000002bc44 __vfiprintf_internal + 0x000000000002b490 0x1c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x000000000002b490 __vfiprintf_internal .text.__sbprintf - 0x000000000002bc60 0xbc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .text 0x000000000002bd1c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + 0x000000000002b4ac 0xbc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .text 0x000000000002b568 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) .text.__swbuf_r - 0x000000000002bd1c 0x140 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - 0x000000000002bd1c __swbuf_r - .text.__swbuf 0x000000000002be5c 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - 0x000000000002be5c __swbuf - .text 0x000000000002be74 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + 0x000000000002b568 0x140 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + 0x000000000002b568 __swbuf_r + .text.__swbuf 0x000000000002b6a8 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + 0x000000000002b6a8 __swbuf + .text 0x000000000002b6c0 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) .text._write_r - 0x000000000002be74 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - 0x000000000002be74 _write_r - .text 0x000000000002bed4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + 0x000000000002b6c0 0x60 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + 0x000000000002b6c0 _write_r + .text 0x000000000002b720 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) .text._close_r - 0x000000000002bed4 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - 0x000000000002bed4 _close_r - .text 0x000000000002bf28 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + 0x000000000002b720 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + 0x000000000002b720 _close_r + .text 0x000000000002b774 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) .text._calloc_r - 0x000000000002bf28 0xc4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - 0x000000000002bf28 _calloc_r - .text 0x000000000002bfec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + 0x000000000002b774 0xc4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + 0x000000000002b774 _calloc_r + .text 0x000000000002b838 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) .text._fclose_r - 0x000000000002bfec 0xf0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - 0x000000000002bfec _fclose_r - .text.fclose 0x000000000002c0dc 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - 0x000000000002c0dc fclose - .text 0x000000000002c0f0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + 0x000000000002b838 0xf0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + 0x000000000002b838 _fclose_r + .text.fclose 0x000000000002b928 0x14 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + 0x000000000002b928 fclose + .text 0x000000000002b93c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) .text.__fputwc - 0x000000000002c0f0 0x164 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - 0x000000000002c0f0 __fputwc + 0x000000000002b93c 0x164 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + 0x000000000002b93c __fputwc .text._fputwc_r - 0x000000000002c254 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - 0x000000000002c254 _fputwc_r - .text.fputwc 0x000000000002c278 0x80 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - 0x000000000002c278 fputwc - .text 0x000000000002c2f8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + 0x000000000002baa0 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + 0x000000000002baa0 _fputwc_r + .text.fputwc 0x000000000002bac4 0x80 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + 0x000000000002bac4 fputwc + .text 0x000000000002bb44 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) .text._fstat_r - 0x000000000002c2f8 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - 0x000000000002c2f8 _fstat_r - .text 0x000000000002c354 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + 0x000000000002bb44 0x5c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + 0x000000000002bb44 _fstat_r + .text 0x000000000002bba0 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) .text._isatty_r - 0x000000000002c354 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - 0x000000000002c354 _isatty_r - .text 0x000000000002c3a8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + 0x000000000002bba0 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + 0x000000000002bba0 _isatty_r + .text 0x000000000002bbf4 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) .text._lseek_r - 0x000000000002c3a8 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - 0x000000000002c3a8 _lseek_r - .text 0x000000000002c408 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .text._read_r 0x000000000002c408 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - 0x000000000002c408 _read_r - .text 0x000000000002c468 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + 0x000000000002bbf4 0x60 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + 0x000000000002bbf4 _lseek_r + .text 0x000000000002bc54 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .text._read_r 0x000000000002bc54 0x60 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + 0x000000000002bc54 _read_r + .text 0x000000000002bcb4 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) .text._wcrtomb_r - 0x000000000002c468 0x9c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - 0x000000000002c468 _wcrtomb_r - .text.wcrtomb 0x000000000002c504 0xc0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - 0x000000000002c504 wcrtomb - .text 0x000000000002c5c4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + 0x000000000002bcb4 0x9c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + 0x000000000002bcb4 _wcrtomb_r + .text.wcrtomb 0x000000000002bd50 0xc0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + 0x000000000002bd50 wcrtomb + .text 0x000000000002be10 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) .text.__ascii_wctomb - 0x000000000002c5c4 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - 0x000000000002c5c4 __ascii_wctomb + 0x000000000002be10 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x000000000002be10 __ascii_wctomb .text._wctomb_r - 0x000000000002c5f4 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - 0x000000000002c5f4 _wctomb_r - .text 0x000000000002c650 0x578 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - 0x000000000002c650 __udivdi3 - .text 0x000000000002cbc8 0x538 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - 0x000000000002cbc8 __umoddi3 - .text 0x000000000002d100 0x8ac /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - 0x000000000002d100 __adddf3 - .text 0x000000000002d9ac 0x8e8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - 0x000000000002d9ac __divdf3 - .text 0x000000000002e294 0x88 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - 0x000000000002e294 __eqdf2 - 0x000000000002e294 __nedf2 - .text 0x000000000002e31c 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - 0x000000000002e31c __gtdf2 - 0x000000000002e31c __gedf2 - .text 0x000000000002e3f8 0xf4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - 0x000000000002e3f8 __ltdf2 - 0x000000000002e3f8 __ledf2 - .text 0x000000000002e4ec 0x718 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - 0x000000000002e4ec __muldf3 - .text 0x000000000002ec04 0x8fc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - 0x000000000002ec04 __subdf3 - .text 0x000000000002f500 0x80 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - 0x000000000002f500 __fixdfsi - .text 0x000000000002f580 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - 0x000000000002f580 __floatsidf - .text 0x000000000002f65c 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - 0x000000000002f65c __floatunsidf - .text 0x000000000002f704 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .text 0x000000000002f704 0x64 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - 0x000000000002f704 __clzsi2 - .text 0x000000000002f768 0x120 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - 0x000000000002f7b8 close - .text 0x000000000002f888 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - .text 0x000000000002f8b4 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - .text 0x000000000002f8b4 0x170 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - 0x000000000002f904 fcntl - .text 0x000000000002fa24 0x100 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - 0x000000000002fa74 fstat - .text 0x000000000002fb24 0xf4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - 0x000000000002fb74 isatty - .text 0x000000000002fc18 0xec ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - 0x000000000002fc80 alt_load - .text 0x000000000002fd04 0x124 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - 0x000000000002fd54 lseek - .text 0x000000000002fe28 0x7c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - 0x000000000002fe28 alt_main - .text 0x000000000002fea4 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - 0x000000000002fea4 __malloc_lock - 0x000000000002fec8 __malloc_unlock - .text 0x000000000002feec 0x14c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - 0x000000000002ff3c read - .text 0x0000000000030038 0x64 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - 0x0000000000030038 alt_release_fd - .text 0x000000000003009c 0xb0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - 0x000000000003009c sbrk - .text 0x000000000003014c 0x1a4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - 0x000000000003014c alt_alarm_stop - 0x00000000000301e8 alt_tick - .text 0x00000000000302f0 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - 0x00000000000302f0 usleep - .text 0x0000000000030320 0x148 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - 0x0000000000030370 write - .text 0x0000000000030468 0xd4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - 0x00000000000304a0 alt_irq_init - 0x00000000000304d8 alt_sys_init - .text 0x000000000003053c 0x164 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - 0x000000000003053c altera_avalon_jtag_uart_read_fd - 0x000000000003059c altera_avalon_jtag_uart_write_fd - 0x00000000000305fc altera_avalon_jtag_uart_close_fd - 0x000000000003064c altera_avalon_jtag_uart_ioctl_fd - .text 0x00000000000306a0 0x3e4 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - 0x00000000000306a0 altera_avalon_jtag_uart_init - 0x0000000000030a1c altera_avalon_jtag_uart_close - .text 0x0000000000030a84 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - 0x0000000000030a84 altera_avalon_jtag_uart_ioctl - .text 0x0000000000030b74 0x21c ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - 0x0000000000030b74 altera_avalon_jtag_uart_read - .text 0x0000000000030d90 0x224 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - 0x0000000000030d90 altera_avalon_jtag_uart_write - .text 0x0000000000030fb4 0x104 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - 0x000000000003102c alt_avalon_timer_sc_init - .text 0x00000000000310b8 0x140 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - 0x00000000000310b8 alt_alarm_start - .text 0x00000000000311f8 0x158 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - 0x00000000000311f8 alt_busy_sleep - .text 0x0000000000031350 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - 0x0000000000031350 alt_dcache_flush_all - .text 0x0000000000031398 0xf4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - 0x00000000000313e8 alt_dev_llist_insert - .text 0x000000000003148c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - 0x000000000003148c _do_ctors - .text 0x00000000000314ec 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - 0x00000000000314ec _do_dtors - .text 0x000000000003154c 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - 0x000000000003154c alt_icache_flush_all - .text 0x0000000000031580 0x1e0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - 0x0000000000031580 alt_ic_isr_register - 0x00000000000315d0 alt_ic_irq_enable - 0x0000000000031670 alt_ic_irq_disable - 0x0000000000031714 alt_ic_irq_enabled - .text 0x0000000000031760 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - 0x0000000000031760 alt_iic_isr_register - .text 0x0000000000031850 0x140 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - 0x0000000000031914 alt_io_redirect - .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .text 0x0000000000031990 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - .text 0x0000000000031990 0x274 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - 0x0000000000031aac open - .text 0x0000000000031c04 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - 0x0000000000031c04 altera_nios2_gen2_irq_init - .text 0x0000000000031c28 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - .text 0x0000000000031c28 0x90 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - 0x0000000000031c28 alt_find_dev - .text 0x0000000000031cb8 0x114 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - 0x0000000000031cb8 alt_find_file - .text 0x0000000000031dcc 0xb4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - 0x0000000000031dcc alt_get_fd - .text 0x0000000000031e80 0x90 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - 0x0000000000031e80 alt_icache_flush - .text 0x0000000000031f10 0x9c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - 0x0000000000031f10 alt_exception_cause_generated_bad_addr - .text 0x0000000000031fac 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .text.atexit 0x0000000000031fac 0x14 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - 0x0000000000031fac atexit - .text 0x0000000000031fc0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .text.exit 0x0000000000031fc0 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - 0x0000000000031fc0 exit - .text 0x0000000000031ff8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .text.memcmp 0x0000000000031ff8 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - 0x0000000000031ff8 memcmp - .text 0x0000000000032074 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) + 0x000000000002be40 0x5c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x000000000002be40 _wctomb_r + .text 0x000000000002be9c 0x578 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + 0x000000000002be9c __udivdi3 + .text 0x000000000002c414 0x538 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + 0x000000000002c414 __umoddi3 + .text 0x000000000002c94c 0x8ac f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + 0x000000000002c94c __adddf3 + .text 0x000000000002d1f8 0x8e8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + 0x000000000002d1f8 __divdf3 + .text 0x000000000002dae0 0x88 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + 0x000000000002dae0 __nedf2 + 0x000000000002dae0 __eqdf2 + .text 0x000000000002db68 0xdc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + 0x000000000002db68 __gedf2 + 0x000000000002db68 __gtdf2 + .text 0x000000000002dc44 0xf4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + 0x000000000002dc44 __ledf2 + 0x000000000002dc44 __ltdf2 + .text 0x000000000002dd38 0x718 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + 0x000000000002dd38 __muldf3 + .text 0x000000000002e450 0x8fc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + 0x000000000002e450 __subdf3 + .text 0x000000000002ed4c 0x80 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + 0x000000000002ed4c __fixdfsi + .text 0x000000000002edcc 0xdc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + 0x000000000002edcc __floatsidf + .text 0x000000000002eea8 0xa8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + 0x000000000002eea8 __floatunsidf + .text 0x000000000002ef50 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .text 0x000000000002ef50 0x64 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + 0x000000000002ef50 __clzsi2 + .text 0x000000000002efb4 0x120 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + 0x000000000002f004 close + .text 0x000000000002f0d4 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .text 0x000000000002f100 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .text 0x000000000002f100 0x100 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + 0x000000000002f150 fstat + .text 0x000000000002f200 0xf4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + 0x000000000002f250 isatty + .text 0x000000000002f2f4 0xec ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + 0x000000000002f35c alt_load + .text 0x000000000002f3e0 0x124 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + 0x000000000002f430 lseek + .text 0x000000000002f504 0x7c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + 0x000000000002f504 alt_main + .text 0x000000000002f580 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x000000000002f580 __malloc_lock + 0x000000000002f5a4 __malloc_unlock + .text 0x000000000002f5c8 0x14c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + 0x000000000002f618 read + .text 0x000000000002f714 0x64 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x000000000002f714 alt_release_fd + .text 0x000000000002f778 0xb0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x000000000002f778 sbrk + .text 0x000000000002f828 0x1a4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + 0x000000000002f828 alt_alarm_stop + 0x000000000002f8c4 alt_tick + .text 0x000000000002f9cc 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + 0x000000000002f9cc usleep + .text 0x000000000002f9fc 0x148 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + 0x000000000002fa4c write + .text 0x000000000002fb44 0xd4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x000000000002fb7c alt_irq_init + 0x000000000002fbb4 alt_sys_init + .text 0x000000000002fc18 0x164 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x000000000002fc18 altera_avalon_jtag_uart_read_fd + 0x000000000002fc78 altera_avalon_jtag_uart_write_fd + 0x000000000002fcd8 altera_avalon_jtag_uart_close_fd + 0x000000000002fd28 altera_avalon_jtag_uart_ioctl_fd + .text 0x000000000002fd7c 0x3e4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x000000000002fd7c altera_avalon_jtag_uart_init + 0x00000000000300f8 altera_avalon_jtag_uart_close + .text 0x0000000000030160 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000000000030160 altera_avalon_jtag_uart_ioctl + .text 0x0000000000030250 0x21c ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x0000000000030250 altera_avalon_jtag_uart_read + .text 0x000000000003046c 0x224 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x000000000003046c altera_avalon_jtag_uart_write + .text 0x0000000000030690 0x104 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + 0x0000000000030708 alt_avalon_timer_sc_init + .text 0x0000000000030794 0x140 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x0000000000030794 alt_alarm_start + .text 0x00000000000308d4 0x158 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + 0x00000000000308d4 alt_busy_sleep + .text 0x0000000000030a2c 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + 0x0000000000030a2c alt_dcache_flush_all + .text 0x0000000000030a74 0xf4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x0000000000030ac4 alt_dev_llist_insert + .text 0x0000000000030b68 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0x0000000000030b68 _do_ctors + .text 0x0000000000030bc8 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0x0000000000030bc8 _do_dtors + .text 0x0000000000030c28 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + 0x0000000000030c28 alt_icache_flush_all + .text 0x0000000000030c5c 0x1e0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + 0x0000000000030c5c alt_ic_isr_register + 0x0000000000030cac alt_ic_irq_enable + 0x0000000000030d4c alt_ic_irq_disable + 0x0000000000030df0 alt_ic_irq_enabled + .text 0x0000000000030e3c 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x0000000000030e3c alt_iic_isr_register + .text 0x0000000000030f2c 0x140 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x0000000000030ff0 alt_io_redirect + .text 0x000000000003106c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + .text 0x000000000003106c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .text 0x000000000003106c 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .text 0x000000000003106c 0x274 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + 0x0000000000031188 open + .text 0x00000000000312e0 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x00000000000312e0 altera_nios2_gen2_irq_init + .text 0x0000000000031304 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + .text 0x0000000000031304 0x90 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x0000000000031304 alt_find_dev + .text 0x0000000000031394 0x114 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + 0x0000000000031394 alt_find_file + .text 0x00000000000314a8 0xb4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x00000000000314a8 alt_get_fd + .text 0x000000000003155c 0x90 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + 0x000000000003155c alt_icache_flush + .text 0x00000000000315ec 0x9c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000315ec alt_exception_cause_generated_bad_addr + .text 0x0000000000031688 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .text.atexit 0x0000000000031688 0x14 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + 0x0000000000031688 atexit + .text 0x000000000003169c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .text.exit 0x000000000003169c 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + 0x000000000003169c exit + .text 0x00000000000316d4 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .text.memcmp 0x00000000000316d4 0x7c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + 0x00000000000316d4 memcmp + .text 0x0000000000031750 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) .text.__register_exitproc - 0x0000000000032074 0x118 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - 0x0000000000032074 __register_exitproc - .text 0x000000000003218c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + 0x0000000000031750 0x118 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + 0x0000000000031750 __register_exitproc + .text 0x0000000000031868 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) .text.__call_exitprocs - 0x000000000003218c 0x180 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - 0x000000000003218c __call_exitprocs - .text 0x000000000003230c 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) - 0x000000000003230c _exit + 0x0000000000031868 0x180 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + 0x0000000000031868 __call_exitprocs + .text 0x00000000000319e8 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + 0x00000000000319e8 _exit *(.gnu.warning.*) *(.fini) [!provide] PROVIDE (__etext, ABSOLUTE (.)) [!provide] PROVIDE (_etext, ABSOLUTE (.)) [!provide] PROVIDE (etext, ABSOLUTE (.)) *(.eh_frame_hdr) - 0x0000000000032340 . = ALIGN (0x4) + 0x0000000000031a1c . = ALIGN (0x4) [!provide] PROVIDE (__preinit_array_start, ABSOLUTE (.)) *(.preinit_array) [!provide] PROVIDE (__preinit_array_end, ABSOLUTE (.)) @@ -902,442 +873,434 @@ LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gc *(.eh_frame) *(.gcc_except_table .gcc_except_table.*) *(.dynamic) - 0x0000000000032340 PROVIDE (__CTOR_LIST__, ABSOLUTE (.)) + 0x0000000000031a1c PROVIDE (__CTOR_LIST__, ABSOLUTE (.)) *(.ctors) *(SORT(.ctors.*)) - 0x0000000000032340 PROVIDE (__CTOR_END__, ABSOLUTE (.)) - 0x0000000000032340 PROVIDE (__DTOR_LIST__, ABSOLUTE (.)) + 0x0000000000031a1c PROVIDE (__CTOR_END__, ABSOLUTE (.)) + 0x0000000000031a1c PROVIDE (__DTOR_LIST__, ABSOLUTE (.)) *(.dtors) *(SORT(.dtors.*)) - 0x0000000000032340 PROVIDE (__DTOR_END__, ABSOLUTE (.)) + 0x0000000000031a1c PROVIDE (__DTOR_END__, ABSOLUTE (.)) *(.jcr) - 0x0000000000032340 . = ALIGN (0x4) + 0x0000000000031a1c . = ALIGN (0x4) -.rodata 0x0000000000032340 0x8d8 - 0x0000000000032340 PROVIDE (__ram_rodata_start, ABSOLUTE (.)) - 0x0000000000032340 . = ALIGN (0x4) +.rodata 0x0000000000031a1c 0x8c8 + 0x0000000000031a1c PROVIDE (__ram_rodata_start, ABSOLUTE (.)) + 0x0000000000031a1c . = ALIGN (0x4) *(.rodata .rodata.* .gnu.linkonce.r.*) - .rodata 0x0000000000032340 0x277 obj/default/I2C_core.o - *fill* 0x00000000000325b7 0x1 - .rodata 0x00000000000325b8 0x4e obj/default/auto_focus.o - *fill* 0x0000000000032606 0x2 - .rodata 0x0000000000032608 0x215 obj/default/main.o - *fill* 0x000000000003281d 0x3 - .rodata 0x0000000000032820 0x61 obj/default/mipi_bridge_config.o - *fill* 0x0000000000032881 0x3 - .rodata 0x0000000000032884 0x9c obj/default/mipi_camera_config.o + .rodata 0x0000000000031a1c 0x277 obj/default/I2C_core.o + *fill* 0x0000000000031c93 0x1 + .rodata 0x0000000000031c94 0x4e obj/default/auto_focus.o + *fill* 0x0000000000031ce2 0x2 + .rodata 0x0000000000031ce4 0x1ea obj/default/main.o + *fill* 0x0000000000031ece 0x2 + .rodata 0x0000000000031ed0 0x61 obj/default/mipi_bridge_config.o + *fill* 0x0000000000031f31 0x3 + .rodata 0x0000000000031f34 0xb8 obj/default/mipi_camera_config.o .rodata.str1.4 - 0x0000000000032920 0x2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - *fill* 0x0000000000032922 0x2 + 0x0000000000031fec 0x2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + *fill* 0x0000000000031fee 0x2 .rodata.str1.4 - 0x0000000000032924 0x2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - *fill* 0x0000000000032926 0x2 - .rodata.str1.4 - 0x0000000000032928 0x42 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + 0x0000000000031ff0 0x42 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) .rodata.zeroes.4404 - 0x000000000003296a 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + 0x0000000000032032 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) .rodata.blanks.4403 - 0x000000000003297a 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - *fill* 0x000000000003298a 0x2 + 0x0000000000032042 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + *fill* 0x0000000000032052 0x2 .rodata.str1.4 - 0x000000000003298c 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + 0x0000000000032054 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) 0x12 (size before relaxing) .rodata.str1.4 - 0x000000000003299c 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + 0x0000000000032064 0x2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + *fill* 0x0000000000032066 0x2 + .rodata.str1.4 + 0x0000000000032068 0xc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) 0x12 (size before relaxing) .rodata.p05.2768 - 0x00000000000329a8 0xc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + 0x0000000000032074 0xc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) .rodata.__mprec_tinytens - 0x00000000000329b4 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x00000000000329b4 __mprec_tinytens + 0x0000000000032080 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x0000000000032080 __mprec_tinytens .rodata.__mprec_bigtens - 0x00000000000329dc 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x00000000000329dc __mprec_bigtens + 0x00000000000320a8 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000320a8 __mprec_bigtens .rodata.__mprec_tens - 0x0000000000032a04 0xc8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x0000000000032a04 __mprec_tens + 0x00000000000320d0 0xc8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x00000000000320d0 __mprec_tens .rodata.str1.4 - 0x0000000000032acc 0x2f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + 0x0000000000032198 0x2f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) .rodata.zeroes.4349 - 0x0000000000032acc 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + 0x0000000000032198 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) .rodata.blanks.4348 - 0x0000000000032adc 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .rodata 0x0000000000032aec 0x100 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - 0x0000000000032aec __clz_tab - .rodata 0x0000000000032bec 0xa ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - *fill* 0x0000000000032bf6 0x2 - .rodata 0x0000000000032bf8 0xf ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - *fill* 0x0000000000032c07 0x1 - .rodata 0x0000000000032c08 0xf ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + 0x00000000000321a8 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .rodata 0x00000000000321b8 0x100 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + 0x00000000000321b8 __clz_tab + .rodata 0x00000000000322b8 0xa ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + *fill* 0x00000000000322c2 0x2 + .rodata 0x00000000000322c4 0xf ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + *fill* 0x00000000000322d3 0x1 + .rodata 0x00000000000322d4 0xf ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) *(.rodata1) - 0x0000000000032c18 . = ALIGN (0x4) - *fill* 0x0000000000032c17 0x1 - 0x0000000000032c18 PROVIDE (__ram_rodata_end, ABSOLUTE (.)) - 0x0000000000032340 PROVIDE (__flash_rodata_start, LOADADDR (.rodata)) + 0x00000000000322e4 . = ALIGN (0x4) + *fill* 0x00000000000322e3 0x1 + 0x00000000000322e4 PROVIDE (__ram_rodata_end, ABSOLUTE (.)) + 0x0000000000031a1c PROVIDE (__flash_rodata_start, LOADADDR (.rodata)) -.rwdata 0x0000000000032c18 0x22a0 load address 0x0000000000034eb8 - 0x0000000000032c18 PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) - 0x0000000000032c18 . = ALIGN (0x4) +.rwdata 0x00000000000322e4 0x22a0 load address 0x0000000000034584 + 0x00000000000322e4 PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) + 0x00000000000322e4 . = ALIGN (0x4) *(.got.plt) *(.got) *(.data1) *(.data .data.* .gnu.linkonce.d.*) - .data 0x0000000000032c18 0x0 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o - .data 0x0000000000032c18 0x0 obj/default/I2C_core.o - .data 0x0000000000032c18 0x0 obj/default/auto_focus.o - .data 0x0000000000032c18 0x0 obj/default/main.o - .data 0x0000000000032c18 0x34 obj/default/mipi_bridge_config.o - .data 0x0000000000032c4c 0x774 obj/default/mipi_camera_config.o - .data 0x00000000000333c0 0x0 obj/default/queue.o - .data 0x00000000000333c0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .data 0x00000000000333c0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .data 0x00000000000333c0 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .data.impure_data - 0x00000000000333c0 0x424 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .data 0x00000000000337e4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .data 0x00000000000337e4 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .data 0x00000000000322e4 0x0 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o + .data 0x00000000000322e4 0x0 obj/default/I2C_core.o + .data 0x00000000000322e4 0x0 obj/default/auto_focus.o + .data 0x00000000000322e4 0x0 obj/default/main.o + .data 0x00000000000322e4 0x34 obj/default/mipi_bridge_config.o + .data 0x0000000000032318 0x774 obj/default/mipi_camera_config.o + .data 0x0000000000032a8c 0x0 obj/default/queue.o + .data 0x0000000000032a8c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .data 0x0000000000032a8c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .data 0x0000000000032a8c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) .data.__malloc_av_ - 0x00000000000337e4 0x408 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x00000000000337e4 __malloc_av_ - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - .data 0x0000000000033bec 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + 0x0000000000032a8c 0x408 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000032a8c __malloc_av_ + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .data 0x0000000000032e94 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .data.impure_data + 0x0000000000032e94 0x424 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .data 0x00000000000332b8 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .data 0x00000000000332b8 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) .data.lc_message_charset - 0x0000000000033bec 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + 0x00000000000332b8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) .data.lc_ctype_charset - 0x0000000000033c0c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .data.lconv 0x0000000000033c2c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .data 0x0000000000033c64 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .data 0x0000000000033c64 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - .data 0x0000000000033c64 0x1a8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - 0x0000000000033c64 alt_dev_null - 0x0000000000033c8c alt_fd_list - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - .data 0x0000000000033e0c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - .data 0x0000000000033e0c 0x1060 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .data 0x0000000000034e6c 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .data 0x0000000000034e6c 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) - 0x000000000003ce6c _gp = ABSOLUTE ((. + 0x8000)) + 0x00000000000332d8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .data.lconv 0x00000000000332f8 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .data 0x0000000000033330 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .data 0x0000000000033330 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .data 0x0000000000033330 0x1a8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + 0x0000000000033330 alt_dev_null + 0x0000000000033358 alt_fd_list + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .data 0x00000000000334d8 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .data 0x00000000000334d8 0x1060 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .data 0x0000000000034538 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .data 0x0000000000034538 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .data 0x0000000000034538 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .data 0x0000000000034538 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .data 0x0000000000034538 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .data 0x0000000000034538 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + 0x000000000003c538 _gp = ABSOLUTE ((. + 0x8000)) [!provide] PROVIDE (gp, _gp) *(.rwdata .rwdata.*) *(.sdata .sdata.* .gnu.linkonce.s.*) - .sdata 0x0000000000034e6c 0xb obj/default/auto_focus.o - 0x0000000000034e6c video_w - 0x0000000000034e6e video_h - 0x0000000000034e70 focus_width - 0x0000000000034e72 focus_height - 0x0000000000034e74 focus_scal - 0x0000000000034e75 focus_scal_f - 0x0000000000034e76 focus_th - *fill* 0x0000000000034e77 0x1 - .sdata._global_impure_ptr - 0x0000000000034e78 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - 0x0000000000034e78 _global_impure_ptr - .sdata._impure_ptr - 0x0000000000034e7c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - 0x0000000000034e7c _impure_ptr + .sdata 0x0000000000034538 0xb obj/default/auto_focus.o + 0x0000000000034538 video_w + 0x000000000003453a video_h + 0x000000000003453c focus_width + 0x000000000003453e focus_height + 0x0000000000034540 focus_scal + 0x0000000000034541 focus_scal_f + 0x0000000000034542 focus_th + *fill* 0x0000000000034543 0x1 .sdata.__malloc_sbrk_base - 0x0000000000034e80 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x0000000000034e80 __malloc_sbrk_base + 0x0000000000034544 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000034544 __malloc_sbrk_base .sdata.__malloc_trim_threshold - 0x0000000000034e84 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x0000000000034e84 __malloc_trim_threshold + 0x0000000000034548 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000034548 __malloc_trim_threshold + .sdata._global_impure_ptr + 0x000000000003454c 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + 0x000000000003454c _global_impure_ptr + .sdata._impure_ptr + 0x0000000000034550 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + 0x0000000000034550 _impure_ptr .sdata.__mb_cur_max - 0x0000000000034e88 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000034e88 __mb_cur_max + 0x0000000000034554 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000034554 __mb_cur_max .sdata.__wctomb - 0x0000000000034e8c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - 0x0000000000034e8c __wctomb - .sdata 0x0000000000034e90 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - 0x0000000000034e90 alt_fs_list - 0x0000000000034e98 alt_dev_list - 0x0000000000034ea0 alt_max_fd - .sdata 0x0000000000034ea4 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - 0x0000000000034ea4 alt_errno - .sdata 0x0000000000034ea8 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .sdata 0x0000000000034eac 0x8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - 0x0000000000034eac alt_alarm_list - .sdata 0x0000000000034eb4 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - 0x0000000000034eb4 alt_priority_mask + 0x0000000000034558 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x0000000000034558 __wctomb + .sdata 0x000000000003455c 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + 0x000000000003455c alt_fs_list + 0x0000000000034564 alt_dev_list + 0x000000000003456c alt_max_fd + .sdata 0x0000000000034570 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + 0x0000000000034570 alt_errno + .sdata 0x0000000000034574 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .sdata 0x0000000000034578 0x8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + 0x0000000000034578 alt_alarm_list + .sdata 0x0000000000034580 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x0000000000034580 alt_priority_mask *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) - 0x0000000000034eb8 . = ALIGN (0x4) - 0x0000000000034eb8 _edata = ABSOLUTE (.) + 0x0000000000034584 . = ALIGN (0x4) + 0x0000000000034584 _edata = ABSOLUTE (.) [!provide] PROVIDE (edata, ABSOLUTE (.)) - 0x0000000000034eb8 PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) - 0x0000000000034eb8 PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) + 0x0000000000034584 PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) + 0x0000000000034584 PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) -.bss 0x0000000000037158 0x160 - 0x0000000000037158 __bss_start = ABSOLUTE (.) +.bss 0x0000000000036824 0x160 + 0x0000000000036824 __bss_start = ABSOLUTE (.) [!provide] PROVIDE (__sbss_start, ABSOLUTE (.)) [!provide] PROVIDE (___sbss_start, ABSOLUTE (.)) *(.dynsbss) *(.sbss .sbss.* .gnu.linkonce.sb.*) .sbss.__malloc_max_total_mem - 0x0000000000037158 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x0000000000037158 __malloc_max_total_mem + 0x0000000000036824 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000036824 __malloc_max_total_mem .sbss.__malloc_max_sbrked_mem - 0x000000000003715c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x000000000003715c __malloc_max_sbrked_mem + 0x0000000000036828 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x0000000000036828 __malloc_max_sbrked_mem .sbss.__malloc_top_pad - 0x0000000000037160 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x0000000000037160 __malloc_top_pad - .sbss 0x0000000000037164 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - 0x0000000000037164 errno + 0x000000000003682c 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x000000000003682c __malloc_top_pad + .sbss 0x0000000000036830 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + 0x0000000000036830 errno .sbss._PathLocale - 0x0000000000037168 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000037168 _PathLocale + 0x0000000000036834 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000036834 _PathLocale .sbss.__mlocale_changed - 0x000000000003716c 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x000000000003716c __mlocale_changed + 0x0000000000036838 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x0000000000036838 __mlocale_changed .sbss.__nlocale_changed - 0x0000000000037170 0x4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x0000000000037170 __nlocale_changed - .sbss 0x0000000000037174 0xc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - 0x0000000000037174 alt_argc - 0x0000000000037178 alt_argv - 0x000000000003717c alt_envp - .sbss 0x0000000000037180 0x8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - 0x0000000000037180 _alt_tick_rate - 0x0000000000037184 _alt_nticks - .sbss 0x0000000000037188 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - 0x0000000000037188 alt_irq_active - .sbss 0x000000000003718c 0x4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - 0x000000000003718c alt_instruction_exception_handler + 0x000000000003683c 0x4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x000000000003683c __nlocale_changed + .sbss 0x0000000000036840 0xc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + 0x0000000000036840 alt_argc + 0x0000000000036844 alt_argv + 0x0000000000036848 alt_envp + .sbss 0x000000000003684c 0x8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + 0x000000000003684c _alt_tick_rate + 0x0000000000036850 _alt_nticks + .sbss 0x0000000000036854 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x0000000000036854 alt_irq_active + .sbss 0x0000000000036858 0x4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x0000000000036858 alt_instruction_exception_handler *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) *(.scommon) [!provide] PROVIDE (__sbss_end, ABSOLUTE (.)) [!provide] PROVIDE (___sbss_end, ABSOLUTE (.)) *(.dynbss) *(.bss .bss.* .gnu.linkonce.b.*) - .bss 0x0000000000037190 0x0 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o - .bss 0x0000000000037190 0x0 obj/default/I2C_core.o - .bss 0x0000000000037190 0x0 obj/default/auto_focus.o - .bss 0x0000000000037190 0x0 obj/default/main.o - .bss 0x0000000000037190 0x0 obj/default/mipi_bridge_config.o - .bss 0x0000000000037190 0x0 obj/default/mipi_camera_config.o - .bss 0x0000000000037190 0x0 obj/default/queue.o - .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .bss 0x0000000000037190 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + .bss 0x000000000003685c 0x0 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o + .bss 0x000000000003685c 0x0 obj/default/I2C_core.o + .bss 0x000000000003685c 0x0 obj/default/auto_focus.o + .bss 0x000000000003685c 0x0 obj/default/main.o + .bss 0x000000000003685c 0x0 obj/default/mipi_bridge_config.o + .bss 0x000000000003685c 0x0 obj/default/mipi_camera_config.o + .bss 0x000000000003685c 0x0 obj/default/queue.o + .bss 0x000000000003685c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .bss 0x000000000003685c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .bss 0x000000000003685c 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) .bss.__malloc_current_mallinfo - 0x0000000000037190 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x0000000000037190 __malloc_current_mallinfo - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .bss 0x00000000000371b8 0x0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .bss 0x00000000000371b8 0x0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + 0x000000000003685c 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x000000000003685c __malloc_current_mallinfo + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .bss 0x0000000000036884 0x0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .bss 0x0000000000036884 0x0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) *(COMMON) - COMMON 0x00000000000371b8 0x100 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - 0x00000000000371b8 alt_irq - 0x00000000000372b8 . = ALIGN (0x4) - 0x00000000000372b8 __bss_end = ABSOLUTE (.) + COMMON 0x0000000000036884 0x100 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x0000000000036884 alt_irq + 0x0000000000036984 . = ALIGN (0x4) + 0x0000000000036984 __bss_end = ABSOLUTE (.) .onchip_memory2_0 - 0x00000000000372b8 0x0 + 0x0000000000036984 0x0 [!provide] PROVIDE (_alt_partition_onchip_memory2_0_start, ABSOLUTE (.)) *(.onchip_memory2_0 .onchip_memory2_0. onchip_memory2_0.*) - 0x00000000000372b8 . = ALIGN (0x4) + 0x0000000000036984 . = ALIGN (0x4) [!provide] PROVIDE (_alt_partition_onchip_memory2_0_end, ABSOLUTE (.)) - 0x00000000000372b8 _end = ABSOLUTE (.) - 0x00000000000372b8 end = ABSOLUTE (.) - 0x00000000000372b8 __alt_stack_base = ABSOLUTE (.) + 0x0000000000036984 _end = ABSOLUTE (.) + 0x0000000000036984 end = ABSOLUTE (.) + 0x0000000000036984 __alt_stack_base = ABSOLUTE (.) [!provide] PROVIDE (_alt_partition_onchip_memory2_0_load_addr, LOADADDR (.onchip_memory2_0)) .stab @@ -1367,114 +1330,110 @@ LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gc .comment 0x0000000000000023 0x24 obj/default/mipi_bridge_config.o .comment 0x0000000000000023 0x24 obj/default/mipi_camera_config.o .comment 0x0000000000000023 0x24 obj/default/queue.o - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .comment 0x0000000000000023 0x24 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .comment 0x0000000000000023 0x24 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .comment 0x0000000000000023 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) .debug *(.debug) @@ -1488,7 +1447,7 @@ LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gc .debug_sfnames *(.debug_sfnames) -.debug_aranges 0x0000000000000000 0x10a8 +.debug_aranges 0x0000000000000000 0x1010 *(.debug_aranges) .debug_aranges 0x0000000000000000 0x28 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o @@ -1505,995 +1464,960 @@ LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gc .debug_aranges 0x00000000000000c8 0x20 obj/default/queue.o .debug_aranges - 0x00000000000000e8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) + 0x00000000000000e8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) .debug_aranges - 0x0000000000000108 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) + 0x0000000000000108 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) .debug_aranges - 0x0000000000000130 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) + 0x0000000000000130 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) .debug_aranges - 0x0000000000000148 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) + 0x0000000000000150 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) .debug_aranges - 0x0000000000000170 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) + 0x0000000000000170 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) .debug_aranges - 0x0000000000000190 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) + 0x0000000000000198 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) .debug_aranges - 0x00000000000001b0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) + 0x00000000000001c0 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) .debug_aranges - 0x00000000000001d8 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) + 0x00000000000001e8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) .debug_aranges - 0x0000000000000200 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) + 0x0000000000000208 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) .debug_aranges - 0x0000000000000228 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) + 0x0000000000000228 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) .debug_aranges - 0x0000000000000250 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) + 0x0000000000000258 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) .debug_aranges - 0x0000000000000270 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) + 0x0000000000000278 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) .debug_aranges - 0x0000000000000290 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) + 0x00000000000002a0 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) .debug_aranges - 0x00000000000002c0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) + 0x00000000000002d0 0x68 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) .debug_aranges - 0x00000000000002e0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) + 0x0000000000000338 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) .debug_aranges - 0x0000000000000308 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) + 0x0000000000000360 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) .debug_aranges - 0x0000000000000338 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) + 0x0000000000000380 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) .debug_aranges - 0x00000000000003a0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) + 0x00000000000003a8 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) .debug_aranges - 0x00000000000003c8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) + 0x00000000000003c0 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) .debug_aranges - 0x00000000000003e8 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) + 0x00000000000003d8 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) .debug_aranges - 0x0000000000000410 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) + 0x0000000000000430 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) .debug_aranges - 0x0000000000000428 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) + 0x0000000000000450 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) .debug_aranges - 0x0000000000000480 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) + 0x0000000000000470 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) .debug_aranges - 0x00000000000004a0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) + 0x0000000000000490 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) .debug_aranges - 0x00000000000004c0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) + 0x00000000000004b0 0xb0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) .debug_aranges - 0x00000000000004e0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) + 0x0000000000000560 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) .debug_aranges - 0x0000000000000500 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) + 0x0000000000000588 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) .debug_aranges - 0x00000000000005b0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) + 0x00000000000005a8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) .debug_aranges - 0x00000000000005d8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) + 0x00000000000005c8 0x40 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) .debug_aranges - 0x00000000000005f8 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) + 0x0000000000000608 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) .debug_aranges - 0x0000000000000620 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) + 0x0000000000000628 0x40 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) .debug_aranges - 0x0000000000000640 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) + 0x0000000000000668 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) .debug_aranges - 0x0000000000000680 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) + 0x0000000000000690 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) .debug_aranges - 0x00000000000006a0 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) + 0x00000000000006b0 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) .debug_aranges - 0x00000000000006e0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) + 0x00000000000006d0 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) .debug_aranges - 0x0000000000000708 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) + 0x00000000000006f0 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) .debug_aranges - 0x0000000000000728 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) + 0x0000000000000718 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) .debug_aranges - 0x0000000000000748 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) + 0x0000000000000748 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) .debug_aranges - 0x0000000000000768 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) + 0x0000000000000768 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) .debug_aranges - 0x0000000000000790 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) + 0x0000000000000788 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) .debug_aranges - 0x00000000000007c0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) + 0x00000000000007a8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) .debug_aranges - 0x00000000000007e0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) + 0x00000000000007c8 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) .debug_aranges - 0x0000000000000800 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) + 0x00000000000007f0 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) .debug_aranges - 0x0000000000000820 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) + 0x0000000000000818 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) .debug_aranges - 0x0000000000000840 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) + 0x0000000000000838 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) .debug_aranges - 0x0000000000000868 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) + 0x0000000000000858 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) .debug_aranges - 0x0000000000000890 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) + 0x0000000000000878 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) .debug_aranges - 0x00000000000008b0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) + 0x0000000000000898 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) .debug_aranges - 0x00000000000008d0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) + 0x00000000000008b8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) .debug_aranges - 0x00000000000008f0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) + 0x00000000000008d8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) .debug_aranges - 0x0000000000000910 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) + 0x00000000000008f8 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) .debug_aranges - 0x0000000000000930 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) + 0x0000000000000918 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) .debug_aranges - 0x0000000000000950 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) + 0x0000000000000938 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) .debug_aranges - 0x0000000000000970 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) + 0x0000000000000958 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) .debug_aranges - 0x0000000000000990 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) + 0x0000000000000978 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) .debug_aranges - 0x00000000000009b0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) + 0x0000000000000998 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) .debug_aranges - 0x00000000000009d0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) + 0x00000000000009b0 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) .debug_aranges - 0x00000000000009f0 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) + 0x00000000000009d0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) .debug_aranges - 0x0000000000000a10 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) + 0x00000000000009f0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) .debug_aranges - 0x0000000000000a28 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) + 0x0000000000000a10 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) .debug_aranges - 0x0000000000000a48 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) + 0x0000000000000a28 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) .debug_aranges - 0x0000000000000a68 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) + 0x0000000000000a48 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) .debug_aranges - 0x0000000000000a88 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) + 0x0000000000000a68 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) .debug_aranges - 0x0000000000000aa0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) + 0x0000000000000a88 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) .debug_aranges - 0x0000000000000ac0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) + 0x0000000000000aa8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) .debug_aranges - 0x0000000000000ae0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) + 0x0000000000000ac8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) .debug_aranges - 0x0000000000000b00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) + 0x0000000000000ae8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) .debug_aranges - 0x0000000000000b20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) + 0x0000000000000b08 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) .debug_aranges - 0x0000000000000b40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) + 0x0000000000000b28 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) .debug_aranges - 0x0000000000000b60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) + 0x0000000000000b48 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) .debug_aranges - 0x0000000000000b80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) + 0x0000000000000b68 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) .debug_aranges - 0x0000000000000ba0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) + 0x0000000000000b88 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) .debug_aranges - 0x0000000000000bc0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) + 0x0000000000000ba8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) .debug_aranges - 0x0000000000000be0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) + 0x0000000000000bc8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) .debug_aranges - 0x0000000000000c00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) + 0x0000000000000be8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) .debug_aranges - 0x0000000000000c20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) + 0x0000000000000c08 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) .debug_aranges - 0x0000000000000c40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) + 0x0000000000000c28 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) .debug_aranges - 0x0000000000000c60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000000000000c48 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) .debug_aranges - 0x0000000000000c80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x0000000000000c68 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) .debug_aranges - 0x0000000000000ca0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000000000000c88 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) .debug_aranges - 0x0000000000000cc0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x0000000000000ca8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) .debug_aranges - 0x0000000000000ce0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x0000000000000cc8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) .debug_aranges - 0x0000000000000d00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + 0x0000000000000ce8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) .debug_aranges - 0x0000000000000d20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) + 0x0000000000000d08 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) .debug_aranges - 0x0000000000000d40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + 0x0000000000000d28 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) .debug_aranges - 0x0000000000000d60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) + 0x0000000000000d48 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) .debug_aranges - 0x0000000000000d80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + 0x0000000000000d68 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) .debug_aranges - 0x0000000000000da0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) + 0x0000000000000d88 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) .debug_aranges - 0x0000000000000dc0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) + 0x0000000000000da8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) .debug_aranges - 0x0000000000000de0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) + 0x0000000000000dc8 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) .debug_aranges - 0x0000000000000e00 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) + 0x0000000000000df8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) .debug_aranges - 0x0000000000000e20 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) + 0x0000000000000e18 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) .debug_aranges - 0x0000000000000e40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) + 0x0000000000000e30 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) .debug_aranges - 0x0000000000000e60 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000e50 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) .debug_aranges - 0x0000000000000e90 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) + 0x0000000000000e70 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) .debug_aranges - 0x0000000000000eb0 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x0000000000000ea8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) .debug_aranges - 0x0000000000000ec8 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) + 0x0000000000000ec8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) .debug_aranges - 0x0000000000000ee8 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x0000000000000ee8 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) .debug_aranges - 0x0000000000000f08 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000f08 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) .debug_aranges - 0x0000000000000f40 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) + 0x0000000000000f28 0x28 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) .debug_aranges - 0x0000000000000f60 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) + 0x0000000000000f50 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) .debug_aranges - 0x0000000000000f80 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + 0x0000000000000f70 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) .debug_aranges - 0x0000000000000fa0 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) + 0x0000000000000f90 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) .debug_aranges - 0x0000000000000fc0 0x28 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x0000000000000fb0 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) .debug_aranges - 0x0000000000000fe8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) + 0x0000000000000fd0 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) .debug_aranges - 0x0000000000001008 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .debug_aranges - 0x0000000000001028 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .debug_aranges - 0x0000000000001048 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .debug_aranges - 0x0000000000001068 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .debug_aranges - 0x0000000000001088 0x20 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + 0x0000000000000ff0 0x20 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) .debug_pubnames *(.debug_pubnames) -.debug_info 0x0000000000000000 0x28f70 +.debug_info 0x0000000000000000 0x264b1 *(.debug_info .gnu.linkonce.wi.*) - .debug_info 0x0000000000000000 0x8b ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o - .debug_info 0x000000000000008b 0x68d obj/default/I2C_core.o - .debug_info 0x0000000000000718 0x277 obj/default/auto_focus.o - .debug_info 0x000000000000098f 0xa56 obj/default/main.o - .debug_info 0x00000000000013e5 0x25d obj/default/mipi_bridge_config.o - .debug_info 0x0000000000001642 0x404 obj/default/mipi_camera_config.o - .debug_info 0x0000000000001a46 0x2bb obj/default/queue.o - .debug_info 0x0000000000001d01 0x2c3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .debug_info 0x0000000000001fc4 0x9a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .debug_info 0x0000000000002966 0x8a1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .debug_info 0x0000000000003207 0x911 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .debug_info 0x0000000000003b18 0xd57 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - .debug_info 0x000000000000486f 0x121 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .debug_info 0x0000000000004990 0x991 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .debug_info 0x0000000000005321 0x922 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .debug_info 0x0000000000005c43 0xa38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .debug_info 0x000000000000667b 0x950 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .debug_info 0x0000000000006fcb 0x900 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .debug_info 0x00000000000078cb 0xe2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .debug_info 0x00000000000079ad 0x16bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .debug_info 0x0000000000009069 0x936 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .debug_info 0x000000000000999f 0x1247 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .debug_info 0x000000000000abe6 0xa93 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .debug_info 0x000000000000b679 0xd25 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .debug_info 0x000000000000c39e 0xbf7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .debug_info 0x000000000000cf95 0xbb8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .debug_info 0x000000000000db4d 0x9b0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .debug_info 0x000000000000e4fd 0x8a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - .debug_info 0x000000000000e587 0xc25 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .debug_info 0x000000000000f1ac 0xad8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .debug_info 0x000000000000fc84 0x132 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .debug_info 0x000000000000fdb6 0x144 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .debug_info 0x000000000000fefa 0x13a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .debug_info 0x0000000000010034 0x16b3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .debug_info 0x00000000000116e7 0x9b4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .debug_info 0x000000000001209b 0xc76 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .debug_info 0x0000000000012d11 0xa08 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .debug_info 0x0000000000013719 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .debug_info 0x00000000000137f5 0xb32 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .debug_info 0x0000000000014327 0xe4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .debug_info 0x000000000001440b 0x1535 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .debug_info 0x0000000000015940 0x9be /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .debug_info 0x00000000000162fe 0x928 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .debug_info 0x0000000000016c26 0x8df /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .debug_info 0x0000000000017505 0x9d5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .debug_info 0x0000000000017eda 0xa23 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .debug_info 0x00000000000188fd 0xad4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .debug_info 0x00000000000193d1 0xa5d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .debug_info 0x0000000000019e2e 0x8df /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .debug_info 0x000000000001a70d 0x90b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .debug_info 0x000000000001b018 0x921 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .debug_info 0x000000000001b939 0xa82 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .debug_info 0x000000000001c3bb 0x9b1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .debug_info 0x000000000001cd6c 0x70b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .debug_info 0x000000000001d477 0x768 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .debug_info 0x000000000001dbdf 0x4a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .debug_info 0x000000000001e081 0x5c7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .debug_info 0x000000000001e648 0x1e2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .debug_info 0x000000000001e82a 0x202 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .debug_info 0x000000000001ea2c 0x204 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .debug_info 0x000000000001ec30 0x5f5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .debug_info 0x000000000001f225 0x4a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .debug_info 0x000000000001f6c7 0x1ae /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .debug_info 0x000000000001f875 0x1bc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .debug_info 0x000000000001fa31 0x1b0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .debug_info 0x000000000001fbe1 0xb2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .debug_info 0x000000000001fc93 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .debug_info 0x000000000001fdb7 0x321 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - .debug_info 0x00000000000200d8 0x49c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - .debug_info 0x0000000000020574 0xa6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - .debug_info 0x000000000002061a 0x4cd ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - .debug_info 0x0000000000020ae7 0x488 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - .debug_info 0x0000000000020f6f 0x48b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - .debug_info 0x00000000000213fa 0x145 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - .debug_info 0x000000000002153f 0x352 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - .debug_info 0x0000000000021891 0x131 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - .debug_info 0x00000000000219c2 0x8b6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - .debug_info 0x0000000000022278 0x4b0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - .debug_info 0x0000000000022728 0x2b0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - .debug_info 0x00000000000229d8 0x1ac ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .debug_info 0x0000000000022b84 0x299 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - .debug_info 0x0000000000022e1d 0xb0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - .debug_info 0x0000000000022ecd 0x4b7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - .debug_info 0x0000000000023384 0x4d9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - .debug_info 0x000000000002385d 0x52e ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_info 0x0000000000023d8b 0x3a0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_info 0x000000000002412b 0x280 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_info 0x00000000000243ab 0x345 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_info 0x00000000000246f0 0x340 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_info 0x0000000000024a30 0x226 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_info 0x0000000000024c56 0x2cc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - .debug_info 0x0000000000024f22 0xd7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - .debug_info 0x0000000000024ff9 0xa5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - .debug_info 0x000000000002509e 0x1a9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - .debug_info 0x0000000000025247 0x83 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - .debug_info 0x00000000000252ca 0x83 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - .debug_info 0x000000000002534d 0x92 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - .debug_info 0x00000000000253df 0x350 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - .debug_info 0x000000000002572f 0x1ef ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - .debug_info 0x000000000002591e 0x4a5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - .debug_info 0x0000000000025dc3 0x94 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - .debug_info 0x0000000000025e57 0x187 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .debug_info 0x0000000000025fde 0x88 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - .debug_info 0x0000000000026066 0x3bb ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - .debug_info 0x0000000000026421 0x92 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_info 0x00000000000264b3 0x9a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - .debug_info 0x000000000002654d 0x2e9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - .debug_info 0x0000000000026836 0x2e6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - .debug_info 0x0000000000026b1c 0x310 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - .debug_info 0x0000000000026e2c 0xdc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - .debug_info 0x0000000000026f08 0x1e7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_info 0x00000000000270ef 0xfe /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .debug_info 0x00000000000271ed 0x8e4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .debug_info 0x0000000000027ad1 0x11a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .debug_info 0x0000000000027beb 0x934 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .debug_info 0x000000000002851f 0x965 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .debug_info 0x0000000000028e84 0xec ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + .debug_info 0x0000000000000000 0x7c ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o + .debug_info 0x000000000000007c 0x68d obj/default/I2C_core.o + .debug_info 0x0000000000000709 0x277 obj/default/auto_focus.o + .debug_info 0x0000000000000980 0x224 obj/default/main.o + .debug_info 0x0000000000000ba4 0x25d obj/default/mipi_bridge_config.o + .debug_info 0x0000000000000e01 0x361 obj/default/mipi_camera_config.o + .debug_info 0x0000000000001162 0x2bb obj/default/queue.o + .debug_info 0x000000000000141d 0x2c6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .debug_info 0x00000000000016e3 0x911 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .debug_info 0x0000000000001ff4 0xd57 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .debug_info 0x0000000000002d4b 0x121 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .debug_info 0x0000000000002e6c 0x991 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .debug_info 0x00000000000037fd 0x922 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .debug_info 0x000000000000411f 0xa38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .debug_info 0x0000000000004b57 0x900 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .debug_info 0x0000000000005457 0xe2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .debug_info 0x0000000000005539 0x16b8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .debug_info 0x0000000000006bf1 0x936 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .debug_info 0x0000000000007527 0x1247 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .debug_info 0x000000000000876e 0xa93 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .debug_info 0x0000000000009201 0xd37 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .debug_info 0x0000000000009f38 0xbf7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .debug_info 0x000000000000ab2f 0xbb8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .debug_info 0x000000000000b6e7 0x9b0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .debug_info 0x000000000000c097 0x8a1 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .debug_info 0x000000000000c938 0x8a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .debug_info 0x000000000000c9c2 0xc25 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .debug_info 0x000000000000d5e7 0xad8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .debug_info 0x000000000000e0bf 0x132 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .debug_info 0x000000000000e1f1 0x144 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .debug_info 0x000000000000e335 0x13a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .debug_info 0x000000000000e46f 0x16b7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .debug_info 0x000000000000fb26 0x9b4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .debug_info 0x00000000000104da 0xc6b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .debug_info 0x0000000000011145 0xdc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .debug_info 0x0000000000011221 0xb32 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .debug_info 0x0000000000011d53 0xe4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .debug_info 0x0000000000011e37 0x1535 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .debug_info 0x000000000001336c 0x9be f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .debug_info 0x0000000000013d2a 0x928 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .debug_info 0x0000000000014652 0x8df f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .debug_info 0x0000000000014f31 0x9d5 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .debug_info 0x0000000000015906 0xa23 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .debug_info 0x0000000000016329 0xad4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .debug_info 0x0000000000016dfd 0xa5d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .debug_info 0x000000000001785a 0x8df f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .debug_info 0x0000000000018139 0x90b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .debug_info 0x0000000000018a44 0x921 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .debug_info 0x0000000000019365 0xa82 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .debug_info 0x0000000000019de7 0x9b1 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .debug_info 0x000000000001a798 0x70e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .debug_info 0x000000000001aea6 0x765 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .debug_info 0x000000000001b60b 0x4a2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .debug_info 0x000000000001baad 0x5c7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .debug_info 0x000000000001c074 0x1e2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .debug_info 0x000000000001c256 0x202 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .debug_info 0x000000000001c458 0x204 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .debug_info 0x000000000001c65c 0x5f5 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .debug_info 0x000000000001cc51 0x4a2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .debug_info 0x000000000001d0f3 0x1ae f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .debug_info 0x000000000001d2a1 0x1bc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .debug_info 0x000000000001d45d 0x1b0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .debug_info 0x000000000001d60d 0xb2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .debug_info 0x000000000001d6bf 0x124 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .debug_info 0x000000000001d7e3 0x321 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .debug_info 0x000000000001db04 0x49c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .debug_info 0x000000000001dfa0 0xa6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .debug_info 0x000000000001e046 0x488 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_info 0x000000000001e4ce 0x48b ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_info 0x000000000001e959 0x145 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .debug_info 0x000000000001ea9e 0x352 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_info 0x000000000001edf0 0x131 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .debug_info 0x000000000001ef21 0x8b6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_info 0x000000000001f7d7 0x4b0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .debug_info 0x000000000001fc87 0x2b0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_info 0x000000000001ff37 0x1ac ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_info 0x00000000000200e3 0x299 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .debug_info 0x000000000002037c 0xb0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_info 0x000000000002042c 0x4b7 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .debug_info 0x00000000000208e3 0x4d9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_info 0x0000000000020dbc 0x52e ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_info 0x00000000000212ea 0x3a0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_info 0x000000000002168a 0x280 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_info 0x000000000002190a 0x345 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_info 0x0000000000021c4f 0x340 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_info 0x0000000000021f8f 0x226 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_info 0x00000000000221b5 0x2cc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_info 0x0000000000022481 0xd7 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_info 0x0000000000022558 0xa5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_info 0x00000000000225fd 0x1a9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_info 0x00000000000227a6 0x83 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_info 0x0000000000022829 0x83 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_info 0x00000000000228ac 0x92 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_info 0x000000000002293e 0x350 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .debug_info 0x0000000000022c8e 0x1ef ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_info 0x0000000000022e7d 0x4a5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_info 0x0000000000023322 0x85 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_info 0x00000000000233a7 0x187 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_info 0x000000000002352e 0x88 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_info 0x00000000000235b6 0x3bb ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .debug_info 0x0000000000023971 0x92 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_info 0x0000000000023a03 0x8b ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_info 0x0000000000023a8e 0x2e9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_info 0x0000000000023d77 0x2e6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_info 0x000000000002405d 0x310 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_info 0x000000000002436d 0xdc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .debug_info 0x0000000000024449 0x1e7 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_info 0x0000000000024630 0xfe f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .debug_info 0x000000000002472e 0x8e4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .debug_info 0x0000000000025012 0x11a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .debug_info 0x000000000002512c 0x934 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .debug_info 0x0000000000025a60 0x965 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .debug_info 0x00000000000263c5 0xec ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) -.debug_abbrev 0x0000000000000000 0xa67c +.debug_abbrev 0x0000000000000000 0x9d1e *(.debug_abbrev) .debug_abbrev 0x0000000000000000 0x12 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o .debug_abbrev 0x0000000000000012 0x138 obj/default/I2C_core.o .debug_abbrev 0x000000000000014a 0xf9 obj/default/auto_focus.o - .debug_abbrev 0x0000000000000243 0x22b obj/default/main.o - .debug_abbrev 0x000000000000046e 0x12a obj/default/mipi_bridge_config.o - .debug_abbrev 0x0000000000000598 0x140 obj/default/mipi_camera_config.o - .debug_abbrev 0x00000000000006d8 0x135 obj/default/queue.o - .debug_abbrev 0x000000000000080d 0x10e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .debug_abbrev 0x000000000000091b 0x235 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .debug_abbrev 0x0000000000000b50 0x18f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .debug_abbrev 0x0000000000000cdf 0x1f4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .debug_abbrev 0x0000000000000ed3 0x29d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - .debug_abbrev 0x0000000000001170 0xae /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .debug_abbrev 0x000000000000121e 0x233 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .debug_abbrev 0x0000000000001451 0x205 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .debug_abbrev 0x0000000000001656 0x249 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .debug_abbrev 0x000000000000189f 0x224 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .debug_abbrev 0x0000000000001ac3 0x20a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .debug_abbrev 0x0000000000001ccd 0x90 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .debug_abbrev 0x0000000000001d5d 0x3bf /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .debug_abbrev 0x000000000000211c 0x218 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .debug_abbrev 0x0000000000002334 0x2b8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .debug_abbrev 0x00000000000025ec 0x2a0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .debug_abbrev 0x000000000000288c 0x39a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .debug_abbrev 0x0000000000002c26 0x264 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .debug_abbrev 0x0000000000002e8a 0x228 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .debug_abbrev 0x00000000000030b2 0x1d1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .debug_abbrev 0x0000000000003283 0x37 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - .debug_abbrev 0x00000000000032ba 0x2c0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .debug_abbrev 0x000000000000357a 0x20f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .debug_abbrev 0x0000000000003789 0xab /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .debug_abbrev 0x0000000000003834 0xa3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .debug_abbrev 0x00000000000038d7 0x9c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .debug_abbrev 0x0000000000003973 0x3e0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .debug_abbrev 0x0000000000003d53 0x243 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .debug_abbrev 0x0000000000003f96 0x231 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .debug_abbrev 0x00000000000041c7 0x256 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .debug_abbrev 0x000000000000441d 0x7e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .debug_abbrev 0x000000000000449b 0x239 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .debug_abbrev 0x00000000000046d4 0x74 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .debug_abbrev 0x0000000000004748 0x3ce /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .debug_abbrev 0x0000000000004b16 0x251 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .debug_abbrev 0x0000000000004d67 0x1eb /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .debug_abbrev 0x0000000000004f52 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .debug_abbrev 0x0000000000005138 0x209 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .debug_abbrev 0x0000000000005341 0x2a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .debug_abbrev 0x00000000000055e3 0x2b9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .debug_abbrev 0x000000000000589c 0x1f5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .debug_abbrev 0x0000000000005a91 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .debug_abbrev 0x0000000000005c77 0x1f5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .debug_abbrev 0x0000000000005e6c 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .debug_abbrev 0x0000000000006052 0x230 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .debug_abbrev 0x0000000000006282 0x21f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .debug_abbrev 0x00000000000064a1 0x170 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .debug_abbrev 0x0000000000006611 0x193 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .debug_abbrev 0x00000000000067a4 0x13a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .debug_abbrev 0x00000000000068de 0x12d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .debug_abbrev 0x0000000000006a0b 0x128 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .debug_abbrev 0x0000000000006b33 0x137 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .debug_abbrev 0x0000000000006c6a 0x137 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .debug_abbrev 0x0000000000006da1 0x13f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .debug_abbrev 0x0000000000006ee0 0x133 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .debug_abbrev 0x0000000000007013 0x135 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .debug_abbrev 0x0000000000007148 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .debug_abbrev 0x000000000000726c 0x124 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .debug_abbrev 0x0000000000007390 0x5d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .debug_abbrev 0x00000000000073ed 0xbe /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .debug_abbrev 0x00000000000074ab 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - .debug_abbrev 0x00000000000075ca 0xd9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - .debug_abbrev 0x00000000000076a3 0x5a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - .debug_abbrev 0x00000000000076fd 0x13c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - .debug_abbrev 0x0000000000007839 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - .debug_abbrev 0x0000000000007958 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - .debug_abbrev 0x0000000000007a77 0x9f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - .debug_abbrev 0x0000000000007b16 0x11f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - .debug_abbrev 0x0000000000007c35 0xba ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - .debug_abbrev 0x0000000000007cef 0x1b4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - .debug_abbrev 0x0000000000007ea3 0x12e ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - .debug_abbrev 0x0000000000007fd1 0xde ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - .debug_abbrev 0x00000000000080af 0x112 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .debug_abbrev 0x00000000000081c1 0x15a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - .debug_abbrev 0x000000000000831b 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - .debug_abbrev 0x000000000000837b 0x133 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - .debug_abbrev 0x00000000000084ae 0x187 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - .debug_abbrev 0x0000000000008635 0x127 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_abbrev 0x000000000000875c 0x19e ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_abbrev 0x00000000000088fa 0x111 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_abbrev 0x0000000000008a0b 0x1aa ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_abbrev 0x0000000000008bb5 0x1a8 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_abbrev 0x0000000000008d5d 0x155 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_abbrev 0x0000000000008eb2 0x162 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - .debug_abbrev 0x0000000000009014 0x7e ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - .debug_abbrev 0x0000000000009092 0x5a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - .debug_abbrev 0x00000000000090ec 0x114 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - .debug_abbrev 0x0000000000009200 0x79 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - .debug_abbrev 0x0000000000009279 0x79 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - .debug_abbrev 0x00000000000092f2 0x42 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - .debug_abbrev 0x0000000000009334 0x163 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - .debug_abbrev 0x0000000000009497 0x169 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - .debug_abbrev 0x0000000000009600 0x114 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - .debug_abbrev 0x0000000000009714 0x12 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - .debug_abbrev 0x0000000000009726 0x122 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .debug_abbrev 0x0000000000009848 0x40 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - .debug_abbrev 0x0000000000009888 0x148 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - .debug_abbrev 0x00000000000099d0 0x42 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_abbrev 0x0000000000009a12 0x12 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - .debug_abbrev 0x0000000000009a24 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - .debug_abbrev 0x0000000000009b14 0xf0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - .debug_abbrev 0x0000000000009c04 0x10d ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - .debug_abbrev 0x0000000000009d11 0x8c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - .debug_abbrev 0x0000000000009d9d 0xdc ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_abbrev 0x0000000000009e79 0xaa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .debug_abbrev 0x0000000000009f23 0x1e5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .debug_abbrev 0x000000000000a108 0x7f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .debug_abbrev 0x000000000000a187 0x21e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .debug_abbrev 0x000000000000a3a5 0x22e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .debug_abbrev 0x000000000000a5d3 0xa9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + .debug_abbrev 0x0000000000000243 0xf6 obj/default/main.o + .debug_abbrev 0x0000000000000339 0x12a obj/default/mipi_bridge_config.o + .debug_abbrev 0x0000000000000463 0x126 obj/default/mipi_camera_config.o + .debug_abbrev 0x0000000000000589 0x135 obj/default/queue.o + .debug_abbrev 0x00000000000006be 0x10e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .debug_abbrev 0x00000000000007cc 0x1f4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .debug_abbrev 0x00000000000009c0 0x29d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .debug_abbrev 0x0000000000000c5d 0xae f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .debug_abbrev 0x0000000000000d0b 0x233 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .debug_abbrev 0x0000000000000f3e 0x205 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .debug_abbrev 0x0000000000001143 0x249 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .debug_abbrev 0x000000000000138c 0x20a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .debug_abbrev 0x0000000000001596 0x90 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .debug_abbrev 0x0000000000001626 0x3bf f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .debug_abbrev 0x00000000000019e5 0x218 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .debug_abbrev 0x0000000000001bfd 0x2b8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .debug_abbrev 0x0000000000001eb5 0x2a0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .debug_abbrev 0x0000000000002155 0x391 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .debug_abbrev 0x00000000000024e6 0x264 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .debug_abbrev 0x000000000000274a 0x228 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .debug_abbrev 0x0000000000002972 0x1d1 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .debug_abbrev 0x0000000000002b43 0x18f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .debug_abbrev 0x0000000000002cd2 0x37 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .debug_abbrev 0x0000000000002d09 0x2c0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .debug_abbrev 0x0000000000002fc9 0x20f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .debug_abbrev 0x00000000000031d8 0xab f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .debug_abbrev 0x0000000000003283 0xa3 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .debug_abbrev 0x0000000000003326 0x9c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .debug_abbrev 0x00000000000033c2 0x3d7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .debug_abbrev 0x0000000000003799 0x243 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .debug_abbrev 0x00000000000039dc 0x231 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .debug_abbrev 0x0000000000003c0d 0x7e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .debug_abbrev 0x0000000000003c8b 0x239 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .debug_abbrev 0x0000000000003ec4 0x74 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .debug_abbrev 0x0000000000003f38 0x3ce f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .debug_abbrev 0x0000000000004306 0x251 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .debug_abbrev 0x0000000000004557 0x1eb f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .debug_abbrev 0x0000000000004742 0x1e6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .debug_abbrev 0x0000000000004928 0x209 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .debug_abbrev 0x0000000000004b31 0x2a2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .debug_abbrev 0x0000000000004dd3 0x2b9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .debug_abbrev 0x000000000000508c 0x1f5 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .debug_abbrev 0x0000000000005281 0x1e6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .debug_abbrev 0x0000000000005467 0x1f5 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .debug_abbrev 0x000000000000565c 0x1e6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .debug_abbrev 0x0000000000005842 0x230 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .debug_abbrev 0x0000000000005a72 0x21f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .debug_abbrev 0x0000000000005c91 0x167 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .debug_abbrev 0x0000000000005df8 0x18a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .debug_abbrev 0x0000000000005f82 0x13a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .debug_abbrev 0x00000000000060bc 0x12d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .debug_abbrev 0x00000000000061e9 0x128 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .debug_abbrev 0x0000000000006311 0x137 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .debug_abbrev 0x0000000000006448 0x137 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .debug_abbrev 0x000000000000657f 0x13f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .debug_abbrev 0x00000000000066be 0x133 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .debug_abbrev 0x00000000000067f1 0x135 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .debug_abbrev 0x0000000000006926 0x124 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .debug_abbrev 0x0000000000006a4a 0x124 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .debug_abbrev 0x0000000000006b6e 0x5d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .debug_abbrev 0x0000000000006bcb 0xbe f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .debug_abbrev 0x0000000000006c89 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .debug_abbrev 0x0000000000006da8 0xd9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .debug_abbrev 0x0000000000006e81 0x5a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .debug_abbrev 0x0000000000006edb 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_abbrev 0x0000000000006ffa 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_abbrev 0x0000000000007119 0x9f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .debug_abbrev 0x00000000000071b8 0x11f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_abbrev 0x00000000000072d7 0xba ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .debug_abbrev 0x0000000000007391 0x1b4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_abbrev 0x0000000000007545 0x12e ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .debug_abbrev 0x0000000000007673 0xde ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_abbrev 0x0000000000007751 0x112 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_abbrev 0x0000000000007863 0x15a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .debug_abbrev 0x00000000000079bd 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_abbrev 0x0000000000007a1d 0x133 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .debug_abbrev 0x0000000000007b50 0x187 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_abbrev 0x0000000000007cd7 0x127 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_abbrev 0x0000000000007dfe 0x19e ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_abbrev 0x0000000000007f9c 0x111 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_abbrev 0x00000000000080ad 0x1aa ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_abbrev 0x0000000000008257 0x1a8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_abbrev 0x00000000000083ff 0x155 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_abbrev 0x0000000000008554 0x162 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_abbrev 0x00000000000086b6 0x7e ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_abbrev 0x0000000000008734 0x5a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_abbrev 0x000000000000878e 0x114 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_abbrev 0x00000000000088a2 0x79 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_abbrev 0x000000000000891b 0x79 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_abbrev 0x0000000000008994 0x42 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_abbrev 0x00000000000089d6 0x163 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .debug_abbrev 0x0000000000008b39 0x169 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_abbrev 0x0000000000008ca2 0x114 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_abbrev 0x0000000000008db6 0x12 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_abbrev 0x0000000000008dc8 0x122 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_abbrev 0x0000000000008eea 0x40 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_abbrev 0x0000000000008f2a 0x148 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .debug_abbrev 0x0000000000009072 0x42 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_abbrev 0x00000000000090b4 0x12 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_abbrev 0x00000000000090c6 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_abbrev 0x00000000000091b6 0xf0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_abbrev 0x00000000000092a6 0x10d ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_abbrev 0x00000000000093b3 0x8c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .debug_abbrev 0x000000000000943f 0xdc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_abbrev 0x000000000000951b 0xaa f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .debug_abbrev 0x00000000000095c5 0x1e5 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .debug_abbrev 0x00000000000097aa 0x7f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .debug_abbrev 0x0000000000009829 0x21e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .debug_abbrev 0x0000000000009a47 0x22e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .debug_abbrev 0x0000000000009c75 0xa9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) -.debug_line 0x0000000000000000 0xcfb3 +.debug_line 0x0000000000000000 0xc54b *(.debug_line) .debug_line 0x0000000000000000 0x72 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o .debug_line 0x0000000000000072 0x2f8 obj/default/I2C_core.o .debug_line 0x000000000000036a 0x195 obj/default/auto_focus.o - .debug_line 0x00000000000004ff 0x297 obj/default/main.o - .debug_line 0x0000000000000796 0x13a obj/default/mipi_bridge_config.o - .debug_line 0x00000000000008d0 0x228 obj/default/mipi_camera_config.o - .debug_line 0x0000000000000af8 0x148 obj/default/queue.o - .debug_line 0x0000000000000c40 0x102 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .debug_line 0x0000000000000d42 0x24d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .debug_line 0x0000000000000f8f 0x15d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - .debug_line 0x00000000000010ec 0x1f0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .debug_line 0x00000000000012dc 0x3f9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - .debug_line 0x00000000000016d5 0x18c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .debug_line 0x0000000000001861 0x208 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .debug_line 0x0000000000001a69 0x1f2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .debug_line 0x0000000000001c5b 0x245 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .debug_line 0x0000000000001ea0 0x20b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .debug_line 0x00000000000020ab 0x1e6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .debug_line 0x0000000000002291 0x16c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .debug_line 0x00000000000023fd 0xc98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .debug_line 0x0000000000003095 0x263 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .debug_line 0x00000000000032f8 0x7ca /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .debug_line 0x0000000000003ac2 0x2e7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .debug_line 0x0000000000003da9 0x36f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .debug_line 0x0000000000004118 0x2f4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .debug_line 0x000000000000440c 0x342 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .debug_line 0x000000000000474e 0x237 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .debug_line 0x0000000000004985 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - .debug_line 0x00000000000049e1 0x28b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .debug_line 0x0000000000004c6c 0x271 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .debug_line 0x0000000000004edd 0x18a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .debug_line 0x0000000000005067 0x189 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .debug_line 0x00000000000051f0 0x18a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .debug_line 0x000000000000537a 0x787 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .debug_line 0x0000000000005b01 0x272 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .debug_line 0x0000000000005d73 0x2f1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .debug_line 0x0000000000006064 0x27c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .debug_line 0x00000000000062e0 0x16c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .debug_line 0x000000000000644c 0x267 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .debug_line 0x00000000000066b3 0xfe /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .debug_line 0x00000000000067b1 0x956 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .debug_line 0x0000000000007107 0x26e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .debug_line 0x0000000000007375 0x1f3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .debug_line 0x0000000000007568 0x1f3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .debug_line 0x000000000000775b 0x1a8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .debug_line 0x0000000000007903 0x236 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .debug_line 0x0000000000007b39 0x2a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .debug_line 0x0000000000007ddb 0x1fc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .debug_line 0x0000000000007fd7 0x1f4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .debug_line 0x00000000000081cb 0x1f3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .debug_line 0x00000000000083be 0x1f2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .debug_line 0x00000000000085b0 0x223 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .debug_line 0x00000000000087d3 0x217 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .debug_line 0x00000000000089ea 0x12a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .debug_line 0x0000000000008b14 0x13a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .debug_line 0x0000000000008c4e 0x37b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .debug_line 0x0000000000008fc9 0x2ad /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .debug_line 0x0000000000009276 0xaa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .debug_line 0x0000000000009320 0xcb /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .debug_line 0x00000000000093eb 0xe3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .debug_line 0x00000000000094ce 0x1e3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .debug_line 0x00000000000096b1 0x3a8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .debug_line 0x0000000000009a59 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .debug_line 0x0000000000009af1 0x9f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .debug_line 0x0000000000009b90 0x92 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .debug_line 0x0000000000009c22 0x53 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .debug_line 0x0000000000009c75 0xb7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .debug_line 0x0000000000009d2c 0x16b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - .debug_line 0x0000000000009e97 0xfd ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - .debug_line 0x0000000000009f94 0x51 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - .debug_line 0x0000000000009fe5 0x1f0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - .debug_line 0x000000000000a1d5 0x164 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - .debug_line 0x000000000000a339 0x168 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - .debug_line 0x000000000000a4a1 0x8e ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - .debug_line 0x000000000000a52f 0x169 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - .debug_line 0x000000000000a698 0xa4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - .debug_line 0x000000000000a73c 0x12c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - .debug_line 0x000000000000a868 0x1e7 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - .debug_line 0x000000000000aa4f 0xae ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - .debug_line 0x000000000000aafd 0xf8 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .debug_line 0x000000000000abf5 0xff ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - .debug_line 0x000000000000acf4 0xb2 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - .debug_line 0x000000000000ada6 0x1e6 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - .debug_line 0x000000000000af8c 0x152 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - .debug_line 0x000000000000b0de 0x12f ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_line 0x000000000000b20d 0x18b ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_line 0x000000000000b398 0x131 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_line 0x000000000000b4c9 0x171 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_line 0x000000000000b63a 0x170 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_line 0x000000000000b7aa 0xfd ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_line 0x000000000000b8a7 0xfa ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - .debug_line 0x000000000000b9a1 0xa0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - .debug_line 0x000000000000ba41 0x61 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - .debug_line 0x000000000000baa2 0xd0 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - .debug_line 0x000000000000bb72 0x59 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - .debug_line 0x000000000000bbcb 0x59 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - .debug_line 0x000000000000bc24 0x50 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - .debug_line 0x000000000000bc74 0xef ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - .debug_line 0x000000000000bd63 0xdb ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - .debug_line 0x000000000000be3e 0x138 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - .debug_line 0x000000000000bf76 0x6b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - .debug_line 0x000000000000bfe1 0xaa ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .debug_line 0x000000000000c08b 0x50 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - .debug_line 0x000000000000c0db 0x12a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - .debug_line 0x000000000000c205 0x51 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_line 0x000000000000c256 0xb3 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - .debug_line 0x000000000000c309 0xb5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - .debug_line 0x000000000000c3be 0xd1 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - .debug_line 0x000000000000c48f 0xd5 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - .debug_line 0x000000000000c564 0x81 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - .debug_line 0x000000000000c5e5 0xba ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_line 0x000000000000c69f 0xe4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .debug_line 0x000000000000c783 0x1f9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .debug_line 0x000000000000c97c 0x173 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .debug_line 0x000000000000caef 0x21c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .debug_line 0x000000000000cd0b 0x237 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .debug_line 0x000000000000cf42 0x71 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + .debug_line 0x00000000000004ff 0x184 obj/default/main.o + .debug_line 0x0000000000000683 0x13a obj/default/mipi_bridge_config.o + .debug_line 0x00000000000007bd 0x1dc obj/default/mipi_camera_config.o + .debug_line 0x0000000000000999 0x148 obj/default/queue.o + .debug_line 0x0000000000000ae1 0x102 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .debug_line 0x0000000000000be3 0x1f0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .debug_line 0x0000000000000dd3 0x3f9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .debug_line 0x00000000000011cc 0x18c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .debug_line 0x0000000000001358 0x208 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .debug_line 0x0000000000001560 0x1f2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .debug_line 0x0000000000001752 0x245 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .debug_line 0x0000000000001997 0x1e6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .debug_line 0x0000000000001b7d 0x16c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .debug_line 0x0000000000001ce9 0xc98 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .debug_line 0x0000000000002981 0x263 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .debug_line 0x0000000000002be4 0x7ca f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .debug_line 0x00000000000033ae 0x2e7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .debug_line 0x0000000000003695 0x36f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .debug_line 0x0000000000003a04 0x2f4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .debug_line 0x0000000000003cf8 0x342 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .debug_line 0x000000000000403a 0x237 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .debug_line 0x0000000000004271 0x15d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + .debug_line 0x00000000000043ce 0x5c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + .debug_line 0x000000000000442a 0x28b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .debug_line 0x00000000000046b5 0x271 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .debug_line 0x0000000000004926 0x18a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .debug_line 0x0000000000004ab0 0x189 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .debug_line 0x0000000000004c39 0x18a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .debug_line 0x0000000000004dc3 0x787 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .debug_line 0x000000000000554a 0x272 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .debug_line 0x00000000000057bc 0x2f2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .debug_line 0x0000000000005aae 0x16c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .debug_line 0x0000000000005c1a 0x267 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .debug_line 0x0000000000005e81 0xfe f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .debug_line 0x0000000000005f7f 0x956 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .debug_line 0x00000000000068d5 0x26e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .debug_line 0x0000000000006b43 0x1f3 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .debug_line 0x0000000000006d36 0x1f3 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .debug_line 0x0000000000006f29 0x1a8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .debug_line 0x00000000000070d1 0x236 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .debug_line 0x0000000000007307 0x2a2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .debug_line 0x00000000000075a9 0x1fc f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .debug_line 0x00000000000077a5 0x1f4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .debug_line 0x0000000000007999 0x1f3 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .debug_line 0x0000000000007b8c 0x1f2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .debug_line 0x0000000000007d7e 0x223 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .debug_line 0x0000000000007fa1 0x217 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .debug_line 0x00000000000081b8 0x12a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .debug_line 0x00000000000082e2 0x13a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .debug_line 0x000000000000841c 0x37b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .debug_line 0x0000000000008797 0x2ad f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .debug_line 0x0000000000008a44 0xaa f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .debug_line 0x0000000000008aee 0xcb f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .debug_line 0x0000000000008bb9 0xe3 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .debug_line 0x0000000000008c9c 0x1e3 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .debug_line 0x0000000000008e7f 0x3a8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .debug_line 0x0000000000009227 0x98 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .debug_line 0x00000000000092bf 0x9f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .debug_line 0x000000000000935e 0x92 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .debug_line 0x00000000000093f0 0x53 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .debug_line 0x0000000000009443 0xb7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .debug_line 0x00000000000094fa 0x166 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .debug_line 0x0000000000009660 0xf8 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .debug_line 0x0000000000009758 0x51 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + .debug_line 0x00000000000097a9 0x15f ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_line 0x0000000000009908 0x163 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_line 0x0000000000009a6b 0x8e ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .debug_line 0x0000000000009af9 0x164 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_line 0x0000000000009c5d 0xa4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .debug_line 0x0000000000009d01 0x122 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_line 0x0000000000009e23 0x1dd ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .debug_line 0x000000000000a000 0xae ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_line 0x000000000000a0ae 0xf3 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_line 0x000000000000a1a1 0xff ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .debug_line 0x000000000000a2a0 0xad ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_line 0x000000000000a34d 0x1dc ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .debug_line 0x000000000000a529 0x152 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_line 0x000000000000a67b 0x12f ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_line 0x000000000000a7aa 0x18b ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_line 0x000000000000a935 0x131 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_line 0x000000000000aa66 0x171 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_line 0x000000000000abd7 0x170 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_line 0x000000000000ad47 0xfd ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_line 0x000000000000ae44 0xfa ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_line 0x000000000000af3e 0xa0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_line 0x000000000000afde 0x61 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_line 0x000000000000b03f 0xd0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_line 0x000000000000b10f 0x59 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_line 0x000000000000b168 0x59 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_line 0x000000000000b1c1 0x50 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_line 0x000000000000b211 0xef ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .debug_line 0x000000000000b300 0xdb ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_line 0x000000000000b3db 0x133 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_line 0x000000000000b50e 0x6b ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_line 0x000000000000b579 0xaa ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_line 0x000000000000b623 0x50 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_line 0x000000000000b673 0x12a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .debug_line 0x000000000000b79d 0x51 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_line 0x000000000000b7ee 0xb3 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_line 0x000000000000b8a1 0xb5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_line 0x000000000000b956 0xd1 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_line 0x000000000000ba27 0xd5 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_line 0x000000000000bafc 0x81 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .debug_line 0x000000000000bb7d 0xba ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_line 0x000000000000bc37 0xe4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .debug_line 0x000000000000bd1b 0x1f9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .debug_line 0x000000000000bf14 0x173 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .debug_line 0x000000000000c087 0x21c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .debug_line 0x000000000000c2a3 0x237 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .debug_line 0x000000000000c4da 0x71 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) -.debug_frame 0x0000000000000000 0x29b0 +.debug_frame 0x0000000000000000 0x27d4 *(.debug_frame) .debug_frame 0x0000000000000000 0x210 obj/default/I2C_core.o .debug_frame 0x0000000000000210 0x88 obj/default/auto_focus.o .debug_frame 0x0000000000000298 0xcc obj/default/main.o .debug_frame 0x0000000000000364 0xac obj/default/mipi_bridge_config.o - .debug_frame 0x0000000000000410 0x1a4 obj/default/mipi_camera_config.o - .debug_frame 0x00000000000005b4 0x120 obj/default/queue.o - .debug_frame 0x00000000000006d4 0x50 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .debug_frame 0x0000000000000724 0x6c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .debug_frame 0x0000000000000790 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .debug_frame 0x00000000000007c0 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - .debug_frame 0x0000000000000814 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .debug_frame 0x0000000000000834 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .debug_frame 0x000000000000087c 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .debug_frame 0x00000000000008ac 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .debug_frame 0x00000000000008f4 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .debug_frame 0x000000000000093c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .debug_frame 0x0000000000000974 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .debug_frame 0x0000000000000994 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .debug_frame 0x0000000000000a2c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .debug_frame 0x0000000000000a64 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .debug_frame 0x0000000000000afc 0x7c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .debug_frame 0x0000000000000b78 0x160 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .debug_frame 0x0000000000000cd8 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .debug_frame 0x0000000000000d48 0x78 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .debug_frame 0x0000000000000dc0 0x90 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .debug_frame 0x0000000000000e50 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .debug_frame 0x0000000000000ef8 0x4c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .debug_frame 0x0000000000000f44 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .debug_frame 0x0000000000000f64 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .debug_frame 0x0000000000000f9c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .debug_frame 0x0000000000000fbc 0x2e0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .debug_frame 0x000000000000129c 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .debug_frame 0x000000000000130c 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .debug_frame 0x000000000000137c 0x4c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .debug_frame 0x00000000000013c8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .debug_frame 0x00000000000013e8 0xb4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .debug_frame 0x000000000000149c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .debug_frame 0x00000000000014bc 0xec /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .debug_frame 0x00000000000015a8 0x50 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .debug_frame 0x00000000000015f8 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .debug_frame 0x0000000000001630 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .debug_frame 0x0000000000001668 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .debug_frame 0x00000000000016a8 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .debug_frame 0x00000000000016fc 0x80 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .debug_frame 0x000000000000177c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .debug_frame 0x00000000000017b4 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .debug_frame 0x00000000000017ec 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .debug_frame 0x0000000000001824 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .debug_frame 0x000000000000185c 0x70 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .debug_frame 0x00000000000018cc 0x44 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .debug_frame 0x0000000000001910 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .debug_frame 0x0000000000001964 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .debug_frame 0x00000000000019b8 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .debug_frame 0x00000000000019f8 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .debug_frame 0x0000000000001a50 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .debug_frame 0x0000000000001a70 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .debug_frame 0x0000000000001a90 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .debug_frame 0x0000000000001ab0 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .debug_frame 0x0000000000001b08 0x44 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .debug_frame 0x0000000000001b4c 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .debug_frame 0x0000000000001b6c 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .debug_frame 0x0000000000001ba4 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .debug_frame 0x0000000000001bd8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .debug_frame 0x0000000000001bf8 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - .debug_frame 0x0000000000001c58 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - .debug_frame 0x0000000000001c8c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - .debug_frame 0x0000000000001cec 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - .debug_frame 0x0000000000001d4c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - .debug_frame 0x0000000000001dac 0x5c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - .debug_frame 0x0000000000001e08 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - .debug_frame 0x0000000000001e68 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - .debug_frame 0x0000000000001e94 0x58 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - .debug_frame 0x0000000000001eec 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - .debug_frame 0x0000000000001f4c 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - .debug_frame 0x0000000000001f80 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - .debug_frame 0x0000000000001fb4 0x5c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - .debug_frame 0x0000000000002010 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - .debug_frame 0x0000000000002048 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - .debug_frame 0x00000000000020a8 0x88 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - .debug_frame 0x0000000000002130 0xb0 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_frame 0x00000000000021e0 0xa4 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_frame 0x0000000000002284 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_frame 0x00000000000022b8 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_frame 0x00000000000022f0 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_frame 0x0000000000002328 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_frame 0x0000000000002388 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - .debug_frame 0x00000000000023bc 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - .debug_frame 0x00000000000023f4 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - .debug_frame 0x0000000000002428 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - .debug_frame 0x0000000000002488 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - .debug_frame 0x00000000000024c0 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - .debug_frame 0x00000000000024f8 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - .debug_frame 0x0000000000002530 0xa4 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - .debug_frame 0x00000000000025d4 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - .debug_frame 0x000000000000260c 0x60 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - .debug_frame 0x000000000000266c 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .debug_frame 0x00000000000026a4 0x84 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - .debug_frame 0x0000000000002728 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_frame 0x000000000000275c 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - .debug_frame 0x0000000000002794 0x38 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - .debug_frame 0x00000000000027cc 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) - .debug_frame 0x0000000000002800 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - .debug_frame 0x0000000000002834 0x5c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_frame 0x0000000000002890 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .debug_frame 0x00000000000028b0 0x28 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .debug_frame 0x00000000000028d8 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .debug_frame 0x00000000000028f8 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .debug_frame 0x0000000000002930 0x54 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - .debug_frame 0x0000000000002984 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) + .debug_frame 0x0000000000000410 0x128 obj/default/mipi_camera_config.o + .debug_frame 0x0000000000000538 0x120 obj/default/queue.o + .debug_frame 0x0000000000000658 0x50 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .debug_frame 0x00000000000006a8 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .debug_frame 0x00000000000006d8 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .debug_frame 0x000000000000072c 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .debug_frame 0x000000000000074c 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .debug_frame 0x0000000000000794 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .debug_frame 0x00000000000007c4 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .debug_frame 0x000000000000080c 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .debug_frame 0x0000000000000844 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .debug_frame 0x0000000000000864 0x98 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .debug_frame 0x00000000000008fc 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .debug_frame 0x0000000000000934 0x98 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .debug_frame 0x00000000000009cc 0x7c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .debug_frame 0x0000000000000a48 0x160 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .debug_frame 0x0000000000000ba8 0x70 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .debug_frame 0x0000000000000c18 0x78 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .debug_frame 0x0000000000000c90 0x90 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .debug_frame 0x0000000000000d20 0xa8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .debug_frame 0x0000000000000dc8 0x4c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .debug_frame 0x0000000000000e14 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .debug_frame 0x0000000000000e34 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .debug_frame 0x0000000000000e6c 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .debug_frame 0x0000000000000e8c 0x2e0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .debug_frame 0x000000000000116c 0x70 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .debug_frame 0x00000000000011dc 0x70 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .debug_frame 0x000000000000124c 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .debug_frame 0x000000000000126c 0xb4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .debug_frame 0x0000000000001320 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .debug_frame 0x0000000000001340 0xec f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .debug_frame 0x000000000000142c 0x50 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .debug_frame 0x000000000000147c 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .debug_frame 0x00000000000014b4 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .debug_frame 0x00000000000014ec 0x40 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .debug_frame 0x000000000000152c 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .debug_frame 0x0000000000001580 0x80 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .debug_frame 0x0000000000001600 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .debug_frame 0x0000000000001638 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .debug_frame 0x0000000000001670 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .debug_frame 0x00000000000016a8 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .debug_frame 0x00000000000016e0 0x70 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .debug_frame 0x0000000000001750 0x44 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .debug_frame 0x0000000000001794 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .debug_frame 0x00000000000017e8 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .debug_frame 0x000000000000183c 0x40 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .debug_frame 0x000000000000187c 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .debug_frame 0x00000000000018d4 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .debug_frame 0x00000000000018f4 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .debug_frame 0x0000000000001914 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .debug_frame 0x0000000000001934 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .debug_frame 0x000000000000198c 0x44 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .debug_frame 0x00000000000019d0 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .debug_frame 0x00000000000019f0 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .debug_frame 0x0000000000001a28 0x34 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .debug_frame 0x0000000000001a5c 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .debug_frame 0x0000000000001a7c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + .debug_frame 0x0000000000001adc 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + .debug_frame 0x0000000000001b10 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_frame 0x0000000000001b70 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_frame 0x0000000000001bd0 0x5c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + .debug_frame 0x0000000000001c2c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_frame 0x0000000000001c8c 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + .debug_frame 0x0000000000001cb8 0x58 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_frame 0x0000000000001d10 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + .debug_frame 0x0000000000001d70 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_frame 0x0000000000001da4 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_frame 0x0000000000001dd8 0x5c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + .debug_frame 0x0000000000001e34 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + .debug_frame 0x0000000000001e6c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + .debug_frame 0x0000000000001ecc 0x88 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_frame 0x0000000000001f54 0xb0 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_frame 0x0000000000002004 0xa4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_frame 0x00000000000020a8 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_frame 0x00000000000020dc 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_frame 0x0000000000002114 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_frame 0x000000000000214c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_frame 0x00000000000021ac 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_frame 0x00000000000021e0 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + .debug_frame 0x0000000000002218 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + .debug_frame 0x000000000000224c 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_frame 0x00000000000022ac 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_frame 0x00000000000022e4 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_frame 0x000000000000231c 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + .debug_frame 0x0000000000002354 0xa4 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + .debug_frame 0x00000000000023f8 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_frame 0x0000000000002430 0x60 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_frame 0x0000000000002490 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_frame 0x00000000000024c8 0x84 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + .debug_frame 0x000000000000254c 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_frame 0x0000000000002580 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_frame 0x00000000000025b8 0x38 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_frame 0x00000000000025f0 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_frame 0x0000000000002624 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + .debug_frame 0x0000000000002658 0x5c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_frame 0x00000000000026b4 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .debug_frame 0x00000000000026d4 0x28 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .debug_frame 0x00000000000026fc 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .debug_frame 0x000000000000271c 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .debug_frame 0x0000000000002754 0x54 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + .debug_frame 0x00000000000027a8 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) -.debug_str 0x0000000000000000 0x3f8b +.debug_str 0x0000000000000000 0x3dde *(.debug_str) - .debug_str 0x0000000000000000 0x324 obj/default/I2C_core.o - 0x36e (size before relaxing) - .debug_str 0x0000000000000324 0xb5 obj/default/auto_focus.o - 0x267 (size before relaxing) - .debug_str 0x00000000000003d9 0x41b obj/default/main.o - 0x64b (size before relaxing) - .debug_str 0x00000000000007f4 0x79 obj/default/mipi_bridge_config.o - 0x236 (size before relaxing) - .debug_str 0x000000000000086d 0x110 obj/default/mipi_camera_config.o - 0x2e6 (size before relaxing) - .debug_str 0x000000000000097d 0x98 obj/default/queue.o - 0x231 (size before relaxing) - .debug_str 0x0000000000000a15 0x16e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - 0x1b9 (size before relaxing) - .debug_str 0x0000000000000b83 0x14a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - 0x5bc (size before relaxing) - .debug_str 0x0000000000000ccd 0xdc /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-impure.o) - 0x59c (size before relaxing) - .debug_str 0x0000000000000da9 0xdd /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - 0x5b0 (size before relaxing) - .debug_str 0x0000000000000e86 0x223 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - 0x7a1 (size before relaxing) - .debug_str 0x00000000000010a9 0xda /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - 0x1df (size before relaxing) - .debug_str 0x0000000000001183 0x8f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - 0x5e2 (size before relaxing) - .debug_str 0x0000000000001212 0x55 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - 0x59f (size before relaxing) - .debug_str 0x0000000000001267 0x94 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - 0x603 (size before relaxing) - .debug_str 0x00000000000012fb 0x4b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - 0x5b6 (size before relaxing) - .debug_str 0x0000000000001346 0x4c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - 0x592 (size before relaxing) - .debug_str 0x0000000000001392 0x3a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - 0x1de (size before relaxing) - .debug_str 0x00000000000013cc 0x21c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - 0x824 (size before relaxing) - .debug_str 0x00000000000015e8 0x46 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - 0x5bb (size before relaxing) - .debug_str 0x000000000000162e 0x15d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - 0x6db (size before relaxing) - .debug_str 0x000000000000178b 0x69 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - 0x5fc (size before relaxing) - .debug_str 0x00000000000017f4 0x112 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - 0x6b2 (size before relaxing) - .debug_str 0x0000000000001906 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - 0x6eb (size before relaxing) - .debug_str 0x000000000000193a 0x6b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - 0x62e (size before relaxing) - .debug_str 0x00000000000019a5 0x47 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - 0x5a1 (size before relaxing) - .debug_str 0x00000000000019ec 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-int_errno.o) - 0x1c4 (size before relaxing) - .debug_str 0x0000000000001a28 0x293 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - 0x7f9 (size before relaxing) - .debug_str 0x0000000000001cbb 0x121 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - 0x69e (size before relaxing) - .debug_str 0x0000000000001ddc 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - 0x1e5 (size before relaxing) - .debug_str 0x0000000000001e24 0x61 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - 0x1f2 (size before relaxing) - .debug_str 0x0000000000001e85 0x44 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - 0x1fe (size before relaxing) - .debug_str 0x0000000000001ec9 0xa4 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - 0x695 (size before relaxing) - .debug_str 0x0000000000001f6d 0x46 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - 0x5bc (size before relaxing) - .debug_str 0x0000000000001fb3 0x4e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - 0x67d (size before relaxing) - .debug_str 0x0000000000002001 0x4b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - 0x5f7 (size before relaxing) - .debug_str 0x000000000000204c 0xc5 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - 0x1e9 (size before relaxing) - .debug_str 0x0000000000002111 0x98 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - 0x5f0 (size before relaxing) - .debug_str 0x00000000000021a9 0x3a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - 0x1c4 (size before relaxing) - .debug_str 0x00000000000021e3 0x3f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - 0x778 (size before relaxing) - .debug_str 0x0000000000002222 0x3f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - 0x5c0 (size before relaxing) - .debug_str 0x0000000000002261 0x39 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - 0x596 (size before relaxing) - .debug_str 0x000000000000229a 0x39 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - 0x586 (size before relaxing) - .debug_str 0x00000000000022d3 0xf /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - 0x5d5 (size before relaxing) - .debug_str 0x00000000000022e2 0x4a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - 0x5e9 (size before relaxing) - .debug_str 0x000000000000232c 0x4d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - 0x5ed (size before relaxing) - .debug_str 0x0000000000002379 0x45 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - 0x672 (size before relaxing) - .debug_str 0x00000000000023be 0x41 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - 0x589 (size before relaxing) - .debug_str 0x00000000000023ff 0x3f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - 0x58d (size before relaxing) - .debug_str 0x000000000000243e 0x38 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - 0x593 (size before relaxing) - .debug_str 0x0000000000002476 0x53 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - 0x5d3 (size before relaxing) - .debug_str 0x00000000000024c9 0x5c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - 0x5dc (size before relaxing) - .debug_str 0x0000000000002525 0x167 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - 0x283 (size before relaxing) - .debug_str 0x000000000000268c 0xa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - 0x283 (size before relaxing) - .debug_str 0x0000000000002696 0x116 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - 0x290 (size before relaxing) - .debug_str 0x00000000000027ac 0x123 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - 0x348 (size before relaxing) - .debug_str 0x00000000000028cf 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - 0x1f6 (size before relaxing) - .debug_str 0x0000000000002903 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - 0x21a (size before relaxing) - .debug_str 0x000000000000295b 0x34 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - 0x21a (size before relaxing) - .debug_str 0x000000000000298f 0x15b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - 0x3a9 (size before relaxing) - .debug_str 0x0000000000002aea 0x36 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - 0x290 (size before relaxing) - .debug_str 0x0000000000002b20 0x4b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - 0x212 (size before relaxing) - .debug_str 0x0000000000002b6b 0x69 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - 0x229 (size before relaxing) - .debug_str 0x0000000000002bd4 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - 0x226 (size before relaxing) - .debug_str 0x0000000000002c14 0x1ca /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clz.o) - .debug_str 0x0000000000002c14 0x9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - 0x1e7 (size before relaxing) - .debug_str 0x0000000000002c1d 0x11a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_close.o) - 0x224 (size before relaxing) - .debug_str 0x0000000000002d37 0x49 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev.o) - 0x31e (size before relaxing) - .debug_str 0x0000000000002d80 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_errno.o) - 0x15e (size before relaxing) - .debug_str 0x0000000000002d94 0x1f ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fcntl.o) - 0x347 (size before relaxing) - .debug_str 0x0000000000002db3 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_fstat.o) - 0x305 (size before relaxing) - .debug_str 0x0000000000002dc7 0x15 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_isatty.o) - 0x30d (size before relaxing) - .debug_str 0x0000000000002ddc 0xe9 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_load.o) - 0x22b (size before relaxing) - .debug_str 0x0000000000002ec5 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_lseek.o) - 0x22a (size before relaxing) - .debug_str 0x0000000000002ed9 0x44 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_main.o) - 0x1b6 (size before relaxing) - .debug_str 0x0000000000002f1d 0x1a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_malloc_lock.o) - 0x528 (size before relaxing) - .debug_str 0x0000000000002f37 0x13 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_read.o) - 0x310 (size before relaxing) - .debug_str 0x0000000000002f4a 0x28 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_release_fd.o) - 0x1ec (size before relaxing) - .debug_str 0x0000000000002f72 0x98 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sbrk.o) - 0x1ed (size before relaxing) - .debug_str 0x000000000000300a 0x78 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_tick.o) - 0x26b (size before relaxing) - .debug_str 0x0000000000003082 0x27 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_usleep.o) - 0x161 (size before relaxing) - .debug_str 0x00000000000030a9 0x14 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_write.o) - 0x311 (size before relaxing) - .debug_str 0x00000000000030bd 0x151 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_sys_init.o) - 0x3a7 (size before relaxing) - .debug_str 0x000000000000320e 0xac ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - 0x3bd (size before relaxing) - .debug_str 0x00000000000032ba 0xbc ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - 0x351 (size before relaxing) - .debug_str 0x0000000000003376 0x4a ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - 0x2ac (size before relaxing) - .debug_str 0x00000000000033c0 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - 0x301 (size before relaxing) - .debug_str 0x0000000000003408 0x4a ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) - 0x302 (size before relaxing) - .debug_str 0x0000000000003452 0x6d ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) - 0x264 (size before relaxing) - .debug_str 0x00000000000034bf 0x4a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_alarm_start.o) - 0x297 (size before relaxing) - .debug_str 0x0000000000003509 0x42 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) - 0x184 (size before relaxing) - .debug_str 0x000000000000354b 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dcache_flush_all.o) - 0x16e (size before relaxing) - .debug_str 0x000000000000357f 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_dev_llist_insert.o) - 0x1e5 (size before relaxing) - .debug_str 0x00000000000035b3 0x48 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_ctors.o) - 0xe9 (size before relaxing) - .debug_str 0x00000000000035fb 0x4c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_do_dtors.o) - 0xe8 (size before relaxing) - .debug_str 0x0000000000003647 0x34 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush_all.o) - 0x16e (size before relaxing) - .debug_str 0x000000000000367b 0xbd ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic.o) - 0x257 (size before relaxing) - .debug_str 0x0000000000003738 0x4c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_iic_isr_register.o) - 0x201 (size before relaxing) - .debug_str 0x0000000000003784 0x56 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_io_redirect.o) - 0x32f (size before relaxing) - .debug_str 0x00000000000037da 0x3a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - 0x1b0 (size before relaxing) - .debug_str 0x0000000000003814 0x17 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_vars.o) - 0x153 (size before relaxing) - .debug_str 0x000000000000382b 0x29 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_open.o) - 0x264 (size before relaxing) - .debug_str 0x0000000000003854 0x3b ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) - 0x175 (size before relaxing) - .debug_str 0x000000000000388f 0x24 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_dev.o) - 0x205 (size before relaxing) - .debug_str 0x00000000000038b3 0x26 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_find_file.o) - 0x213 (size before relaxing) - .debug_str 0x00000000000038d9 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_str 0x0000000000000000 0x323 obj/default/I2C_core.o + 0x36d (size before relaxing) + .debug_str 0x0000000000000323 0xb5 obj/default/auto_focus.o + 0x266 (size before relaxing) + .debug_str 0x00000000000003d8 0xbf obj/default/main.o + 0x276 (size before relaxing) + .debug_str 0x0000000000000497 0x79 obj/default/mipi_bridge_config.o 0x235 (size before relaxing) - .debug_str 0x0000000000003909 0x2c ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_icache_flush.o) - 0x174 (size before relaxing) - .debug_str 0x0000000000003935 0x48b ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - 0x5b9 (size before relaxing) - .debug_str 0x0000000000003dc0 0x7e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - 0x208 (size before relaxing) - .debug_str 0x0000000000003e3e 0x49 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - 0x5a5 (size before relaxing) - .debug_str 0x0000000000003e87 0x41 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - 0x1cb (size before relaxing) - .debug_str 0x0000000000003ec8 0x3c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - 0x5dd (size before relaxing) - .debug_str 0x0000000000003f04 0x5d /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) - 0x5c9 (size before relaxing) - .debug_str 0x0000000000003f61 0x2a ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exit.o) - 0x16a (size before relaxing) + .debug_str 0x0000000000000510 0xd4 obj/default/mipi_camera_config.o + 0x2a4 (size before relaxing) + .debug_str 0x00000000000005e4 0x98 obj/default/queue.o + 0x230 (size before relaxing) + .debug_str 0x000000000000067c 0x16d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + 0x1b8 (size before relaxing) + .debug_str 0x00000000000007e9 0x486 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + 0x5af (size before relaxing) + .debug_str 0x0000000000000c6f 0x223 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + 0x7a0 (size before relaxing) + .debug_str 0x0000000000000e92 0xd9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + 0x1de (size before relaxing) + .debug_str 0x0000000000000f6b 0x112 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + 0x5e1 (size before relaxing) + .debug_str 0x000000000000107d 0x55 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + 0x59e (size before relaxing) + .debug_str 0x00000000000010d2 0xac f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + 0x602 (size before relaxing) + .debug_str 0x000000000000117e 0xcf f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + 0x591 (size before relaxing) + .debug_str 0x000000000000124d 0x3a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + 0x1dd (size before relaxing) + .debug_str 0x0000000000001287 0x21c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + 0x823 (size before relaxing) + .debug_str 0x00000000000014a3 0x46 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + 0x5ba (size before relaxing) + .debug_str 0x00000000000014e9 0x15d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + 0x6da (size before relaxing) + .debug_str 0x0000000000001646 0x7c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + 0x5fb (size before relaxing) + .debug_str 0x00000000000016c2 0x112 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + 0x6b1 (size before relaxing) + .debug_str 0x00000000000017d4 0x34 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + 0x6ea (size before relaxing) + .debug_str 0x0000000000001808 0x6b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + 0x62d (size before relaxing) + .debug_str 0x0000000000001873 0x47 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + 0x5a0 (size before relaxing) + .debug_str 0x00000000000018ba 0x45 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-impure.o) + 0x59b (size before relaxing) + .debug_str 0x00000000000018ff 0x3c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-int_errno.o) + 0x1c3 (size before relaxing) + .debug_str 0x000000000000193b 0x292 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + 0x7f8 (size before relaxing) + .debug_str 0x0000000000001bcd 0x121 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + 0x69d (size before relaxing) + .debug_str 0x0000000000001cee 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + 0x1e4 (size before relaxing) + .debug_str 0x0000000000001d36 0x61 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + 0x1f1 (size before relaxing) + .debug_str 0x0000000000001d97 0x44 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + 0x1fd (size before relaxing) + .debug_str 0x0000000000001ddb 0xa4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + 0x694 (size before relaxing) + .debug_str 0x0000000000001e7f 0x46 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + 0x5bb (size before relaxing) + .debug_str 0x0000000000001ec5 0x4e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + 0x67c (size before relaxing) + .debug_str 0x0000000000001f13 0xc4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + 0x1e8 (size before relaxing) + .debug_str 0x0000000000001fd7 0x98 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + 0x5ef (size before relaxing) + .debug_str 0x000000000000206f 0x3a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + 0x1c3 (size before relaxing) + .debug_str 0x00000000000020a9 0x3f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + 0x777 (size before relaxing) + .debug_str 0x00000000000020e8 0x3f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + 0x5bf (size before relaxing) + .debug_str 0x0000000000002127 0x39 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + 0x595 (size before relaxing) + .debug_str 0x0000000000002160 0x39 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + 0x585 (size before relaxing) + .debug_str 0x0000000000002199 0xf f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + 0x5d4 (size before relaxing) + .debug_str 0x00000000000021a8 0x4a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + 0x5e8 (size before relaxing) + .debug_str 0x00000000000021f2 0x4d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + 0x5ec (size before relaxing) + .debug_str 0x000000000000223f 0x45 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + 0x671 (size before relaxing) + .debug_str 0x0000000000002284 0x41 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + 0x588 (size before relaxing) + .debug_str 0x00000000000022c5 0x3f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + 0x58c (size before relaxing) + .debug_str 0x0000000000002304 0x38 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + 0x592 (size before relaxing) + .debug_str 0x000000000000233c 0x53 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + 0x5d2 (size before relaxing) + .debug_str 0x000000000000238f 0x5c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + 0x5db (size before relaxing) + .debug_str 0x00000000000023eb 0x167 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + 0x282 (size before relaxing) + .debug_str 0x0000000000002552 0xa f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + 0x282 (size before relaxing) + .debug_str 0x000000000000255c 0x116 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + 0x28f (size before relaxing) + .debug_str 0x0000000000002672 0x123 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + 0x347 (size before relaxing) + .debug_str 0x0000000000002795 0x34 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + 0x1f5 (size before relaxing) + .debug_str 0x00000000000027c9 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + 0x219 (size before relaxing) + .debug_str 0x0000000000002821 0x34 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + 0x219 (size before relaxing) + .debug_str 0x0000000000002855 0x15b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + 0x3a8 (size before relaxing) + .debug_str 0x00000000000029b0 0x36 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + 0x28f (size before relaxing) + .debug_str 0x00000000000029e6 0x4b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + 0x211 (size before relaxing) + .debug_str 0x0000000000002a31 0x69 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + 0x228 (size before relaxing) + .debug_str 0x0000000000002a9a 0x40 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + 0x225 (size before relaxing) + .debug_str 0x0000000000002ada 0x1c9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clz.o) + .debug_str 0x0000000000002ada 0x9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + 0x1e6 (size before relaxing) + .debug_str 0x0000000000002ae3 0xc6 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_close.o) + 0x215 (size before relaxing) + .debug_str 0x0000000000002ba9 0x49 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev.o) + 0x30f (size before relaxing) + .debug_str 0x0000000000002bf2 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_errno.o) + 0x14f (size before relaxing) + .debug_str 0x0000000000002c06 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_fstat.o) + 0x2f6 (size before relaxing) + .debug_str 0x0000000000002c1a 0x15 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_isatty.o) + 0x2fe (size before relaxing) + .debug_str 0x0000000000002c2f 0xe9 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_load.o) + 0x21c (size before relaxing) + .debug_str 0x0000000000002d18 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_lseek.o) + 0x21b (size before relaxing) + .debug_str 0x0000000000002d2c 0x44 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_main.o) + 0x1a7 (size before relaxing) + .debug_str 0x0000000000002d70 0x1a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x519 (size before relaxing) + .debug_str 0x0000000000002d8a 0x13 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_read.o) + 0x301 (size before relaxing) + .debug_str 0x0000000000002d9d 0x28 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x1dd (size before relaxing) + .debug_str 0x0000000000002dc5 0x98 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x1de (size before relaxing) + .debug_str 0x0000000000002e5d 0x78 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_tick.o) + 0x25c (size before relaxing) + .debug_str 0x0000000000002ed5 0x27 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_usleep.o) + 0x152 (size before relaxing) + .debug_str 0x0000000000002efc 0x14 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_write.o) + 0x302 (size before relaxing) + .debug_str 0x0000000000002f10 0x151 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x398 (size before relaxing) + .debug_str 0x0000000000003061 0xac ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x3ae (size before relaxing) + .debug_str 0x000000000000310d 0xbc ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x342 (size before relaxing) + .debug_str 0x00000000000031c9 0x4a ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x29d (size before relaxing) + .debug_str 0x0000000000003213 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x2f2 (size before relaxing) + .debug_str 0x000000000000325b 0x4a ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x2f3 (size before relaxing) + .debug_str 0x00000000000032a5 0x6d ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + 0x255 (size before relaxing) + .debug_str 0x0000000000003312 0x4a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x288 (size before relaxing) + .debug_str 0x000000000000335c 0x42 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) + 0x175 (size before relaxing) + .debug_str 0x000000000000339e 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dcache_flush_all.o) + 0x15f (size before relaxing) + .debug_str 0x00000000000033d2 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x1d6 (size before relaxing) + .debug_str 0x0000000000003406 0x48 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0xda (size before relaxing) + .debug_str 0x000000000000344e 0x4c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0xd9 (size before relaxing) + .debug_str 0x000000000000349a 0x34 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush_all.o) + 0x15f (size before relaxing) + .debug_str 0x00000000000034ce 0xbd ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic.o) + 0x248 (size before relaxing) + .debug_str 0x000000000000358b 0x4c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x1f2 (size before relaxing) + .debug_str 0x00000000000035d7 0x56 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x320 (size before relaxing) + .debug_str 0x000000000000362d 0x3a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x1a1 (size before relaxing) + .debug_str 0x0000000000003667 0x17 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x144 (size before relaxing) + .debug_str 0x000000000000367e 0x29 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_open.o) + 0x255 (size before relaxing) + .debug_str 0x00000000000036a7 0x3b ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x166 (size before relaxing) + .debug_str 0x00000000000036e2 0x24 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x1f6 (size before relaxing) + .debug_str 0x0000000000003706 0x26 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_find_file.o) + 0x204 (size before relaxing) + .debug_str 0x000000000000372c 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x226 (size before relaxing) + .debug_str 0x000000000000375c 0x2c ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_icache_flush.o) + 0x165 (size before relaxing) + .debug_str 0x0000000000003788 0x48b ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x5aa (size before relaxing) + .debug_str 0x0000000000003c13 0x7e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + 0x207 (size before relaxing) + .debug_str 0x0000000000003c91 0x49 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + 0x5a4 (size before relaxing) + .debug_str 0x0000000000003cda 0x41 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + 0x1ca (size before relaxing) + .debug_str 0x0000000000003d1b 0x3c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + 0x5dc (size before relaxing) + .debug_str 0x0000000000003d57 0x5d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) + 0x5c8 (size before relaxing) + .debug_str 0x0000000000003db4 0x2a ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exit.o) + 0x15b (size before relaxing) -.debug_loc 0x0000000000000000 0x181fe +.debug_loc 0x0000000000000000 0x17b65 *(.debug_loc) - .debug_loc 0x0000000000000000 0x4ae /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .debug_loc 0x00000000000004ae 0x1a2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .debug_loc 0x0000000000000650 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .debug_loc 0x00000000000006a8 0xb1b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - .debug_loc 0x00000000000011c3 0x1a6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .debug_loc 0x0000000000001369 0xd3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .debug_loc 0x000000000000143c 0x81 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .debug_loc 0x00000000000014bd 0x117 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .debug_loc 0x00000000000015d4 0xf2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .debug_loc 0x00000000000016c6 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .debug_loc 0x000000000000171e 0x47 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .debug_loc 0x0000000000001765 0x3250 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .debug_loc 0x00000000000049b5 0xa2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .debug_loc 0x0000000000004a57 0x2855 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .debug_loc 0x00000000000072ac 0x36f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .debug_loc 0x000000000000761b 0x276 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .debug_loc 0x0000000000007891 0x4e7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .debug_loc 0x0000000000007d78 0x5d7 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .debug_loc 0x000000000000834f 0x25b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .debug_loc 0x00000000000085aa 0x131 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .debug_loc 0x00000000000086db 0x122 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .debug_loc 0x00000000000087fd 0x1a1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .debug_loc 0x000000000000899e 0x266 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .debug_loc 0x0000000000008c04 0x308 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .debug_loc 0x0000000000008f0c 0x1ccb /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .debug_loc 0x000000000000abd7 0x224 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .debug_loc 0x000000000000adfb 0xdf3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .debug_loc 0x000000000000bbee 0x127 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .debug_loc 0x000000000000bd15 0x2f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .debug_loc 0x000000000000bd44 0x33a /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .debug_loc 0x000000000000c07e 0xc6 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .debug_loc 0x000000000000c144 0x27b3 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .debug_loc 0x000000000000e8f7 0x1b2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .debug_loc 0x000000000000eaa9 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .debug_loc 0x000000000000eb59 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .debug_loc 0x000000000000ebb1 0x1db /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .debug_loc 0x000000000000ed8c 0x199 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .debug_loc 0x000000000000ef25 0x2a1 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .debug_loc 0x000000000000f1c6 0x84 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .debug_loc 0x000000000000f24a 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .debug_loc 0x000000000000f2a2 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .debug_loc 0x000000000000f352 0xb0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .debug_loc 0x000000000000f402 0x24e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .debug_loc 0x000000000000f650 0x8f /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .debug_loc 0x000000000000f6df 0x10aa /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .debug_loc 0x0000000000010789 0x1085 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .debug_loc 0x000000000001180e 0x16f9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .debug_loc 0x0000000000012f07 0x20b2 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .debug_loc 0x0000000000014fb9 0x68 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .debug_loc 0x0000000000015021 0xf9 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .debug_loc 0x000000000001511a 0x163 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .debug_loc 0x000000000001527d 0xff8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .debug_loc 0x0000000000016275 0x1627 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .debug_loc 0x000000000001789c 0x121 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .debug_loc 0x00000000000179bd 0x175 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .debug_loc 0x0000000000017b32 0x19e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .debug_loc 0x0000000000017cd0 0x6b /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .debug_loc 0x0000000000017d3b 0x2c /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .debug_loc 0x0000000000017d67 0x1e /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .debug_loc 0x0000000000017d85 0x126 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .debug_loc 0x0000000000017eab 0x185 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .debug_loc 0x0000000000018030 0x1ce /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .debug_loc 0x0000000000000000 0x4ce f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .debug_loc 0x00000000000004ce 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .debug_loc 0x0000000000000526 0xb1b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .debug_loc 0x0000000000001041 0x1a6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .debug_loc 0x00000000000011e7 0xd3 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .debug_loc 0x00000000000012ba 0x81 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .debug_loc 0x000000000000133b 0x117 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .debug_loc 0x0000000000001452 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .debug_loc 0x00000000000014aa 0x47 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .debug_loc 0x00000000000014f1 0x30cf f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .debug_loc 0x00000000000045c0 0xa2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .debug_loc 0x0000000000004662 0x2855 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .debug_loc 0x0000000000006eb7 0x36f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .debug_loc 0x0000000000007226 0x2ee f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .debug_loc 0x0000000000007514 0x4e7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .debug_loc 0x00000000000079fb 0x5d7 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .debug_loc 0x0000000000007fd2 0x25b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .debug_loc 0x000000000000822d 0x131 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .debug_loc 0x000000000000835e 0x122 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .debug_loc 0x0000000000008480 0x1a1 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .debug_loc 0x0000000000008621 0x266 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .debug_loc 0x0000000000008887 0x308 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .debug_loc 0x0000000000008b8f 0x1bee f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .debug_loc 0x000000000000a77d 0x224 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .debug_loc 0x000000000000a9a1 0xde4 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .debug_loc 0x000000000000b785 0x2f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .debug_loc 0x000000000000b7b4 0x33a f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .debug_loc 0x000000000000baee 0xc6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .debug_loc 0x000000000000bbb4 0x2739 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .debug_loc 0x000000000000e2ed 0x1b2 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .debug_loc 0x000000000000e49f 0xb0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .debug_loc 0x000000000000e54f 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .debug_loc 0x000000000000e5a7 0x1db f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .debug_loc 0x000000000000e782 0x199 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .debug_loc 0x000000000000e91b 0x2a1 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .debug_loc 0x000000000000ebbc 0x84 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .debug_loc 0x000000000000ec40 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .debug_loc 0x000000000000ec98 0xb0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .debug_loc 0x000000000000ed48 0xb0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .debug_loc 0x000000000000edf8 0x24e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .debug_loc 0x000000000000f046 0x8f f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .debug_loc 0x000000000000f0d5 0x10ca f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .debug_loc 0x000000000001019f 0x10ad f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .debug_loc 0x000000000001124c 0x16f9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .debug_loc 0x0000000000012945 0x1fc6 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .debug_loc 0x000000000001490b 0x68 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .debug_loc 0x0000000000014973 0xf9 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .debug_loc 0x0000000000014a6c 0x163 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .debug_loc 0x0000000000014bcf 0x100d f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .debug_loc 0x0000000000015bdc 0x1627 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .debug_loc 0x0000000000017203 0x121 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .debug_loc 0x0000000000017324 0x175 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .debug_loc 0x0000000000017499 0x19e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .debug_loc 0x0000000000017637 0x6b f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .debug_loc 0x00000000000176a2 0x2c f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .debug_loc 0x00000000000176ce 0x1e f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .debug_loc 0x00000000000176ec 0x126 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .debug_loc 0x0000000000017812 0x185 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .debug_loc 0x0000000000017997 0x1ce f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) .debug_macinfo *(.debug_macinfo) @@ -2516,81 +2440,78 @@ LOAD /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gc .debug_alt_sim_info 0x0000000000000000 0x30 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o .debug_alt_sim_info - 0x0000000000000030 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_busy_sleep.o) + 0x0000000000000030 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_busy_sleep.o) 0x00000000000386a0 __alt_data_end = 0x386a0 0x00000000000386a0 PROVIDE (__alt_stack_pointer, __alt_data_end) [!provide] PROVIDE (__alt_stack_limit, __alt_stack_base) - 0x00000000000372b8 PROVIDE (__alt_heap_start, end) + 0x0000000000036984 PROVIDE (__alt_heap_start, end) 0x00000000000386a0 PROVIDE (__alt_heap_limit, 0x386a0) OUTPUT(D8M_Camera_Test.elf elf32-littlenios2) -.debug_ranges 0x0000000000000000 0x19e0 +.debug_ranges 0x0000000000000000 0x1938 .debug_ranges 0x0000000000000000 0x20 ../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o - .debug_ranges 0x0000000000000020 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(lib2-divmod.o) - .debug_ranges 0x0000000000000080 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-getc.o) - .debug_ranges 0x00000000000000c8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-malloc.o) - .debug_ranges 0x00000000000000e0 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mallocr.o) - .debug_ranges 0x0000000000000128 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memset.o) - .debug_ranges 0x0000000000000138 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-printf.o) - .debug_ranges 0x0000000000000150 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putchar.o) - .debug_ranges 0x0000000000000168 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-puts.o) - .debug_ranges 0x0000000000000198 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-rget.o) - .debug_ranges 0x00000000000001c8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-sbrkr.o) - .debug_ranges 0x00000000000001d8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strlen.o) - .debug_ranges 0x00000000000001e8 0x178 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfprintf.o) - .debug_ranges 0x0000000000000360 0x40 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wsetup.o) - .debug_ranges 0x00000000000003a0 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-dtoa.o) - .debug_ranges 0x00000000000003b8 0x58 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fflush.o) - .debug_ranges 0x0000000000000410 0xc8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-findfp.o) - .debug_ranges 0x00000000000004d8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-freer.o) - .debug_ranges 0x00000000000004f0 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fvwrite.o) - .debug_ranges 0x0000000000000520 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fwalk.o) - .debug_ranges 0x0000000000000538 0x60 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-locale.o) - .debug_ranges 0x0000000000000598 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-makebuf.o) - .debug_ranges 0x00000000000005a8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memchr.o) - .debug_ranges 0x00000000000005b8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcpy.o) - .debug_ranges 0x00000000000005c8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memmove.o) - .debug_ranges 0x00000000000005d8 0xe8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-mprec.o) - .debug_ranges 0x00000000000006c0 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-putc.o) - .debug_ranges 0x0000000000000708 0x148 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-reallocr.o) - .debug_ranges 0x0000000000000850 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-refill.o) - .debug_ranges 0x0000000000000880 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-s_fpclassify.o) - .debug_ranges 0x0000000000000890 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-stdio.o) - .debug_ranges 0x00000000000008c0 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-strcmp.o) - .debug_ranges 0x00000000000008d0 0x1b8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-vfiprintf.o) - .debug_ranges 0x0000000000000a88 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wbuf.o) - .debug_ranges 0x0000000000000ab8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-writer.o) - .debug_ranges 0x0000000000000ac8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(closer.o) - .debug_ranges 0x0000000000000ad8 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-callocr.o) - .debug_ranges 0x0000000000000b20 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fclose.o) - .debug_ranges 0x0000000000000b68 0x50 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fputwc.o) - .debug_ranges 0x0000000000000bb8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-fstatr.o) - .debug_ranges 0x0000000000000bc8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-isattyr.o) - .debug_ranges 0x0000000000000bd8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-lseekr.o) - .debug_ranges 0x0000000000000be8 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-readr.o) - .debug_ranges 0x0000000000000bf8 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wcrtomb.o) - .debug_ranges 0x0000000000000c10 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-wctomb_r.o) - .debug_ranges 0x0000000000000c28 0x158 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_udivdi3.o) - .debug_ranges 0x0000000000000d80 0x160 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_umoddi3.o) - .debug_ranges 0x0000000000000ee0 0x240 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(adddf3.o) - .debug_ranges 0x0000000000001120 0x138 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(divdf3.o) - .debug_ranges 0x0000000000001258 0x48 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(eqdf2.o) - .debug_ranges 0x00000000000012a0 0x88 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(gedf2.o) - .debug_ranges 0x0000000000001328 0xa8 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(ledf2.o) - .debug_ranges 0x00000000000013d0 0x1f0 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(muldf3.o) - .debug_ranges 0x00000000000015c0 0x268 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(subdf3.o) - .debug_ranges 0x0000000000001828 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(fixdfsi.o) - .debug_ranges 0x0000000000001858 0x20 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatsidf.o) - .debug_ranges 0x0000000000001878 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(floatunsidf.o) - .debug_ranges 0x0000000000001890 0x18 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/libgcc.a(_clzsi2.o) - .debug_ranges 0x00000000000018a8 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_ranges 0x00000000000018d8 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_ranges 0x00000000000018f0 0x28 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_entry.o) - .debug_ranges 0x0000000000001918 0x10 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_irq_handler.o) - .debug_ranges 0x0000000000001928 0x30 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_exception_entry.o) - .debug_ranges 0x0000000000001958 0x18 ../D8M_Camera_Test_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_ranges 0x0000000000001970 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-atexit.o) - .debug_ranges 0x0000000000001980 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-exit.o) - .debug_ranges 0x0000000000001990 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-memcmp.o) - .debug_ranges 0x00000000000019a0 0x10 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__atexit.o) - .debug_ranges 0x00000000000019b0 0x30 /usr/local/altera/16.0/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.2.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/libc.a(lib_a-__call_atexit.o) + .debug_ranges 0x0000000000000020 0x60 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(lib2-divmod.o) + .debug_ranges 0x0000000000000080 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-malloc.o) + .debug_ranges 0x0000000000000098 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mallocr.o) + .debug_ranges 0x00000000000000e0 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memset.o) + .debug_ranges 0x00000000000000f0 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-printf.o) + .debug_ranges 0x0000000000000108 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putchar.o) + .debug_ranges 0x0000000000000120 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-puts.o) + .debug_ranges 0x0000000000000150 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-sbrkr.o) + .debug_ranges 0x0000000000000160 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strlen.o) + .debug_ranges 0x0000000000000170 0x178 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfprintf.o) + .debug_ranges 0x00000000000002e8 0x40 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wsetup.o) + .debug_ranges 0x0000000000000328 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-dtoa.o) + .debug_ranges 0x0000000000000340 0x58 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fflush.o) + .debug_ranges 0x0000000000000398 0xc8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-findfp.o) + .debug_ranges 0x0000000000000460 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-freer.o) + .debug_ranges 0x0000000000000478 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fvwrite.o) + .debug_ranges 0x00000000000004a8 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fwalk.o) + .debug_ranges 0x00000000000004c0 0x60 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-locale.o) + .debug_ranges 0x0000000000000520 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-makebuf.o) + .debug_ranges 0x0000000000000530 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memchr.o) + .debug_ranges 0x0000000000000540 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcpy.o) + .debug_ranges 0x0000000000000550 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memmove.o) + .debug_ranges 0x0000000000000560 0xe8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-mprec.o) + .debug_ranges 0x0000000000000648 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-putc.o) + .debug_ranges 0x0000000000000690 0x148 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-reallocr.o) + .debug_ranges 0x00000000000007d8 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-s_fpclassify.o) + .debug_ranges 0x00000000000007e8 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-stdio.o) + .debug_ranges 0x0000000000000818 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-strcmp.o) + .debug_ranges 0x0000000000000828 0x1b8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-vfiprintf.o) + .debug_ranges 0x00000000000009e0 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wbuf.o) + .debug_ranges 0x0000000000000a10 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-writer.o) + .debug_ranges 0x0000000000000a20 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(closer.o) + .debug_ranges 0x0000000000000a30 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-callocr.o) + .debug_ranges 0x0000000000000a78 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fclose.o) + .debug_ranges 0x0000000000000ac0 0x50 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fputwc.o) + .debug_ranges 0x0000000000000b10 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-fstatr.o) + .debug_ranges 0x0000000000000b20 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-isattyr.o) + .debug_ranges 0x0000000000000b30 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-lseekr.o) + .debug_ranges 0x0000000000000b40 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-readr.o) + .debug_ranges 0x0000000000000b50 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wcrtomb.o) + .debug_ranges 0x0000000000000b68 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-wctomb_r.o) + .debug_ranges 0x0000000000000b80 0x158 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_udivdi3.o) + .debug_ranges 0x0000000000000cd8 0x160 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_umoddi3.o) + .debug_ranges 0x0000000000000e38 0x240 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(adddf3.o) + .debug_ranges 0x0000000000001078 0x138 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(divdf3.o) + .debug_ranges 0x00000000000011b0 0x48 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(eqdf2.o) + .debug_ranges 0x00000000000011f8 0x88 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(gedf2.o) + .debug_ranges 0x0000000000001280 0xa8 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(ledf2.o) + .debug_ranges 0x0000000000001328 0x1f0 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(muldf3.o) + .debug_ranges 0x0000000000001518 0x268 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(subdf3.o) + .debug_ranges 0x0000000000001780 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(fixdfsi.o) + .debug_ranges 0x00000000000017b0 0x20 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatsidf.o) + .debug_ranges 0x00000000000017d0 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(floatunsidf.o) + .debug_ranges 0x00000000000017e8 0x18 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0\libgcc.a(_clzsi2.o) + .debug_ranges 0x0000000000001800 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_ranges 0x0000000000001830 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_ranges 0x0000000000001848 0x28 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_ranges 0x0000000000001870 0x10 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_ranges 0x0000000000001880 0x30 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_ranges 0x00000000000018b0 0x18 ../D8M_Camera_Test_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_ranges 0x00000000000018c8 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-atexit.o) + .debug_ranges 0x00000000000018d8 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-exit.o) + .debug_ranges 0x00000000000018e8 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-memcmp.o) + .debug_ranges 0x00000000000018f8 0x10 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__atexit.o) + .debug_ranges 0x0000000000001908 0x30 f:/intelfpga_lite/16.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib\libc.a(lib_a-__call_atexit.o) diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump index 918dfb4..92211a2 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.objdump @@ -9,10 +9,10 @@ Program Header: LOAD off 0x00001000 vaddr 0x00020000 paddr 0x00020000 align 2**12 filesz 0x00000020 memsz 0x00000020 flags r-x LOAD off 0x00001020 vaddr 0x00020020 paddr 0x00020020 align 2**12 - filesz 0x00012bf8 memsz 0x00012bf8 flags r-x - LOAD off 0x00013c18 vaddr 0x00032c18 paddr 0x00034eb8 align 2**12 + filesz 0x000122c4 memsz 0x000122c4 flags r-x + LOAD off 0x000132e4 vaddr 0x000322e4 paddr 0x00034584 align 2**12 filesz 0x000022a0 memsz 0x000022a0 flags rw- - LOAD off 0x00016158 vaddr 0x00037158 paddr 0x00037158 align 2**12 + LOAD off 0x00015824 vaddr 0x00036824 paddr 0x00036824 align 2**12 filesz 0x00000000 memsz 0x00000160 flags rw- Sections: @@ -21,72 +21,72 @@ Idx Name Size VMA LMA File off Algn CONTENTS, ALLOC, LOAD, READONLY, CODE 1 .exceptions 00000224 00020020 00020020 00001020 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE - 2 .text 000120fc 00020244 00020244 00001244 2**2 + 2 .text 000117d8 00020244 00020244 00001244 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE - 3 .rodata 000008d8 00032340 00032340 00013340 2**2 + 3 .rodata 000008c8 00031a1c 00031a1c 00012a1c 2**2 CONTENTS, ALLOC, LOAD, READONLY, DATA - 4 .rwdata 000022a0 00032c18 00034eb8 00013c18 2**2 + 4 .rwdata 000022a0 000322e4 00034584 000132e4 2**2 CONTENTS, ALLOC, LOAD, DATA, SMALL_DATA - 5 .bss 00000160 00037158 00037158 00016158 2**2 + 5 .bss 00000160 00036824 00036824 00015824 2**2 ALLOC, SMALL_DATA - 6 .onchip_memory2_0 00000000 000372b8 000372b8 00015eb8 2**0 + 6 .onchip_memory2_0 00000000 00036984 00036984 00015584 2**0 CONTENTS - 7 .comment 00000023 00000000 00000000 00015eb8 2**0 + 7 .comment 00000023 00000000 00000000 00015584 2**0 CONTENTS, READONLY - 8 .debug_aranges 000010a8 00000000 00000000 00015ee0 2**3 + 8 .debug_aranges 00001010 00000000 00000000 000155a8 2**3 CONTENTS, READONLY, DEBUGGING - 9 .debug_info 00028f70 00000000 00000000 00016f88 2**0 + 9 .debug_info 000264b1 00000000 00000000 000165b8 2**0 CONTENTS, READONLY, DEBUGGING - 10 .debug_abbrev 0000a67c 00000000 00000000 0003fef8 2**0 + 10 .debug_abbrev 00009d1e 00000000 00000000 0003ca69 2**0 CONTENTS, READONLY, DEBUGGING - 11 .debug_line 0000cfb3 00000000 00000000 0004a574 2**0 + 11 .debug_line 0000c54b 00000000 00000000 00046787 2**0 CONTENTS, READONLY, DEBUGGING - 12 .debug_frame 000029b0 00000000 00000000 00057528 2**2 + 12 .debug_frame 000027d4 00000000 00000000 00052cd4 2**2 CONTENTS, READONLY, DEBUGGING - 13 .debug_str 00003f8b 00000000 00000000 00059ed8 2**0 + 13 .debug_str 00003dde 00000000 00000000 000554a8 2**0 CONTENTS, READONLY, DEBUGGING - 14 .debug_loc 000181fe 00000000 00000000 0005de63 2**0 + 14 .debug_loc 00017b65 00000000 00000000 00059286 2**0 CONTENTS, READONLY, DEBUGGING - 15 .debug_alt_sim_info 00000060 00000000 00000000 00076064 2**2 + 15 .debug_alt_sim_info 00000060 00000000 00000000 00070dec 2**2 CONTENTS, READONLY, DEBUGGING - 16 .debug_ranges 000019e0 00000000 00000000 000760c8 2**3 + 16 .debug_ranges 00001938 00000000 00000000 00070e50 2**3 CONTENTS, READONLY, DEBUGGING - 17 .thread_model 00000003 00000000 00000000 0007b472 2**0 + 17 .thread_model 00000003 00000000 00000000 00075fd6 2**0 CONTENTS, READONLY - 18 .cpu 0000000a 00000000 00000000 0007b475 2**0 + 18 .cpu 0000000a 00000000 00000000 00075fd9 2**0 CONTENTS, READONLY - 19 .qsys 00000001 00000000 00000000 0007b47f 2**0 + 19 .qsys 00000001 00000000 00000000 00075fe3 2**0 CONTENTS, READONLY - 20 .simulation_enabled 00000001 00000000 00000000 0007b480 2**0 + 20 .simulation_enabled 00000001 00000000 00000000 00075fe4 2**0 CONTENTS, READONLY - 21 .sysid_hash 00000004 00000000 00000000 0007b481 2**0 + 21 .sysid_hash 00000004 00000000 00000000 00075fe5 2**0 CONTENTS, READONLY - 22 .sysid_base 00000004 00000000 00000000 0007b485 2**0 + 22 .sysid_base 00000004 00000000 00000000 00075fe9 2**0 CONTENTS, READONLY - 23 .sysid_time 00000004 00000000 00000000 0007b489 2**0 + 23 .sysid_time 00000004 00000000 00000000 00075fed 2**0 CONTENTS, READONLY - 24 .stderr_dev 00000009 00000000 00000000 0007b48d 2**0 + 24 .stderr_dev 00000009 00000000 00000000 00075ff1 2**0 CONTENTS, READONLY - 25 .stdin_dev 00000009 00000000 00000000 0007b496 2**0 + 25 .stdin_dev 00000009 00000000 00000000 00075ffa 2**0 CONTENTS, READONLY - 26 .stdout_dev 00000009 00000000 00000000 0007b49f 2**0 + 26 .stdout_dev 00000009 00000000 00000000 00076003 2**0 CONTENTS, READONLY - 27 .sopc_system_name 00000004 00000000 00000000 0007b4a8 2**0 + 27 .sopc_system_name 00000004 00000000 00000000 0007600c 2**0 CONTENTS, READONLY - 28 .quartus_project_dir 00000039 00000000 00000000 0007b4ac 2**0 + 28 .quartus_project_dir 0000002a 00000000 00000000 00076010 2**0 CONTENTS, READONLY - 29 .jdi 00003b41 00000000 00000000 0007b4e5 2**0 + 29 .jdi 0000414a 00000000 00000000 0007603a 2**0 CONTENTS, READONLY - 30 .sopcinfo 00099263 00000000 00000000 0007f026 2**0 + 30 .sopcinfo 00099607 00000000 00000000 0007a184 2**0 CONTENTS, READONLY SYMBOL TABLE: 00020000 l d .entry 00000000 .entry 00020020 l d .exceptions 00000000 .exceptions 00020244 l d .text 00000000 .text -00032340 l d .rodata 00000000 .rodata -00032c18 l d .rwdata 00000000 .rwdata -00037158 l d .bss 00000000 .bss -000372b8 l d .onchip_memory2_0 00000000 .onchip_memory2_0 +00031a1c l d .rodata 00000000 .rodata +000322e4 l d .rwdata 00000000 .rwdata +00036824 l d .bss 00000000 .bss +00036984 l d .onchip_memory2_0 00000000 .onchip_memory2_0 00000000 l d .comment 00000000 .comment 00000000 l d .debug_aranges 00000000 .debug_aranges 00000000 l d .debug_info 00000000 .debug_info @@ -105,61 +105,57 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 auto_focus.c 00000000 l df *ABS* 00000000 main.c 00000000 l df *ABS* 00000000 mipi_bridge_config.c -00032c18 l O .rwdata 00000034 MipiBridgeReg +000322e4 l O .rwdata 00000034 MipiBridgeReg 00000000 l df *ABS* 00000000 mipi_camera_config.c -00032c4c l O .rwdata 00000774 MipiCameraReg +00032318 l O .rwdata 00000774 MipiCameraReg 00000000 l df *ABS* 00000000 queue.c 00000000 l df *ABS* 00000000 lib2-divmod.c -00000000 l df *ABS* 00000000 getc.c -00000000 l df *ABS* 00000000 impure.c -000333c0 l O .rwdata 00000424 impure_data 00000000 l df *ABS* 00000000 malloc.c 00000000 l df *ABS* 00000000 mallocr.c 00000000 l df *ABS* 00000000 memset.c 00000000 l df *ABS* 00000000 printf.c 00000000 l df *ABS* 00000000 putchar.c 00000000 l df *ABS* 00000000 puts.c -00000000 l df *ABS* 00000000 rget.c 00000000 l df *ABS* 00000000 sbrkr.c 00000000 l df *ABS* 00000000 strlen.c 00000000 l df *ABS* 00000000 vfprintf.c -0003296a l O .rodata 00000010 zeroes.4404 -00025a18 l F .text 000000bc __sbprintf -0003297a l O .rodata 00000010 blanks.4403 +00032032 l O .rodata 00000010 zeroes.4404 +00025454 l F .text 000000bc __sbprintf +00032042 l O .rodata 00000010 blanks.4403 00000000 l df *ABS* 00000000 wsetup.c 00000000 l df *ABS* 00000000 dtoa.c -00025c28 l F .text 00000200 quorem +00025664 l F .text 00000200 quorem 00000000 l df *ABS* 00000000 fflush.c 00000000 l df *ABS* 00000000 findfp.c -00027758 l F .text 00000008 __fp_unlock -0002776c l F .text 0000019c __sinit.part.1 -00027908 l F .text 00000008 __fp_lock +00027194 l F .text 00000008 __fp_unlock +000271a8 l F .text 0000019c __sinit.part.1 +00027344 l F .text 00000008 __fp_lock 00000000 l df *ABS* 00000000 mallocr.c 00000000 l df *ABS* 00000000 fvwrite.c 00000000 l df *ABS* 00000000 fwalk.c +00000000 l df *ABS* 00000000 impure.c +00032e94 l O .rwdata 00000424 impure_data 00000000 l df *ABS* 00000000 int_errno.c 00000000 l df *ABS* 00000000 locale.c -00033c0c l O .rwdata 00000020 lc_ctype_charset -00033bec l O .rwdata 00000020 lc_message_charset -00033c2c l O .rwdata 00000038 lconv +000332d8 l O .rwdata 00000020 lc_ctype_charset +000332b8 l O .rwdata 00000020 lc_message_charset +000332f8 l O .rwdata 00000038 lconv 00000000 l df *ABS* 00000000 makebuf.c 00000000 l df *ABS* 00000000 memchr.c 00000000 l df *ABS* 00000000 memcpy.c 00000000 l df *ABS* 00000000 memmove.c 00000000 l df *ABS* 00000000 mprec.c -000329a8 l O .rodata 0000000c p05.2768 +00032074 l O .rodata 0000000c p05.2768 00000000 l df *ABS* 00000000 putc.c 00000000 l df *ABS* 00000000 mallocr.c -00000000 l df *ABS* 00000000 refill.c -0002a258 l F .text 0000001c lflush 00000000 l df *ABS* 00000000 s_fpclassify.c 00000000 l df *ABS* 00000000 stdio.c 00000000 l df *ABS* 00000000 strcmp.c 00000000 l df *ABS* 00000000 vfprintf.c -0002a6d4 l F .text 000000fc __sprint_r.part.0 -00032adc l O .rodata 00000010 blanks.4348 -00032acc l O .rodata 00000010 zeroes.4349 -0002bc60 l F .text 000000bc __sbprintf +00029f20 l F .text 000000fc __sprint_r.part.0 +000321a8 l O .rodata 00000010 blanks.4348 +00032198 l O .rodata 00000010 zeroes.4349 +0002b4ac l F .text 000000bc __sbprintf 00000000 l df *ABS* 00000000 wbuf.c 00000000 l df *ABS* 00000000 writer.c 00000000 l df *ABS* 00000000 closer.c @@ -187,59 +183,57 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 libgcc2.c 00000000 l df *ABS* 00000000 libgcc2.c 00000000 l df *ABS* 00000000 alt_close.c -0002f768 l F .text 00000050 alt_get_errno +0002efb4 l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_dev.c -0002f888 l F .text 0000002c alt_dev_null_write +0002f0d4 l F .text 0000002c alt_dev_null_write 00000000 l df *ABS* 00000000 alt_errno.c -00000000 l df *ABS* 00000000 alt_fcntl.c -0002f8b4 l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_fstat.c -0002fa24 l F .text 00000050 alt_get_errno +0002f100 l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_isatty.c -0002fb24 l F .text 00000050 alt_get_errno +0002f200 l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_load.c -0002fc18 l F .text 00000068 alt_load_section +0002f2f4 l F .text 00000068 alt_load_section 00000000 l df *ABS* 00000000 alt_lseek.c -0002fd04 l F .text 00000050 alt_get_errno +0002f3e0 l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_main.c 00000000 l df *ABS* 00000000 alt_malloc_lock.c 00000000 l df *ABS* 00000000 alt_read.c -0002feec l F .text 00000050 alt_get_errno +0002f5c8 l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_release_fd.c 00000000 l df *ABS* 00000000 alt_sbrk.c -00034ea8 l O .rwdata 00000004 heap_end +00034574 l O .rwdata 00000004 heap_end 00000000 l df *ABS* 00000000 alt_tick.c 00000000 l df *ABS* 00000000 alt_usleep.c 00000000 l df *ABS* 00000000 alt_write.c -00030320 l F .text 00000050 alt_get_errno +0002f9fc l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_sys_init.c -00030468 l F .text 00000038 alt_dev_reg -00033e0c l O .rwdata 00001060 jtag_uart +0002fb44 l F .text 00000038 alt_dev_reg +000334d8 l O .rwdata 00001060 jtag_uart 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_fd.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_init.c -00030768 l F .text 0000020c altera_avalon_jtag_uart_irq -00030974 l F .text 000000a8 altera_avalon_jtag_uart_timeout +0002fe44 l F .text 0000020c altera_avalon_jtag_uart_irq +00030050 l F .text 000000a8 altera_avalon_jtag_uart_timeout 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_ioctl.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_read.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_write.c 00000000 l df *ABS* 00000000 altera_avalon_timer_sc.c -00030fb4 l F .text 00000078 alt_avalon_timer_sc_irq +00030690 l F .text 00000078 alt_avalon_timer_sc_irq 00000000 l df *ABS* 00000000 alt_alarm_start.c 00000000 l df *ABS* 00000000 alt_busy_sleep.c 00000000 l df *ABS* 00000000 alt_dcache_flush_all.c 00000000 l df *ABS* 00000000 alt_dev_llist_insert.c -00031398 l F .text 00000050 alt_get_errno +00030a74 l F .text 00000050 alt_get_errno 00000000 l df *ABS* 00000000 alt_do_ctors.c 00000000 l df *ABS* 00000000 alt_do_dtors.c 00000000 l df *ABS* 00000000 alt_icache_flush_all.c 00000000 l df *ABS* 00000000 alt_iic.c 00000000 l df *ABS* 00000000 alt_iic_isr_register.c 00000000 l df *ABS* 00000000 alt_io_redirect.c -00031850 l F .text 000000c4 alt_open_fd +00030f2c l F .text 000000c4 alt_open_fd 00000000 l df *ABS* 00000000 alt_irq_vars.c 00000000 l df *ABS* 00000000 alt_open.c -00031990 l F .text 00000050 alt_get_errno -000319e0 l F .text 000000cc alt_file_locked +0003106c l F .text 00000050 alt_get_errno +000310bc l F .text 000000cc alt_file_locked 00000000 l df *ABS* 00000000 altera_nios2_gen2_irq.c 00000000 l df *ABS* 00000000 alt_find_dev.c 00000000 l df *ABS* 00000000 alt_find_file.c @@ -251,297 +245,288 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 __atexit.c 00000000 l df *ABS* 00000000 __call_atexit.c 00000000 l df *ABS* 00000000 alt_exit.c -0003718c g O .bss 00000004 alt_instruction_exception_handler -000235a4 g F .text 00000018 putchar -00029a14 g F .text 00000074 _mprec_log10 -00029b00 g F .text 0000008c __any_on -0002c354 g F .text 00000054 _isatty_r -000329b4 g O .rodata 00000028 __mprec_tinytens -0002fe28 g F .text 0000007c alt_main -000235bc g F .text 000000c0 _puts_r -000371b8 g O .bss 00000100 alt_irq -0002c3a8 g F .text 00000060 _lseek_r +00036858 g O .bss 00000004 alt_instruction_exception_handler +00023068 g F .text 00000018 putchar +00029450 g F .text 00000074 _mprec_log10 +0002953c g F .text 0000008c __any_on +0002bba0 g F .text 00000054 _isatty_r +00032080 g O .rodata 00000028 __mprec_tinytens +0002f504 g F .text 0000007c alt_main +00023080 g F .text 000000c0 _puts_r +00036884 g O .bss 00000100 alt_irq +0002bbf4 g F .text 00000060 _lseek_r 00021344 g F .text 000000b0 Focus_Released 000205e4 g F .text 00000060 ACK_check -00034eb8 g *ABS* 00000000 __flash_rwdata_start +00034584 g *ABS* 00000000 __flash_rwdata_start 00020558 g F .text 0000008c ACK_single_check -0002e294 g F .text 00000088 .hidden __eqdf2 +0002dae0 g F .text 00000088 .hidden __eqdf2 00021164 g F .text 000001e0 Focus_Window -00021e9c g F .text 0000006c OV8865_write_AF -000372b8 g *ABS* 00000000 __alt_heap_start -0002276c g F .text 00000064 QUEUE_IsFull -00023560 g F .text 0000003c printf -0002c468 g F .text 0000009c _wcrtomb_r -0002a594 g F .text 0000005c __sseek -00027aa8 g F .text 00000010 __sinit -0002bd1c g F .text 00000140 __swbuf_r -00028570 g F .text 0000007c _setlocale_r -00027910 g F .text 00000068 __sfmoreglue -0002fec8 g F .text 00000024 __malloc_unlock -00028a34 g F .text 0000015c memmove +00021cac g F .text 0000006c OV8865_write_AF +00036984 g *ABS* 00000000 __alt_heap_start +00022328 g F .text 00000064 QUEUE_IsFull +00023024 g F .text 0000003c printf +0002bcb4 g F .text 0000009c _wcrtomb_r +00029de0 g F .text 0000005c __sseek +000274e4 g F .text 00000010 __sinit +0002b568 g F .text 00000140 __swbuf_r +00027fac g F .text 0000007c _setlocale_r +0002734c g F .text 00000068 __sfmoreglue +0002f5a4 g F .text 00000024 __malloc_unlock +00028470 g F .text 0000015c memmove 00020644 g F .text 00000200 OC_I2C_Write -00027a90 g F .text 00000018 _cleanup -00028b90 g F .text 000000a8 _Balloc +000274cc g F .text 00000018 _cleanup +000285cc g F .text 000000a8 _Balloc 0002103c g F .text 00000128 Focus_Init -0002e31c g F .text 000000dc .hidden __gtdf2 -00031c04 g F .text 00000024 altera_nios2_gen2_irq_init +0002db68 g F .text 000000dc .hidden __gtdf2 +000312e0 g F .text 00000024 altera_nios2_gen2_irq_init 00020000 g F .entry 0000001c __reset 00020020 g *ABS* 00000000 __flash_exceptions_start -0002c2f8 g F .text 0000005c _fstat_r -00034e74 g O .rwdata 00000001 focus_scal -00037164 g O .bss 00000004 errno -00023704 g F .text 00000014 __srget -0002a510 g F .text 00000008 __seofread -00037178 g O .bss 00000004 alt_argv -0003ce6c g *ABS* 00000000 _gp -000302f0 g F .text 00000030 usleep -00033c8c g O .rwdata 00000180 alt_fd_list -00022adc g F .text 00000070 _getc_r -00022b4c g F .text 00000088 getc -0002359c g F .text 00000008 _putchar_r -00031c28 g F .text 00000090 alt_find_dev -000288ec g F .text 00000148 memcpy +0002bb44 g F .text 0000005c _fstat_r +00034540 g O .rwdata 00000001 focus_scal +00036830 g O .bss 00000004 errno +00029d5c g F .text 00000008 __seofread +00036844 g O .bss 00000004 alt_argv +0003c538 g *ABS* 00000000 _gp +0002f9cc g F .text 00000030 usleep +00033358 g O .rwdata 00000180 alt_fd_list +00023060 g F .text 00000008 _putchar_r +00031304 g F .text 00000090 alt_find_dev +00028328 g F .text 00000148 memcpy 00020398 g F .text 0000013c oc_i2c_init_ex -00027760 g F .text 0000000c _cleanup_r -0002f580 g F .text 000000dc .hidden __floatsidf -00031914 g F .text 0000007c alt_io_redirect -0002e3f8 g F .text 000000f4 .hidden __ltdf2 -00032340 g *ABS* 00000000 __DTOR_END__ -0002367c g F .text 00000014 puts -00031f10 g F .text 0000009c alt_exception_cause_generated_bad_addr -0002a448 g F .text 00000074 __fpclassifyd -00029970 g F .text 000000a4 __ratio -0002bc44 g F .text 0000001c __vfiprintf_internal -0002272c g F .text 00000040 QUEUE_IsEmpty -00030b74 g F .text 0000021c altera_avalon_jtag_uart_read -00022bd4 g F .text 00000014 malloc -00023530 g F .text 00000030 _printf_r +0002719c g F .text 0000000c _cleanup_r +0002edcc g F .text 000000dc .hidden __floatsidf +00030ff0 g F .text 0000007c alt_io_redirect +0002dc44 g F .text 000000f4 .hidden __ltdf2 +00031a1c g *ABS* 00000000 __DTOR_END__ +00023140 g F .text 00000014 puts +000315ec g F .text 0000009c alt_exception_cause_generated_bad_addr +00029c94 g F .text 00000074 __fpclassifyd +000293ac g F .text 000000a4 __ratio +0002b490 g F .text 0000001c __vfiprintf_internal +000222e8 g F .text 00000040 QUEUE_IsEmpty +00030250 g F .text 0000021c altera_avalon_jtag_uart_read +00022698 g F .text 00000014 malloc +00022ff4 g F .text 00000030 _printf_r 00020000 g *ABS* 00000000 __alt_mem_onchip_memory2_0 -00022a20 g F .text 00000064 .hidden __udivsi3 -0002fb74 g F .text 000000a4 isatty -00032a04 g O .rodata 000000c8 __mprec_tens -000285ec g F .text 0000000c __locale_charset -00031e80 g F .text 00000090 alt_icache_flush -00037160 g O .bss 00000004 __malloc_top_pad -000228f4 g F .text 00000034 QUEUE_Empty -00021f88 g F .text 000000d0 OV8865_FOCUS_Move_to -00034e88 g O .rwdata 00000004 __mb_cur_max -0002861c g F .text 0000000c _localeconv_r -00028f9c g F .text 0000003c __i2b -00027f2c g F .text 000004bc __sfvwrite_r -00022864 g F .text 00000090 QUEUE_Pop -00023718 g F .text 00000054 _sbrk_r -0002c408 g F .text 00000060 _read_r -00034e72 g O .rwdata 00000002 focus_height -00034ea0 g O .rwdata 00000004 alt_max_fd -0002bfec g F .text 000000f0 _fclose_r -00021e30 g F .text 0000006c OV8865_write_cmos_sensor_8 -00027728 g F .text 00000030 fflush -0003715c g O .bss 00000004 __malloc_max_sbrked_mem -0002d100 g F .text 000008ac .hidden __adddf3 -00029718 g F .text 0000010c __b2d -0002cbc8 g F .text 00000538 .hidden __umoddi3 -0002fd54 g F .text 000000d4 lseek -00034e78 g O .rwdata 00000004 _global_impure_ptr -00029cf4 g F .text 00000564 _realloc_r -000372b8 g *ABS* 00000000 __bss_end -00031760 g F .text 000000f0 alt_iic_isr_register -000301e8 g F .text 00000108 alt_tick -0002c650 g F .text 00000578 .hidden __udivdi3 -0002c254 g F .text 00000024 _fputwc_r -000329dc g O .rodata 00000028 __mprec_bigtens -00028d80 g F .text 00000104 __s2b -0002f65c g F .text 000000a8 .hidden __floatunsidf -00034e6e g O .rwdata 00000002 video_h -00029458 g F .text 00000060 __mcmp -00027ac8 g F .text 00000018 __fp_lock_all -00031714 g F .text 0000004c alt_ic_irq_enabled +000225dc g F .text 00000064 .hidden __udivsi3 +0002f250 g F .text 000000a4 isatty +000320d0 g O .rodata 000000c8 __mprec_tens +00028028 g F .text 0000000c __locale_charset +0003155c g F .text 00000090 alt_icache_flush +0003682c g O .bss 00000004 __malloc_top_pad +000224b0 g F .text 00000034 QUEUE_Empty +00021d98 g F .text 000000e4 OV8865_FOCUS_Move_to +00034554 g O .rwdata 00000004 __mb_cur_max +00028058 g F .text 0000000c _localeconv_r +000289d8 g F .text 0000003c __i2b +00027968 g F .text 000004bc __sfvwrite_r +00022420 g F .text 00000090 QUEUE_Pop +00023154 g F .text 00000054 _sbrk_r +0002bc54 g F .text 00000060 _read_r +0003453e g O .rwdata 00000002 focus_height +0003456c g O .rwdata 00000004 alt_max_fd +0002b838 g F .text 000000f0 _fclose_r +00021c40 g F .text 0000006c OV8865_write_cmos_sensor_8 +00027164 g F .text 00000030 fflush +00036828 g O .bss 00000004 __malloc_max_sbrked_mem +0002c94c g F .text 000008ac .hidden __adddf3 +00029154 g F .text 0000010c __b2d +0002c414 g F .text 00000538 .hidden __umoddi3 +0002f430 g F .text 000000d4 lseek +0003454c g O .rwdata 00000004 _global_impure_ptr +00029730 g F .text 00000564 _realloc_r +00036984 g *ABS* 00000000 __bss_end +00030e3c g F .text 000000f0 alt_iic_isr_register +0002f8c4 g F .text 00000108 alt_tick +0002be9c g F .text 00000578 .hidden __udivdi3 +0002baa0 g F .text 00000024 _fputwc_r +000320a8 g O .rodata 00000028 __mprec_bigtens +000287bc g F .text 00000104 __s2b +0002eea8 g F .text 000000a8 .hidden __floatunsidf +0003453a g O .rwdata 00000002 video_h +00028e94 g F .text 00000060 __mcmp +00027504 g F .text 00000018 __fp_lock_all +00030df0 g F .text 0000004c alt_ic_irq_enabled 000213f4 g F .text 000000b8 mipi_clear_error 00020bac g F .text 00000210 OC_I2CL_Write -0003014c g F .text 0000009c alt_alarm_stop -00037188 g O .bss 00000004 alt_irq_active +0002f828 g F .text 0000009c alt_alarm_stop +00036854 g O .bss 00000004 alt_irq_active 00020844 g F .text 00000064 ACK_judge_for_read 000200fc g F .exceptions 000000d4 alt_irq_handler -00022138 g F .text 000000cc OV8865SetGain -00033c64 g O .rwdata 00000028 alt_dev_null +00033330 g O .rwdata 00000028 alt_dev_null 00020290 g F .text 00000058 Write32_Data -00031350 g F .text 00000048 alt_dcache_flush_all -00028e84 g F .text 00000068 __hi0bits -0002f500 g F .text 00000080 .hidden __fixdfsi -00034e75 g O .rwdata 00000001 focus_scal_f -00034eb8 g *ABS* 00000000 __ram_rwdata_end -00034e98 g O .rwdata 00000008 alt_dev_list -00030370 g F .text 000000f8 write -00029b8c g F .text 000000a0 _putc_r -00032c18 g *ABS* 00000000 __ram_rodata_end -0002fa74 g F .text 000000b0 fstat -0002e3f8 g F .text 000000f4 .hidden __ledf2 -000291d0 g F .text 00000140 __pow5mult -0002a7e8 g F .text 0000145c ___vfiprintf_internal_r -00037170 g O .bss 00000004 __nlocale_changed -00022a84 g F .text 00000058 .hidden __umodsi3 +00030a2c g F .text 00000048 alt_dcache_flush_all +000288c0 g F .text 00000068 __hi0bits +0002ed4c g F .text 00000080 .hidden __fixdfsi +00034541 g O .rwdata 00000001 focus_scal_f +00034584 g *ABS* 00000000 __ram_rwdata_end +00034564 g O .rwdata 00000008 alt_dev_list +0002fa4c g F .text 000000f8 write +000295c8 g F .text 000000a0 _putc_r +000322e4 g *ABS* 00000000 __ram_rodata_end +0002f150 g F .text 000000b0 fstat +0002dc44 g F .text 000000f4 .hidden __ledf2 +00028c0c g F .text 00000140 __pow5mult +0002a034 g F .text 0000145c ___vfiprintf_internal_r +0003683c g O .bss 00000004 __nlocale_changed +00022640 g F .text 00000058 .hidden __umodsi3 00021544 g F .text 00000178 mipi_show_error_info_more -000372b8 g *ABS* 00000000 end -000306a0 g F .text 000000c8 altera_avalon_jtag_uart_init +00036984 g *ABS* 00000000 end +0002fd7c g F .text 000000c8 altera_avalon_jtag_uart_init 000201d0 g F .exceptions 00000074 alt_instruction_exception_entry -00032340 g *ABS* 00000000 __CTOR_LIST__ +00031a1c g *ABS* 00000000 __CTOR_LIST__ 000386a0 g *ABS* 00000000 __alt_stack_pointer -0003102c g F .text 0000008c alt_avalon_timer_sc_init -0002f704 g F .text 00000064 .hidden __clzsi2 -00030d90 g F .text 00000224 altera_avalon_jtag_uart_write -00027ab8 g F .text 00000004 __sfp_lock_acquire -00028808 g F .text 000000e4 memchr -00023804 g F .text 000021f8 ___vfprintf_internal_r -00027c1c g F .text 00000310 _free_r -000285f8 g F .text 00000010 __locale_mb_cur_max -0003218c g F .text 00000180 __call_exitprocs -00023690 g F .text 00000074 __srget_r -0003716c g O .bss 00000004 __mlocale_changed -00034e80 g O .rwdata 00000004 __malloc_sbrk_base +00030708 g F .text 0000008c alt_avalon_timer_sc_init +0002ef50 g F .text 00000064 .hidden __clzsi2 +0003046c g F .text 00000224 altera_avalon_jtag_uart_write +000274f4 g F .text 00000004 __sfp_lock_acquire +00028244 g F .text 000000e4 memchr +00023240 g F .text 000021f8 ___vfprintf_internal_r +00027658 g F .text 00000310 _free_r +00028034 g F .text 00000010 __locale_mb_cur_max +00031868 g F .text 00000180 __call_exitprocs +00036838 g O .bss 00000004 __mlocale_changed +00034544 g O .rwdata 00000004 __malloc_sbrk_base 00020244 g F .text 0000004c _start -00037180 g O .bss 00000004 _alt_tick_rate -00029310 g F .text 00000148 __lshift -000227d0 g F .text 00000094 QUEUE_Push -00037184 g O .bss 00000004 _alt_nticks -0002ff3c g F .text 000000fc read -000304d8 g F .text 00000064 alt_sys_init -00032074 g F .text 00000118 __register_exitproc -00021dcc g F .text 00000064 OV8865_read_cmos_sensor_8 -00028fd8 g F .text 000001f8 __multiply -00030a1c g F .text 00000068 altera_avalon_jtag_uart_close -00021bb8 g F .text 0000006c MipiBridgeRegWrite -00032c18 g *ABS* 00000000 __ram_rwdata_start -00032340 g *ABS* 00000000 __ram_rodata_start -00037190 g O .bss 00000028 __malloc_current_mallinfo -00029824 g F .text 0000014c __d2b -0003053c g F .text 00000060 altera_avalon_jtag_uart_read_fd -00031dcc g F .text 000000b4 alt_get_fd -000311f8 g F .text 00000158 alt_busy_sleep -0002bed4 g F .text 00000054 _close_r -00031ff8 g F .text 0000007c memcmp -000305fc g F .text 00000050 altera_avalon_jtag_uart_close_fd -000372b8 g *ABS* 00000000 __alt_stack_base -0003064c g F .text 00000054 altera_avalon_jtag_uart_ioctl_fd -00025ad4 g F .text 00000154 __swsetup_r +0003684c g O .bss 00000004 _alt_tick_rate +00028d4c g F .text 00000148 __lshift +0002238c g F .text 00000094 QUEUE_Push +00036850 g O .bss 00000004 _alt_nticks +0002f618 g F .text 000000fc read +0002fbb4 g F .text 00000064 alt_sys_init +00031750 g F .text 00000118 __register_exitproc +00021bdc g F .text 00000064 OV8865_read_cmos_sensor_8 +00028a14 g F .text 000001f8 __multiply +000300f8 g F .text 00000068 altera_avalon_jtag_uart_close +000219c8 g F .text 0000006c MipiBridgeRegWrite +000322e4 g *ABS* 00000000 __ram_rwdata_start +00031a1c g *ABS* 00000000 __ram_rodata_start +0003685c g O .bss 00000028 __malloc_current_mallinfo +00029260 g F .text 0000014c __d2b +0002fc18 g F .text 00000060 altera_avalon_jtag_uart_read_fd +000314a8 g F .text 000000b4 alt_get_fd +000308d4 g F .text 00000158 alt_busy_sleep +0002b720 g F .text 00000054 _close_r +000316d4 g F .text 0000007c memcmp +0002fcd8 g F .text 00000050 altera_avalon_jtag_uart_close_fd +00036984 g *ABS* 00000000 __alt_stack_base +0002fd28 g F .text 00000054 altera_avalon_jtag_uart_ioctl_fd +00025510 g F .text 00000154 __swsetup_r 00020340 g F .text 00000058 oc_i2c_init -0002d9ac g F .text 000008e8 .hidden __divdf3 -00027978 g F .text 00000118 __sfp -00029a88 g F .text 00000078 __copybits -000337e4 g O .rwdata 00000408 __malloc_av_ -00027ac4 g F .text 00000004 __sinit_lock_release -0002e4ec g F .text 00000718 .hidden __muldf3 -0002a4bc g F .text 00000054 __sread -00031cb8 g F .text 00000114 alt_find_file -000313e8 g F .text 000000a4 alt_dev_llist_insert -0002fea4 g F .text 00000024 __malloc_lock -0003009c g F .text 000000b0 sbrk -00022480 g F .text 00000208 MipiCameraInit -00021b6c g F .text 0000004c nSWAP16 -000276cc g F .text 0000005c _fflush_r -0002bf28 g F .text 000000c4 _calloc_r -00022688 g F .text 00000070 QUEUE_New -00037158 g *ABS* 00000000 __bss_start -00023408 g F .text 00000128 memset -00021744 g F .text 00000428 main -0003717c g O .bss 00000004 alt_envp -00037158 g O .bss 00000004 __malloc_max_total_mem -0003059c g F .text 00000060 altera_avalon_jtag_uart_write_fd -0002be5c g F .text 00000018 __swbuf -0002a5f0 g F .text 00000008 __sclose +0002d1f8 g F .text 000008e8 .hidden __divdf3 +000273b4 g F .text 00000118 __sfp +000294c4 g F .text 00000078 __copybits +00032a8c g O .rwdata 00000408 __malloc_av_ +00027500 g F .text 00000004 __sinit_lock_release +0002dd38 g F .text 00000718 .hidden __muldf3 +00029d08 g F .text 00000054 __sread +00031394 g F .text 00000114 alt_find_file +00030ac4 g F .text 000000a4 alt_dev_llist_insert +0002f580 g F .text 00000024 __malloc_lock +0002f778 g F .text 000000b0 sbrk +0002203c g F .text 00000208 MipiCameraInit +0002197c g F .text 0000004c nSWAP16 +00027108 g F .text 0000005c _fflush_r +0002b774 g F .text 000000c4 _calloc_r +00022244 g F .text 00000070 QUEUE_New +00036824 g *ABS* 00000000 __bss_start +00022ecc g F .text 00000128 memset +00021744 g F .text 00000238 main +00036848 g O .bss 00000004 alt_envp +00036824 g O .bss 00000004 __malloc_max_total_mem +0002fc78 g F .text 00000060 altera_avalon_jtag_uart_write_fd +0002b6a8 g F .text 00000018 __swbuf +00029e3c g F .text 00000008 __sclose 000386a0 g *ABS* 00000000 __alt_heap_limit -0002c0dc g F .text 00000014 fclose -00025e28 g F .text 00001688 _dtoa_r -00022bfc g F .text 0000080c _malloc_r -0002c5c4 g F .text 00000030 __ascii_wctomb -00034ea4 g O .rwdata 00000004 alt_errno -000283e8 g F .text 000000c4 _fwalk -00029c2c g F .text 000000c8 putc -00022928 g F .text 00000084 .hidden __divsi3 +0002b928 g F .text 00000014 fclose +00025864 g F .text 00001688 _dtoa_r +000226c0 g F .text 0000080c _malloc_r +0002be10 g F .text 00000030 __ascii_wctomb +00034570 g O .rwdata 00000004 alt_errno +00027e24 g F .text 000000c4 _fwalk +00029668 g F .text 000000c8 putc +000224e4 g F .text 00000084 .hidden __divsi3 000204d4 g F .text 00000084 oc_i2c_uninit -00027af8 g F .text 00000124 _malloc_trim_r -00032340 g *ABS* 00000000 __CTOR_END__ -000222c0 g F .text 000001c0 MIPI_BIN_LEVEL -0002a5f8 g F .text 000000dc strcmp -00032340 g *ABS* 00000000 __flash_rodata_start -00032340 g *ABS* 00000000 __DTOR_LIST__ -0002e294 g F .text 00000088 .hidden __nedf2 -000304a0 g F .text 00000038 alt_irq_init -00030038 g F .text 00000064 alt_release_fd -00021c9c g F .text 00000130 MipiBridgeInit -00032aec g O .rodata 00000100 .hidden __clz_tab -00037168 g O .bss 00000004 _PathLocale -00031fac g F .text 00000014 atexit -0002be74 g F .text 00000060 _write_r -00028628 g F .text 00000018 setlocale -00034e7c g O .rwdata 00000004 _impure_ptr -00037174 g O .bss 00000004 alt_argc -00021c24 g F .text 00000078 MipiBridgeRegRead -000274b0 g F .text 0000021c __sflush_r -000314ec g F .text 00000060 _do_dtors -00028614 g F .text 00000008 __locale_cjk_lang -00034e6c g O .rwdata 00000002 video_w -0002a274 g F .text 000001d4 __srefill_r +00027534 g F .text 00000124 _malloc_trim_r +00031a1c g *ABS* 00000000 __CTOR_END__ +00021e7c g F .text 000001c0 MIPI_BIN_LEVEL +00029e44 g F .text 000000dc strcmp +00031a1c g *ABS* 00000000 __flash_rodata_start +00031a1c g *ABS* 00000000 __DTOR_LIST__ +0002dae0 g F .text 00000088 .hidden __nedf2 +0002fb7c g F .text 00000038 alt_irq_init +0002f714 g F .text 00000064 alt_release_fd +00021aac g F .text 00000130 MipiBridgeInit +000321b8 g O .rodata 00000100 .hidden __clz_tab +00036834 g O .bss 00000004 _PathLocale +00031688 g F .text 00000014 atexit +0002b6c0 g F .text 00000060 _write_r +00028064 g F .text 00000018 setlocale +00034550 g O .rwdata 00000004 _impure_ptr +00036840 g O .bss 00000004 alt_argc +00021a34 g F .text 00000078 MipiBridgeRegRead +00026eec g F .text 0000021c __sflush_r +00030bc8 g F .text 00000060 _do_dtors +00028050 g F .text 00000008 __locale_cjk_lang +00034538 g O .rwdata 00000002 video_w 00020020 g .exceptions 00000000 alt_irq_entry -000296b4 g F .text 00000064 __ulp -00027ae0 g F .text 00000018 __fp_unlock_all -00034e90 g O .rwdata 00000008 alt_fs_list +000290f0 g F .text 00000064 __ulp +0002751c g F .text 00000018 __fp_unlock_all +0003455c g O .rwdata 00000008 alt_fs_list 000202e8 g F .text 00000058 Read32_Data 000208a8 g F .text 000001cc OC_I2C_Read 00020020 g *ABS* 00000000 __ram_exceptions_start -00028640 g F .text 0000000c localeconv -00031580 g F .text 00000050 alt_ic_isr_register -00034eb8 g *ABS* 00000000 _edata -000372b8 g *ABS* 00000000 _end -00022204 g F .text 000000bc OV8865ReadExposure -0002c0f0 g F .text 00000164 __fputwc +0002807c g F .text 0000000c localeconv +00030c5c g F .text 00000050 alt_ic_isr_register +00034584 g *ABS* 00000000 _edata +00036984 g *ABS* 00000000 _end +0002b93c g F .text 00000164 __fputwc 00020244 g *ABS* 00000000 __ram_exceptions_end -00030a84 g F .text 000000f0 altera_avalon_jtag_uart_ioctl -00031670 g F .text 000000a4 alt_ic_irq_disable -0002a518 g F .text 0000007c __swrite -00034e84 g O .rwdata 00000004 __malloc_trim_threshold +00030160 g F .text 000000f0 altera_avalon_jtag_uart_ioctl +00030d4c g F .text 000000a4 alt_ic_irq_disable +00029d64 g F .text 0000007c __swrite +00034548 g O .rwdata 00000004 __malloc_trim_threshold 00020a74 g F .text 00000138 OC_I2C_Read_Continue -00028608 g F .text 0000000c __locale_msgcharset -00031fc0 g F .text 00000038 exit -00034e76 g O .rwdata 00000001 focus_th -000284ac g F .text 000000c4 _fwalk_reent -000294b8 g F .text 000001fc __mdiff -000229ac g F .text 00000074 .hidden __modsi3 +00028044 g F .text 0000000c __locale_msgcharset +0003169c g F .text 00000038 exit +00034542 g O .rwdata 00000001 focus_th +00027ee8 g F .text 000000c4 _fwalk_reent +00028ef4 g F .text 000001fc __mdiff +00022568 g F .text 00000074 .hidden __modsi3 000386a0 g *ABS* 00000000 __alt_data_end 00020020 g F .exceptions 00000000 alt_exception -00027abc g F .text 00000004 __sfp_lock_release +000274f8 g F .text 00000004 __sfp_lock_release 000214ac g F .text 00000098 mipi_show_error_info -000226f8 g F .text 00000034 QUEUE_Delete +000222b4 g F .text 00000034 QUEUE_Delete 00020dbc g F .text 00000280 OC_I2CL_Read -0003230c g F .text 00000034 _exit -000310b8 g F .text 00000140 alt_alarm_start -0002864c g F .text 000001bc __smakebuf_r -0002376c g F .text 00000098 strlen -00031aac g F .text 00000158 open -0002e31c g F .text 000000dc .hidden __gedf2 -00034e8c g O .rwdata 00000004 __wctomb -0002a7d0 g F .text 00000018 __sprint_r -0003154c g F .text 00000034 alt_icache_flush_all -00022058 g F .text 000000e0 OV8865SetExposure -00034eb4 g O .rwdata 00000004 alt_priority_mask -00034e70 g O .rwdata 00000002 focus_width -000315d0 g F .text 000000a0 alt_ic_irq_enable -000259fc g F .text 0000001c __vfprintf_internal -0002c5f4 g F .text 0000005c _wctomb_r +000319e8 g F .text 00000034 _exit +00030794 g F .text 00000140 alt_alarm_start +00028088 g F .text 000001bc __smakebuf_r +000231a8 g F .text 00000098 strlen +00031188 g F .text 00000158 open +0002db68 g F .text 000000dc .hidden __gedf2 +00034558 g O .rwdata 00000004 __wctomb +0002a01c g F .text 00000018 __sprint_r +00030c28 g F .text 00000034 alt_icache_flush_all +00034580 g O .rwdata 00000004 alt_priority_mask +0003453c g O .rwdata 00000002 focus_width +00030cac g F .text 000000a0 alt_ic_irq_enable +00025438 g F .text 0000001c __vfprintf_internal +0002be40 g F .text 0000005c _wctomb_r 000216bc g F .text 00000088 MIPI_Init -0002ec04 g F .text 000008fc .hidden __subdf3 -0002f904 g F .text 00000120 fcntl -00028eec g F .text 000000b0 __lo0bits -00034eac g O .rwdata 00000008 alt_alarm_list -0003148c g F .text 00000060 _do_ctors -0002c504 g F .text 000000c0 wcrtomb -0002f7b8 g F .text 000000d0 close -00021f08 g F .text 00000080 OV8865_read_AF -0002fc80 g F .text 00000084 alt_load -0002c278 g F .text 00000080 fputwc -00022be8 g F .text 00000014 free -00027ac0 g F .text 00000004 __sinit_lock_acquire -00028c60 g F .text 00000120 __multadd -00028c38 g F .text 00000028 _Bfree +0002e450 g F .text 000008fc .hidden __subdf3 +00028928 g F .text 000000b0 __lo0bits +00034578 g O .rwdata 00000008 alt_alarm_list +00030b68 g F .text 00000060 _do_ctors +0002bd50 g F .text 000000c0 wcrtomb +0002f004 g F .text 000000d0 close +00021d18 g F .text 00000080 OV8865_read_AF +0002f35c g F .text 00000084 alt_load +0002bac4 g F .text 00000080 fputwc +000226ac g F .text 00000014 free +000274fc g F .text 00000004 __sinit_lock_acquire +0002869c g F .text 00000120 __multadd +00028674 g F .text 00000028 _Bfree @@ -563,7 +548,7 @@ Disassembly of section .entry: addi r2, r2, -NIOS2_ICACHE_LINE_SIZE 20008: 10bff804 addi r2,r2,-32 bgt r2, zero, 0b - 2000c: 00bffd16 blt zero,r2,20004 <__reset+0x4> + 2000c: 00bffd16 blt zero,r2,20004 <_gp+0xfffe3acc> * Jump to the _start entry point in the .text section if reset code * is allowed or if optimizing for RTL simulation. */ @@ -826,13 +811,13 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_irq[i].handler(alt_irq[i].context); 2013c: 008000f4 movhi r2,3 - 20140: 109c6e04 addi r2,r2,29112 + 20140: 109a2104 addi r2,r2,26756 20144: e0fffd17 ldw r3,-12(fp) 20148: 180690fa slli r3,r3,3 2014c: 10c5883a add r2,r2,r3 20150: 10c00017 ldw r3,0(r2) 20154: 008000f4 movhi r2,3 - 20158: 109c6e04 addi r2,r2,29112 + 20158: 109a2104 addi r2,r2,26756 2015c: e13ffd17 ldw r4,-12(fp) 20160: 200890fa slli r4,r4,3 20164: 1105883a add r2,r2,r4 @@ -868,14 +853,14 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) 201a0: e0bffd15 stw r2,-12(fp) } while (1); - 201a4: 003fe106 br 2012c + 201a4: 003fe106 br 2012c <_gp+0xfffe3bf4> active = alt_irq_pending (); 201a8: e0bffb15 stw r2,-20(fp) } while (active); 201ac: e0bffb17 ldw r2,-20(fp) - 201b0: 103fdb1e bne r2,zero,20120 + 201b0: 103fdb1e bne r2,zero,20120 <_gp+0xfffe3be8> /* * Notify the operating system that interrupt processing is complete. @@ -985,7 +970,7 @@ Disassembly of section .text: 2024c: 10bff804 addi r2,r2,-32 #endif bgt r2, zero, 0b - 20250: 00bffd16 blt zero,r2,20248 <_start+0x4> + 20250: 00bffd16 blt zero,r2,20248 <_gp+0xfffe3d10> /* * Now that the caches are initialized, set up the stack pointer and global pointer. @@ -998,7 +983,7 @@ Disassembly of section .text: movhi gp, %hi(_gp) 2025c: 068000f4 movhi gp,3 ori gp, gp, %lo(_gp) - 20260: d6b39b14 ori gp,gp,52844 + 20260: d6b14e14 ori gp,gp,50488 */ #ifndef ALT_SIM_OPTIMIZE /* Log that the BSS is about to be cleared. */ @@ -1007,12 +992,12 @@ Disassembly of section .text: movhi r2, %hi(__bss_start) 20264: 008000f4 movhi r2,3 ori r2, r2, %lo(__bss_start) - 20268: 109c5614 ori r2,r2,29016 + 20268: 109a0914 ori r2,r2,26660 movhi r3, %hi(__bss_end) 2026c: 00c000f4 movhi r3,3 ori r3, r3, %lo(__bss_end) - 20270: 18dcae14 ori r3,r3,29368 + 20270: 18da6114 ori r3,r3,27012 beq r2, r3, 1f 20274: 10c00326 beq r2,r3,20284 <_start+0x40> @@ -1023,28 +1008,28 @@ Disassembly of section .text: addi r2, r2, 4 2027c: 10800104 addi r2,r2,4 bltu r2, r3, 0b - 20280: 10fffd36 bltu r2,r3,20278 <_start+0x34> + 20280: 10fffd36 bltu r2,r3,20278 <_gp+0xfffe3d40> * section aren't defined until alt_load() has been called). */ mov et, zero #endif call alt_load - 20284: 002fc800 call 2fc80 + 20284: 002f35c0 call 2f35c /* Log that alt_main is about to be called. */ ALT_LOG_PUTS(alt_log_msg_alt_main) /* Call the C entry point. It should never return. */ call alt_main - 20288: 002fe280 call 2fe28 + 20288: 002f5040 call 2f504 0002028c : /* Wait in infinite loop in case alt_main does return. */ alt_after_alt_main: br alt_after_alt_main - 2028c: 003fff06 br 2028c + 2028c: 003fff06 br 2028c <_gp+0xfffe3d54> 00020290 : #define SLEEP_TIME 250 //us @@ -1178,7 +1163,7 @@ bool oc_i2c_init_ex(alt_32 i2c_base, alt_32 ref_clk, alt_32 i2c_clk) 203c8: 10800164 muli r2,r2,5 203cc: 100b883a mov r5,r2 203d0: e13ffe17 ldw r4,-8(fp) - 203d4: 00229280 call 22928 <__divsi3> + 203d4: 00224e40 call 224e4 <__divsi3> 203d8: 10bfffc4 addi r2,r2,-1 203dc: e0bffa15 stw r2,-24(fp) prescale_low = prescale & 0xFF; @@ -1273,8 +1258,8 @@ bool oc_i2c_init_ex(alt_32 i2c_base, alt_32 ref_clk, alt_32 i2c_clk) else printf("\nI2C core is not enabled successfully! \r\n"); 204b0: 010000f4 movhi r4,3 - 204b4: 2108d004 addi r4,r4,9024 - 204b8: 002367c0 call 2367c + 204b4: 21068704 addi r4,r4,6684 + 204b8: 00231400 call 23140 return bSuccess; 204bc: e0bff817 ldw r2,-32(fp) @@ -1330,8 +1315,8 @@ bool oc_i2c_uninit(alt_32 i2c_base) else printf("\I2C core is failed to disable! \r\n"); 20534: 010000f4 movhi r4,3 - 20538: 2108db04 addi r4,r4,9068 - 2053c: 002367c0 call 2367c + 20538: 21069204 addi r4,r4,6728 + 2053c: 00231400 call 23140 return bSuccess; 20540: e0bffc17 ldw r2,-16(fp) @@ -1362,7 +1347,7 @@ bool ACK_single_check(alt_32 i2c_base) usleep(ACK_SLEEP_TIME); 20574: 01003e84 movi r4,250 - 20578: 00302f00 call 302f0 + 20578: 002f9cc0 call 2f9cc read_data =IORD(i2c_base, 4); 2057c: e0bfff17 ldw r2,-4(fp) 20580: 10800404 addi r2,r2,16 @@ -1379,7 +1364,7 @@ bool ACK_single_check(alt_32 i2c_base) 205a0: 10000a26 beq r2,zero,205cc usleep(ACK_SLEEP_TIME); 205a4: 01003e84 movi r4,250 - 205a8: 00302f00 call 302f0 + 205a8: 002f9cc0 call 2f9cc read_data =IORD(i2c_base, 4); 205ac: e0bfff17 ldw r2,-4(fp) 205b0: 10800404 addi r2,r2,16 @@ -1433,7 +1418,7 @@ bool ACK_check(alt_32 i2c_base){ 2061c: 10c00044 addi r3,r2,1 20620: e0fffe15 stw r3,-8(fp) 20624: 10800290 cmplti r2,r2,10 - 20628: 103ff61e bne r2,zero,20604 + 20628: 103ff61e bne r2,zero,20604 <_gp+0xfffe40cc> bSuccess = ACK_single_check(i2c_base); } @@ -1473,8 +1458,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 20680: 1000051e bne r2,zero,20698 printf("OC_I2C_Write error[0]\r\n"); 20684: 010000f4 movhi r4,3 - 20688: 2108e404 addi r4,r4,9104 - 2068c: 002367c0 call 2367c + 20688: 21069b04 addi r4,r4,6764 + 2068c: 00231400 call 23140 return FALSE; 20690: 0005883a mov r2,zero 20694: 00006606 br 20830 @@ -1488,8 +1473,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 206a8: 1000051e bne r2,zero,206c0 printf("OC_I2C_Write error[1]\r\n"); 206ac: 010000f4 movhi r4,3 - 206b0: 2108ea04 addi r4,r4,9128 - 206b4: 002367c0 call 2367c + 206b0: 2106a104 addi r4,r4,6788 + 206b4: 00231400 call 23140 return FALSE; 206b8: 0005883a mov r2,zero 206bc: 00005c06 br 20830 @@ -1502,8 +1487,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 206c8: 1000051e bne r2,zero,206e0 printf("OC_I2C_Write error[2]\r\n"); 206cc: 010000f4 movhi r4,3 - 206d0: 2108f004 addi r4,r4,9152 - 206d4: 002367c0 call 2367c + 206d0: 2106a704 addi r4,r4,6812 + 206d4: 00231400 call 23140 return FALSE; 206d8: 0005883a mov r2,zero 206dc: 00005406 br 20830 @@ -1520,8 +1505,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 206f4: 1000051e bne r2,zero,2070c printf("OC_I2C_Write error[3]\r\n"); 206f8: 010000f4 movhi r4,3 - 206fc: 2108f604 addi r4,r4,9176 - 20700: 002367c0 call 2367c + 206fc: 2106ad04 addi r4,r4,6836 + 20700: 00231400 call 23140 return FALSE; 20704: 0005883a mov r2,zero 20708: 00004906 br 20830 @@ -1536,8 +1521,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 2071c: 1000051e bne r2,zero,20734 printf("OC_I2C_Write error[4]\r\n"); 20720: 010000f4 movhi r4,3 - 20724: 2108fc04 addi r4,r4,9200 - 20728: 002367c0 call 2367c + 20724: 2106b304 addi r4,r4,6860 + 20728: 00231400 call 23140 return FALSE; 2072c: 0005883a mov r2,zero 20730: 00003f06 br 20830 @@ -1550,8 +1535,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 2073c: 1000051e bne r2,zero,20754 printf("OC_I2C_Write error[5]\r\n"); 20740: 010000f4 movhi r4,3 - 20744: 21090204 addi r4,r4,9224 - 20748: 002367c0 call 2367c + 20744: 2106b904 addi r4,r4,6884 + 20748: 00231400 call 23140 return FALSE; 2074c: 0005883a mov r2,zero 20750: 00003706 br 20830 @@ -1578,8 +1563,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 20788: 1000051e bne r2,zero,207a0 printf("OC_I2C_Write error[6]\r\n"); 2078c: 010000f4 movhi r4,3 - 20790: 21090804 addi r4,r4,9248 - 20794: 002367c0 call 2367c + 20790: 2106bf04 addi r4,r4,6908 + 20794: 00231400 call 23140 return FALSE; 20798: 0005883a mov r2,zero 2079c: 00002406 br 20830 @@ -1594,8 +1579,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 207b0: 1000051e bne r2,zero,207c8 printf("OC_I2C_Write error[7]\r\n"); 207b4: 010000f4 movhi r4,3 - 207b8: 21090e04 addi r4,r4,9272 - 207bc: 002367c0 call 2367c + 207b8: 2106c504 addi r4,r4,6932 + 207bc: 00231400 call 23140 return FALSE; 207c0: 0005883a mov r2,zero 207c4: 00001a06 br 20830 @@ -1608,8 +1593,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 207d0: 1000051e bne r2,zero,207e8 printf("OC_I2C_Write error[8]\r\n"); 207d4: 010000f4 movhi r4,3 - 207d8: 21091404 addi r4,r4,9296 - 207dc: 002367c0 call 2367c + 207d8: 2106cb04 addi r4,r4,6956 + 207dc: 00231400 call 23140 return FALSE; 207e0: 0005883a mov r2,zero 207e4: 00001206 br 20830 @@ -1623,7 +1608,7 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 207ec: 10bfffc4 addi r2,r2,-1 207f0: e0bffb15 stw r2,-20(fp) 207f4: e0bffb17 ldw r2,-20(fp) - 207f8: 103fda0e bge r2,zero,20764 + 207f8: 103fda0e bge r2,zero,20764 <_gp+0xfffe422c> //wait TIP bit go to 0 to end Tx if(!ACK_check( i2c_base)) return false; @@ -1637,8 +1622,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 2080c: 1000051e bne r2,zero,20824 printf("OC_I2C_Write error[9]\r\n"); 20810: 010000f4 movhi r4,3 - 20814: 21091a04 addi r4,r4,9320 - 20818: 002367c0 call 2367c + 20814: 2106d104 addi r4,r4,6980 + 20818: 00231400 call 23140 return FALSE; 2081c: 0005883a mov r2,zero 20820: 00000306 br 20830 @@ -1647,7 +1632,7 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt //OS_msleep(1); usleep(SLEEP_TIME); 20824: 01003e84 movi r4,250 - 20828: 00302f00 call 302f0 + 20828: 002f9cc0 call 2f9cc // printf("\n receive ACK-data! \n"); @@ -1677,7 +1662,7 @@ bool ACK_judge_for_read(alt_32 i2c_base) // OS_msleep( SLEEP_TIME ); usleep(ACK_SLEEP_TIME); 20858: 01003e84 movi r4,250 - 2085c: 00302f00 call 302f0 + 2085c: 002f9cc0 call 2f9cc // while(this_data & 0x02) // { // this_data = Read32_Data ( hPCIe, i2c_base, 4); @@ -1749,8 +1734,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20900: 1000051e bne r2,zero,20918 printf("OC_I2C_Read error[2]\r\n"); 20904: 010000f4 movhi r4,3 - 20908: 21092004 addi r4,r4,9344 - 2090c: 002367c0 call 2367c + 20908: 2106d704 addi r4,r4,7004 + 2090c: 00231400 call 23140 return FALSE; 20910: 0005883a mov r2,zero 20914: 00005206 br 20a60 @@ -1776,8 +1761,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20948: 1000051e bne r2,zero,20960 printf("OC_I2C_Read error[5]\r\n"); 2094c: 010000f4 movhi r4,3 - 20950: 21092604 addi r4,r4,9368 - 20954: 002367c0 call 2367c + 20950: 2106dd04 addi r4,r4,7028 + 20954: 00231400 call 23140 return FALSE; 20958: 0005883a mov r2,zero 2095c: 00004006 br 20a60 @@ -1810,8 +1795,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20998: 1000051e bne r2,zero,209b0 printf("OC_I2C_Read error[8]\r\n"); 2099c: 010000f4 movhi r4,3 - 209a0: 21092c04 addi r4,r4,9392 - 209a4: 002367c0 call 2367c + 209a0: 2106e304 addi r4,r4,7052 + 209a4: 00231400 call 23140 return FALSE; 209a8: 0005883a mov r2,zero 209ac: 00002c06 br 20a60 @@ -1841,8 +1826,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 209ec: 1000051e bne r2,zero,20a04 printf("OC_I2C_Read error[10]\r\n"); 209f0: 010000f4 movhi r4,3 - 209f4: 21093204 addi r4,r4,9416 - 209f8: 002367c0 call 2367c + 209f4: 2106e904 addi r4,r4,7076 + 209f8: 00231400 call 23140 return FALSE; 209fc: 0005883a mov r2,zero 20a00: 00001706 br 20a60 @@ -1871,7 +1856,7 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20a30: e0bffa15 stw r2,-24(fp) 20a34: e0fffa17 ldw r3,-24(fp) 20a38: e0800217 ldw r2,8(fp) - 20a3c: 18bfde16 blt r3,r2,209b8 + 20a3c: 18bfde16 blt r3,r2,209b8 <_gp+0xfffe4480> Data32=IORD( i2c_base, 3); *(pData8+i) = Data32 & 0xff; @@ -1888,7 +1873,7 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ //OS_msleep(1); usleep(SLEEP_TIME); 20a54: 01003e84 movi r4,250 - 20a58: 00302f00 call 302f0 + 20a58: 002f9cc0 call 2f9cc // printf(" Read [%02X] = %02Xh\r\n", sub_address, data); @@ -1942,8 +1927,8 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 20ad0: 1000051e bne r2,zero,20ae8 printf("OC_I2C_Read error[8]\r\n"); 20ad4: 010000f4 movhi r4,3 - 20ad8: 21092c04 addi r4,r4,9392 - 20adc: 002367c0 call 2367c + 20ad8: 2106e304 addi r4,r4,7052 + 20adc: 00231400 call 23140 return FALSE; 20ae0: 0005883a mov r2,zero 20ae4: 00002c06 br 20b98 @@ -1973,8 +1958,8 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 20b24: 1000051e bne r2,zero,20b3c printf("OC_I2C_Read error[10]\r\n"); 20b28: 010000f4 movhi r4,3 - 20b2c: 21093204 addi r4,r4,9416 - 20b30: 002367c0 call 2367c + 20b2c: 2106e904 addi r4,r4,7076 + 20b30: 00231400 call 23140 return FALSE; 20b34: 0005883a mov r2,zero 20b38: 00001706 br 20b98 @@ -2003,7 +1988,7 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 20b68: e0bffa15 stw r2,-24(fp) 20b6c: e0fffa17 ldw r3,-24(fp) 20b70: e0bfff17 ldw r2,-4(fp) - 20b74: 18bfde16 blt r3,r2,20af0 + 20b74: 18bfde16 blt r3,r2,20af0 <_gp+0xfffe45b8> Data32=IORD( i2c_base, 3); *(pData8+i) = Data32 & 0xff; @@ -2020,7 +2005,7 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 //OS_msleep(1); usleep(SLEEP_TIME); 20b8c: 01003e84 movi r4,250 - 20b90: 00302f00 call 302f0 + 20b90: 002f9cc0 call 2f9cc // printf(" Read [%02X] = %02Xh\r\n", sub_address, data); @@ -2093,8 +2078,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20c2c: 1000051e bne r2,zero,20c44 printf("OC_I2CL_Write error[0]\r\n"); 20c30: 010000f4 movhi r4,3 - 20c34: 21093804 addi r4,r4,9440 - 20c38: 002367c0 call 2367c + 20c34: 2106ef04 addi r4,r4,7100 + 20c38: 00231400 call 23140 return FALSE; 20c3c: 0005883a mov r2,zero 20c40: 00005906 br 20da8 @@ -2132,8 +2117,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20c88: 1000051e bne r2,zero,20ca0 printf("OC_I2CL_Write error[1]\r\n"); 20c8c: 010000f4 movhi r4,3 - 20c90: 21093e04 addi r4,r4,9464 - 20c94: 002367c0 call 2367c + 20c90: 2106f504 addi r4,r4,7124 + 20c94: 00231400 call 23140 return FALSE; 20c98: 0005883a mov r2,zero 20c9c: 00004206 br 20da8 @@ -2166,8 +2151,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20cd8: 1000051e bne r2,zero,20cf0 printf("OC_I2CL_Write error[2]\r\n"); 20cdc: 010000f4 movhi r4,3 - 20ce0: 21094404 addi r4,r4,9488 - 20ce4: 002367c0 call 2367c + 20ce0: 2106fb04 addi r4,r4,7148 + 20ce4: 00231400 call 23140 return FALSE; 20ce8: 0005883a mov r2,zero 20cec: 00002e06 br 20da8 @@ -2214,8 +2199,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20d54: 1000051e bne r2,zero,20d6c printf("OC_I2CL_Write error[3]\r\n"); 20d58: 010000f4 movhi r4,3 - 20d5c: 21094a04 addi r4,r4,9512 - 20d60: 002367c0 call 2367c + 20d5c: 21070104 addi r4,r4,7172 + 20d60: 00231400 call 23140 return FALSE; 20d64: 0005883a mov r2,zero 20d68: 00000f06 br 20da8 @@ -2229,7 +2214,7 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20d70: 10bfffc4 addi r2,r2,-1 20d74: e0bffa15 stw r2,-24(fp) 20d78: e0bffa17 ldw r2,-24(fp) - 20d7c: 103fe00e bge r2,zero,20d00 + 20d7c: 103fe00e bge r2,zero,20d00 <_gp+0xfffe47c8> //wait TIP bit go to 0 to end Tx if(!ACK_check( i2c_base)) return FALSE; @@ -2248,7 +2233,7 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al //OS_msleep(1); usleep(SLEEP_TIME); 20d9c: 01003e84 movi r4,250 - 20da0: 00302f00 call 302f0 + 20da0: 002f9cc0 call 2f9cc // printf("\n receive ACK-data! \n"); return TRUE; @@ -2321,8 +2306,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20e3c: 1000051e bne r2,zero,20e54 printf("OC_I2CL_Read error[0]\r\n"); 20e40: 010000f4 movhi r4,3 - 20e44: 21095004 addi r4,r4,9536 - 20e48: 002367c0 call 2367c + 20e44: 21070704 addi r4,r4,7196 + 20e48: 00231400 call 23140 return FALSE; 20e4c: 0005883a mov r2,zero 20e50: 00007506 br 21028 @@ -2360,8 +2345,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20e98: 1000051e bne r2,zero,20eb0 printf("OC_I2CL_Read error[1]\r\n"); 20e9c: 010000f4 movhi r4,3 - 20ea0: 21095604 addi r4,r4,9560 - 20ea4: 002367c0 call 2367c + 20ea0: 21070d04 addi r4,r4,7220 + 20ea4: 00231400 call 23140 return FALSE; 20ea8: 0005883a mov r2,zero 20eac: 00005e06 br 21028 @@ -2397,8 +2382,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20ef4: 1000051e bne r2,zero,20f0c printf("OC_I2CL_Read error[2]\r\n"); 20ef8: 010000f4 movhi r4,3 - 20efc: 21095c04 addi r4,r4,9584 - 20f00: 002367c0 call 2367c + 20efc: 21071304 addi r4,r4,7244 + 20f00: 00231400 call 23140 return FALSE; 20f04: 0005883a mov r2,zero 20f08: 00004706 br 21028 @@ -2438,8 +2423,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20f58: 1000051e bne r2,zero,20f70 printf("OC_I2CL_Read error[3]\r\n"); 20f5c: 010000f4 movhi r4,3 - 20f60: 21096204 addi r4,r4,9608 - 20f64: 002367c0 call 2367c + 20f60: 21071904 addi r4,r4,7268 + 20f64: 00231400 call 23140 return FALSE; 20f68: 0005883a mov r2,zero 20f6c: 00002e06 br 21028 @@ -2472,8 +2457,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20fac: 1000051e bne r2,zero,20fc4 printf("OC_I2CL_Read error[4]\r\n"); 20fb0: 010000f4 movhi r4,3 - 20fb4: 21096804 addi r4,r4,9632 - 20fb8: 002367c0 call 2367c + 20fb4: 21071f04 addi r4,r4,7292 + 20fb8: 00231400 call 23140 return FALSE; 20fbc: 0005883a mov r2,zero 20fc0: 00001906 br 21028 @@ -2502,7 +2487,7 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20ff0: e0bff915 stw r2,-28(fp) 20ff4: e0fff917 ldw r3,-28(fp) 20ff8: e0800217 ldw r2,8(fp) - 20ffc: 18bfde16 blt r3,r2,20f78 + 20ffc: 18bfde16 blt r3,r2,20f78 <_gp+0xfffe4a40> DataLow = Data32 & 0xff; pData16 = (DataHigh << 8) | DataLow; @@ -2521,7 +2506,7 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a //OS_msleep(1); usleep(SLEEP_TIME); 2101c: 01003e84 movi r4,250 - 21020: 00302f00 call 302f0 + 21020: 002f9cc0 call 2f9cc return TRUE; 21024: 00800044 movi r2,1 @@ -2610,7 +2595,7 @@ void Focus_Init(void){ //////////// focus at initial time usleep(100); 2111c: 01001904 movi r4,100 - 21120: 00302f00 call 302f0 + 21120: 002f9cc0 call 2f9cc IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 1); 21124: 00c00044 movi r3,1 21128: 00800134 movhi r2,4 @@ -2618,7 +2603,7 @@ void Focus_Init(void){ 21130: 10c00035 stwio r3,0(r2) usleep(2); 21134: 01000084 movi r4,2 - 21138: 00302f00 call 302f0 + 21138: 002f9cc0 call 2f9cc IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 0); 2113c: 0007883a mov r3,zero 21140: 00800134 movhi r2,4 @@ -2729,8 +2714,8 @@ alt_u16 Focus_Window(int x,int y){ 21294: 180d883a mov r6,r3 21298: 100b883a mov r5,r2 2129c: 010000f4 movhi r4,3 - 212a0: 21096e04 addi r4,r4,9656 - 212a4: 00235600 call 23560 + 212a0: 21072504 addi r4,r4,7316 + 212a4: 00230240 call 23024 IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_FOCUS_X_START, x_start);//x_start 212a8: e0fffc0b ldhu r3,-16(fp) @@ -2745,7 +2730,7 @@ alt_u16 Focus_Window(int x,int y){ usleep(10); 212c8: 01000284 movi r4,10 - 212cc: 00302f00 call 302f0 + 212cc: 002f9cc0 call 2f9cc IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 1); 212d0: 00c00044 movi r3,1 @@ -2754,7 +2739,7 @@ alt_u16 Focus_Window(int x,int y){ 212dc: 10c00035 stwio r3,0(r2) usleep(2); 212e0: 01000084 movi r4,2 - 212e4: 00302f00 call 302f0 + 212e4: 002f9cc0 call 2f9cc IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 0); 212e8: 0007883a mov r3,zero 212ec: 00800134 movhi r2,4 @@ -2773,8 +2758,8 @@ alt_u16 Focus_Window(int x,int y){ 21310: e0bffd0b ldhu r2,-12(fp) 21314: 100b883a mov r5,r2 21318: 010000f4 movhi r4,3 - 2131c: 21097504 addi r4,r4,9684 - 21320: 00235600 call 23560 + 2131c: 21072c04 addi r4,r4,7344 + 21320: 00230240 call 23024 return end_focus; 21324: e0bffd0b ldhu r2,-12(fp) @@ -2807,7 +2792,7 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; 21358: 008000f4 movhi r2,3 - 2135c: 109c6104 addi r2,r2,29060 + 2135c: 109a1404 addi r2,r2,26704 21360: 10c00017 ldw r3,0(r2) * Obtain the system clock rate in ticks/s. */ @@ -2816,7 +2801,7 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; 21364: 008000f4 movhi r2,3 - 21368: 109c6004 addi r2,r2,29056 + 21368: 109a1304 addi r2,r2,26700 2136c: 10800017 ldw r2,0(r2) alt_u32 TimeOut; @@ -2839,12 +2824,12 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; 21394: 008000f4 movhi r2,3 - 21398: 109c6104 addi r2,r2,29060 + 21398: 109a1404 addi r2,r2,26704 2139c: 10c00017 ldw r3,0(r2) 213a0: e0bfff17 ldw r2,-4(fp) - 213a4: 18bff636 bltu r3,r2,21380 + 213a4: 18bff636 bltu r3,r2,21380 <_gp+0xfffe4e48> 213a8: 008000f4 movhi r2,3 - 213ac: 109c6104 addi r2,r2,29060 + 213ac: 109a1404 addi r2,r2,26704 213b0: 10c00017 ldw r3,0(r2) if(alt_nticks() < TimeOut ) Released = TRUE; @@ -2855,12 +2840,12 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) 213c4: 00000306 br 213d4 else printf("\n =>¡¡Released check TimeOut!\n"); 213c8: 010000f4 movhi r4,3 - 213cc: 21097a04 addi r4,r4,9704 - 213d0: 002367c0 call 2367c + 213cc: 21073104 addi r4,r4,7364 + 213d0: 00231400 call 23140 usleep(10000); 213d4: 0109c404 movi r4,10000 - 213d8: 00302f00 call 302f0 + 213d8: 002f9cc0 call 2f9cc return Released; 213dc: e0bffe17 ldw r2,-8(fp) @@ -2885,52 +2870,52 @@ void mipi_clear_error(void){ MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error 21404: 01407fc4 movi r5,511 21408: 01001904 movi r4,100 - 2140c: 0021bb80 call 21bb8 + 2140c: 00219c80 call 219c8 MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error 21410: 000b883a mov r5,zero 21414: 01001a04 movi r4,104 - 21418: 0021bb80 call 21bb8 + 21418: 00219c80 call 219c8 MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error 2141c: 000b883a mov r5,zero 21420: 01002004 movi r4,128 - 21424: 0021bb80 call 21bb8 + 21424: 00219c80 call 219c8 MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error 21428: 000b883a mov r5,zero 2142c: 01002404 movi r4,144 - 21430: 0021bb80 call 21bb8 + 21430: 00219c80 call 219c8 MipiBridgeRegWrite(0x0082,0x00); 21434: 000b883a mov r5,zero 21438: 01002084 movi r4,130 - 2143c: 0021bb80 call 21bb8 + 2143c: 00219c80 call 219c8 MipiBridgeRegWrite(0x0084,0x00); 21440: 000b883a mov r5,zero 21444: 01002104 movi r4,132 - 21448: 0021bb80 call 21bb8 + 21448: 00219c80 call 219c8 MipiBridgeRegWrite(0x0086,0x00); 2144c: 000b883a mov r5,zero 21450: 01002184 movi r4,134 - 21454: 0021bb80 call 21bb8 + 21454: 00219c80 call 219c8 MipiBridgeRegWrite(0x0088,0x00); 21458: 000b883a mov r5,zero 2145c: 01002204 movi r4,136 - 21460: 0021bb80 call 21bb8 + 21460: 00219c80 call 219c8 MipiBridgeRegWrite(0x008A,0x00); 21464: 000b883a mov r5,zero 21468: 01002284 movi r4,138 - 2146c: 0021bb80 call 21bb8 + 2146c: 00219c80 call 219c8 MipiBridgeRegWrite(0x008C,0x00); 21470: 000b883a mov r5,zero 21474: 01002304 movi r4,140 - 21478: 0021bb80 call 21bb8 + 21478: 00219c80 call 219c8 MipiBridgeRegWrite(0x008E,0x00); 2147c: 000b883a mov r5,zero 21480: 01002384 movi r4,142 - 21484: 0021bb80 call 21bb8 + 21484: 00219c80 call 219c8 MipiBridgeRegWrite(0x0090,0x00); 21488: 000b883a mov r5,zero 2148c: 01002404 movi r4,144 - 21490: 0021bb80 call 21bb8 + 21490: 00219c80 call 219c8 } 21494: 0001883a nop 21498: e037883a mov sp,fp @@ -2951,23 +2936,23 @@ void mipi_show_error_info(void){ PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); 214bc: 01001884 movi r4,98 - 214c0: 0021c240 call 21c24 + 214c0: 0021a340 call 21a34 214c4: e0bffd0d sth r2,-12(fp) SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); 214c8: 01001904 movi r4,100 - 214cc: 0021c240 call 21c24 + 214cc: 0021a340 call 21a34 214d0: e0bffd8d sth r2,-10(fp) MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); 214d4: 01001a04 movi r4,104 - 214d8: 0021c240 call 21c24 + 214d8: 0021a340 call 21a34 214dc: e0bffe0d sth r2,-8(fp) FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); 214e0: 01002004 movi r4,128 - 214e4: 0021c240 call 21c24 + 214e4: 0021a340 call 21a34 214e8: e0bffe8d sth r2,-6(fp) MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); 214ec: 01002404 movi r4,144 - 214f0: 0021c240 call 21c24 + 214f0: 0021a340 call 21a34 214f4: e0bfff0d sth r2,-4(fp) printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); 214f8: e13ffd0b ldhu r4,-12(fp) @@ -2981,8 +2966,8 @@ void mipi_show_error_info(void){ 21518: 280d883a mov r6,r5 2151c: 200b883a mov r5,r4 21520: 010000f4 movhi r4,3 - 21524: 21098204 addi r4,r4,9736 - 21528: 00235600 call 23560 + 21524: 21073904 addi r4,r4,7396 + 21528: 00230240 call 23024 } 2152c: 0001883a nop 21530: e037883a mov sp,fp @@ -3000,100 +2985,100 @@ void mipi_show_error_info_more(void){ 21550: d839883a mov fp,sp printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); 21554: 01002004 movi r4,128 - 21558: 0021c240 call 21c24 + 21558: 0021a340 call 21a34 2155c: 10bfffcc andi r2,r2,65535 21560: 100b883a mov r5,r2 21564: 010000f4 movhi r4,3 - 21568: 21099604 addi r4,r4,9816 - 2156c: 00235600 call 23560 + 21568: 21074d04 addi r4,r4,7476 + 2156c: 00230240 call 23024 printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); 21570: 01002084 movi r4,130 - 21574: 0021c240 call 21c24 + 21574: 0021a340 call 21a34 21578: 10bfffcc andi r2,r2,65535 2157c: 100b883a mov r5,r2 21580: 010000f4 movhi r4,3 - 21584: 21099a04 addi r4,r4,9832 - 21588: 00235600 call 23560 + 21584: 21075104 addi r4,r4,7492 + 21588: 00230240 call 23024 printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); 2158c: 01002104 movi r4,132 - 21590: 0021c240 call 21c24 + 21590: 0021a340 call 21a34 21594: 10bfffcc andi r2,r2,65535 21598: 100b883a mov r5,r2 2159c: 010000f4 movhi r4,3 - 215a0: 21099e04 addi r4,r4,9848 - 215a4: 00235600 call 23560 + 215a0: 21075504 addi r4,r4,7508 + 215a4: 00230240 call 23024 printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); 215a8: 01002184 movi r4,134 - 215ac: 0021c240 call 21c24 + 215ac: 0021a340 call 21a34 215b0: 10bfffcc andi r2,r2,65535 215b4: 100b883a mov r5,r2 215b8: 010000f4 movhi r4,3 - 215bc: 2109a204 addi r4,r4,9864 - 215c0: 00235600 call 23560 + 215bc: 21075904 addi r4,r4,7524 + 215c0: 00230240 call 23024 printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); 215c4: 01002204 movi r4,136 - 215c8: 0021c240 call 21c24 + 215c8: 0021a340 call 21a34 215cc: 10bfffcc andi r2,r2,65535 215d0: 100b883a mov r5,r2 215d4: 010000f4 movhi r4,3 - 215d8: 2109a604 addi r4,r4,9880 - 215dc: 00235600 call 23560 + 215d8: 21075d04 addi r4,r4,7540 + 215dc: 00230240 call 23024 printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); 215e0: 01002284 movi r4,138 - 215e4: 0021c240 call 21c24 + 215e4: 0021a340 call 21a34 215e8: 10bfffcc andi r2,r2,65535 215ec: 100b883a mov r5,r2 215f0: 010000f4 movhi r4,3 - 215f4: 2109aa04 addi r4,r4,9896 - 215f8: 00235600 call 23560 + 215f4: 21076104 addi r4,r4,7556 + 215f8: 00230240 call 23024 printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); 215fc: 01002304 movi r4,140 - 21600: 0021c240 call 21c24 + 21600: 0021a340 call 21a34 21604: 10bfffcc andi r2,r2,65535 21608: 100b883a mov r5,r2 2160c: 010000f4 movhi r4,3 - 21610: 2109ae04 addi r4,r4,9912 - 21614: 00235600 call 23560 + 21610: 21076504 addi r4,r4,7572 + 21614: 00230240 call 23024 printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); 21618: 01002384 movi r4,142 - 2161c: 0021c240 call 21c24 + 2161c: 0021a340 call 21a34 21620: 10bfffcc andi r2,r2,65535 21624: 100b883a mov r5,r2 21628: 010000f4 movhi r4,3 - 2162c: 2109b204 addi r4,r4,9928 - 21630: 00235600 call 23560 + 2162c: 21076904 addi r4,r4,7588 + 21630: 00230240 call 23024 printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); 21634: 01002404 movi r4,144 - 21638: 0021c240 call 21c24 + 21638: 0021a340 call 21a34 2163c: 10bfffcc andi r2,r2,65535 21640: 100b883a mov r5,r2 21644: 010000f4 movhi r4,3 - 21648: 2109b604 addi r4,r4,9944 - 2164c: 00235600 call 23560 + 21648: 21076d04 addi r4,r4,7604 + 2164c: 00230240 call 23024 printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); 21650: 01003e04 movi r4,248 - 21654: 0021c240 call 21c24 + 21654: 0021a340 call 21a34 21658: 10bfffcc andi r2,r2,65535 2165c: 100b883a mov r5,r2 21660: 010000f4 movhi r4,3 - 21664: 2109ba04 addi r4,r4,9960 - 21668: 00235600 call 23560 + 21664: 21077104 addi r4,r4,7620 + 21668: 00230240 call 23024 printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); 2166c: 01001a84 movi r4,106 - 21670: 0021c240 call 21c24 + 21670: 0021a340 call 21a34 21674: 10bfffcc andi r2,r2,65535 21678: 100b883a mov r5,r2 2167c: 010000f4 movhi r4,3 - 21680: 2109bf04 addi r4,r4,9980 - 21684: 00235600 call 23560 + 21680: 21077604 addi r4,r4,7640 + 21684: 00230240 call 23024 printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); 21688: 01001b84 movi r4,110 - 2168c: 0021c240 call 21c24 + 2168c: 0021a340 call 21a34 21690: 10bfffcc andi r2,r2,65535 21694: 100b883a mov r5,r2 21698: 010000f4 movhi r4,3 - 2169c: 2109c404 addi r4,r4,10000 - 216a0: 00235600 call 23560 + 2169c: 21077b04 addi r4,r4,7660 + 216a0: 00230240 call 23024 } 216a4: 0001883a nop 216a8: e037883a mov sp,fp @@ -3104,12 +3089,16 @@ void mipi_show_error_info_more(void){ 000216bc : + + bool MIPI_Init(void){ 216bc: defffd04 addi sp,sp,-12 216c0: dfc00215 stw ra,8(sp) 216c4: df000115 stw fp,4(sp) 216c8: df000104 addi fp,sp,4 bool bSuccess; + + bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K 216cc: 018001b4 movhi r6,6 216d0: 3186a004 addi r6,r6,6784 @@ -3124,31 +3113,41 @@ bool MIPI_Init(void){ 216f0: 1000031e bne r2,zero,21700 printf("failed to init MIPI- Bridge i2c\r\n"); 216f4: 010000f4 movhi r4,3 - 216f8: 2109c804 addi r4,r4,10016 - 216fc: 002367c0 call 2367c + 216f8: 21077f04 addi r4,r4,7676 + 216fc: 00231400 call 23140 + usleep(50*1000); 21700: 0130d414 movui r4,50000 - 21704: 00302f00 call 302f0 + 21704: 002f9cc0 call 2f9cc MipiBridgeInit(); - 21708: 0021c9c0 call 21c9c + 21708: 0021aac0 call 21aac + usleep(500*1000); 2170c: 01000234 movhi r4,8 21710: 21284804 addi r4,r4,-24288 - 21714: 00302f00 call 302f0 + 21714: 002f9cc0 call 2f9cc + // bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K // if (!bSuccess) // printf("failed to init MIPI- Camera i2c\r\n"); + MipiCameraInit(); - 21718: 00224800 call 22480 + 21718: 002203c0 call 2203c MIPI_BIN_LEVEL(DEFAULT_LEVEL); - 2171c: 010000c4 movi r4,3 - 21720: 00222c00 call 222c0 + 2171c: 01000084 movi r4,2 + 21720: 0021e7c0 call 21e7c // OV8865_FOCUS_Move_to(340); + // oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! + + usleep(1000); 21724: 0100fa04 movi r4,1000 - 21728: 00302f00 call 302f0 + 21728: 002f9cc0 call 2f9cc + + // oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); + return bSuccess; 2172c: e0bfff17 ldw r2,-4(fp) } @@ -3160,20196 +3159,19460 @@ bool MIPI_Init(void){ 00021744

      : + + + int main() { - 21744: defff804 addi sp,sp,-32 - 21748: dfc00715 stw ra,28(sp) - 2174c: df000615 stw fp,24(sp) - 21750: df000604 addi fp,sp,24 - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - 21754: 01900004 movi r6,16384 - 21758: 01400104 movi r5,4 - 2175c: 0009883a mov r4,zero - 21760: 002f9040 call 2f904 - printf("DE10-LITE D8M VGA Demo\n"); + 21744: defffa04 addi sp,sp,-24 + 21748: dfc00515 stw ra,20(sp) + 2174c: df000415 stw fp,16(sp) + 21750: df000404 addi fp,sp,16 + int boundingBoxColour = 0; + 21754: e03ffc15 stw zero,-16(fp) + + + printf("DE10-LITE D8M VGA Demo\n"); + 21758: 010000f4 movhi r4,3 + 2175c: 21078804 addi r4,r4,7712 + 21760: 00231400 call 23140 + printf("Imperial College EEE2 Project version\n"); 21764: 010000f4 movhi r4,3 - 21768: 2109d104 addi r4,r4,10052 - 2176c: 002367c0 call 2367c - printf("Imperial College EEE2 Project version\n"); - 21770: 010000f4 movhi r4,3 - 21774: 2109d704 addi r4,r4,10076 - 21778: 002367c0 call 2367c - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - 2177c: 0007883a mov r3,zero - 21780: 00800134 movhi r2,4 - 21784: 10842004 addi r2,r2,4224 - 21788: 10c00035 stwio r3,0(r2) - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - 2178c: 0007883a mov r3,zero - 21790: 00800134 movhi r2,4 - 21794: 10842404 addi r2,r2,4240 - 21798: 10c00035 stwio r3,0(r2) - usleep(2000); - 2179c: 0101f404 movi r4,2000 - 217a0: 00302f00 call 302f0 - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - 217a4: 00c03fc4 movi r3,255 - 217a8: 00800134 movhi r2,4 - 217ac: 10842004 addi r2,r2,4224 - 217b0: 10c00035 stwio r3,0(r2) - usleep(2000); - 217b4: 0101f404 movi r4,2000 - 217b8: 00302f00 call 302f0 - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - 217bc: 00c03fc4 movi r3,255 + 21768: 21078e04 addi r4,r4,7736 + 2176c: 00231400 call 23140 + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + 21770: 0007883a mov r3,zero + 21774: 00800134 movhi r2,4 + 21778: 10842004 addi r2,r2,4224 + 2177c: 10c00035 stwio r3,0(r2) + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + 21780: 0007883a mov r3,zero + 21784: 00800134 movhi r2,4 + 21788: 10842404 addi r2,r2,4240 + 2178c: 10c00035 stwio r3,0(r2) + + usleep(2000); + 21790: 0101f404 movi r4,2000 + 21794: 002f9cc0 call 2f9cc + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + 21798: 00c03fc4 movi r3,255 + 2179c: 00800134 movhi r2,4 + 217a0: 10842004 addi r2,r2,4224 + 217a4: 10c00035 stwio r3,0(r2) + usleep(2000); + 217a8: 0101f404 movi r4,2000 + 217ac: 002f9cc0 call 2f9cc + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + 217b0: 00c03fc4 movi r3,255 + 217b4: 00800134 movhi r2,4 + 217b8: 10842404 addi r2,r2,4240 + 217bc: 10c00035 stwio r3,0(r2) + + printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); 217c0: 00800134 movhi r2,4 - 217c4: 10842404 addi r2,r2,4240 - 217c8: 10c00035 stwio r3,0(r2) - printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); - 217cc: 00800134 movhi r2,4 - 217d0: 10880204 addi r2,r2,8200 - 217d4: 10800037 ldwio r2,0(r2) - 217d8: 100b883a mov r5,r2 - 217dc: 010000f4 movhi r4,3 - 217e0: 2109e104 addi r4,r4,10116 - 217e4: 00235600 call 23560 - usleep(2000); - 217e8: 0101f404 movi r4,2000 - 217ec: 00302f00 call 302f0 + 217c4: 10880204 addi r2,r2,8200 + 217c8: 10800037 ldwio r2,0(r2) + 217cc: 100b883a mov r5,r2 + 217d0: 010000f4 movhi r4,3 + 217d4: 21079804 addi r4,r4,7776 + 217d8: 00230240 call 23024 + //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - // MIPI Init - if (!MIPI_Init()){ - 217f0: 00216bc0 call 216bc - 217f4: 1000041e bne r2,zero,21808 - printf("MIPI_Init Init failed!\r\n"); - 217f8: 010000f4 movhi r4,3 - 217fc: 2109e704 addi r4,r4,10140 - 21800: 002367c0 call 2367c - 21804: 00000306 br 21814 - }else{ - printf("MIPI_Init Init successfully!\r\n"); - 21808: 010000f4 movhi r4,3 - 2180c: 2109ed04 addi r4,r4,10164 - 21810: 002367c0 call 2367c - } - // while(1){ - mipi_clear_error(); + usleep(2000); + 217dc: 0101f404 movi r4,2000 + 217e0: 002f9cc0 call 2f9cc + + + // MIPI Init + if (!MIPI_Init()){ + 217e4: 00216bc0 call 216bc + 217e8: 1000041e bne r2,zero,217fc + printf("MIPI_Init Init failed!\r\n"); + 217ec: 010000f4 movhi r4,3 + 217f0: 21079e04 addi r4,r4,7800 + 217f4: 00231400 call 23140 + 217f8: 00000306 br 21808 + }else{ + printf("MIPI_Init Init successfully!\r\n"); + 217fc: 010000f4 movhi r4,3 + 21800: 2107a404 addi r4,r4,7824 + 21804: 00231400 call 23140 + } + +// while(1){ + mipi_clear_error(); + 21808: 00213f40 call 213f4 + usleep(50*1000); + 2180c: 0130d414 movui r4,50000 + 21810: 002f9cc0 call 2f9cc + mipi_clear_error(); 21814: 00213f40 call 213f4 - usleep(50*1000); - 21818: 0130d414 movui r4,50000 - 2181c: 00302f00 call 302f0 - mipi_clear_error(); - 21820: 00213f40 call 213f4 - usleep(1000*1000); - 21824: 010003f4 movhi r4,15 - 21828: 21109004 addi r4,r4,16960 - 2182c: 00302f00 call 302f0 - mipi_show_error_info(); - 21830: 00214ac0 call 214ac - // mipi_show_error_info_more(); - printf("\n"); - 21834: 01000284 movi r4,10 - 21838: 00235a40 call 235a4 - usleep(50*1000); - } -#endif + usleep(1000*1000); + 21818: 010003f4 movhi r4,15 + 2181c: 21109004 addi r4,r4,16960 + 21820: 002f9cc0 call 2f9cc + mipi_show_error_info(); + 21824: 00214ac0 call 214ac +// mipi_show_error_info_more(); + printf("\n"); + 21828: 01000284 movi r4,10 + 2182c: 00230680 call 23068 + + + ////////////////////////////////////////////////////////// alt_u16 bin_level = DEFAULT_LEVEL; - 2183c: 008000c4 movi r2,3 - 21840: e0bffa0d sth r2,-24(fp) + 21830: 00800084 movi r2,2 + 21834: e0bffd0d sth r2,-12(fp) alt_u8 manual_focus_step = 10; - 21844: 00800284 movi r2,10 - 21848: e0bffd85 stb r2,-10(fp) + 21838: 00800284 movi r2,10 + 2183c: e0bffd85 stb r2,-10(fp) alt_u16 current_focus = 300; - 2184c: 00804b04 movi r2,300 - 21850: e0bffa8d sth r2,-22(fp) - int boundingBoxColour = 0; - 21854: e03ffb15 stw zero,-20(fp) - alt_u32 exposureTime = EXPOSURE_INIT; - 21858: 00880004 movi r2,8192 - 2185c: e0bffc15 stw r2,-16(fp) - alt_u16 gain = GAIN_INIT; - 21860: 0083ffc4 movi r2,4095 - 21864: e0bffd0d sth r2,-12(fp) - - OV8865SetExposure(exposureTime); - 21868: e13ffc17 ldw r4,-16(fp) - 2186c: 00220580 call 22058 - OV8865SetGain(gain); - 21870: e0bffd0b ldhu r2,-12(fp) - 21874: 1009883a mov r4,r2 - 21878: 00221380 call 22138 + 21840: 00804b04 movi r2,300 + 21844: e0bffe0d sth r2,-8(fp) Focus_Init(); - 2187c: 002103c0 call 2103c + 21848: 002103c0 call 2103c while(1){ // touch KEY0 to trigger Auto focus if((IORD(KEY_BASE,0)&0x03) == 0x02){ - 21880: 00800134 movhi r2,4 - 21884: 10842804 addi r2,r2,4256 - 21888: 10800037 ldwio r2,0(r2) - 2188c: 108000cc andi r2,r2,3 - 21890: 10800098 cmpnei r2,r2,2 - 21894: 1000041e bne r2,zero,218a8 + 2184c: 00800134 movhi r2,4 + 21850: 10842804 addi r2,r2,4256 + 21854: 10800037 ldwio r2,0(r2) + 21858: 108000cc andi r2,r2,3 + 2185c: 10800098 cmpnei r2,r2,2 + 21860: 1000041e bne r2,zero,21874 current_focus = Focus_Window(320,240); - 21898: 01403c04 movi r5,240 - 2189c: 01005004 movi r4,320 - 218a0: 00211640 call 21164 - 218a4: e0bffa8d sth r2,-22(fp) + 21864: 01403c04 movi r5,240 + 21868: 01005004 movi r4,320 + 2186c: 00211640 call 21164 + 21870: e0bffe0d sth r2,-8(fp) } // touch KEY1 to ZOOM if((IORD(KEY_BASE,0)&0x03) == 0x01){ - 218a8: 00800134 movhi r2,4 - 218ac: 10842804 addi r2,r2,4256 - 218b0: 10800037 ldwio r2,0(r2) - 218b4: 108000cc andi r2,r2,3 - 218b8: 10800058 cmpnei r2,r2,1 - 218bc: 1000241e bne r2,zero,21950 + 21874: 00800134 movhi r2,4 + 21878: 10842804 addi r2,r2,4256 + 2187c: 10800037 ldwio r2,0(r2) + 21880: 108000cc andi r2,r2,3 + 21884: 10800058 cmpnei r2,r2,1 + 21888: 1000241e bne r2,zero,2191c if(bin_level == 3 )bin_level = 1; - 218c0: e0bffa0b ldhu r2,-24(fp) - 218c4: 108000d8 cmpnei r2,r2,3 - 218c8: 1000031e bne r2,zero,218d8 - 218cc: 00800044 movi r2,1 - 218d0: e0bffa0d sth r2,-24(fp) - 218d4: 00000306 br 218e4 + 2188c: e0bffd0b ldhu r2,-12(fp) + 21890: 108000d8 cmpnei r2,r2,3 + 21894: 1000031e bne r2,zero,218a4 + 21898: 00800044 movi r2,1 + 2189c: e0bffd0d sth r2,-12(fp) + 218a0: 00000306 br 218b0 else bin_level ++; - 218d8: e0bffa0b ldhu r2,-24(fp) - 218dc: 10800044 addi r2,r2,1 - 218e0: e0bffa0d sth r2,-24(fp) + 218a4: e0bffd0b ldhu r2,-12(fp) + 218a8: 10800044 addi r2,r2,1 + 218ac: e0bffd0d sth r2,-12(fp) printf("set bin level to %d\n",bin_level); - 218e4: e0bffa0b ldhu r2,-24(fp) - 218e8: 100b883a mov r5,r2 - 218ec: 010000f4 movhi r4,3 - 218f0: 2109f504 addi r4,r4,10196 - 218f4: 00235600 call 23560 + 218b0: e0bffd0b ldhu r2,-12(fp) + 218b4: 100b883a mov r5,r2 + 218b8: 010000f4 movhi r4,3 + 218bc: 2107ac04 addi r4,r4,7856 + 218c0: 00230240 call 23024 MIPI_BIN_LEVEL(bin_level); - 218f8: e0bffa0b ldhu r2,-24(fp) - 218fc: 10803fcc andi r2,r2,255 - 21900: 1009883a mov r4,r2 - 21904: 00222c00 call 222c0 + 218c4: e0bffd0b ldhu r2,-12(fp) + 218c8: 10803fcc andi r2,r2,255 + 218cc: 1009883a mov r4,r2 + 218d0: 0021e7c0 call 21e7c usleep(500000); - 21908: 01000234 movhi r4,8 - 2190c: 21284804 addi r4,r4,-24288 - 21910: 00302f00 call 302f0 + 218d4: 01000234 movhi r4,8 + 218d8: 21284804 addi r4,r4,-24288 + 218dc: 002f9cc0 call 2f9cc } #endif //Read messages from the image processor and print them on the terminal while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - 21914: 00000e06 br 21950 + 218e0: 00000e06 br 2191c int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - 21918: 00800134 movhi r2,4 - 2191c: 10880104 addi r2,r2,8196 - 21920: 10800037 ldwio r2,0(r2) - 21924: e0bfff15 stw r2,-4(fp) + 218e4: 00800134 movhi r2,4 + 218e8: 10880104 addi r2,r2,8196 + 218ec: 10800037 ldwio r2,0(r2) + 218f0: e0bfff15 stw r2,-4(fp) if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier - 21928: e0ffff17 ldw r3,-4(fp) - 2192c: 008014b4 movhi r2,82 - 21930: 10909084 addi r2,r2,16962 - 21934: 1880021e bne r3,r2,21940 + 218f4: e0ffff17 ldw r3,-4(fp) + 218f8: 008014b4 movhi r2,82 + 218fc: 10909084 addi r2,r2,16962 + 21900: 1880021e bne r3,r2,2190c printf("\n"); - 21938: 01000284 movi r4,10 - 2193c: 00235a40 call 235a4 + 21904: 01000284 movi r4,10 + 21908: 00230680 call 23068 } printf("%08x ",word); - 21940: e17fff17 ldw r5,-4(fp) - 21944: 010000f4 movhi r4,3 - 21948: 2109fb04 addi r4,r4,10220 - 2194c: 00235600 call 23560 + 2190c: e17fff17 ldw r5,-4(fp) + 21910: 010000f4 movhi r4,3 + 21914: 2107b204 addi r4,r4,7880 + 21918: 00230240 call 23024 } #endif //Read messages from the image processor and print them on the terminal while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - 21950: 00800134 movhi r2,4 - 21954: 10880004 addi r2,r2,8192 - 21958: 10800037 ldwio r2,0(r2) - 2195c: 1005d23a srai r2,r2,8 - 21960: 10803fcc andi r2,r2,255 - 21964: 103fec1e bne r2,zero,21918 + 2191c: 00800134 movhi r2,4 + 21920: 10880004 addi r2,r2,8192 + 21924: 10800037 ldwio r2,0(r2) + 21928: 1005d23a srai r2,r2,8 + 2192c: 10803fcc andi r2,r2,255 + 21930: 103fec1e bne r2,zero,218e4 <_gp+0xfffe53ac> } printf("%08x ",word); } //Update the bounding box colour - boundingBoxColour = ((boundingBoxColour + 1) & 0xff); - 21968: e0bffb17 ldw r2,-20(fp) - 2196c: 10800044 addi r2,r2,1 - 21970: 10803fcc andi r2,r2,255 - 21974: e0bffb15 stw r2,-20(fp) + boundingBoxColour = (++boundingBoxColour & 0xff); + 21934: e0bffc17 ldw r2,-16(fp) + 21938: 10800044 addi r2,r2,1 + 2193c: e0bffc15 stw r2,-16(fp) + 21940: e0bffc17 ldw r2,-16(fp) + 21944: 10803fcc andi r2,r2,255 + 21948: e0bffc15 stw r2,-16(fp) IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - 21978: e0bffb17 ldw r2,-20(fp) - 2197c: 1006923a slli r3,r2,8 - 21980: 01003fc4 movi r4,255 - 21984: e0bffb17 ldw r2,-20(fp) - 21988: 2085c83a sub r2,r4,r2 - 2198c: 1886b03a or r3,r3,r2 - 21990: 00800134 movhi r2,4 - 21994: 10880304 addi r2,r2,8204 - 21998: 10c00035 stwio r3,0(r2) + 2194c: e0bffc17 ldw r2,-16(fp) + 21950: 1006923a slli r3,r2,8 + 21954: 01003fc4 movi r4,255 + 21958: e0bffc17 ldw r2,-16(fp) + 2195c: 2085c83a sub r2,r4,r2 + 21960: 1886b03a or r3,r3,r2 + 21964: 00800134 movhi r2,4 + 21968: 10880304 addi r2,r2,8204 + 2196c: 10c00035 stwio r3,0(r2) - //Process input commands - int in = getchar(); - 2199c: 008000f4 movhi r2,3 - 219a0: 10939f04 addi r2,r2,20092 - 219a4: 10800017 ldw r2,0(r2) - 219a8: 10800117 ldw r2,4(r2) - 219ac: 1009883a mov r4,r2 - 219b0: 0022b4c0 call 22b4c - 219b4: e0bffe15 stw r2,-8(fp) - switch (in) { - 219b8: e0bffe17 ldw r2,-8(fp) - 219bc: 10bfe704 addi r2,r2,-100 - 219c0: 10c00468 cmpgeui r3,r2,17 - 219c4: 1800661e bne r3,zero,21b60 - 219c8: 100690ba slli r3,r2,2 - 219cc: 008000b4 movhi r2,2 - 219d0: 10867804 addi r2,r2,6624 - 219d4: 1885883a add r2,r3,r2 - 219d8: 10800017 ldw r2,0(r2) - 219dc: 1000683a jmp r2 - 219e0: 00021a4c andi zero,zero,2153 - 219e4: 00021a24 muli zero,zero,2152 - 219e8: 00021b1c xori zero,zero,2156 - 219ec: 00021aa4 muli zero,zero,2154 - 219f0: 00021b60 cmpeqi zero,zero,2157 - 219f4: 00021b60 cmpeqi zero,zero,2157 - 219f8: 00021b60 cmpeqi zero,zero,2157 - 219fc: 00021b60 cmpeqi zero,zero,2157 - 21a00: 00021b60 cmpeqi zero,zero,2157 - 21a04: 00021b60 cmpeqi zero,zero,2157 - 21a08: 00021b60 cmpeqi zero,zero,2157 - 21a0c: 00021b60 cmpeqi zero,zero,2157 - 21a10: 00021b60 cmpeqi zero,zero,2157 - 21a14: 00021b60 cmpeqi zero,zero,2157 - 21a18: 00021ad4 movui zero,2155 - 21a1c: 00021b60 cmpeqi zero,zero,2157 - 21a20: 00021a74 movhi zero,2153 - case 'e': { - exposureTime += EXPOSURE_STEP; - 21a24: e0bffc17 ldw r2,-16(fp) - 21a28: 10804004 addi r2,r2,256 - 21a2c: e0bffc15 stw r2,-16(fp) - OV8865SetExposure(exposureTime); - 21a30: e13ffc17 ldw r4,-16(fp) - 21a34: 00220580 call 22058 - printf("\nExposure = %x ", exposureTime); - 21a38: e17ffc17 ldw r5,-16(fp) - 21a3c: 010000f4 movhi r4,3 - 21a40: 2109fd04 addi r4,r4,10228 - 21a44: 00235600 call 23560 - break;} - 21a48: 00004506 br 21b60 - case 'd': { - exposureTime -= EXPOSURE_STEP; - 21a4c: e0bffc17 ldw r2,-16(fp) - 21a50: 10bfc004 addi r2,r2,-256 - 21a54: e0bffc15 stw r2,-16(fp) - OV8865SetExposure(exposureTime); - 21a58: e13ffc17 ldw r4,-16(fp) - 21a5c: 00220580 call 22058 - printf("\nExposure = %x ", exposureTime); - 21a60: e17ffc17 ldw r5,-16(fp) - 21a64: 010000f4 movhi r4,3 - 21a68: 2109fd04 addi r4,r4,10228 - 21a6c: 00235600 call 23560 - break;} - 21a70: 00003b06 br 21b60 - case 't': { - gain += GAIN_STEP; - 21a74: e0bffd0b ldhu r2,-12(fp) - 21a78: 1083ffc4 addi r2,r2,4095 - 21a7c: e0bffd0d sth r2,-12(fp) - OV8865SetGain(gain); - 21a80: e0bffd0b ldhu r2,-12(fp) - 21a84: 1009883a mov r4,r2 - 21a88: 00221380 call 22138 - printf("\nGain = %x ", gain); - 21a8c: e0bffd0b ldhu r2,-12(fp) - 21a90: 100b883a mov r5,r2 - 21a94: 010000f4 movhi r4,3 - 21a98: 210a0104 addi r4,r4,10244 - 21a9c: 00235600 call 23560 - break;} - 21aa0: 00002f06 br 21b60 - case 'g': { - gain -= GAIN_STEP; - 21aa4: e0bffd0b ldhu r2,-12(fp) - 21aa8: 10bc0044 addi r2,r2,-4095 - 21aac: e0bffd0d sth r2,-12(fp) - OV8865SetGain(gain); - 21ab0: e0bffd0b ldhu r2,-12(fp) - 21ab4: 1009883a mov r4,r2 - 21ab8: 00221380 call 22138 - printf("\nGain = %x ", gain); - 21abc: e0bffd0b ldhu r2,-12(fp) - 21ac0: 100b883a mov r5,r2 - 21ac4: 010000f4 movhi r4,3 - 21ac8: 210a0104 addi r4,r4,10244 - 21acc: 00235600 call 23560 - break;} - 21ad0: 00002306 br 21b60 - case 'r': { - current_focus += manual_focus_step; - 21ad4: e0fffd83 ldbu r3,-10(fp) - 21ad8: e0bffa8b ldhu r2,-22(fp) - 21adc: 1885883a add r2,r3,r2 - 21ae0: e0bffa8d sth r2,-22(fp) - if(current_focus >1023) current_focus = 1023; - 21ae4: e0bffa8b ldhu r2,-22(fp) - 21ae8: 10810030 cmpltui r2,r2,1024 - 21aec: 1000021e bne r2,zero,21af8 - 21af0: 0080ffc4 movi r2,1023 - 21af4: e0bffa8d sth r2,-22(fp) - OV8865_FOCUS_Move_to(current_focus); - 21af8: e0bffa8b ldhu r2,-22(fp) - 21afc: 1009883a mov r4,r2 - 21b00: 0021f880 call 21f88 - printf("\nFocus = %x ",current_focus); - 21b04: e0bffa8b ldhu r2,-22(fp) - 21b08: 100b883a mov r5,r2 - 21b0c: 010000f4 movhi r4,3 - 21b10: 210a0404 addi r4,r4,10256 - 21b14: 00235600 call 23560 - break;} - 21b18: 00001106 br 21b60 - case 'f': { - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - 21b1c: e0bffd83 ldbu r2,-10(fp) - 21b20: 10ffffcc andi r3,r2,65535 - 21b24: e0bffa8b ldhu r2,-22(fp) - 21b28: 1880042e bgeu r3,r2,21b3c - 21b2c: e0bffd83 ldbu r2,-10(fp) - 21b30: e0fffa8b ldhu r3,-22(fp) - 21b34: 1885c83a sub r2,r3,r2 - 21b38: e0bffa8d sth r2,-22(fp) - OV8865_FOCUS_Move_to(current_focus); - 21b3c: e0bffa8b ldhu r2,-22(fp) - 21b40: 1009883a mov r4,r2 - 21b44: 0021f880 call 21f88 - printf("\nFocus = %x ",current_focus); - 21b48: e0bffa8b ldhu r2,-22(fp) - 21b4c: 100b883a mov r5,r2 - 21b50: 010000f4 movhi r4,3 - 21b54: 210a0404 addi r4,r4,10256 - 21b58: 00235600 call 23560 - break;} - 21b5c: 0001883a nop - } //Main loop delay usleep(10000); - 21b60: 0109c404 movi r4,10000 - 21b64: 00302f00 call 302f0 + 21970: 0109c404 movi r4,10000 + 21974: 002f9cc0 call 2f9cc }; - 21b68: 003f4506 br 21880 + 21978: 003fb406 br 2184c <_gp+0xfffe5314> -00021b6c : +0002197c : {0x0004,0x8047} // Configuration Control Register }; alt_u16 nSWAP16(alt_u16 x){ - 21b6c: defffd04 addi sp,sp,-12 - 21b70: df000215 stw fp,8(sp) - 21b74: df000204 addi fp,sp,8 - 21b78: 2005883a mov r2,r4 - 21b7c: e0bfff0d sth r2,-4(fp) + 2197c: defffd04 addi sp,sp,-12 + 21980: df000215 stw fp,8(sp) + 21984: df000204 addi fp,sp,8 + 21988: 2005883a mov r2,r4 + 2198c: e0bfff0d sth r2,-4(fp) alt_u16 y; //y = (((x) >> 8) & 0xff) | (((x) & 0xff) << 8); // y = x; y = (x >> 8) & 0x00ff; - 21b80: e0bfff0b ldhu r2,-4(fp) - 21b84: 1004d23a srli r2,r2,8 - 21b88: e0bffe0d sth r2,-8(fp) + 21990: e0bfff0b ldhu r2,-4(fp) + 21994: 1004d23a srli r2,r2,8 + 21998: e0bffe0d sth r2,-8(fp) y |= (x << 8) & 0xff00; - 21b8c: e0bfff0b ldhu r2,-4(fp) - 21b90: 1004923a slli r2,r2,8 - 21b94: 1007883a mov r3,r2 - 21b98: e0bffe0b ldhu r2,-8(fp) - 21b9c: 1884b03a or r2,r3,r2 - 21ba0: e0bffe0d sth r2,-8(fp) + 2199c: e0bfff0b ldhu r2,-4(fp) + 219a0: 1004923a slli r2,r2,8 + 219a4: 1007883a mov r3,r2 + 219a8: e0bffe0b ldhu r2,-8(fp) + 219ac: 1884b03a or r2,r3,r2 + 219b0: e0bffe0d sth r2,-8(fp) return y; - 21ba4: e0bffe0b ldhu r2,-8(fp) + 219b4: e0bffe0b ldhu r2,-8(fp) } - 21ba8: e037883a mov sp,fp - 21bac: df000017 ldw fp,0(sp) - 21bb0: dec00104 addi sp,sp,4 - 21bb4: f800283a ret + 219b8: e037883a mov sp,fp + 219bc: df000017 ldw fp,0(sp) + 219c0: dec00104 addi sp,sp,4 + 219c4: f800283a ret -00021bb8 : +000219c8 : void MipiBridgeRegWrite(alt_u16 Addr, alt_u16 Value){ - 21bb8: defffa04 addi sp,sp,-24 - 21bbc: dfc00515 stw ra,20(sp) - 21bc0: df000415 stw fp,16(sp) - 21bc4: df000404 addi fp,sp,16 - 21bc8: 2007883a mov r3,r4 - 21bcc: 2805883a mov r2,r5 - 21bd0: e0fffe0d sth r3,-8(fp) - 21bd4: e0bfff0d sth r2,-4(fp) + 219c8: defffa04 addi sp,sp,-24 + 219cc: dfc00515 stw ra,20(sp) + 219d0: df000415 stw fp,16(sp) + 219d4: df000404 addi fp,sp,16 + 219d8: 2007883a mov r3,r4 + 219dc: 2805883a mov r2,r5 + 219e0: e0fffe0d sth r3,-8(fp) + 219e4: e0bfff0d sth r2,-4(fp) const alt_u8 device_address = MIPI_BRIDGE_I2C_ADDR; - 21bd8: 00800704 movi r2,28 - 21bdc: e0bffd05 stb r2,-12(fp) + 219e8: 00800704 movi r2,28 + 219ec: e0bffd05 stb r2,-12(fp) OC_I2CL_Write(I2C_OPENCORES_MIPI_BASE, device_address, Addr, (alt_u8 *)&Value, sizeof(Value)); - 21be0: e0fffd03 ldbu r3,-12(fp) - 21be4: e13ffe0b ldhu r4,-8(fp) - 21be8: e17fff04 addi r5,fp,-4 - 21bec: 00800084 movi r2,2 - 21bf0: d8800015 stw r2,0(sp) - 21bf4: 280f883a mov r7,r5 - 21bf8: 200d883a mov r6,r4 - 21bfc: 180b883a mov r5,r3 - 21c00: 01000134 movhi r4,4 - 21c04: 21041804 addi r4,r4,4192 - 21c08: 0020bac0 call 20bac + 219f0: e0fffd03 ldbu r3,-12(fp) + 219f4: e13ffe0b ldhu r4,-8(fp) + 219f8: e17fff04 addi r5,fp,-4 + 219fc: 00800084 movi r2,2 + 21a00: d8800015 stw r2,0(sp) + 21a04: 280f883a mov r7,r5 + 21a08: 200d883a mov r6,r4 + 21a0c: 180b883a mov r5,r3 + 21a10: 01000134 movhi r4,4 + 21a14: 21041804 addi r4,r4,4192 + 21a18: 0020bac0 call 20bac } - 21c0c: 0001883a nop - 21c10: e037883a mov sp,fp - 21c14: dfc00117 ldw ra,4(sp) - 21c18: df000017 ldw fp,0(sp) - 21c1c: dec00204 addi sp,sp,8 - 21c20: f800283a ret + 21a1c: 0001883a nop + 21a20: e037883a mov sp,fp + 21a24: dfc00117 ldw ra,4(sp) + 21a28: df000017 ldw fp,0(sp) + 21a2c: dec00204 addi sp,sp,8 + 21a30: f800283a ret -00021c24 : +00021a34 : alt_u16 MipiBridgeRegRead(alt_u16 Addr){ - 21c24: defffa04 addi sp,sp,-24 - 21c28: dfc00515 stw ra,20(sp) - 21c2c: df000415 stw fp,16(sp) - 21c30: df000404 addi fp,sp,16 - 21c34: 2005883a mov r2,r4 - 21c38: e0bfff0d sth r2,-4(fp) + 21a34: defffa04 addi sp,sp,-24 + 21a38: dfc00515 stw ra,20(sp) + 21a3c: df000415 stw fp,16(sp) + 21a40: df000404 addi fp,sp,16 + 21a44: 2005883a mov r2,r4 + 21a48: e0bfff0d sth r2,-4(fp) alt_u16 Value,tValue; const alt_u8 device_address = MIPI_BRIDGE_I2C_ADDR; - 21c3c: 00800704 movi r2,28 - 21c40: e0bffd05 stb r2,-12(fp) + 21a4c: 00800704 movi r2,28 + 21a50: e0bffd05 stb r2,-12(fp) OC_I2CL_Read(I2C_OPENCORES_MIPI_BASE,device_address, Addr,(alt_u8 *)&Value,sizeof(Value)); - 21c44: e0fffd03 ldbu r3,-12(fp) - 21c48: e13fff0b ldhu r4,-4(fp) - 21c4c: e17ffe04 addi r5,fp,-8 - 21c50: 00800084 movi r2,2 - 21c54: d8800015 stw r2,0(sp) - 21c58: 280f883a mov r7,r5 - 21c5c: 200d883a mov r6,r4 - 21c60: 180b883a mov r5,r3 - 21c64: 01000134 movhi r4,4 - 21c68: 21041804 addi r4,r4,4192 - 21c6c: 0020dbc0 call 20dbc + 21a54: e0fffd03 ldbu r3,-12(fp) + 21a58: e13fff0b ldhu r4,-4(fp) + 21a5c: e17ffe04 addi r5,fp,-8 + 21a60: 00800084 movi r2,2 + 21a64: d8800015 stw r2,0(sp) + 21a68: 280f883a mov r7,r5 + 21a6c: 200d883a mov r6,r4 + 21a70: 180b883a mov r5,r3 + 21a74: 01000134 movhi r4,4 + 21a78: 21041804 addi r4,r4,4192 + 21a7c: 0020dbc0 call 20dbc tValue = nSWAP16(Value); - 21c70: e0bffe0b ldhu r2,-8(fp) - 21c74: 10bfffcc andi r2,r2,65535 - 21c78: 1009883a mov r4,r2 - 21c7c: 0021b6c0 call 21b6c - 21c80: e0bffd8d sth r2,-10(fp) + 21a80: e0bffe0b ldhu r2,-8(fp) + 21a84: 10bfffcc andi r2,r2,65535 + 21a88: 1009883a mov r4,r2 + 21a8c: 002197c0 call 2197c + 21a90: e0bffd8d sth r2,-10(fp) return (tValue); - 21c84: e0bffd8b ldhu r2,-10(fp) + 21a94: e0bffd8b ldhu r2,-10(fp) } - 21c88: e037883a mov sp,fp - 21c8c: dfc00117 ldw ra,4(sp) - 21c90: df000017 ldw fp,0(sp) - 21c94: dec00204 addi sp,sp,8 - 21c98: f800283a ret + 21a98: e037883a mov sp,fp + 21a9c: dfc00117 ldw ra,4(sp) + 21aa0: df000017 ldw fp,0(sp) + 21aa4: dec00204 addi sp,sp,8 + 21aa8: f800283a ret -00021c9c : +00021aac : void MipiBridgeInit(void){ - 21c9c: defffb04 addi sp,sp,-20 - 21ca0: dfc00415 stw ra,16(sp) - 21ca4: df000315 stw fp,12(sp) - 21ca8: df000304 addi fp,sp,12 + 21aac: defffb04 addi sp,sp,-20 + 21ab0: dfc00415 stw ra,16(sp) + 21ab4: df000315 stw fp,12(sp) + 21ab8: df000304 addi fp,sp,12 alt_u16 data; int i, num; printf("\nStart MipiBridgeInit!\n"); - 21cac: 010000f4 movhi r4,3 - 21cb0: 210a0804 addi r4,r4,10272 - 21cb4: 002367c0 call 2367c + 21abc: 010000f4 movhi r4,3 + 21ac0: 2107b404 addi r4,r4,7888 + 21ac4: 00231400 call 23140 data = MipiBridgeRegRead(0x0000); // read chip and revision id; - 21cb8: 0009883a mov r4,zero - 21cbc: 0021c240 call 21c24 - 21cc0: e0bffe0d sth r2,-8(fp) + 21ac8: 0009883a mov r4,zero + 21acc: 0021a340 call 21a34 + 21ad0: e0bffe0d sth r2,-8(fp) printf("Chip and Revision ID is 0x%04xh(expected: 0x4401);\n",data); - 21cc4: e0bffe0b ldhu r2,-8(fp) - 21cc8: 100b883a mov r5,r2 - 21ccc: 010000f4 movhi r4,3 - 21cd0: 210a0e04 addi r4,r4,10296 - 21cd4: 00235600 call 23560 + 21ad4: e0bffe0b ldhu r2,-8(fp) + 21ad8: 100b883a mov r5,r2 + 21adc: 010000f4 movhi r4,3 + 21ae0: 2107ba04 addi r4,r4,7912 + 21ae4: 00230240 call 23024 num = sizeof(MipiBridgeReg)/sizeof(MipiBridgeReg[0]); - 21cd8: 00800344 movi r2,13 - 21cdc: e0bfff15 stw r2,-4(fp) + 21ae8: 00800344 movi r2,13 + 21aec: e0bfff15 stw r2,-4(fp) for(i=0;i + 21af0: e03ffd15 stw zero,-12(fp) + 21af4: 00002d06 br 21bac if (MipiBridgeReg[i].Addr == 0xFFFF) usleep(MipiBridgeReg[i].Data*1000); - 21ce8: 008000f4 movhi r2,3 - 21cec: 108b0604 addi r2,r2,11288 - 21cf0: e0fffd17 ldw r3,-12(fp) - 21cf4: 18c7883a add r3,r3,r3 - 21cf8: 18c7883a add r3,r3,r3 - 21cfc: 10c5883a add r2,r2,r3 - 21d00: 1080000b ldhu r2,0(r2) - 21d04: 10ffffcc andi r3,r2,65535 - 21d08: 00bfffd4 movui r2,65535 - 21d0c: 18800d1e bne r3,r2,21d44 - 21d10: 008000f4 movhi r2,3 - 21d14: 108b0604 addi r2,r2,11288 - 21d18: e0fffd17 ldw r3,-12(fp) - 21d1c: 18c7883a add r3,r3,r3 - 21d20: 18c7883a add r3,r3,r3 - 21d24: 10c5883a add r2,r2,r3 - 21d28: 10800084 addi r2,r2,2 - 21d2c: 1080000b ldhu r2,0(r2) - 21d30: 10bfffcc andi r2,r2,65535 - 21d34: 1080fa24 muli r2,r2,1000 - 21d38: 1009883a mov r4,r2 - 21d3c: 00302f00 call 302f0 - 21d40: 00001306 br 21d90 + 21af8: 008000f4 movhi r2,3 + 21afc: 1088b904 addi r2,r2,8932 + 21b00: e0fffd17 ldw r3,-12(fp) + 21b04: 18c7883a add r3,r3,r3 + 21b08: 18c7883a add r3,r3,r3 + 21b0c: 10c5883a add r2,r2,r3 + 21b10: 1080000b ldhu r2,0(r2) + 21b14: 10ffffcc andi r3,r2,65535 + 21b18: 00bfffd4 movui r2,65535 + 21b1c: 18800d1e bne r3,r2,21b54 + 21b20: 008000f4 movhi r2,3 + 21b24: 1088b904 addi r2,r2,8932 + 21b28: e0fffd17 ldw r3,-12(fp) + 21b2c: 18c7883a add r3,r3,r3 + 21b30: 18c7883a add r3,r3,r3 + 21b34: 10c5883a add r2,r2,r3 + 21b38: 10800084 addi r2,r2,2 + 21b3c: 1080000b ldhu r2,0(r2) + 21b40: 10bfffcc andi r2,r2,65535 + 21b44: 1080fa24 muli r2,r2,1000 + 21b48: 1009883a mov r4,r2 + 21b4c: 002f9cc0 call 2f9cc + 21b50: 00001306 br 21ba0 else MipiBridgeRegWrite(MipiBridgeReg[i].Addr, MipiBridgeReg[i].Data); - 21d44: 008000f4 movhi r2,3 - 21d48: 108b0604 addi r2,r2,11288 - 21d4c: e0fffd17 ldw r3,-12(fp) - 21d50: 18c7883a add r3,r3,r3 - 21d54: 18c7883a add r3,r3,r3 - 21d58: 10c5883a add r2,r2,r3 - 21d5c: 1080000b ldhu r2,0(r2) - 21d60: 113fffcc andi r4,r2,65535 - 21d64: 008000f4 movhi r2,3 - 21d68: 108b0604 addi r2,r2,11288 - 21d6c: e0fffd17 ldw r3,-12(fp) - 21d70: 18c7883a add r3,r3,r3 - 21d74: 18c7883a add r3,r3,r3 - 21d78: 10c5883a add r2,r2,r3 - 21d7c: 10800084 addi r2,r2,2 - 21d80: 1080000b ldhu r2,0(r2) - 21d84: 10bfffcc andi r2,r2,65535 - 21d88: 100b883a mov r5,r2 - 21d8c: 0021bb80 call 21bb8 + 21b54: 008000f4 movhi r2,3 + 21b58: 1088b904 addi r2,r2,8932 + 21b5c: e0fffd17 ldw r3,-12(fp) + 21b60: 18c7883a add r3,r3,r3 + 21b64: 18c7883a add r3,r3,r3 + 21b68: 10c5883a add r2,r2,r3 + 21b6c: 1080000b ldhu r2,0(r2) + 21b70: 113fffcc andi r4,r2,65535 + 21b74: 008000f4 movhi r2,3 + 21b78: 1088b904 addi r2,r2,8932 + 21b7c: e0fffd17 ldw r3,-12(fp) + 21b80: 18c7883a add r3,r3,r3 + 21b84: 18c7883a add r3,r3,r3 + 21b88: 10c5883a add r2,r2,r3 + 21b8c: 10800084 addi r2,r2,2 + 21b90: 1080000b ldhu r2,0(r2) + 21b94: 10bfffcc andi r2,r2,65535 + 21b98: 100b883a mov r5,r2 + 21b9c: 00219c80 call 219c8 printf("Chip and Revision ID is 0x%04xh(expected: 0x4401);\n",data); num = sizeof(MipiBridgeReg)/sizeof(MipiBridgeReg[0]); for(i=0;i + 21ba0: e0bffd17 ldw r2,-12(fp) + 21ba4: 10800044 addi r2,r2,1 + 21ba8: e0bffd15 stw r2,-12(fp) + 21bac: e0fffd17 ldw r3,-12(fp) + 21bb0: e0bfff17 ldw r2,-4(fp) + 21bb4: 18bfd016 blt r3,r2,21af8 <_gp+0xfffe55c0> // MipiBridgeRegWrite(0x005A,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // MipiBridgeRegWrite(0x005C,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // MipiBridgeRegWrite(0x005E,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // printf("End MipiBridgeInit!\n\n"); - 21da8: 010000f4 movhi r4,3 - 21dac: 210a1b04 addi r4,r4,10348 - 21db0: 002367c0 call 2367c + 21bb8: 010000f4 movhi r4,3 + 21bbc: 2107c704 addi r4,r4,7964 + 21bc0: 00231400 call 23140 } - 21db4: 0001883a nop - 21db8: e037883a mov sp,fp - 21dbc: dfc00117 ldw ra,4(sp) - 21dc0: df000017 ldw fp,0(sp) - 21dc4: dec00204 addi sp,sp,8 - 21dc8: f800283a ret + 21bc4: 0001883a nop + 21bc8: e037883a mov sp,fp + 21bcc: dfc00117 ldw ra,4(sp) + 21bd0: df000017 ldw fp,0(sp) + 21bd4: dec00204 addi sp,sp,8 + 21bd8: f800283a ret -00021dcc : +00021bdc : }; alt_u8 OV8865_read_cmos_sensor_8(alt_u16 Addr){ - 21dcc: defffb04 addi sp,sp,-20 - 21dd0: dfc00415 stw ra,16(sp) - 21dd4: df000315 stw fp,12(sp) - 21dd8: df000304 addi fp,sp,12 - 21ddc: 2005883a mov r2,r4 - 21de0: e0bfff0d sth r2,-4(fp) + 21bdc: defffb04 addi sp,sp,-20 + 21be0: dfc00415 stw ra,16(sp) + 21be4: df000315 stw fp,12(sp) + 21be8: df000304 addi fp,sp,12 + 21bec: 2005883a mov r2,r4 + 21bf0: e0bfff0d sth r2,-4(fp) const alt_u8 device_address = MIPI_I2C_ADDR; - 21de4: 00801b04 movi r2,108 - 21de8: e0bffe05 stb r2,-8(fp) + 21bf4: 00801b04 movi r2,108 + 21bf8: e0bffe05 stb r2,-8(fp) alt_u8 Value; //OC_I2CL_Write(I2C_OPENCORES_CAMERA_BASE, device_address, SWAP16(Addr), (alt_u8 *)&Value, sizeof(Value)); OC_I2CL_Read(I2C_OPENCORES_CAMERA_BASE, device_address, Addr, (alt_u8 *)&Value, sizeof(Value)); - 21dec: e0fffe03 ldbu r3,-8(fp) - 21df0: e13fff0b ldhu r4,-4(fp) - 21df4: e17ffe44 addi r5,fp,-7 - 21df8: 00800044 movi r2,1 - 21dfc: d8800015 stw r2,0(sp) - 21e00: 280f883a mov r7,r5 - 21e04: 200d883a mov r6,r4 - 21e08: 180b883a mov r5,r3 - 21e0c: 01000134 movhi r4,4 - 21e10: 21041004 addi r4,r4,4160 - 21e14: 0020dbc0 call 20dbc + 21bfc: e0fffe03 ldbu r3,-8(fp) + 21c00: e13fff0b ldhu r4,-4(fp) + 21c04: e17ffe44 addi r5,fp,-7 + 21c08: 00800044 movi r2,1 + 21c0c: d8800015 stw r2,0(sp) + 21c10: 280f883a mov r7,r5 + 21c14: 200d883a mov r6,r4 + 21c18: 180b883a mov r5,r3 + 21c1c: 01000134 movhi r4,4 + 21c20: 21041004 addi r4,r4,4160 + 21c24: 0020dbc0 call 20dbc return (Value); - 21e18: e0bffe43 ldbu r2,-7(fp) + 21c28: e0bffe43 ldbu r2,-7(fp) } - 21e1c: e037883a mov sp,fp - 21e20: dfc00117 ldw ra,4(sp) - 21e24: df000017 ldw fp,0(sp) - 21e28: dec00204 addi sp,sp,8 - 21e2c: f800283a ret + 21c2c: e037883a mov sp,fp + 21c30: dfc00117 ldw ra,4(sp) + 21c34: df000017 ldw fp,0(sp) + 21c38: dec00204 addi sp,sp,8 + 21c3c: f800283a ret -00021e30 : +00021c40 : void OV8865_write_cmos_sensor_8(alt_u16 Addr, alt_u8 Value){ - 21e30: defffa04 addi sp,sp,-24 - 21e34: dfc00515 stw ra,20(sp) - 21e38: df000415 stw fp,16(sp) - 21e3c: df000404 addi fp,sp,16 - 21e40: 2007883a mov r3,r4 - 21e44: 2805883a mov r2,r5 - 21e48: e0fffe0d sth r3,-8(fp) - 21e4c: e0bfff05 stb r2,-4(fp) + 21c40: defffa04 addi sp,sp,-24 + 21c44: dfc00515 stw ra,20(sp) + 21c48: df000415 stw fp,16(sp) + 21c4c: df000404 addi fp,sp,16 + 21c50: 2007883a mov r3,r4 + 21c54: 2805883a mov r2,r5 + 21c58: e0fffe0d sth r3,-8(fp) + 21c5c: e0bfff05 stb r2,-4(fp) const alt_u8 device_address = MIPI_I2C_ADDR; - 21e50: 00801b04 movi r2,108 - 21e54: e0bffd05 stb r2,-12(fp) + 21c60: 00801b04 movi r2,108 + 21c64: e0bffd05 stb r2,-12(fp) //OC_I2CL_Write(I2C_OPENCORES_CAMERA_BASE, device_address, SWAP16(Addr), (alt_u8 *)&Value, sizeof(Value)); OC_I2CL_Write(I2C_OPENCORES_CAMERA_BASE, device_address, Addr, (alt_u8 *)&Value, sizeof(Value)); - 21e58: e0fffd03 ldbu r3,-12(fp) - 21e5c: e13ffe0b ldhu r4,-8(fp) - 21e60: e17fff04 addi r5,fp,-4 - 21e64: 00800044 movi r2,1 - 21e68: d8800015 stw r2,0(sp) - 21e6c: 280f883a mov r7,r5 - 21e70: 200d883a mov r6,r4 - 21e74: 180b883a mov r5,r3 - 21e78: 01000134 movhi r4,4 - 21e7c: 21041004 addi r4,r4,4160 - 21e80: 0020bac0 call 20bac + 21c68: e0fffd03 ldbu r3,-12(fp) + 21c6c: e13ffe0b ldhu r4,-8(fp) + 21c70: e17fff04 addi r5,fp,-4 + 21c74: 00800044 movi r2,1 + 21c78: d8800015 stw r2,0(sp) + 21c7c: 280f883a mov r7,r5 + 21c80: 200d883a mov r6,r4 + 21c84: 180b883a mov r5,r3 + 21c88: 01000134 movhi r4,4 + 21c8c: 21041004 addi r4,r4,4160 + 21c90: 0020bac0 call 20bac } - 21e84: 0001883a nop - 21e88: e037883a mov sp,fp - 21e8c: dfc00117 ldw ra,4(sp) - 21e90: df000017 ldw fp,0(sp) - 21e94: dec00204 addi sp,sp,8 - 21e98: f800283a ret + 21c94: 0001883a nop + 21c98: e037883a mov sp,fp + 21c9c: dfc00117 ldw ra,4(sp) + 21ca0: df000017 ldw fp,0(sp) + 21ca4: dec00204 addi sp,sp,8 + 21ca8: f800283a ret -00021e9c : +00021cac : void OV8865_write_AF(alt_u8 msb, alt_u8 lsb){ - 21e9c: defffa04 addi sp,sp,-24 - 21ea0: dfc00515 stw ra,20(sp) - 21ea4: df000415 stw fp,16(sp) - 21ea8: df000404 addi fp,sp,16 - 21eac: 2007883a mov r3,r4 - 21eb0: 2805883a mov r2,r5 - 21eb4: e0fffe05 stb r3,-8(fp) - 21eb8: e0bfff05 stb r2,-4(fp) + 21cac: defffa04 addi sp,sp,-24 + 21cb0: dfc00515 stw ra,20(sp) + 21cb4: df000415 stw fp,16(sp) + 21cb8: df000404 addi fp,sp,16 + 21cbc: 2007883a mov r3,r4 + 21cc0: 2805883a mov r2,r5 + 21cc4: e0fffe05 stb r3,-8(fp) + 21cc8: e0bfff05 stb r2,-4(fp) // VCM149C const alt_u8 device_address = MIPI_AF_I2C_ADDR; - 21ebc: 00800604 movi r2,24 - 21ec0: e0bffd05 stb r2,-12(fp) + 21ccc: 00800604 movi r2,24 + 21cd0: e0bffd05 stb r2,-12(fp) OC_I2C_Write(I2C_OPENCORES_CAMERA_BASE, device_address, msb, (alt_u8 *)&lsb, sizeof(lsb)); - 21ec4: e0fffd03 ldbu r3,-12(fp) - 21ec8: e13ffe03 ldbu r4,-8(fp) - 21ecc: e17fff04 addi r5,fp,-4 - 21ed0: 00800044 movi r2,1 - 21ed4: d8800015 stw r2,0(sp) - 21ed8: 280f883a mov r7,r5 - 21edc: 200d883a mov r6,r4 - 21ee0: 180b883a mov r5,r3 - 21ee4: 01000134 movhi r4,4 - 21ee8: 21041004 addi r4,r4,4160 - 21eec: 00206440 call 20644 + 21cd4: e0fffd03 ldbu r3,-12(fp) + 21cd8: e13ffe03 ldbu r4,-8(fp) + 21cdc: e17fff04 addi r5,fp,-4 + 21ce0: 00800044 movi r2,1 + 21ce4: d8800015 stw r2,0(sp) + 21ce8: 280f883a mov r7,r5 + 21cec: 200d883a mov r6,r4 + 21cf0: 180b883a mov r5,r3 + 21cf4: 01000134 movhi r4,4 + 21cf8: 21041004 addi r4,r4,4160 + 21cfc: 00206440 call 20644 } - 21ef0: 0001883a nop - 21ef4: e037883a mov sp,fp - 21ef8: dfc00117 ldw ra,4(sp) - 21efc: df000017 ldw fp,0(sp) - 21f00: dec00204 addi sp,sp,8 - 21f04: f800283a ret + 21d00: 0001883a nop + 21d04: e037883a mov sp,fp + 21d08: dfc00117 ldw ra,4(sp) + 21d0c: df000017 ldw fp,0(sp) + 21d10: dec00204 addi sp,sp,8 + 21d14: f800283a ret -00021f08 : +00021d18 : void OV8865_read_AF(void){ - 21f08: defffb04 addi sp,sp,-20 - 21f0c: dfc00415 stw ra,16(sp) - 21f10: df000315 stw fp,12(sp) - 21f14: df000304 addi fp,sp,12 + 21d18: defffb04 addi sp,sp,-20 + 21d1c: dfc00415 stw ra,16(sp) + 21d20: df000315 stw fp,12(sp) + 21d24: df000304 addi fp,sp,12 // VCM149C const alt_u8 device_address = MIPI_AF_I2C_ADDR; - 21f18: 00800604 movi r2,24 - 21f1c: e0bffd05 stb r2,-12(fp) + 21d28: 00800604 movi r2,24 + 21d2c: e0bffd05 stb r2,-12(fp) alt_u8 szData8[2]; bool bSuccess; bSuccess = OC_I2C_Read_Continue(I2C_OPENCORES_CAMERA_BASE, device_address, szData8, sizeof(szData8)); - 21f20: e0bffd03 ldbu r2,-12(fp) - 21f24: e0ffff04 addi r3,fp,-4 - 21f28: 01c00084 movi r7,2 - 21f2c: 180d883a mov r6,r3 - 21f30: 100b883a mov r5,r2 - 21f34: 01000134 movhi r4,4 - 21f38: 21041004 addi r4,r4,4160 - 21f3c: 0020a740 call 20a74 - 21f40: e0bffe15 stw r2,-8(fp) + 21d30: e0bffd03 ldbu r2,-12(fp) + 21d34: e0ffff04 addi r3,fp,-4 + 21d38: 01c00084 movi r7,2 + 21d3c: 180d883a mov r6,r3 + 21d40: 100b883a mov r5,r2 + 21d44: 01000134 movhi r4,4 + 21d48: 21041004 addi r4,r4,4160 + 21d4c: 0020a740 call 20a74 + 21d50: e0bffe15 stw r2,-8(fp) if (bSuccess) - 21f44: e0bffe17 ldw r2,-8(fp) - 21f48: 10000926 beq r2,zero,21f70 + 21d54: e0bffe17 ldw r2,-8(fp) + 21d58: 10000926 beq r2,zero,21d80 printf("Read MSB=%xh, LSB=%xh\r\n", szData8[0], szData8[1]); - 21f4c: e0bfff03 ldbu r2,-4(fp) - 21f50: 10803fcc andi r2,r2,255 - 21f54: e0ffff43 ldbu r3,-3(fp) - 21f58: 18c03fcc andi r3,r3,255 - 21f5c: 180d883a mov r6,r3 - 21f60: 100b883a mov r5,r2 - 21f64: 010000f4 movhi r4,3 - 21f68: 210a2104 addi r4,r4,10372 - 21f6c: 00235600 call 23560 + 21d5c: e0bfff03 ldbu r2,-4(fp) + 21d60: 10803fcc andi r2,r2,255 + 21d64: e0ffff43 ldbu r3,-3(fp) + 21d68: 18c03fcc andi r3,r3,255 + 21d6c: 180d883a mov r6,r3 + 21d70: 100b883a mov r5,r2 + 21d74: 010000f4 movhi r4,3 + 21d78: 2107cd04 addi r4,r4,7988 + 21d7c: 00230240 call 23024 } - 21f70: 0001883a nop - 21f74: e037883a mov sp,fp - 21f78: dfc00117 ldw ra,4(sp) - 21f7c: df000017 ldw fp,0(sp) - 21f80: dec00204 addi sp,sp,8 - 21f84: f800283a ret + 21d80: 0001883a nop + 21d84: e037883a mov sp,fp + 21d88: dfc00117 ldw ra,4(sp) + 21d8c: df000017 ldw fp,0(sp) + 21d90: dec00204 addi sp,sp,8 + 21d94: f800283a ret -00021f88 : +00021d98 : void OV8865_FOCUS_Move_to(alt_u16 a_u2MovePosition) { - 21f88: defffb04 addi sp,sp,-20 - 21f8c: dfc00415 stw ra,16(sp) - 21f90: df000315 stw fp,12(sp) - 21f94: df000304 addi fp,sp,12 - 21f98: 2005883a mov r2,r4 - 21f9c: e0bfff0d sth r2,-4(fp) + 21d98: defffb04 addi sp,sp,-20 + 21d9c: dfc00415 stw ra,16(sp) + 21da0: df000315 stw fp,12(sp) + 21da4: df000304 addi fp,sp,12 + 21da8: 2005883a mov r2,r4 + 21dac: e0bfff0d sth r2,-4(fp) if (a_u2MovePosition > 1023) {a_u2MovePosition = 1023;} - 21fa0: e0bfff0b ldhu r2,-4(fp) - 21fa4: 10810030 cmpltui r2,r2,1024 - 21fa8: 1000021e bne r2,zero,21fb4 - 21fac: 0080ffc4 movi r2,1023 - 21fb0: e0bfff0d sth r2,-4(fp) + 21db0: e0bfff0b ldhu r2,-4(fp) + 21db4: 10810030 cmpltui r2,r2,1024 + 21db8: 1000021e bne r2,zero,21dc4 + 21dbc: 0080ffc4 movi r2,1023 + 21dc0: e0bfff0d sth r2,-4(fp) if (a_u2MovePosition < 0) {a_u2MovePosition = 0;} int bSuccess; Focus_Released(); // waiting for VCM release I2C bus - 21fb4: 00213440 call 21344 + 21dc4: 00213440 call 21344 bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 21fb8: 018001b4 movhi r6,6 - 21fbc: 3186a004 addi r6,r6,6784 - 21fc0: 0140bef4 movhi r5,763 - 21fc4: 297c2004 addi r5,r5,-3968 - 21fc8: 01000134 movhi r4,4 - 21fcc: 21041004 addi r4,r4,4160 - 21fd0: 00203980 call 20398 - 21fd4: e0bffd15 stw r2,-12(fp) + 21dc8: 018001b4 movhi r6,6 + 21dcc: 3186a004 addi r6,r6,6784 + 21dd0: 0140bef4 movhi r5,763 + 21dd4: 297c2004 addi r5,r5,-3968 + 21dd8: 01000134 movhi r4,4 + 21ddc: 21041004 addi r4,r4,4160 + 21de0: 00203980 call 20398 + 21de4: e0bffd15 stw r2,-12(fp) if (!bSuccess) - 21fd8: e0bffd17 ldw r2,-12(fp) - 21fdc: 1000031e bne r2,zero,21fec + 21de8: e0bffd17 ldw r2,-12(fp) + 21dec: 1000031e bne r2,zero,21dfc printf("failed to init MIPI- Camera i2c\r\n"); - 21fe0: 010000f4 movhi r4,3 - 21fe4: 210a2704 addi r4,r4,10396 - 21fe8: 002367c0 call 2367c + 21df0: 010000f4 movhi r4,3 + 21df4: 2107d304 addi r4,r4,8012 + 21df8: 00231400 call 23140 - //printf("Manual set focus to %d\r\n",a_u2MovePosition); + printf("Manual set focus to %d\r\n",a_u2MovePosition); + 21dfc: e0bfff0b ldhu r2,-4(fp) + 21e00: 100b883a mov r5,r2 + 21e04: 010000f4 movhi r4,3 + 21e08: 2107dc04 addi r4,r4,8048 + 21e0c: 00230240 call 23024 alt_u8 msb,lsb; msb = (a_u2MovePosition >> 4)&0x00FF; - 21fec: e0bfff0b ldhu r2,-4(fp) - 21ff0: 1004d13a srli r2,r2,4 - 21ff4: e0bffe05 stb r2,-8(fp) + 21e10: e0bfff0b ldhu r2,-4(fp) + 21e14: 1004d13a srli r2,r2,4 + 21e18: e0bffe05 stb r2,-8(fp) lsb = (a_u2MovePosition << 4 )&0x00F0; - 21ff8: e0bfff0b ldhu r2,-4(fp) - 21ffc: 1004913a slli r2,r2,4 - 22000: e0bffe45 stb r2,-7(fp) + 21e1c: e0bfff0b ldhu r2,-4(fp) + 21e20: 1004913a slli r2,r2,4 + 21e24: e0bffe45 stb r2,-7(fp) lsb += 0x06; - 22004: e0bffe43 ldbu r2,-7(fp) - 22008: 10800184 addi r2,r2,6 - 2200c: e0bffe45 stb r2,-7(fp) + 21e28: e0bffe43 ldbu r2,-7(fp) + 21e2c: 10800184 addi r2,r2,6 + 21e30: e0bffe45 stb r2,-7(fp) // printf("Write MSB=%xh, LSB=%xh\r\n", msb, lsb); OV8865_write_AF(msb, lsb+0x6); - 22010: e0fffe03 ldbu r3,-8(fp) - 22014: e0bffe43 ldbu r2,-7(fp) - 22018: 10800184 addi r2,r2,6 - 2201c: 10803fcc andi r2,r2,255 - 22020: 100b883a mov r5,r2 - 22024: 1809883a mov r4,r3 - 22028: 0021e9c0 call 21e9c + 21e34: e0fffe03 ldbu r3,-8(fp) + 21e38: e0bffe43 ldbu r2,-7(fp) + 21e3c: 10800184 addi r2,r2,6 + 21e40: 10803fcc andi r2,r2,255 + 21e44: 100b883a mov r5,r2 + 21e48: 1809883a mov r4,r3 + 21e4c: 0021cac0 call 21cac usleep(1000); - 2202c: 0100fa04 movi r4,1000 - 22030: 00302f00 call 302f0 + 21e50: 0100fa04 movi r4,1000 + 21e54: 002f9cc0 call 2f9cc // OV8865_read_AF(); oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - 22034: 01000134 movhi r4,4 - 22038: 21041004 addi r4,r4,4160 - 2203c: 00204d40 call 204d4 + 21e58: 01000134 movhi r4,4 + 21e5c: 21041004 addi r4,r4,4160 + 21e60: 00204d40 call 204d4 } - 22040: 0001883a nop - 22044: e037883a mov sp,fp - 22048: dfc00117 ldw ra,4(sp) - 2204c: df000017 ldw fp,0(sp) - 22050: dec00204 addi sp,sp,8 - 22054: f800283a ret + 21e64: 0001883a nop + 21e68: e037883a mov sp,fp + 21e6c: dfc00117 ldw ra,4(sp) + 21e70: df000017 ldw fp,0(sp) + 21e74: dec00204 addi sp,sp,8 + 21e78: f800283a ret -00022058 : - -void OV8865SetExposure(alt_u32 exposure){ - 22058: defffc04 addi sp,sp,-16 - 2205c: dfc00315 stw ra,12(sp) - 22060: df000215 stw fp,8(sp) - 22064: df000204 addi fp,sp,8 - 22068: e13fff15 stw r4,-4(fp) - - Focus_Released(); // waiting for VCM release I2C bus - 2206c: 00213440 call 21344 - - int bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 22070: 018001b4 movhi r6,6 - 22074: 3186a004 addi r6,r6,6784 - 22078: 0140bef4 movhi r5,763 - 2207c: 297c2004 addi r5,r5,-3968 - 22080: 01000134 movhi r4,4 - 22084: 21041004 addi r4,r4,4160 - 22088: 00203980 call 20398 - 2208c: e0bffe15 stw r2,-8(fp) - if (!bSuccess) - 22090: e0bffe17 ldw r2,-8(fp) - 22094: 1000031e bne r2,zero,220a4 - printf("failed to init MIPI- Camera i2c\r\n"); - 22098: 010000f4 movhi r4,3 - 2209c: 210a2704 addi r4,r4,10396 - 220a0: 002367c0 call 2367c - - if (exposure > 0xFFFFF) exposure = 0xFFFFF; - 220a4: e0ffff17 ldw r3,-4(fp) - 220a8: 00800434 movhi r2,16 - 220ac: 18800336 bltu r3,r2,220bc - 220b0: 00800434 movhi r2,16 - 220b4: 10bfffc4 addi r2,r2,-1 - 220b8: e0bfff15 stw r2,-4(fp) - if (exposure < 0x20) exposure = 0x20; - 220bc: e0bfff17 ldw r2,-4(fp) - 220c0: 10800828 cmpgeui r2,r2,32 - 220c4: 1000021e bne r2,zero,220d0 - 220c8: 00800804 movi r2,32 - 220cc: e0bfff15 stw r2,-4(fp) - - OV8865_write_cmos_sensor_8(0x3500, (exposure >> 16) & 0x0F); - 220d0: e0bfff17 ldw r2,-4(fp) - 220d4: 1004d43a srli r2,r2,16 - 220d8: 108003cc andi r2,r2,15 - 220dc: 100b883a mov r5,r2 - 220e0: 010d4004 movi r4,13568 - 220e4: 0021e300 call 21e30 - OV8865_write_cmos_sensor_8(0x3501, (exposure >> 8) & 0xFF); - 220e8: e0bfff17 ldw r2,-4(fp) - 220ec: 1004d23a srli r2,r2,8 - 220f0: 10803fcc andi r2,r2,255 - 220f4: 100b883a mov r5,r2 - 220f8: 010d4044 movi r4,13569 - 220fc: 0021e300 call 21e30 - OV8865_write_cmos_sensor_8(0x3502, exposure & 0xFF); - 22100: e0bfff17 ldw r2,-4(fp) - 22104: 10803fcc andi r2,r2,255 - 22108: 100b883a mov r5,r2 - 2210c: 010d4084 movi r4,13570 - 22110: 0021e300 call 21e30 - - - oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); - 22114: 01000134 movhi r4,4 - 22118: 21041004 addi r4,r4,4160 - 2211c: 00204d40 call 204d4 -} - 22120: 0001883a nop - 22124: e037883a mov sp,fp - 22128: dfc00117 ldw ra,4(sp) - 2212c: df000017 ldw fp,0(sp) - 22130: dec00204 addi sp,sp,8 - 22134: f800283a ret - -00022138 : - -void OV8865SetGain(alt_u16 gain){ - 22138: defffc04 addi sp,sp,-16 - 2213c: dfc00315 stw ra,12(sp) - 22140: df000215 stw fp,8(sp) - 22144: df000204 addi fp,sp,8 - 22148: 2005883a mov r2,r4 - 2214c: e0bfff0d sth r2,-4(fp) - - Focus_Released(); // waiting for VCM release I2C bus - 22150: 00213440 call 21344 - - int bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 22154: 018001b4 movhi r6,6 - 22158: 3186a004 addi r6,r6,6784 - 2215c: 0140bef4 movhi r5,763 - 22160: 297c2004 addi r5,r5,-3968 - 22164: 01000134 movhi r4,4 - 22168: 21041004 addi r4,r4,4160 - 2216c: 00203980 call 20398 - 22170: e0bffe15 stw r2,-8(fp) - if (!bSuccess) - 22174: e0bffe17 ldw r2,-8(fp) - 22178: 1000031e bne r2,zero,22188 - printf("failed to init MIPI- Camera i2c\r\n"); - 2217c: 010000f4 movhi r4,3 - 22180: 210a2704 addi r4,r4,10396 - 22184: 002367c0 call 2367c - - if (gain > 0x7FF) gain = 0x7FF; - 22188: e0bfff0b ldhu r2,-4(fp) - 2218c: 10820030 cmpltui r2,r2,2048 - 22190: 1000021e bne r2,zero,2219c - 22194: 0081ffc4 movi r2,2047 - 22198: e0bfff0d sth r2,-4(fp) - if (gain < 0x080) gain = 0x080; - 2219c: e0bfff0b ldhu r2,-4(fp) - 221a0: 10802028 cmpgeui r2,r2,128 - 221a4: 1000021e bne r2,zero,221b0 - 221a8: 00802004 movi r2,128 - 221ac: e0bfff0d sth r2,-4(fp) - - OV8865_write_cmos_sensor_8(0x3508, (gain >> 8) & 0x0F); - 221b0: e0bfff0b ldhu r2,-4(fp) - 221b4: 1004d23a srli r2,r2,8 - 221b8: 10803fcc andi r2,r2,255 - 221bc: 108003cc andi r2,r2,15 - 221c0: 100b883a mov r5,r2 - 221c4: 010d4204 movi r4,13576 - 221c8: 0021e300 call 21e30 - OV8865_write_cmos_sensor_8(0x3509, gain & 0xFF); - 221cc: e0bfff0b ldhu r2,-4(fp) - 221d0: 10803fcc andi r2,r2,255 - 221d4: 100b883a mov r5,r2 - 221d8: 010d4244 movi r4,13577 - 221dc: 0021e300 call 21e30 - - - oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); - 221e0: 01000134 movhi r4,4 - 221e4: 21041004 addi r4,r4,4160 - 221e8: 00204d40 call 204d4 -} - 221ec: 0001883a nop - 221f0: e037883a mov sp,fp - 221f4: dfc00117 ldw ra,4(sp) - 221f8: df000017 ldw fp,0(sp) - 221fc: dec00204 addi sp,sp,8 - 22200: f800283a ret - -00022204 : - -alt_u32 OV8865ReadExposure(){ - 22204: defffb04 addi sp,sp,-20 - 22208: dfc00415 stw ra,16(sp) - 2220c: df000315 stw fp,12(sp) - 22210: dc000215 stw r16,8(sp) - 22214: df000304 addi fp,sp,12 - - alt_u32 exposure; - - Focus_Released(); // waiting for VCM release I2C bus - 22218: 00213440 call 21344 - - int bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 2221c: 018001b4 movhi r6,6 - 22220: 3186a004 addi r6,r6,6784 - 22224: 0140bef4 movhi r5,763 - 22228: 297c2004 addi r5,r5,-3968 - 2222c: 01000134 movhi r4,4 - 22230: 21041004 addi r4,r4,4160 - 22234: 00203980 call 20398 - 22238: e0bffd15 stw r2,-12(fp) - if (!bSuccess) - 2223c: e0bffd17 ldw r2,-12(fp) - 22240: 1000031e bne r2,zero,22250 - printf("failed to init MIPI- Camera i2c\r\n"); - 22244: 010000f4 movhi r4,3 - 22248: 210a2704 addi r4,r4,10396 - 2224c: 002367c0 call 2367c - - exposure = OV8865_read_cmos_sensor_8(0x3500); - 22250: 010d4004 movi r4,13568 - 22254: 0021dcc0 call 21dcc - 22258: 10803fcc andi r2,r2,255 - 2225c: e0bffe15 stw r2,-8(fp) - exposure = (exposure <<8) | OV8865_read_cmos_sensor_8(0x3501); - 22260: e0bffe17 ldw r2,-8(fp) - 22264: 1020923a slli r16,r2,8 - 22268: 010d4044 movi r4,13569 - 2226c: 0021dcc0 call 21dcc - 22270: 10803fcc andi r2,r2,255 - 22274: 8084b03a or r2,r16,r2 - 22278: e0bffe15 stw r2,-8(fp) - exposure = (exposure <<8) | OV8865_read_cmos_sensor_8(0x3502); - 2227c: e0bffe17 ldw r2,-8(fp) - 22280: 1020923a slli r16,r2,8 - 22284: 010d4084 movi r4,13570 - 22288: 0021dcc0 call 21dcc - 2228c: 10803fcc andi r2,r2,255 - 22290: 8084b03a or r2,r16,r2 - 22294: e0bffe15 stw r2,-8(fp) - - oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); - 22298: 01000134 movhi r4,4 - 2229c: 21041004 addi r4,r4,4160 - 222a0: 00204d40 call 204d4 - - return exposure; - 222a4: e0bffe17 ldw r2,-8(fp) -} - 222a8: e6ffff04 addi sp,fp,-4 - 222ac: dfc00217 ldw ra,8(sp) - 222b0: df000117 ldw fp,4(sp) - 222b4: dc000017 ldw r16,0(sp) - 222b8: dec00304 addi sp,sp,12 - 222bc: f800283a ret - -000222c0 : +00021e7c : //ZOOM void MIPI_BIN_LEVEL(alt_u8 level){ - 222c0: defffc04 addi sp,sp,-16 - 222c4: dfc00315 stw ra,12(sp) - 222c8: df000215 stw fp,8(sp) - 222cc: df000204 addi fp,sp,8 - 222d0: 2005883a mov r2,r4 - 222d4: e0bfff05 stb r2,-4(fp) + 21e7c: defffc04 addi sp,sp,-16 + 21e80: dfc00315 stw ra,12(sp) + 21e84: df000215 stw fp,8(sp) + 21e88: df000204 addi fp,sp,8 + 21e8c: 2005883a mov r2,r4 + 21e90: e0bfff05 stb r2,-4(fp) if(level <= 1) level = 1; - 222d8: e0bfff03 ldbu r2,-4(fp) - 222dc: 108000a8 cmpgeui r2,r2,2 - 222e0: 1000021e bne r2,zero,222ec - 222e4: 00800044 movi r2,1 - 222e8: e0bfff05 stb r2,-4(fp) + 21e94: e0bfff03 ldbu r2,-4(fp) + 21e98: 108000a8 cmpgeui r2,r2,2 + 21e9c: 1000021e bne r2,zero,21ea8 + 21ea0: 00800044 movi r2,1 + 21ea4: e0bfff05 stb r2,-4(fp) if(level >= 3) level = 3; - 222ec: e0bfff03 ldbu r2,-4(fp) - 222f0: 108000f0 cmpltui r2,r2,3 - 222f4: 1000021e bne r2,zero,22300 - 222f8: 008000c4 movi r2,3 - 222fc: e0bfff05 stb r2,-4(fp) + 21ea8: e0bfff03 ldbu r2,-4(fp) + 21eac: 108000f0 cmpltui r2,r2,3 + 21eb0: 1000021e bne r2,zero,21ebc + 21eb4: 008000c4 movi r2,3 + 21eb8: e0bfff05 stb r2,-4(fp) Focus_Released(); // waiting for VCM release I2C bus - 22300: 00213440 call 21344 + 21ebc: 00213440 call 21344 int bSuccess; bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 22304: 018001b4 movhi r6,6 - 22308: 3186a004 addi r6,r6,6784 - 2230c: 0140bef4 movhi r5,763 - 22310: 297c2004 addi r5,r5,-3968 - 22314: 01000134 movhi r4,4 - 22318: 21041004 addi r4,r4,4160 - 2231c: 00203980 call 20398 - 22320: e0bffe15 stw r2,-8(fp) + 21ec0: 018001b4 movhi r6,6 + 21ec4: 3186a004 addi r6,r6,6784 + 21ec8: 0140bef4 movhi r5,763 + 21ecc: 297c2004 addi r5,r5,-3968 + 21ed0: 01000134 movhi r4,4 + 21ed4: 21041004 addi r4,r4,4160 + 21ed8: 00203980 call 20398 + 21edc: e0bffe15 stw r2,-8(fp) if (!bSuccess) - 22324: e0bffe17 ldw r2,-8(fp) - 22328: 1000031e bne r2,zero,22338 + 21ee0: e0bffe17 ldw r2,-8(fp) + 21ee4: 1000031e bne r2,zero,21ef4 printf("failed to init MIPI- Camera i2c\r\n"); - 2232c: 010000f4 movhi r4,3 - 22330: 210a2704 addi r4,r4,10396 - 22334: 002367c0 call 2367c + 21ee8: 010000f4 movhi r4,3 + 21eec: 2107d304 addi r4,r4,8012 + 21ef0: 00231400 call 23140 OV8865_write_cmos_sensor_8(0x0100, 0x00); - 22338: 000b883a mov r5,zero - 2233c: 01004004 movi r4,256 - 22340: 0021e300 call 21e30 + 21ef4: 000b883a mov r5,zero + 21ef8: 01004004 movi r4,256 + 21efc: 0021c400 call 21c40 if(level == 1){ - 22344: e0bfff03 ldbu r2,-4(fp) - 22348: 10800058 cmpnei r2,r2,1 - 2234c: 1000131e bne r2,zero,2239c + 21f00: e0bfff03 ldbu r2,-4(fp) + 21f04: 10800058 cmpnei r2,r2,1 + 21f08: 1000131e bne r2,zero,21f58 OV8865_write_cmos_sensor_8(0x3814, 0x01); - 22350: 01400044 movi r5,1 - 22354: 010e0504 movi r4,14356 - 22358: 0021e300 call 21e30 + 21f0c: 01400044 movi r5,1 + 21f10: 010e0504 movi r4,14356 + 21f14: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3815, 0x01); - 2235c: 01400044 movi r5,1 - 22360: 010e0544 movi r4,14357 - 22364: 0021e300 call 21e30 + 21f18: 01400044 movi r5,1 + 21f1c: 010e0544 movi r4,14357 + 21f20: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x382a, 0x01); - 22368: 01400044 movi r5,1 - 2236c: 010e0a84 movi r4,14378 - 22370: 0021e300 call 21e30 + 21f24: 01400044 movi r5,1 + 21f28: 010e0a84 movi r4,14378 + 21f2c: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x382b, 0x01); - 22374: 01400044 movi r5,1 - 22378: 010e0ac4 movi r4,14379 - 2237c: 0021e300 call 21e30 + 21f30: 01400044 movi r5,1 + 21f34: 010e0ac4 movi r4,14379 + 21f38: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3830, 8); - 22380: 01400204 movi r5,8 - 22384: 010e0c04 movi r4,14384 - 22388: 0021e300 call 21e30 + 21f3c: 01400204 movi r5,8 + 21f40: 010e0c04 movi r4,14384 + 21f44: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3836, 2); - 2238c: 01400084 movi r5,2 - 22390: 010e0d84 movi r4,14390 - 22394: 0021e300 call 21e30 - 22398: 00002b06 br 22448 + 21f48: 01400084 movi r5,2 + 21f4c: 010e0d84 movi r4,14390 + 21f50: 0021c400 call 21c40 + 21f54: 00002b06 br 22004 } else if(level == 2){ - 2239c: e0bfff03 ldbu r2,-4(fp) - 223a0: 10800098 cmpnei r2,r2,2 - 223a4: 1000131e bne r2,zero,223f4 + 21f58: e0bfff03 ldbu r2,-4(fp) + 21f5c: 10800098 cmpnei r2,r2,2 + 21f60: 1000131e bne r2,zero,21fb0 OV8865_write_cmos_sensor_8(0x3814, 0x03); - 223a8: 014000c4 movi r5,3 - 223ac: 010e0504 movi r4,14356 - 223b0: 0021e300 call 21e30 + 21f64: 014000c4 movi r5,3 + 21f68: 010e0504 movi r4,14356 + 21f6c: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3815, 0x01); - 223b4: 01400044 movi r5,1 - 223b8: 010e0544 movi r4,14357 - 223bc: 0021e300 call 21e30 + 21f70: 01400044 movi r5,1 + 21f74: 010e0544 movi r4,14357 + 21f78: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x382a, 0x03); - 223c0: 014000c4 movi r5,3 - 223c4: 010e0a84 movi r4,14378 - 223c8: 0021e300 call 21e30 + 21f7c: 014000c4 movi r5,3 + 21f80: 010e0a84 movi r4,14378 + 21f84: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x382b, 0x01); - 223cc: 01400044 movi r5,1 - 223d0: 010e0ac4 movi r4,14379 - 223d4: 0021e300 call 21e30 + 21f88: 01400044 movi r5,1 + 21f8c: 010e0ac4 movi r4,14379 + 21f90: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3830, 4); - 223d8: 01400104 movi r5,4 - 223dc: 010e0c04 movi r4,14384 - 223e0: 0021e300 call 21e30 + 21f94: 01400104 movi r5,4 + 21f98: 010e0c04 movi r4,14384 + 21f9c: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3836, 1); - 223e4: 01400044 movi r5,1 - 223e8: 010e0d84 movi r4,14390 - 223ec: 0021e300 call 21e30 - 223f0: 00001506 br 22448 + 21fa0: 01400044 movi r5,1 + 21fa4: 010e0d84 movi r4,14390 + 21fa8: 0021c400 call 21c40 + 21fac: 00001506 br 22004 } else if(level == 3){ - 223f4: e0bfff03 ldbu r2,-4(fp) - 223f8: 108000d8 cmpnei r2,r2,3 - 223fc: 1000121e bne r2,zero,22448 + 21fb0: e0bfff03 ldbu r2,-4(fp) + 21fb4: 108000d8 cmpnei r2,r2,3 + 21fb8: 1000121e bne r2,zero,22004 OV8865_write_cmos_sensor_8(0x3814, 0x07); - 22400: 014001c4 movi r5,7 - 22404: 010e0504 movi r4,14356 - 22408: 0021e300 call 21e30 + 21fbc: 014001c4 movi r5,7 + 21fc0: 010e0504 movi r4,14356 + 21fc4: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3815, 0x01); - 2240c: 01400044 movi r5,1 - 22410: 010e0544 movi r4,14357 - 22414: 0021e300 call 21e30 + 21fc8: 01400044 movi r5,1 + 21fcc: 010e0544 movi r4,14357 + 21fd0: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x382a, 0x07); - 22418: 014001c4 movi r5,7 - 2241c: 010e0a84 movi r4,14378 - 22420: 0021e300 call 21e30 + 21fd4: 014001c4 movi r5,7 + 21fd8: 010e0a84 movi r4,14378 + 21fdc: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x382b, 0x01); - 22424: 01400044 movi r5,1 - 22428: 010e0ac4 movi r4,14379 - 2242c: 0021e300 call 21e30 + 21fe0: 01400044 movi r5,1 + 21fe4: 010e0ac4 movi r4,14379 + 21fe8: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3830, 8); - 22430: 01400204 movi r5,8 - 22434: 010e0c04 movi r4,14384 - 22438: 0021e300 call 21e30 + 21fec: 01400204 movi r5,8 + 21ff0: 010e0c04 movi r4,14384 + 21ff4: 0021c400 call 21c40 OV8865_write_cmos_sensor_8(0x3836, 2); - 2243c: 01400084 movi r5,2 - 22440: 010e0d84 movi r4,14390 - 22444: 0021e300 call 21e30 + 21ff8: 01400084 movi r5,2 + 21ffc: 010e0d84 movi r4,14390 + 22000: 0021c400 call 21c40 } usleep(10000); - 22448: 0109c404 movi r4,10000 - 2244c: 00302f00 call 302f0 + 22004: 0109c404 movi r4,10000 + 22008: 002f9cc0 call 2f9cc OV8865_write_cmos_sensor_8(0x0100, 0x01); - 22450: 01400044 movi r5,1 - 22454: 01004004 movi r4,256 - 22458: 0021e300 call 21e30 + 2200c: 01400044 movi r5,1 + 22010: 01004004 movi r4,256 + 22014: 0021c400 call 21c40 oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - 2245c: 01000134 movhi r4,4 - 22460: 21041004 addi r4,r4,4160 - 22464: 00204d40 call 204d4 + 22018: 01000134 movhi r4,4 + 2201c: 21041004 addi r4,r4,4160 + 22020: 00204d40 call 204d4 } - 22468: 0001883a nop - 2246c: e037883a mov sp,fp - 22470: dfc00117 ldw ra,4(sp) - 22474: df000017 ldw fp,0(sp) - 22478: dec00204 addi sp,sp,8 - 2247c: f800283a ret + 22024: 0001883a nop + 22028: e037883a mov sp,fp + 2202c: dfc00117 ldw ra,4(sp) + 22030: df000017 ldw fp,0(sp) + 22034: dec00204 addi sp,sp,8 + 22038: f800283a ret -00022480 : +0002203c : // OV8865_write_cmos_sensor_8(0x0100, 0x01); //} void MipiCameraInit(void) { - 22480: defffb04 addi sp,sp,-20 - 22484: dfc00415 stw ra,16(sp) - 22488: df000315 stw fp,12(sp) - 2248c: df000304 addi fp,sp,12 + 2203c: defffb04 addi sp,sp,-20 + 22040: dfc00415 stw ra,16(sp) + 22044: df000315 stw fp,12(sp) + 22048: df000304 addi fp,sp,12 int i, num; int bSuccess; Focus_Released(); // waiting for VCM release I2C bus - 22490: 00213440 call 21344 + 2204c: 00213440 call 21344 bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 22494: 018001b4 movhi r6,6 - 22498: 3186a004 addi r6,r6,6784 - 2249c: 0140bef4 movhi r5,763 - 224a0: 297c2004 addi r5,r5,-3968 - 224a4: 01000134 movhi r4,4 - 224a8: 21041004 addi r4,r4,4160 - 224ac: 00203980 call 20398 - 224b0: e0bffe15 stw r2,-8(fp) + 22050: 018001b4 movhi r6,6 + 22054: 3186a004 addi r6,r6,6784 + 22058: 0140bef4 movhi r5,763 + 2205c: 297c2004 addi r5,r5,-3968 + 22060: 01000134 movhi r4,4 + 22064: 21041004 addi r4,r4,4160 + 22068: 00203980 call 20398 + 2206c: e0bffe15 stw r2,-8(fp) if (!bSuccess) - 224b4: e0bffe17 ldw r2,-8(fp) - 224b8: 1000031e bne r2,zero,224c8 + 22070: e0bffe17 ldw r2,-8(fp) + 22074: 1000031e bne r2,zero,22084 printf("failed to init MIPI- Camera i2c\r\n"); - 224bc: 010000f4 movhi r4,3 - 224c0: 210a2704 addi r4,r4,10396 - 224c4: 002367c0 call 2367c + 22078: 010000f4 movhi r4,3 + 2207c: 2107d304 addi r4,r4,8012 + 22080: 00231400 call 23140 // usleep(10000); // } // OV8865DB("\nStart MipiCameraInit -OV8865!\r\n"); - 224c8: 010000f4 movhi r4,3 - 224cc: 210a3004 addi r4,r4,10432 - 224d0: 002367c0 call 2367c + 22084: 010000f4 movhi r4,3 + 22088: 2107e304 addi r4,r4,8076 + 2208c: 00231400 call 23140 OV8865DB("Write Read Test!\n"); - 224d4: 010000f4 movhi r4,3 - 224d8: 210a3804 addi r4,r4,10464 - 224dc: 002367c0 call 2367c + 22090: 010000f4 movhi r4,3 + 22094: 2107eb04 addi r4,r4,8108 + 22098: 00231400 call 23140 for(i=0;i<10;i++){ - 224e0: e03ffd15 stw zero,-12(fp) - 224e4: 00001406 br 22538 + 2209c: e03ffd15 stw zero,-12(fp) + 220a0: 00001406 br 220f4 OV8865_write_cmos_sensor_8(0x3809,i); - 224e8: e0bffd17 ldw r2,-12(fp) - 224ec: 10803fcc andi r2,r2,255 - 224f0: 100b883a mov r5,r2 - 224f4: 010e0244 movi r4,14345 - 224f8: 0021e300 call 21e30 + 220a4: e0bffd17 ldw r2,-12(fp) + 220a8: 10803fcc andi r2,r2,255 + 220ac: 100b883a mov r5,r2 + 220b0: 010e0244 movi r4,14345 + 220b4: 0021c400 call 21c40 usleep(100); - 224fc: 01001904 movi r4,100 - 22500: 00302f00 call 302f0 + 220b8: 01001904 movi r4,100 + 220bc: 002f9cc0 call 2f9cc printf("%d (%d)\n",OV8865_read_cmos_sensor_8(0x3809),i); - 22504: 010e0244 movi r4,14345 - 22508: 0021dcc0 call 21dcc - 2250c: 10803fcc andi r2,r2,255 - 22510: e1bffd17 ldw r6,-12(fp) - 22514: 100b883a mov r5,r2 - 22518: 010000f4 movhi r4,3 - 2251c: 210a3d04 addi r4,r4,10484 - 22520: 00235600 call 23560 + 220c0: 010e0244 movi r4,14345 + 220c4: 0021bdc0 call 21bdc + 220c8: 10803fcc andi r2,r2,255 + 220cc: e1bffd17 ldw r6,-12(fp) + 220d0: 100b883a mov r5,r2 + 220d4: 010000f4 movhi r4,3 + 220d8: 2107f004 addi r4,r4,8128 + 220dc: 00230240 call 23024 usleep(100); - 22524: 01001904 movi r4,100 - 22528: 00302f00 call 302f0 + 220e0: 01001904 movi r4,100 + 220e4: 002f9cc0 call 2f9cc OV8865DB("\nStart MipiCameraInit -OV8865!\r\n"); OV8865DB("Write Read Test!\n"); for(i=0;i<10;i++){ - 2252c: e0bffd17 ldw r2,-12(fp) - 22530: 10800044 addi r2,r2,1 - 22534: e0bffd15 stw r2,-12(fp) - 22538: e0bffd17 ldw r2,-12(fp) - 2253c: 10800290 cmplti r2,r2,10 - 22540: 103fe91e bne r2,zero,224e8 + 220e8: e0bffd17 ldw r2,-12(fp) + 220ec: 10800044 addi r2,r2,1 + 220f0: e0bffd15 stw r2,-12(fp) + 220f4: e0bffd17 ldw r2,-12(fp) + 220f8: 10800290 cmplti r2,r2,10 + 220fc: 103fe91e bne r2,zero,220a4 <_gp+0xfffe5b6c> OV8865_write_cmos_sensor_8(0x3809,i); usleep(100); printf("%d (%d)\n",OV8865_read_cmos_sensor_8(0x3809),i); usleep(100); } num = sizeof(MipiCameraReg)/sizeof(MipiCameraReg[0]); - 22544: 00804f84 movi r2,318 - 22548: e0bfff15 stw r2,-4(fp) + 22100: 00804f84 movi r2,318 + 22104: e0bfff15 stw r2,-4(fp) for(i=0;i + 22108: e03ffd15 stw zero,-12(fp) + 2210c: 00003c06 br 22200 if (MipiCameraReg[i].Type == TIME_DELAY) usleep(MipiCameraReg[i].Data*100); - 22554: 008000f4 movhi r2,3 - 22558: 108b1304 addi r2,r2,11340 - 2255c: e0fffd17 ldw r3,-12(fp) - 22560: 18c001a4 muli r3,r3,6 - 22564: 10c5883a add r2,r2,r3 - 22568: 10800003 ldbu r2,0(r2) - 2256c: 10803fcc andi r2,r2,255 - 22570: 108000d8 cmpnei r2,r2,3 - 22574: 10000c1e bne r2,zero,225a8 - 22578: 008000f4 movhi r2,3 - 2257c: 108b1304 addi r2,r2,11340 - 22580: e0fffd17 ldw r3,-12(fp) - 22584: 18c001a4 muli r3,r3,6 - 22588: 10c5883a add r2,r2,r3 - 2258c: 10800104 addi r2,r2,4 - 22590: 10800003 ldbu r2,0(r2) - 22594: 10803fcc andi r2,r2,255 - 22598: 10801924 muli r2,r2,100 - 2259c: 1009883a mov r4,r2 - 225a0: 00302f00 call 302f0 - 225a4: 00002406 br 22638 + 22110: 008000f4 movhi r2,3 + 22114: 1088c604 addi r2,r2,8984 + 22118: e0fffd17 ldw r3,-12(fp) + 2211c: 18c001a4 muli r3,r3,6 + 22120: 10c5883a add r2,r2,r3 + 22124: 10800003 ldbu r2,0(r2) + 22128: 10803fcc andi r2,r2,255 + 2212c: 108000d8 cmpnei r2,r2,3 + 22130: 10000c1e bne r2,zero,22164 + 22134: 008000f4 movhi r2,3 + 22138: 1088c604 addi r2,r2,8984 + 2213c: e0fffd17 ldw r3,-12(fp) + 22140: 18c001a4 muli r3,r3,6 + 22144: 10c5883a add r2,r2,r3 + 22148: 10800104 addi r2,r2,4 + 2214c: 10800003 ldbu r2,0(r2) + 22150: 10803fcc andi r2,r2,255 + 22154: 10801924 muli r2,r2,100 + 22158: 1009883a mov r4,r2 + 2215c: 002f9cc0 call 2f9cc + 22160: 00002406 br 221f4 else if(MipiCameraReg[i].Type == END_OF_SCRIPT) break; - 225a8: 008000f4 movhi r2,3 - 225ac: 108b1304 addi r2,r2,11340 - 225b0: e0fffd17 ldw r3,-12(fp) - 225b4: 18c001a4 muli r3,r3,6 - 225b8: 10c5883a add r2,r2,r3 - 225bc: 10800003 ldbu r2,0(r2) - 225c0: 10803fcc andi r2,r2,255 - 225c4: 10800118 cmpnei r2,r2,4 - 225c8: 10002226 beq r2,zero,22654 + 22164: 008000f4 movhi r2,3 + 22168: 1088c604 addi r2,r2,8984 + 2216c: e0fffd17 ldw r3,-12(fp) + 22170: 18c001a4 muli r3,r3,6 + 22174: 10c5883a add r2,r2,r3 + 22178: 10800003 ldbu r2,0(r2) + 2217c: 10803fcc andi r2,r2,255 + 22180: 10800118 cmpnei r2,r2,4 + 22184: 10002226 beq r2,zero,22210 else if(MipiCameraReg[i].Type == 0x6c) OV8865_write_cmos_sensor_8(MipiCameraReg[i].Addr, MipiCameraReg[i].Data); - 225cc: 008000f4 movhi r2,3 - 225d0: 108b1304 addi r2,r2,11340 - 225d4: e0fffd17 ldw r3,-12(fp) - 225d8: 18c001a4 muli r3,r3,6 - 225dc: 10c5883a add r2,r2,r3 - 225e0: 10800003 ldbu r2,0(r2) - 225e4: 10803fcc andi r2,r2,255 - 225e8: 10801b18 cmpnei r2,r2,108 - 225ec: 1000121e bne r2,zero,22638 - 225f0: 008000f4 movhi r2,3 - 225f4: 108b1304 addi r2,r2,11340 - 225f8: e0fffd17 ldw r3,-12(fp) - 225fc: 18c001a4 muli r3,r3,6 - 22600: 10c5883a add r2,r2,r3 - 22604: 10800084 addi r2,r2,2 - 22608: 1080000b ldhu r2,0(r2) - 2260c: 113fffcc andi r4,r2,65535 - 22610: 008000f4 movhi r2,3 - 22614: 108b1304 addi r2,r2,11340 - 22618: e0fffd17 ldw r3,-12(fp) - 2261c: 18c001a4 muli r3,r3,6 - 22620: 10c5883a add r2,r2,r3 - 22624: 10800104 addi r2,r2,4 - 22628: 10800003 ldbu r2,0(r2) - 2262c: 10803fcc andi r2,r2,255 - 22630: 100b883a mov r5,r2 - 22634: 0021e300 call 21e30 + 22188: 008000f4 movhi r2,3 + 2218c: 1088c604 addi r2,r2,8984 + 22190: e0fffd17 ldw r3,-12(fp) + 22194: 18c001a4 muli r3,r3,6 + 22198: 10c5883a add r2,r2,r3 + 2219c: 10800003 ldbu r2,0(r2) + 221a0: 10803fcc andi r2,r2,255 + 221a4: 10801b18 cmpnei r2,r2,108 + 221a8: 1000121e bne r2,zero,221f4 + 221ac: 008000f4 movhi r2,3 + 221b0: 1088c604 addi r2,r2,8984 + 221b4: e0fffd17 ldw r3,-12(fp) + 221b8: 18c001a4 muli r3,r3,6 + 221bc: 10c5883a add r2,r2,r3 + 221c0: 10800084 addi r2,r2,2 + 221c4: 1080000b ldhu r2,0(r2) + 221c8: 113fffcc andi r4,r2,65535 + 221cc: 008000f4 movhi r2,3 + 221d0: 1088c604 addi r2,r2,8984 + 221d4: e0fffd17 ldw r3,-12(fp) + 221d8: 18c001a4 muli r3,r3,6 + 221dc: 10c5883a add r2,r2,r3 + 221e0: 10800104 addi r2,r2,4 + 221e4: 10800003 ldbu r2,0(r2) + 221e8: 10803fcc andi r2,r2,255 + 221ec: 100b883a mov r5,r2 + 221f0: 0021c400 call 21c40 usleep(100); printf("%d (%d)\n",OV8865_read_cmos_sensor_8(0x3809),i); usleep(100); } num = sizeof(MipiCameraReg)/sizeof(MipiCameraReg[0]); for(i=0;i - 22650: 00000106 br 22658 + 221f4: e0bffd17 ldw r2,-12(fp) + 221f8: 10800044 addi r2,r2,1 + 221fc: e0bffd15 stw r2,-12(fp) + 22200: e0fffd17 ldw r3,-12(fp) + 22204: e0bfff17 ldw r2,-4(fp) + 22208: 18bfc116 blt r3,r2,22110 <_gp+0xfffe5bd8> + 2220c: 00000106 br 22214 if (MipiCameraReg[i].Type == TIME_DELAY) usleep(MipiCameraReg[i].Data*100); else if(MipiCameraReg[i].Type == END_OF_SCRIPT) break; - 22654: 0001883a nop + 22210: 0001883a nop else if(MipiCameraReg[i].Type == 0x6c) OV8865_write_cmos_sensor_8(MipiCameraReg[i].Addr, MipiCameraReg[i].Data); } oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - 22658: 01000134 movhi r4,4 - 2265c: 21041004 addi r4,r4,4160 - 22660: 00204d40 call 204d4 + 22214: 01000134 movhi r4,4 + 22218: 21041004 addi r4,r4,4160 + 2221c: 00204d40 call 204d4 OV8865DB("\nEnd MipiCameraInit! -OV8865!\r\n\n"); - 22664: 010000f4 movhi r4,3 - 22668: 210a4004 addi r4,r4,10496 - 2266c: 002367c0 call 2367c + 22220: 010000f4 movhi r4,3 + 22224: 2107f304 addi r4,r4,8140 + 22228: 00231400 call 23140 } - 22670: 0001883a nop - 22674: e037883a mov sp,fp - 22678: dfc00117 ldw ra,4(sp) - 2267c: df000017 ldw fp,0(sp) - 22680: dec00204 addi sp,sp,8 - 22684: f800283a ret + 2222c: 0001883a nop + 22230: e037883a mov sp,fp + 22234: dfc00117 ldw ra,4(sp) + 22238: df000017 ldw fp,0(sp) + 2223c: dec00204 addi sp,sp,8 + 22240: f800283a ret -00022688 : +00022244 : #include "queue.h" QUEUE_STRUCT* QUEUE_New(int nQueueNum){ - 22688: defffb04 addi sp,sp,-20 - 2268c: dfc00415 stw ra,16(sp) - 22690: df000315 stw fp,12(sp) - 22694: df000304 addi fp,sp,12 - 22698: e13fff15 stw r4,-4(fp) + 22244: defffb04 addi sp,sp,-20 + 22248: dfc00415 stw ra,16(sp) + 2224c: df000315 stw fp,12(sp) + 22250: df000304 addi fp,sp,12 + 22254: e13fff15 stw r4,-4(fp) int nSize; QUEUE_STRUCT *pQueue; nSize = sizeof(QUEUE_STRUCT)+nQueueNum*sizeof(alt_u32); - 2269c: e0bfff17 ldw r2,-4(fp) - 226a0: 10800144 addi r2,r2,5 - 226a4: 1085883a add r2,r2,r2 - 226a8: 1085883a add r2,r2,r2 - 226ac: e0bffd15 stw r2,-12(fp) + 22258: e0bfff17 ldw r2,-4(fp) + 2225c: 10800144 addi r2,r2,5 + 22260: 1085883a add r2,r2,r2 + 22264: 1085883a add r2,r2,r2 + 22268: e0bffd15 stw r2,-12(fp) pQueue = (QUEUE_STRUCT *)malloc(nSize); - 226b0: e0bffd17 ldw r2,-12(fp) - 226b4: 1009883a mov r4,r2 - 226b8: 0022bd40 call 22bd4 - 226bc: e0bffe15 stw r2,-8(fp) + 2226c: e0bffd17 ldw r2,-12(fp) + 22270: 1009883a mov r4,r2 + 22274: 00226980 call 22698 + 22278: e0bffe15 stw r2,-8(fp) memset((void *)pQueue, 0, nSize); - 226c0: e0bffd17 ldw r2,-12(fp) - 226c4: 100d883a mov r6,r2 - 226c8: 000b883a mov r5,zero - 226cc: e13ffe17 ldw r4,-8(fp) - 226d0: 00234080 call 23408 + 2227c: e0bffd17 ldw r2,-12(fp) + 22280: 100d883a mov r6,r2 + 22284: 000b883a mov r5,zero + 22288: e13ffe17 ldw r4,-8(fp) + 2228c: 0022ecc0 call 22ecc pQueue->num = nQueueNum; - 226d4: e0ffff17 ldw r3,-4(fp) - 226d8: e0bffe17 ldw r2,-8(fp) - 226dc: 10c00015 stw r3,0(r2) + 22290: e0ffff17 ldw r3,-4(fp) + 22294: e0bffe17 ldw r2,-8(fp) + 22298: 10c00015 stw r3,0(r2) return pQueue; - 226e0: e0bffe17 ldw r2,-8(fp) + 2229c: e0bffe17 ldw r2,-8(fp) } - 226e4: e037883a mov sp,fp - 226e8: dfc00117 ldw ra,4(sp) - 226ec: df000017 ldw fp,0(sp) - 226f0: dec00204 addi sp,sp,8 - 226f4: f800283a ret + 222a0: e037883a mov sp,fp + 222a4: dfc00117 ldw ra,4(sp) + 222a8: df000017 ldw fp,0(sp) + 222ac: dec00204 addi sp,sp,8 + 222b0: f800283a ret -000226f8 : +000222b4 : void QUEUE_Delete(QUEUE_STRUCT *pQueue){ - 226f8: defffd04 addi sp,sp,-12 - 226fc: dfc00215 stw ra,8(sp) - 22700: df000115 stw fp,4(sp) - 22704: df000104 addi fp,sp,4 - 22708: e13fff15 stw r4,-4(fp) + 222b4: defffd04 addi sp,sp,-12 + 222b8: dfc00215 stw ra,8(sp) + 222bc: df000115 stw fp,4(sp) + 222c0: df000104 addi fp,sp,4 + 222c4: e13fff15 stw r4,-4(fp) free(pQueue); - 2270c: e13fff17 ldw r4,-4(fp) - 22710: 0022be80 call 22be8 + 222c8: e13fff17 ldw r4,-4(fp) + 222cc: 00226ac0 call 226ac } - 22714: 0001883a nop - 22718: e037883a mov sp,fp - 2271c: dfc00117 ldw ra,4(sp) - 22720: df000017 ldw fp,0(sp) - 22724: dec00204 addi sp,sp,8 - 22728: f800283a ret + 222d0: 0001883a nop + 222d4: e037883a mov sp,fp + 222d8: dfc00117 ldw ra,4(sp) + 222dc: df000017 ldw fp,0(sp) + 222e0: dec00204 addi sp,sp,8 + 222e4: f800283a ret -0002272c : +000222e8 : bool QUEUE_IsEmpty(QUEUE_STRUCT *pQueue){ - 2272c: defffe04 addi sp,sp,-8 - 22730: df000115 stw fp,4(sp) - 22734: df000104 addi fp,sp,4 - 22738: e13fff15 stw r4,-4(fp) + 222e8: defffe04 addi sp,sp,-8 + 222ec: df000115 stw fp,4(sp) + 222f0: df000104 addi fp,sp,4 + 222f4: e13fff15 stw r4,-4(fp) if (pQueue->front == pQueue->rear) - 2273c: e0bfff17 ldw r2,-4(fp) - 22740: 10c00117 ldw r3,4(r2) - 22744: e0bfff17 ldw r2,-4(fp) - 22748: 10800217 ldw r2,8(r2) - 2274c: 1880021e bne r3,r2,22758 + 222f8: e0bfff17 ldw r2,-4(fp) + 222fc: 10c00117 ldw r3,4(r2) + 22300: e0bfff17 ldw r2,-4(fp) + 22304: 10800217 ldw r2,8(r2) + 22308: 1880021e bne r3,r2,22314 return TRUE; - 22750: 00800044 movi r2,1 - 22754: 00000106 br 2275c + 2230c: 00800044 movi r2,1 + 22310: 00000106 br 22318 return FALSE; - 22758: 0005883a mov r2,zero + 22314: 0005883a mov r2,zero } - 2275c: e037883a mov sp,fp - 22760: df000017 ldw fp,0(sp) - 22764: dec00104 addi sp,sp,4 - 22768: f800283a ret + 22318: e037883a mov sp,fp + 2231c: df000017 ldw fp,0(sp) + 22320: dec00104 addi sp,sp,4 + 22324: f800283a ret -0002276c : +00022328 : bool QUEUE_IsFull(QUEUE_STRUCT *pQueue){ - 2276c: defffd04 addi sp,sp,-12 - 22770: dfc00215 stw ra,8(sp) - 22774: df000115 stw fp,4(sp) - 22778: df000104 addi fp,sp,4 - 2277c: e13fff15 stw r4,-4(fp) + 22328: defffd04 addi sp,sp,-12 + 2232c: dfc00215 stw ra,8(sp) + 22330: df000115 stw fp,4(sp) + 22334: df000104 addi fp,sp,4 + 22338: e13fff15 stw r4,-4(fp) if (((pQueue->front+1)%pQueue->num) == pQueue->rear) - 22780: e0bfff17 ldw r2,-4(fp) - 22784: 10800117 ldw r2,4(r2) - 22788: 10c00044 addi r3,r2,1 - 2278c: e0bfff17 ldw r2,-4(fp) - 22790: 10800017 ldw r2,0(r2) - 22794: 100b883a mov r5,r2 - 22798: 1809883a mov r4,r3 - 2279c: 0022a840 call 22a84 <__umodsi3> - 227a0: 1007883a mov r3,r2 - 227a4: e0bfff17 ldw r2,-4(fp) - 227a8: 10800217 ldw r2,8(r2) - 227ac: 1880021e bne r3,r2,227b8 + 2233c: e0bfff17 ldw r2,-4(fp) + 22340: 10800117 ldw r2,4(r2) + 22344: 10c00044 addi r3,r2,1 + 22348: e0bfff17 ldw r2,-4(fp) + 2234c: 10800017 ldw r2,0(r2) + 22350: 100b883a mov r5,r2 + 22354: 1809883a mov r4,r3 + 22358: 00226400 call 22640 <__umodsi3> + 2235c: 1007883a mov r3,r2 + 22360: e0bfff17 ldw r2,-4(fp) + 22364: 10800217 ldw r2,8(r2) + 22368: 1880021e bne r3,r2,22374 return TRUE; - 227b0: 00800044 movi r2,1 - 227b4: 00000106 br 227bc + 2236c: 00800044 movi r2,1 + 22370: 00000106 br 22378 return FALSE; - 227b8: 0005883a mov r2,zero + 22374: 0005883a mov r2,zero } - 227bc: e037883a mov sp,fp - 227c0: dfc00117 ldw ra,4(sp) - 227c4: df000017 ldw fp,0(sp) - 227c8: dec00204 addi sp,sp,8 - 227cc: f800283a ret + 22378: e037883a mov sp,fp + 2237c: dfc00117 ldw ra,4(sp) + 22380: df000017 ldw fp,0(sp) + 22384: dec00204 addi sp,sp,8 + 22388: f800283a ret -000227d0 : +0002238c : bool QUEUE_Push(QUEUE_STRUCT *pQueue, alt_u32 data32){ - 227d0: defffc04 addi sp,sp,-16 - 227d4: dfc00315 stw ra,12(sp) - 227d8: df000215 stw fp,8(sp) - 227dc: df000204 addi fp,sp,8 - 227e0: e13ffe15 stw r4,-8(fp) - 227e4: e17fff15 stw r5,-4(fp) + 2238c: defffc04 addi sp,sp,-16 + 22390: dfc00315 stw ra,12(sp) + 22394: df000215 stw fp,8(sp) + 22398: df000204 addi fp,sp,8 + 2239c: e13ffe15 stw r4,-8(fp) + 223a0: e17fff15 stw r5,-4(fp) if (QUEUE_IsFull(pQueue)) - 227e8: e13ffe17 ldw r4,-8(fp) - 227ec: 002276c0 call 2276c - 227f0: 10000226 beq r2,zero,227fc + 223a4: e13ffe17 ldw r4,-8(fp) + 223a8: 00223280 call 22328 + 223ac: 10000226 beq r2,zero,223b8 return FALSE; - 227f4: 0005883a mov r2,zero - 227f8: 00001506 br 22850 + 223b0: 0005883a mov r2,zero + 223b4: 00001506 br 2240c pQueue->data[pQueue->front] = data32; - 227fc: e0bffe17 ldw r2,-8(fp) - 22800: 10800117 ldw r2,4(r2) - 22804: e0fffe17 ldw r3,-8(fp) - 22808: 108000c4 addi r2,r2,3 - 2280c: 1085883a add r2,r2,r2 - 22810: 1085883a add r2,r2,r2 - 22814: 1885883a add r2,r3,r2 - 22818: e0ffff17 ldw r3,-4(fp) - 2281c: 10c00015 stw r3,0(r2) + 223b8: e0bffe17 ldw r2,-8(fp) + 223bc: 10800117 ldw r2,4(r2) + 223c0: e0fffe17 ldw r3,-8(fp) + 223c4: 108000c4 addi r2,r2,3 + 223c8: 1085883a add r2,r2,r2 + 223cc: 1085883a add r2,r2,r2 + 223d0: 1885883a add r2,r3,r2 + 223d4: e0ffff17 ldw r3,-4(fp) + 223d8: 10c00015 stw r3,0(r2) pQueue->front = (pQueue->front+1)%pQueue->num; - 22820: e0bffe17 ldw r2,-8(fp) - 22824: 10800117 ldw r2,4(r2) - 22828: 10c00044 addi r3,r2,1 - 2282c: e0bffe17 ldw r2,-8(fp) - 22830: 10800017 ldw r2,0(r2) - 22834: 100b883a mov r5,r2 - 22838: 1809883a mov r4,r3 - 2283c: 0022a840 call 22a84 <__umodsi3> - 22840: 1007883a mov r3,r2 - 22844: e0bffe17 ldw r2,-8(fp) - 22848: 10c00115 stw r3,4(r2) + 223dc: e0bffe17 ldw r2,-8(fp) + 223e0: 10800117 ldw r2,4(r2) + 223e4: 10c00044 addi r3,r2,1 + 223e8: e0bffe17 ldw r2,-8(fp) + 223ec: 10800017 ldw r2,0(r2) + 223f0: 100b883a mov r5,r2 + 223f4: 1809883a mov r4,r3 + 223f8: 00226400 call 22640 <__umodsi3> + 223fc: 1007883a mov r3,r2 + 22400: e0bffe17 ldw r2,-8(fp) + 22404: 10c00115 stw r3,4(r2) return TRUE; - 2284c: 00800044 movi r2,1 + 22408: 00800044 movi r2,1 } - 22850: e037883a mov sp,fp - 22854: dfc00117 ldw ra,4(sp) - 22858: df000017 ldw fp,0(sp) - 2285c: dec00204 addi sp,sp,8 - 22860: f800283a ret + 2240c: e037883a mov sp,fp + 22410: dfc00117 ldw ra,4(sp) + 22414: df000017 ldw fp,0(sp) + 22418: dec00204 addi sp,sp,8 + 2241c: f800283a ret -00022864 : +00022420 : alt_u32 QUEUE_Pop(QUEUE_STRUCT *pQueue){ - 22864: defffc04 addi sp,sp,-16 - 22868: dfc00315 stw ra,12(sp) - 2286c: df000215 stw fp,8(sp) - 22870: df000204 addi fp,sp,8 - 22874: e13fff15 stw r4,-4(fp) + 22420: defffc04 addi sp,sp,-16 + 22424: dfc00315 stw ra,12(sp) + 22428: df000215 stw fp,8(sp) + 2242c: df000204 addi fp,sp,8 + 22430: e13fff15 stw r4,-4(fp) alt_u32 data32; if (QUEUE_IsEmpty(pQueue)) - 22878: e13fff17 ldw r4,-4(fp) - 2287c: 002272c0 call 2272c - 22880: 10000226 beq r2,zero,2288c + 22434: e13fff17 ldw r4,-4(fp) + 22438: 00222e80 call 222e8 + 2243c: 10000226 beq r2,zero,22448 return 0; - 22884: 0005883a mov r2,zero - 22888: 00001506 br 228e0 + 22440: 0005883a mov r2,zero + 22444: 00001506 br 2249c data32 = pQueue->data[pQueue->rear]; - 2288c: e0bfff17 ldw r2,-4(fp) - 22890: 10800217 ldw r2,8(r2) - 22894: e0ffff17 ldw r3,-4(fp) - 22898: 108000c4 addi r2,r2,3 - 2289c: 1085883a add r2,r2,r2 - 228a0: 1085883a add r2,r2,r2 - 228a4: 1885883a add r2,r3,r2 - 228a8: 10800017 ldw r2,0(r2) - 228ac: e0bffe15 stw r2,-8(fp) + 22448: e0bfff17 ldw r2,-4(fp) + 2244c: 10800217 ldw r2,8(r2) + 22450: e0ffff17 ldw r3,-4(fp) + 22454: 108000c4 addi r2,r2,3 + 22458: 1085883a add r2,r2,r2 + 2245c: 1085883a add r2,r2,r2 + 22460: 1885883a add r2,r3,r2 + 22464: 10800017 ldw r2,0(r2) + 22468: e0bffe15 stw r2,-8(fp) pQueue->rear = (pQueue->rear+1)%pQueue->num; - 228b0: e0bfff17 ldw r2,-4(fp) - 228b4: 10800217 ldw r2,8(r2) - 228b8: 10c00044 addi r3,r2,1 - 228bc: e0bfff17 ldw r2,-4(fp) - 228c0: 10800017 ldw r2,0(r2) - 228c4: 100b883a mov r5,r2 - 228c8: 1809883a mov r4,r3 - 228cc: 0022a840 call 22a84 <__umodsi3> - 228d0: 1007883a mov r3,r2 - 228d4: e0bfff17 ldw r2,-4(fp) - 228d8: 10c00215 stw r3,8(r2) + 2246c: e0bfff17 ldw r2,-4(fp) + 22470: 10800217 ldw r2,8(r2) + 22474: 10c00044 addi r3,r2,1 + 22478: e0bfff17 ldw r2,-4(fp) + 2247c: 10800017 ldw r2,0(r2) + 22480: 100b883a mov r5,r2 + 22484: 1809883a mov r4,r3 + 22488: 00226400 call 22640 <__umodsi3> + 2248c: 1007883a mov r3,r2 + 22490: e0bfff17 ldw r2,-4(fp) + 22494: 10c00215 stw r3,8(r2) return data32; - 228dc: e0bffe17 ldw r2,-8(fp) + 22498: e0bffe17 ldw r2,-8(fp) } - 228e0: e037883a mov sp,fp - 228e4: dfc00117 ldw ra,4(sp) - 228e8: df000017 ldw fp,0(sp) - 228ec: dec00204 addi sp,sp,8 - 228f0: f800283a ret + 2249c: e037883a mov sp,fp + 224a0: dfc00117 ldw ra,4(sp) + 224a4: df000017 ldw fp,0(sp) + 224a8: dec00204 addi sp,sp,8 + 224ac: f800283a ret -000228f4 : +000224b0 : void QUEUE_Empty(QUEUE_STRUCT *pQueue){ - 228f4: defffe04 addi sp,sp,-8 - 228f8: df000115 stw fp,4(sp) - 228fc: df000104 addi fp,sp,4 - 22900: e13fff15 stw r4,-4(fp) + 224b0: defffe04 addi sp,sp,-8 + 224b4: df000115 stw fp,4(sp) + 224b8: df000104 addi fp,sp,4 + 224bc: e13fff15 stw r4,-4(fp) pQueue->front = 0; - 22904: e0bfff17 ldw r2,-4(fp) - 22908: 10000115 stw zero,4(r2) + 224c0: e0bfff17 ldw r2,-4(fp) + 224c4: 10000115 stw zero,4(r2) pQueue->rear = 0; - 2290c: e0bfff17 ldw r2,-4(fp) - 22910: 10000215 stw zero,8(r2) + 224c8: e0bfff17 ldw r2,-4(fp) + 224cc: 10000215 stw zero,8(r2) } - 22914: 0001883a nop - 22918: e037883a mov sp,fp - 2291c: df000017 ldw fp,0(sp) - 22920: dec00104 addi sp,sp,4 - 22924: f800283a ret + 224d0: 0001883a nop + 224d4: e037883a mov sp,fp + 224d8: df000017 ldw fp,0(sp) + 224dc: dec00104 addi sp,sp,4 + 224e0: f800283a ret -00022928 <__divsi3>: - 22928: 20001b16 blt r4,zero,22998 <__divsi3+0x70> - 2292c: 000f883a mov r7,zero - 22930: 28001616 blt r5,zero,2298c <__divsi3+0x64> - 22934: 200d883a mov r6,r4 - 22938: 29001a2e bgeu r5,r4,229a4 <__divsi3+0x7c> - 2293c: 00800804 movi r2,32 - 22940: 00c00044 movi r3,1 - 22944: 00000106 br 2294c <__divsi3+0x24> - 22948: 10000d26 beq r2,zero,22980 <__divsi3+0x58> - 2294c: 294b883a add r5,r5,r5 - 22950: 10bfffc4 addi r2,r2,-1 - 22954: 18c7883a add r3,r3,r3 - 22958: 293ffb36 bltu r5,r4,22948 <__divsi3+0x20> - 2295c: 0005883a mov r2,zero - 22960: 18000726 beq r3,zero,22980 <__divsi3+0x58> - 22964: 0005883a mov r2,zero - 22968: 31400236 bltu r6,r5,22974 <__divsi3+0x4c> - 2296c: 314dc83a sub r6,r6,r5 - 22970: 10c4b03a or r2,r2,r3 - 22974: 1806d07a srli r3,r3,1 - 22978: 280ad07a srli r5,r5,1 - 2297c: 183ffa1e bne r3,zero,22968 <__divsi3+0x40> - 22980: 38000126 beq r7,zero,22988 <__divsi3+0x60> - 22984: 0085c83a sub r2,zero,r2 - 22988: f800283a ret - 2298c: 014bc83a sub r5,zero,r5 - 22990: 39c0005c xori r7,r7,1 - 22994: 003fe706 br 22934 <__divsi3+0xc> - 22998: 0109c83a sub r4,zero,r4 - 2299c: 01c00044 movi r7,1 - 229a0: 003fe306 br 22930 <__divsi3+0x8> - 229a4: 00c00044 movi r3,1 - 229a8: 003fee06 br 22964 <__divsi3+0x3c> +000224e4 <__divsi3>: + 224e4: 20001b16 blt r4,zero,22554 <__divsi3+0x70> + 224e8: 000f883a mov r7,zero + 224ec: 28001616 blt r5,zero,22548 <__divsi3+0x64> + 224f0: 200d883a mov r6,r4 + 224f4: 29001a2e bgeu r5,r4,22560 <__divsi3+0x7c> + 224f8: 00800804 movi r2,32 + 224fc: 00c00044 movi r3,1 + 22500: 00000106 br 22508 <__divsi3+0x24> + 22504: 10000d26 beq r2,zero,2253c <__divsi3+0x58> + 22508: 294b883a add r5,r5,r5 + 2250c: 10bfffc4 addi r2,r2,-1 + 22510: 18c7883a add r3,r3,r3 + 22514: 293ffb36 bltu r5,r4,22504 <_gp+0xfffe5fcc> + 22518: 0005883a mov r2,zero + 2251c: 18000726 beq r3,zero,2253c <__divsi3+0x58> + 22520: 0005883a mov r2,zero + 22524: 31400236 bltu r6,r5,22530 <__divsi3+0x4c> + 22528: 314dc83a sub r6,r6,r5 + 2252c: 10c4b03a or r2,r2,r3 + 22530: 1806d07a srli r3,r3,1 + 22534: 280ad07a srli r5,r5,1 + 22538: 183ffa1e bne r3,zero,22524 <_gp+0xfffe5fec> + 2253c: 38000126 beq r7,zero,22544 <__divsi3+0x60> + 22540: 0085c83a sub r2,zero,r2 + 22544: f800283a ret + 22548: 014bc83a sub r5,zero,r5 + 2254c: 39c0005c xori r7,r7,1 + 22550: 003fe706 br 224f0 <_gp+0xfffe5fb8> + 22554: 0109c83a sub r4,zero,r4 + 22558: 01c00044 movi r7,1 + 2255c: 003fe306 br 224ec <_gp+0xfffe5fb4> + 22560: 00c00044 movi r3,1 + 22564: 003fee06 br 22520 <_gp+0xfffe5fe8> -000229ac <__modsi3>: - 229ac: 20001716 blt r4,zero,22a0c <__modsi3+0x60> - 229b0: 000f883a mov r7,zero - 229b4: 2005883a mov r2,r4 - 229b8: 28001216 blt r5,zero,22a04 <__modsi3+0x58> - 229bc: 2900162e bgeu r5,r4,22a18 <__modsi3+0x6c> - 229c0: 01800804 movi r6,32 - 229c4: 00c00044 movi r3,1 - 229c8: 00000106 br 229d0 <__modsi3+0x24> - 229cc: 30000a26 beq r6,zero,229f8 <__modsi3+0x4c> - 229d0: 294b883a add r5,r5,r5 - 229d4: 31bfffc4 addi r6,r6,-1 - 229d8: 18c7883a add r3,r3,r3 - 229dc: 293ffb36 bltu r5,r4,229cc <__modsi3+0x20> - 229e0: 18000526 beq r3,zero,229f8 <__modsi3+0x4c> - 229e4: 1806d07a srli r3,r3,1 - 229e8: 11400136 bltu r2,r5,229f0 <__modsi3+0x44> - 229ec: 1145c83a sub r2,r2,r5 - 229f0: 280ad07a srli r5,r5,1 - 229f4: 183ffb1e bne r3,zero,229e4 <__modsi3+0x38> - 229f8: 38000126 beq r7,zero,22a00 <__modsi3+0x54> - 229fc: 0085c83a sub r2,zero,r2 - 22a00: f800283a ret - 22a04: 014bc83a sub r5,zero,r5 - 22a08: 003fec06 br 229bc <__modsi3+0x10> - 22a0c: 0109c83a sub r4,zero,r4 - 22a10: 01c00044 movi r7,1 - 22a14: 003fe706 br 229b4 <__modsi3+0x8> - 22a18: 00c00044 movi r3,1 - 22a1c: 003ff106 br 229e4 <__modsi3+0x38> +00022568 <__modsi3>: + 22568: 20001716 blt r4,zero,225c8 <__modsi3+0x60> + 2256c: 000f883a mov r7,zero + 22570: 2005883a mov r2,r4 + 22574: 28001216 blt r5,zero,225c0 <__modsi3+0x58> + 22578: 2900162e bgeu r5,r4,225d4 <__modsi3+0x6c> + 2257c: 01800804 movi r6,32 + 22580: 00c00044 movi r3,1 + 22584: 00000106 br 2258c <__modsi3+0x24> + 22588: 30000a26 beq r6,zero,225b4 <__modsi3+0x4c> + 2258c: 294b883a add r5,r5,r5 + 22590: 31bfffc4 addi r6,r6,-1 + 22594: 18c7883a add r3,r3,r3 + 22598: 293ffb36 bltu r5,r4,22588 <_gp+0xfffe6050> + 2259c: 18000526 beq r3,zero,225b4 <__modsi3+0x4c> + 225a0: 1806d07a srli r3,r3,1 + 225a4: 11400136 bltu r2,r5,225ac <__modsi3+0x44> + 225a8: 1145c83a sub r2,r2,r5 + 225ac: 280ad07a srli r5,r5,1 + 225b0: 183ffb1e bne r3,zero,225a0 <_gp+0xfffe6068> + 225b4: 38000126 beq r7,zero,225bc <__modsi3+0x54> + 225b8: 0085c83a sub r2,zero,r2 + 225bc: f800283a ret + 225c0: 014bc83a sub r5,zero,r5 + 225c4: 003fec06 br 22578 <_gp+0xfffe6040> + 225c8: 0109c83a sub r4,zero,r4 + 225cc: 01c00044 movi r7,1 + 225d0: 003fe706 br 22570 <_gp+0xfffe6038> + 225d4: 00c00044 movi r3,1 + 225d8: 003ff106 br 225a0 <_gp+0xfffe6068> -00022a20 <__udivsi3>: - 22a20: 200d883a mov r6,r4 - 22a24: 2900152e bgeu r5,r4,22a7c <__udivsi3+0x5c> - 22a28: 28001416 blt r5,zero,22a7c <__udivsi3+0x5c> - 22a2c: 00800804 movi r2,32 - 22a30: 00c00044 movi r3,1 - 22a34: 00000206 br 22a40 <__udivsi3+0x20> - 22a38: 10000e26 beq r2,zero,22a74 <__udivsi3+0x54> - 22a3c: 28000516 blt r5,zero,22a54 <__udivsi3+0x34> - 22a40: 294b883a add r5,r5,r5 - 22a44: 10bfffc4 addi r2,r2,-1 - 22a48: 18c7883a add r3,r3,r3 - 22a4c: 293ffa36 bltu r5,r4,22a38 <__udivsi3+0x18> - 22a50: 18000826 beq r3,zero,22a74 <__udivsi3+0x54> - 22a54: 0005883a mov r2,zero - 22a58: 31400236 bltu r6,r5,22a64 <__udivsi3+0x44> - 22a5c: 314dc83a sub r6,r6,r5 - 22a60: 10c4b03a or r2,r2,r3 - 22a64: 1806d07a srli r3,r3,1 - 22a68: 280ad07a srli r5,r5,1 - 22a6c: 183ffa1e bne r3,zero,22a58 <__udivsi3+0x38> - 22a70: f800283a ret - 22a74: 0005883a mov r2,zero - 22a78: f800283a ret - 22a7c: 00c00044 movi r3,1 - 22a80: 003ff406 br 22a54 <__udivsi3+0x34> +000225dc <__udivsi3>: + 225dc: 200d883a mov r6,r4 + 225e0: 2900152e bgeu r5,r4,22638 <__udivsi3+0x5c> + 225e4: 28001416 blt r5,zero,22638 <__udivsi3+0x5c> + 225e8: 00800804 movi r2,32 + 225ec: 00c00044 movi r3,1 + 225f0: 00000206 br 225fc <__udivsi3+0x20> + 225f4: 10000e26 beq r2,zero,22630 <__udivsi3+0x54> + 225f8: 28000516 blt r5,zero,22610 <__udivsi3+0x34> + 225fc: 294b883a add r5,r5,r5 + 22600: 10bfffc4 addi r2,r2,-1 + 22604: 18c7883a add r3,r3,r3 + 22608: 293ffa36 bltu r5,r4,225f4 <_gp+0xfffe60bc> + 2260c: 18000826 beq r3,zero,22630 <__udivsi3+0x54> + 22610: 0005883a mov r2,zero + 22614: 31400236 bltu r6,r5,22620 <__udivsi3+0x44> + 22618: 314dc83a sub r6,r6,r5 + 2261c: 10c4b03a or r2,r2,r3 + 22620: 1806d07a srli r3,r3,1 + 22624: 280ad07a srli r5,r5,1 + 22628: 183ffa1e bne r3,zero,22614 <_gp+0xfffe60dc> + 2262c: f800283a ret + 22630: 0005883a mov r2,zero + 22634: f800283a ret + 22638: 00c00044 movi r3,1 + 2263c: 003ff406 br 22610 <_gp+0xfffe60d8> -00022a84 <__umodsi3>: - 22a84: 2005883a mov r2,r4 - 22a88: 2900122e bgeu r5,r4,22ad4 <__umodsi3+0x50> - 22a8c: 28001116 blt r5,zero,22ad4 <__umodsi3+0x50> - 22a90: 01800804 movi r6,32 - 22a94: 00c00044 movi r3,1 - 22a98: 00000206 br 22aa4 <__umodsi3+0x20> - 22a9c: 30000c26 beq r6,zero,22ad0 <__umodsi3+0x4c> - 22aa0: 28000516 blt r5,zero,22ab8 <__umodsi3+0x34> - 22aa4: 294b883a add r5,r5,r5 - 22aa8: 31bfffc4 addi r6,r6,-1 - 22aac: 18c7883a add r3,r3,r3 - 22ab0: 293ffa36 bltu r5,r4,22a9c <__umodsi3+0x18> - 22ab4: 18000626 beq r3,zero,22ad0 <__umodsi3+0x4c> - 22ab8: 1806d07a srli r3,r3,1 - 22abc: 11400136 bltu r2,r5,22ac4 <__umodsi3+0x40> - 22ac0: 1145c83a sub r2,r2,r5 - 22ac4: 280ad07a srli r5,r5,1 - 22ac8: 183ffb1e bne r3,zero,22ab8 <__umodsi3+0x34> - 22acc: f800283a ret - 22ad0: f800283a ret - 22ad4: 00c00044 movi r3,1 - 22ad8: 003ff706 br 22ab8 <__umodsi3+0x34> +00022640 <__umodsi3>: + 22640: 2005883a mov r2,r4 + 22644: 2900122e bgeu r5,r4,22690 <__umodsi3+0x50> + 22648: 28001116 blt r5,zero,22690 <__umodsi3+0x50> + 2264c: 01800804 movi r6,32 + 22650: 00c00044 movi r3,1 + 22654: 00000206 br 22660 <__umodsi3+0x20> + 22658: 30000c26 beq r6,zero,2268c <__umodsi3+0x4c> + 2265c: 28000516 blt r5,zero,22674 <__umodsi3+0x34> + 22660: 294b883a add r5,r5,r5 + 22664: 31bfffc4 addi r6,r6,-1 + 22668: 18c7883a add r3,r3,r3 + 2266c: 293ffa36 bltu r5,r4,22658 <_gp+0xfffe6120> + 22670: 18000626 beq r3,zero,2268c <__umodsi3+0x4c> + 22674: 1806d07a srli r3,r3,1 + 22678: 11400136 bltu r2,r5,22680 <__umodsi3+0x40> + 2267c: 1145c83a sub r2,r2,r5 + 22680: 280ad07a srli r5,r5,1 + 22684: 183ffb1e bne r3,zero,22674 <_gp+0xfffe613c> + 22688: f800283a ret + 2268c: f800283a ret + 22690: 00c00044 movi r3,1 + 22694: 003ff706 br 22674 <_gp+0xfffe613c> -00022adc <_getc_r>: - 22adc: defffd04 addi sp,sp,-12 - 22ae0: dc000115 stw r16,4(sp) - 22ae4: dfc00215 stw ra,8(sp) - 22ae8: 2021883a mov r16,r4 - 22aec: 20000226 beq r4,zero,22af8 <_getc_r+0x1c> - 22af0: 20800e17 ldw r2,56(r4) - 22af4: 10000c26 beq r2,zero,22b28 <_getc_r+0x4c> - 22af8: 28800117 ldw r2,4(r5) - 22afc: 10bfffc4 addi r2,r2,-1 - 22b00: 28800115 stw r2,4(r5) - 22b04: 10000c16 blt r2,zero,22b38 <_getc_r+0x5c> - 22b08: 28800017 ldw r2,0(r5) - 22b0c: 10c00044 addi r3,r2,1 - 22b10: 28c00015 stw r3,0(r5) - 22b14: 10800003 ldbu r2,0(r2) - 22b18: dfc00217 ldw ra,8(sp) - 22b1c: dc000117 ldw r16,4(sp) - 22b20: dec00304 addi sp,sp,12 - 22b24: f800283a ret - 22b28: d9400015 stw r5,0(sp) - 22b2c: 0027aa80 call 27aa8 <__sinit> - 22b30: d9400017 ldw r5,0(sp) - 22b34: 003ff006 br 22af8 <_getc_r+0x1c> - 22b38: 8009883a mov r4,r16 - 22b3c: dfc00217 ldw ra,8(sp) - 22b40: dc000117 ldw r16,4(sp) - 22b44: dec00304 addi sp,sp,12 - 22b48: 00236901 jmpi 23690 <__srget_r> +00022698 : + 22698: 008000f4 movhi r2,3 + 2269c: 10915404 addi r2,r2,17744 + 226a0: 200b883a mov r5,r4 + 226a4: 11000017 ldw r4,0(r2) + 226a8: 00226c01 jmpi 226c0 <_malloc_r> -00022b4c : - 22b4c: 008000f4 movhi r2,3 - 22b50: defffd04 addi sp,sp,-12 - 22b54: 10939f04 addi r2,r2,20092 - 22b58: dc400115 stw r17,4(sp) - 22b5c: 14400017 ldw r17,0(r2) - 22b60: dc000015 stw r16,0(sp) - 22b64: dfc00215 stw ra,8(sp) - 22b68: 2021883a mov r16,r4 - 22b6c: 88000226 beq r17,zero,22b78 - 22b70: 88800e17 ldw r2,56(r17) - 22b74: 10000d26 beq r2,zero,22bac - 22b78: 80800117 ldw r2,4(r16) - 22b7c: 10bfffc4 addi r2,r2,-1 - 22b80: 80800115 stw r2,4(r16) - 22b84: 10000c16 blt r2,zero,22bb8 - 22b88: 80800017 ldw r2,0(r16) - 22b8c: 10c00044 addi r3,r2,1 - 22b90: 80c00015 stw r3,0(r16) - 22b94: 10800003 ldbu r2,0(r2) - 22b98: dfc00217 ldw ra,8(sp) - 22b9c: dc400117 ldw r17,4(sp) - 22ba0: dc000017 ldw r16,0(sp) - 22ba4: dec00304 addi sp,sp,12 - 22ba8: f800283a ret - 22bac: 8809883a mov r4,r17 - 22bb0: 0027aa80 call 27aa8 <__sinit> - 22bb4: 003ff006 br 22b78 - 22bb8: 800b883a mov r5,r16 - 22bbc: 8809883a mov r4,r17 - 22bc0: dfc00217 ldw ra,8(sp) - 22bc4: dc400117 ldw r17,4(sp) - 22bc8: dc000017 ldw r16,0(sp) - 22bcc: dec00304 addi sp,sp,12 - 22bd0: 00236901 jmpi 23690 <__srget_r> +000226ac : + 226ac: 008000f4 movhi r2,3 + 226b0: 10915404 addi r2,r2,17744 + 226b4: 200b883a mov r5,r4 + 226b8: 11000017 ldw r4,0(r2) + 226bc: 00276581 jmpi 27658 <_free_r> -00022bd4 : - 22bd4: 008000f4 movhi r2,3 - 22bd8: 10939f04 addi r2,r2,20092 - 22bdc: 200b883a mov r5,r4 - 22be0: 11000017 ldw r4,0(r2) - 22be4: 0022bfc1 jmpi 22bfc <_malloc_r> +000226c0 <_malloc_r>: + 226c0: defff504 addi sp,sp,-44 + 226c4: dc800315 stw r18,12(sp) + 226c8: dfc00a15 stw ra,40(sp) + 226cc: df000915 stw fp,36(sp) + 226d0: ddc00815 stw r23,32(sp) + 226d4: dd800715 stw r22,28(sp) + 226d8: dd400615 stw r21,24(sp) + 226dc: dd000515 stw r20,20(sp) + 226e0: dcc00415 stw r19,16(sp) + 226e4: dc400215 stw r17,8(sp) + 226e8: dc000115 stw r16,4(sp) + 226ec: 288002c4 addi r2,r5,11 + 226f0: 00c00584 movi r3,22 + 226f4: 2025883a mov r18,r4 + 226f8: 18807f2e bgeu r3,r2,228f8 <_malloc_r+0x238> + 226fc: 047ffe04 movi r17,-8 + 22700: 1462703a and r17,r2,r17 + 22704: 8800a316 blt r17,zero,22994 <_malloc_r+0x2d4> + 22708: 8940a236 bltu r17,r5,22994 <_malloc_r+0x2d4> + 2270c: 002f5800 call 2f580 <__malloc_lock> + 22710: 00807dc4 movi r2,503 + 22714: 1441e92e bgeu r2,r17,22ebc <_malloc_r+0x7fc> + 22718: 8804d27a srli r2,r17,9 + 2271c: 1000a126 beq r2,zero,229a4 <_malloc_r+0x2e4> + 22720: 00c00104 movi r3,4 + 22724: 18811e36 bltu r3,r2,22ba0 <_malloc_r+0x4e0> + 22728: 8804d1ba srli r2,r17,6 + 2272c: 12000e44 addi r8,r2,57 + 22730: 11c00e04 addi r7,r2,56 + 22734: 4209883a add r4,r8,r8 + 22738: 04c000f4 movhi r19,3 + 2273c: 2109883a add r4,r4,r4 + 22740: 9ccaa304 addi r19,r19,10892 + 22744: 2109883a add r4,r4,r4 + 22748: 9909883a add r4,r19,r4 + 2274c: 24000117 ldw r16,4(r4) + 22750: 213ffe04 addi r4,r4,-8 + 22754: 24009726 beq r4,r16,229b4 <_malloc_r+0x2f4> + 22758: 80800117 ldw r2,4(r16) + 2275c: 01bfff04 movi r6,-4 + 22760: 014003c4 movi r5,15 + 22764: 1184703a and r2,r2,r6 + 22768: 1447c83a sub r3,r2,r17 + 2276c: 28c00716 blt r5,r3,2278c <_malloc_r+0xcc> + 22770: 1800920e bge r3,zero,229bc <_malloc_r+0x2fc> + 22774: 84000317 ldw r16,12(r16) + 22778: 24008e26 beq r4,r16,229b4 <_malloc_r+0x2f4> + 2277c: 80800117 ldw r2,4(r16) + 22780: 1184703a and r2,r2,r6 + 22784: 1447c83a sub r3,r2,r17 + 22788: 28fff90e bge r5,r3,22770 <_gp+0xfffe6238> + 2278c: 3809883a mov r4,r7 + 22790: 018000f4 movhi r6,3 + 22794: 9c000417 ldw r16,16(r19) + 22798: 318aa304 addi r6,r6,10892 + 2279c: 32000204 addi r8,r6,8 + 227a0: 82013426 beq r16,r8,22c74 <_malloc_r+0x5b4> + 227a4: 80c00117 ldw r3,4(r16) + 227a8: 00bfff04 movi r2,-4 + 227ac: 188e703a and r7,r3,r2 + 227b0: 3c45c83a sub r2,r7,r17 + 227b4: 00c003c4 movi r3,15 + 227b8: 18811f16 blt r3,r2,22c38 <_malloc_r+0x578> + 227bc: 32000515 stw r8,20(r6) + 227c0: 32000415 stw r8,16(r6) + 227c4: 10007f0e bge r2,zero,229c4 <_malloc_r+0x304> + 227c8: 00807fc4 movi r2,511 + 227cc: 11c0fd36 bltu r2,r7,22bc4 <_malloc_r+0x504> + 227d0: 3806d0fa srli r3,r7,3 + 227d4: 01c00044 movi r7,1 + 227d8: 30800117 ldw r2,4(r6) + 227dc: 19400044 addi r5,r3,1 + 227e0: 294b883a add r5,r5,r5 + 227e4: 1807d0ba srai r3,r3,2 + 227e8: 294b883a add r5,r5,r5 + 227ec: 294b883a add r5,r5,r5 + 227f0: 298b883a add r5,r5,r6 + 227f4: 38c6983a sll r3,r7,r3 + 227f8: 29c00017 ldw r7,0(r5) + 227fc: 2a7ffe04 addi r9,r5,-8 + 22800: 1886b03a or r3,r3,r2 + 22804: 82400315 stw r9,12(r16) + 22808: 81c00215 stw r7,8(r16) + 2280c: 30c00115 stw r3,4(r6) + 22810: 2c000015 stw r16,0(r5) + 22814: 3c000315 stw r16,12(r7) + 22818: 2005d0ba srai r2,r4,2 + 2281c: 01400044 movi r5,1 + 22820: 288a983a sll r5,r5,r2 + 22824: 19406f36 bltu r3,r5,229e4 <_malloc_r+0x324> + 22828: 28c4703a and r2,r5,r3 + 2282c: 10000a1e bne r2,zero,22858 <_malloc_r+0x198> + 22830: 00bfff04 movi r2,-4 + 22834: 294b883a add r5,r5,r5 + 22838: 2088703a and r4,r4,r2 + 2283c: 28c4703a and r2,r5,r3 + 22840: 21000104 addi r4,r4,4 + 22844: 1000041e bne r2,zero,22858 <_malloc_r+0x198> + 22848: 294b883a add r5,r5,r5 + 2284c: 28c4703a and r2,r5,r3 + 22850: 21000104 addi r4,r4,4 + 22854: 103ffc26 beq r2,zero,22848 <_gp+0xfffe6310> + 22858: 02bfff04 movi r10,-4 + 2285c: 024003c4 movi r9,15 + 22860: 21800044 addi r6,r4,1 + 22864: 318d883a add r6,r6,r6 + 22868: 318d883a add r6,r6,r6 + 2286c: 318d883a add r6,r6,r6 + 22870: 998d883a add r6,r19,r6 + 22874: 333ffe04 addi r12,r6,-8 + 22878: 2017883a mov r11,r4 + 2287c: 31800104 addi r6,r6,4 + 22880: 34000017 ldw r16,0(r6) + 22884: 31fffd04 addi r7,r6,-12 + 22888: 81c0041e bne r16,r7,2289c <_malloc_r+0x1dc> + 2288c: 0000fb06 br 22c7c <_malloc_r+0x5bc> + 22890: 1801030e bge r3,zero,22ca0 <_malloc_r+0x5e0> + 22894: 84000317 ldw r16,12(r16) + 22898: 81c0f826 beq r16,r7,22c7c <_malloc_r+0x5bc> + 2289c: 80800117 ldw r2,4(r16) + 228a0: 1284703a and r2,r2,r10 + 228a4: 1447c83a sub r3,r2,r17 + 228a8: 48fff90e bge r9,r3,22890 <_gp+0xfffe6358> + 228ac: 80800317 ldw r2,12(r16) + 228b0: 81000217 ldw r4,8(r16) + 228b4: 89400054 ori r5,r17,1 + 228b8: 81400115 stw r5,4(r16) + 228bc: 20800315 stw r2,12(r4) + 228c0: 11000215 stw r4,8(r2) + 228c4: 8463883a add r17,r16,r17 + 228c8: 9c400515 stw r17,20(r19) + 228cc: 9c400415 stw r17,16(r19) + 228d0: 18800054 ori r2,r3,1 + 228d4: 88800115 stw r2,4(r17) + 228d8: 8a000315 stw r8,12(r17) + 228dc: 8a000215 stw r8,8(r17) + 228e0: 88e3883a add r17,r17,r3 + 228e4: 88c00015 stw r3,0(r17) + 228e8: 9009883a mov r4,r18 + 228ec: 002f5a40 call 2f5a4 <__malloc_unlock> + 228f0: 80800204 addi r2,r16,8 + 228f4: 00001b06 br 22964 <_malloc_r+0x2a4> + 228f8: 04400404 movi r17,16 + 228fc: 89402536 bltu r17,r5,22994 <_malloc_r+0x2d4> + 22900: 002f5800 call 2f580 <__malloc_lock> + 22904: 00800184 movi r2,6 + 22908: 01000084 movi r4,2 + 2290c: 04c000f4 movhi r19,3 + 22910: 1085883a add r2,r2,r2 + 22914: 9ccaa304 addi r19,r19,10892 + 22918: 1085883a add r2,r2,r2 + 2291c: 9885883a add r2,r19,r2 + 22920: 14000117 ldw r16,4(r2) + 22924: 10fffe04 addi r3,r2,-8 + 22928: 80c0d926 beq r16,r3,22c90 <_malloc_r+0x5d0> + 2292c: 80c00117 ldw r3,4(r16) + 22930: 81000317 ldw r4,12(r16) + 22934: 00bfff04 movi r2,-4 + 22938: 1884703a and r2,r3,r2 + 2293c: 81400217 ldw r5,8(r16) + 22940: 8085883a add r2,r16,r2 + 22944: 10c00117 ldw r3,4(r2) + 22948: 29000315 stw r4,12(r5) + 2294c: 21400215 stw r5,8(r4) + 22950: 18c00054 ori r3,r3,1 + 22954: 10c00115 stw r3,4(r2) + 22958: 9009883a mov r4,r18 + 2295c: 002f5a40 call 2f5a4 <__malloc_unlock> + 22960: 80800204 addi r2,r16,8 + 22964: dfc00a17 ldw ra,40(sp) + 22968: df000917 ldw fp,36(sp) + 2296c: ddc00817 ldw r23,32(sp) + 22970: dd800717 ldw r22,28(sp) + 22974: dd400617 ldw r21,24(sp) + 22978: dd000517 ldw r20,20(sp) + 2297c: dcc00417 ldw r19,16(sp) + 22980: dc800317 ldw r18,12(sp) + 22984: dc400217 ldw r17,8(sp) + 22988: dc000117 ldw r16,4(sp) + 2298c: dec00b04 addi sp,sp,44 + 22990: f800283a ret + 22994: 00800304 movi r2,12 + 22998: 90800015 stw r2,0(r18) + 2299c: 0005883a mov r2,zero + 229a0: 003ff006 br 22964 <_gp+0xfffe642c> + 229a4: 01002004 movi r4,128 + 229a8: 02001004 movi r8,64 + 229ac: 01c00fc4 movi r7,63 + 229b0: 003f6106 br 22738 <_gp+0xfffe6200> + 229b4: 4009883a mov r4,r8 + 229b8: 003f7506 br 22790 <_gp+0xfffe6258> + 229bc: 81000317 ldw r4,12(r16) + 229c0: 003fde06 br 2293c <_gp+0xfffe6404> + 229c4: 81c5883a add r2,r16,r7 + 229c8: 11400117 ldw r5,4(r2) + 229cc: 9009883a mov r4,r18 + 229d0: 29400054 ori r5,r5,1 + 229d4: 11400115 stw r5,4(r2) + 229d8: 002f5a40 call 2f5a4 <__malloc_unlock> + 229dc: 80800204 addi r2,r16,8 + 229e0: 003fe006 br 22964 <_gp+0xfffe642c> + 229e4: 9c000217 ldw r16,8(r19) + 229e8: 00bfff04 movi r2,-4 + 229ec: 85800117 ldw r22,4(r16) + 229f0: b0ac703a and r22,r22,r2 + 229f4: b4400336 bltu r22,r17,22a04 <_malloc_r+0x344> + 229f8: b445c83a sub r2,r22,r17 + 229fc: 00c003c4 movi r3,15 + 22a00: 18805d16 blt r3,r2,22b78 <_malloc_r+0x4b8> + 22a04: 05c000f4 movhi r23,3 + 22a08: 008000f4 movhi r2,3 + 22a0c: 109a0b04 addi r2,r2,26668 + 22a10: bdd15104 addi r23,r23,17732 + 22a14: 15400017 ldw r21,0(r2) + 22a18: b8c00017 ldw r3,0(r23) + 22a1c: 00bfffc4 movi r2,-1 + 22a20: 858d883a add r6,r16,r22 + 22a24: 8d6b883a add r21,r17,r21 + 22a28: 1880ea26 beq r3,r2,22dd4 <_malloc_r+0x714> + 22a2c: ad4403c4 addi r21,r21,4111 + 22a30: 00bc0004 movi r2,-4096 + 22a34: a8aa703a and r21,r21,r2 + 22a38: a80b883a mov r5,r21 + 22a3c: 9009883a mov r4,r18 + 22a40: d9800015 stw r6,0(sp) + 22a44: 00231540 call 23154 <_sbrk_r> + 22a48: 1029883a mov r20,r2 + 22a4c: 00bfffc4 movi r2,-1 + 22a50: d9800017 ldw r6,0(sp) + 22a54: a080e826 beq r20,r2,22df8 <_malloc_r+0x738> + 22a58: a180a636 bltu r20,r6,22cf4 <_malloc_r+0x634> + 22a5c: 070000f4 movhi fp,3 + 22a60: e71a1704 addi fp,fp,26716 + 22a64: e0800017 ldw r2,0(fp) + 22a68: a887883a add r3,r21,r2 + 22a6c: e0c00015 stw r3,0(fp) + 22a70: 3500e626 beq r6,r20,22e0c <_malloc_r+0x74c> + 22a74: b9000017 ldw r4,0(r23) + 22a78: 00bfffc4 movi r2,-1 + 22a7c: 2080ee26 beq r4,r2,22e38 <_malloc_r+0x778> + 22a80: a185c83a sub r2,r20,r6 + 22a84: 10c5883a add r2,r2,r3 + 22a88: e0800015 stw r2,0(fp) + 22a8c: a0c001cc andi r3,r20,7 + 22a90: 1800bc26 beq r3,zero,22d84 <_malloc_r+0x6c4> + 22a94: a0e9c83a sub r20,r20,r3 + 22a98: 00840204 movi r2,4104 + 22a9c: a5000204 addi r20,r20,8 + 22aa0: 10c7c83a sub r3,r2,r3 + 22aa4: a545883a add r2,r20,r21 + 22aa8: 1083ffcc andi r2,r2,4095 + 22aac: 18abc83a sub r21,r3,r2 + 22ab0: a80b883a mov r5,r21 + 22ab4: 9009883a mov r4,r18 + 22ab8: 00231540 call 23154 <_sbrk_r> + 22abc: 00ffffc4 movi r3,-1 + 22ac0: 10c0e126 beq r2,r3,22e48 <_malloc_r+0x788> + 22ac4: 1505c83a sub r2,r2,r20 + 22ac8: 1545883a add r2,r2,r21 + 22acc: 10800054 ori r2,r2,1 + 22ad0: e0c00017 ldw r3,0(fp) + 22ad4: 9d000215 stw r20,8(r19) + 22ad8: a0800115 stw r2,4(r20) + 22adc: a8c7883a add r3,r21,r3 + 22ae0: e0c00015 stw r3,0(fp) + 22ae4: 84c00e26 beq r16,r19,22b20 <_malloc_r+0x460> + 22ae8: 018003c4 movi r6,15 + 22aec: 3580a72e bgeu r6,r22,22d8c <_malloc_r+0x6cc> + 22af0: 81400117 ldw r5,4(r16) + 22af4: 013ffe04 movi r4,-8 + 22af8: b0bffd04 addi r2,r22,-12 + 22afc: 1104703a and r2,r2,r4 + 22b00: 2900004c andi r4,r5,1 + 22b04: 2088b03a or r4,r4,r2 + 22b08: 81000115 stw r4,4(r16) + 22b0c: 01400144 movi r5,5 + 22b10: 8089883a add r4,r16,r2 + 22b14: 21400115 stw r5,4(r4) + 22b18: 21400215 stw r5,8(r4) + 22b1c: 3080cd36 bltu r6,r2,22e54 <_malloc_r+0x794> + 22b20: 008000f4 movhi r2,3 + 22b24: 109a0a04 addi r2,r2,26664 + 22b28: 11000017 ldw r4,0(r2) + 22b2c: 20c0012e bgeu r4,r3,22b34 <_malloc_r+0x474> + 22b30: 10c00015 stw r3,0(r2) + 22b34: 008000f4 movhi r2,3 + 22b38: 109a0904 addi r2,r2,26660 + 22b3c: 11000017 ldw r4,0(r2) + 22b40: 9c000217 ldw r16,8(r19) + 22b44: 20c0012e bgeu r4,r3,22b4c <_malloc_r+0x48c> + 22b48: 10c00015 stw r3,0(r2) + 22b4c: 80c00117 ldw r3,4(r16) + 22b50: 00bfff04 movi r2,-4 + 22b54: 1886703a and r3,r3,r2 + 22b58: 1c45c83a sub r2,r3,r17 + 22b5c: 1c400236 bltu r3,r17,22b68 <_malloc_r+0x4a8> + 22b60: 00c003c4 movi r3,15 + 22b64: 18800416 blt r3,r2,22b78 <_malloc_r+0x4b8> + 22b68: 9009883a mov r4,r18 + 22b6c: 002f5a40 call 2f5a4 <__malloc_unlock> + 22b70: 0005883a mov r2,zero + 22b74: 003f7b06 br 22964 <_gp+0xfffe642c> + 22b78: 88c00054 ori r3,r17,1 + 22b7c: 80c00115 stw r3,4(r16) + 22b80: 8463883a add r17,r16,r17 + 22b84: 10800054 ori r2,r2,1 + 22b88: 9c400215 stw r17,8(r19) + 22b8c: 88800115 stw r2,4(r17) + 22b90: 9009883a mov r4,r18 + 22b94: 002f5a40 call 2f5a4 <__malloc_unlock> + 22b98: 80800204 addi r2,r16,8 + 22b9c: 003f7106 br 22964 <_gp+0xfffe642c> + 22ba0: 00c00504 movi r3,20 + 22ba4: 18804a2e bgeu r3,r2,22cd0 <_malloc_r+0x610> + 22ba8: 00c01504 movi r3,84 + 22bac: 18806e36 bltu r3,r2,22d68 <_malloc_r+0x6a8> + 22bb0: 8804d33a srli r2,r17,12 + 22bb4: 12001bc4 addi r8,r2,111 + 22bb8: 11c01b84 addi r7,r2,110 + 22bbc: 4209883a add r4,r8,r8 + 22bc0: 003edd06 br 22738 <_gp+0xfffe6200> + 22bc4: 3804d27a srli r2,r7,9 + 22bc8: 00c00104 movi r3,4 + 22bcc: 1880442e bgeu r3,r2,22ce0 <_malloc_r+0x620> + 22bd0: 00c00504 movi r3,20 + 22bd4: 18808136 bltu r3,r2,22ddc <_malloc_r+0x71c> + 22bd8: 11401704 addi r5,r2,92 + 22bdc: 10c016c4 addi r3,r2,91 + 22be0: 294b883a add r5,r5,r5 + 22be4: 294b883a add r5,r5,r5 + 22be8: 294b883a add r5,r5,r5 + 22bec: 994b883a add r5,r19,r5 + 22bf0: 28800017 ldw r2,0(r5) + 22bf4: 018000f4 movhi r6,3 + 22bf8: 297ffe04 addi r5,r5,-8 + 22bfc: 318aa304 addi r6,r6,10892 + 22c00: 28806526 beq r5,r2,22d98 <_malloc_r+0x6d8> + 22c04: 01bfff04 movi r6,-4 + 22c08: 10c00117 ldw r3,4(r2) + 22c0c: 1986703a and r3,r3,r6 + 22c10: 38c0022e bgeu r7,r3,22c1c <_malloc_r+0x55c> + 22c14: 10800217 ldw r2,8(r2) + 22c18: 28bffb1e bne r5,r2,22c08 <_gp+0xfffe66d0> + 22c1c: 11400317 ldw r5,12(r2) + 22c20: 98c00117 ldw r3,4(r19) + 22c24: 81400315 stw r5,12(r16) + 22c28: 80800215 stw r2,8(r16) + 22c2c: 2c000215 stw r16,8(r5) + 22c30: 14000315 stw r16,12(r2) + 22c34: 003ef806 br 22818 <_gp+0xfffe62e0> + 22c38: 88c00054 ori r3,r17,1 + 22c3c: 80c00115 stw r3,4(r16) + 22c40: 8463883a add r17,r16,r17 + 22c44: 34400515 stw r17,20(r6) + 22c48: 34400415 stw r17,16(r6) + 22c4c: 10c00054 ori r3,r2,1 + 22c50: 8a000315 stw r8,12(r17) + 22c54: 8a000215 stw r8,8(r17) + 22c58: 88c00115 stw r3,4(r17) + 22c5c: 88a3883a add r17,r17,r2 + 22c60: 88800015 stw r2,0(r17) + 22c64: 9009883a mov r4,r18 + 22c68: 002f5a40 call 2f5a4 <__malloc_unlock> + 22c6c: 80800204 addi r2,r16,8 + 22c70: 003f3c06 br 22964 <_gp+0xfffe642c> + 22c74: 30c00117 ldw r3,4(r6) + 22c78: 003ee706 br 22818 <_gp+0xfffe62e0> + 22c7c: 5ac00044 addi r11,r11,1 + 22c80: 588000cc andi r2,r11,3 + 22c84: 31800204 addi r6,r6,8 + 22c88: 103efd1e bne r2,zero,22880 <_gp+0xfffe6348> + 22c8c: 00002406 br 22d20 <_malloc_r+0x660> + 22c90: 14000317 ldw r16,12(r2) + 22c94: 143f251e bne r2,r16,2292c <_gp+0xfffe63f4> + 22c98: 21000084 addi r4,r4,2 + 22c9c: 003ebc06 br 22790 <_gp+0xfffe6258> + 22ca0: 8085883a add r2,r16,r2 + 22ca4: 10c00117 ldw r3,4(r2) + 22ca8: 81000317 ldw r4,12(r16) + 22cac: 81400217 ldw r5,8(r16) + 22cb0: 18c00054 ori r3,r3,1 + 22cb4: 10c00115 stw r3,4(r2) + 22cb8: 29000315 stw r4,12(r5) + 22cbc: 21400215 stw r5,8(r4) + 22cc0: 9009883a mov r4,r18 + 22cc4: 002f5a40 call 2f5a4 <__malloc_unlock> + 22cc8: 80800204 addi r2,r16,8 + 22ccc: 003f2506 br 22964 <_gp+0xfffe642c> + 22cd0: 12001704 addi r8,r2,92 + 22cd4: 11c016c4 addi r7,r2,91 + 22cd8: 4209883a add r4,r8,r8 + 22cdc: 003e9606 br 22738 <_gp+0xfffe6200> + 22ce0: 3804d1ba srli r2,r7,6 + 22ce4: 11400e44 addi r5,r2,57 + 22ce8: 10c00e04 addi r3,r2,56 + 22cec: 294b883a add r5,r5,r5 + 22cf0: 003fbc06 br 22be4 <_gp+0xfffe66ac> + 22cf4: 84ff5926 beq r16,r19,22a5c <_gp+0xfffe6524> + 22cf8: 008000f4 movhi r2,3 + 22cfc: 108aa304 addi r2,r2,10892 + 22d00: 14000217 ldw r16,8(r2) + 22d04: 00bfff04 movi r2,-4 + 22d08: 80c00117 ldw r3,4(r16) + 22d0c: 1886703a and r3,r3,r2 + 22d10: 003f9106 br 22b58 <_gp+0xfffe6620> + 22d14: 60800217 ldw r2,8(r12) + 22d18: 213fffc4 addi r4,r4,-1 + 22d1c: 1300651e bne r2,r12,22eb4 <_malloc_r+0x7f4> + 22d20: 208000cc andi r2,r4,3 + 22d24: 633ffe04 addi r12,r12,-8 + 22d28: 103ffa1e bne r2,zero,22d14 <_gp+0xfffe67dc> + 22d2c: 98800117 ldw r2,4(r19) + 22d30: 0146303a nor r3,zero,r5 + 22d34: 1884703a and r2,r3,r2 + 22d38: 98800115 stw r2,4(r19) + 22d3c: 294b883a add r5,r5,r5 + 22d40: 117f2836 bltu r2,r5,229e4 <_gp+0xfffe64ac> + 22d44: 283f2726 beq r5,zero,229e4 <_gp+0xfffe64ac> + 22d48: 2886703a and r3,r5,r2 + 22d4c: 5809883a mov r4,r11 + 22d50: 183ec31e bne r3,zero,22860 <_gp+0xfffe6328> + 22d54: 294b883a add r5,r5,r5 + 22d58: 2886703a and r3,r5,r2 + 22d5c: 21000104 addi r4,r4,4 + 22d60: 183ffc26 beq r3,zero,22d54 <_gp+0xfffe681c> + 22d64: 003ebe06 br 22860 <_gp+0xfffe6328> + 22d68: 00c05504 movi r3,340 + 22d6c: 18801236 bltu r3,r2,22db8 <_malloc_r+0x6f8> + 22d70: 8804d3fa srli r2,r17,15 + 22d74: 12001e04 addi r8,r2,120 + 22d78: 11c01dc4 addi r7,r2,119 + 22d7c: 4209883a add r4,r8,r8 + 22d80: 003e6d06 br 22738 <_gp+0xfffe6200> + 22d84: 00c40004 movi r3,4096 + 22d88: 003f4606 br 22aa4 <_gp+0xfffe656c> + 22d8c: 00800044 movi r2,1 + 22d90: a0800115 stw r2,4(r20) + 22d94: 003f7406 br 22b68 <_gp+0xfffe6630> + 22d98: 1805d0ba srai r2,r3,2 + 22d9c: 01c00044 movi r7,1 + 22da0: 30c00117 ldw r3,4(r6) + 22da4: 388e983a sll r7,r7,r2 + 22da8: 2805883a mov r2,r5 + 22dac: 38c6b03a or r3,r7,r3 + 22db0: 30c00115 stw r3,4(r6) + 22db4: 003f9b06 br 22c24 <_gp+0xfffe66ec> + 22db8: 00c15504 movi r3,1364 + 22dbc: 18801a36 bltu r3,r2,22e28 <_malloc_r+0x768> + 22dc0: 8804d4ba srli r2,r17,18 + 22dc4: 12001f44 addi r8,r2,125 + 22dc8: 11c01f04 addi r7,r2,124 + 22dcc: 4209883a add r4,r8,r8 + 22dd0: 003e5906 br 22738 <_gp+0xfffe6200> + 22dd4: ad400404 addi r21,r21,16 + 22dd8: 003f1706 br 22a38 <_gp+0xfffe6500> + 22ddc: 00c01504 movi r3,84 + 22de0: 18802336 bltu r3,r2,22e70 <_malloc_r+0x7b0> + 22de4: 3804d33a srli r2,r7,12 + 22de8: 11401bc4 addi r5,r2,111 + 22dec: 10c01b84 addi r3,r2,110 + 22df0: 294b883a add r5,r5,r5 + 22df4: 003f7b06 br 22be4 <_gp+0xfffe66ac> + 22df8: 9c000217 ldw r16,8(r19) + 22dfc: 00bfff04 movi r2,-4 + 22e00: 80c00117 ldw r3,4(r16) + 22e04: 1886703a and r3,r3,r2 + 22e08: 003f5306 br 22b58 <_gp+0xfffe6620> + 22e0c: 3083ffcc andi r2,r6,4095 + 22e10: 103f181e bne r2,zero,22a74 <_gp+0xfffe653c> + 22e14: 99000217 ldw r4,8(r19) + 22e18: b545883a add r2,r22,r21 + 22e1c: 10800054 ori r2,r2,1 + 22e20: 20800115 stw r2,4(r4) + 22e24: 003f3e06 br 22b20 <_gp+0xfffe65e8> + 22e28: 01003f84 movi r4,254 + 22e2c: 02001fc4 movi r8,127 + 22e30: 01c01f84 movi r7,126 + 22e34: 003e4006 br 22738 <_gp+0xfffe6200> + 22e38: 008000f4 movhi r2,3 + 22e3c: 10915104 addi r2,r2,17732 + 22e40: 15000015 stw r20,0(r2) + 22e44: 003f1106 br 22a8c <_gp+0xfffe6554> + 22e48: 00800044 movi r2,1 + 22e4c: 002b883a mov r21,zero + 22e50: 003f1f06 br 22ad0 <_gp+0xfffe6598> + 22e54: 81400204 addi r5,r16,8 + 22e58: 9009883a mov r4,r18 + 22e5c: 00276580 call 27658 <_free_r> + 22e60: 008000f4 movhi r2,3 + 22e64: 109a1704 addi r2,r2,26716 + 22e68: 10c00017 ldw r3,0(r2) + 22e6c: 003f2c06 br 22b20 <_gp+0xfffe65e8> + 22e70: 00c05504 movi r3,340 + 22e74: 18800536 bltu r3,r2,22e8c <_malloc_r+0x7cc> + 22e78: 3804d3fa srli r2,r7,15 + 22e7c: 11401e04 addi r5,r2,120 + 22e80: 10c01dc4 addi r3,r2,119 + 22e84: 294b883a add r5,r5,r5 + 22e88: 003f5606 br 22be4 <_gp+0xfffe66ac> + 22e8c: 00c15504 movi r3,1364 + 22e90: 18800536 bltu r3,r2,22ea8 <_malloc_r+0x7e8> + 22e94: 3804d4ba srli r2,r7,18 + 22e98: 11401f44 addi r5,r2,125 + 22e9c: 10c01f04 addi r3,r2,124 + 22ea0: 294b883a add r5,r5,r5 + 22ea4: 003f4f06 br 22be4 <_gp+0xfffe66ac> + 22ea8: 01403f84 movi r5,254 + 22eac: 00c01f84 movi r3,126 + 22eb0: 003f4c06 br 22be4 <_gp+0xfffe66ac> + 22eb4: 98800117 ldw r2,4(r19) + 22eb8: 003fa006 br 22d3c <_gp+0xfffe6804> + 22ebc: 8808d0fa srli r4,r17,3 + 22ec0: 20800044 addi r2,r4,1 + 22ec4: 1085883a add r2,r2,r2 + 22ec8: 003e9006 br 2290c <_gp+0xfffe63d4> -00022be8 : - 22be8: 008000f4 movhi r2,3 - 22bec: 10939f04 addi r2,r2,20092 - 22bf0: 200b883a mov r5,r4 - 22bf4: 11000017 ldw r4,0(r2) - 22bf8: 0027c1c1 jmpi 27c1c <_free_r> +00022ecc : + 22ecc: 20c000cc andi r3,r4,3 + 22ed0: 2005883a mov r2,r4 + 22ed4: 18004426 beq r3,zero,22fe8 + 22ed8: 31ffffc4 addi r7,r6,-1 + 22edc: 30004026 beq r6,zero,22fe0 + 22ee0: 2813883a mov r9,r5 + 22ee4: 200d883a mov r6,r4 + 22ee8: 2007883a mov r3,r4 + 22eec: 00000406 br 22f00 + 22ef0: 3a3fffc4 addi r8,r7,-1 + 22ef4: 31800044 addi r6,r6,1 + 22ef8: 38003926 beq r7,zero,22fe0 + 22efc: 400f883a mov r7,r8 + 22f00: 18c00044 addi r3,r3,1 + 22f04: 32400005 stb r9,0(r6) + 22f08: 1a0000cc andi r8,r3,3 + 22f0c: 403ff81e bne r8,zero,22ef0 <_gp+0xfffe69b8> + 22f10: 010000c4 movi r4,3 + 22f14: 21c02d2e bgeu r4,r7,22fcc + 22f18: 29003fcc andi r4,r5,255 + 22f1c: 200c923a slli r6,r4,8 + 22f20: 3108b03a or r4,r6,r4 + 22f24: 200c943a slli r6,r4,16 + 22f28: 218cb03a or r6,r4,r6 + 22f2c: 010003c4 movi r4,15 + 22f30: 21c0182e bgeu r4,r7,22f94 + 22f34: 3b3ffc04 addi r12,r7,-16 + 22f38: 6018d13a srli r12,r12,4 + 22f3c: 1a000104 addi r8,r3,4 + 22f40: 1ac00204 addi r11,r3,8 + 22f44: 6008913a slli r4,r12,4 + 22f48: 1a800304 addi r10,r3,12 + 22f4c: 1813883a mov r9,r3 + 22f50: 21000504 addi r4,r4,20 + 22f54: 1909883a add r4,r3,r4 + 22f58: 49800015 stw r6,0(r9) + 22f5c: 41800015 stw r6,0(r8) + 22f60: 59800015 stw r6,0(r11) + 22f64: 51800015 stw r6,0(r10) + 22f68: 42000404 addi r8,r8,16 + 22f6c: 4a400404 addi r9,r9,16 + 22f70: 5ac00404 addi r11,r11,16 + 22f74: 52800404 addi r10,r10,16 + 22f78: 413ff71e bne r8,r4,22f58 <_gp+0xfffe6a20> + 22f7c: 63000044 addi r12,r12,1 + 22f80: 6018913a slli r12,r12,4 + 22f84: 39c003cc andi r7,r7,15 + 22f88: 010000c4 movi r4,3 + 22f8c: 1b07883a add r3,r3,r12 + 22f90: 21c00e2e bgeu r4,r7,22fcc + 22f94: 1813883a mov r9,r3 + 22f98: 3811883a mov r8,r7 + 22f9c: 010000c4 movi r4,3 + 22fa0: 49800015 stw r6,0(r9) + 22fa4: 423fff04 addi r8,r8,-4 + 22fa8: 4a400104 addi r9,r9,4 + 22fac: 223ffc36 bltu r4,r8,22fa0 <_gp+0xfffe6a68> + 22fb0: 393fff04 addi r4,r7,-4 + 22fb4: 2008d0ba srli r4,r4,2 + 22fb8: 39c000cc andi r7,r7,3 + 22fbc: 21000044 addi r4,r4,1 + 22fc0: 2109883a add r4,r4,r4 + 22fc4: 2109883a add r4,r4,r4 + 22fc8: 1907883a add r3,r3,r4 + 22fcc: 38000526 beq r7,zero,22fe4 + 22fd0: 19cf883a add r7,r3,r7 + 22fd4: 19400005 stb r5,0(r3) + 22fd8: 18c00044 addi r3,r3,1 + 22fdc: 38fffd1e bne r7,r3,22fd4 <_gp+0xfffe6a9c> + 22fe0: f800283a ret + 22fe4: f800283a ret + 22fe8: 2007883a mov r3,r4 + 22fec: 300f883a mov r7,r6 + 22ff0: 003fc706 br 22f10 <_gp+0xfffe69d8> -00022bfc <_malloc_r>: - 22bfc: defff504 addi sp,sp,-44 - 22c00: dc800315 stw r18,12(sp) - 22c04: dfc00a15 stw ra,40(sp) - 22c08: df000915 stw fp,36(sp) - 22c0c: ddc00815 stw r23,32(sp) - 22c10: dd800715 stw r22,28(sp) - 22c14: dd400615 stw r21,24(sp) - 22c18: dd000515 stw r20,20(sp) - 22c1c: dcc00415 stw r19,16(sp) - 22c20: dc400215 stw r17,8(sp) - 22c24: dc000115 stw r16,4(sp) - 22c28: 288002c4 addi r2,r5,11 - 22c2c: 00c00584 movi r3,22 - 22c30: 2025883a mov r18,r4 - 22c34: 18807f2e bgeu r3,r2,22e34 <_malloc_r+0x238> - 22c38: 047ffe04 movi r17,-8 - 22c3c: 1462703a and r17,r2,r17 - 22c40: 8800a316 blt r17,zero,22ed0 <_malloc_r+0x2d4> - 22c44: 8940a236 bltu r17,r5,22ed0 <_malloc_r+0x2d4> - 22c48: 002fea40 call 2fea4 <__malloc_lock> - 22c4c: 00807dc4 movi r2,503 - 22c50: 1441e92e bgeu r2,r17,233f8 <_malloc_r+0x7fc> - 22c54: 8804d27a srli r2,r17,9 - 22c58: 1000a126 beq r2,zero,22ee0 <_malloc_r+0x2e4> - 22c5c: 00c00104 movi r3,4 - 22c60: 18811e36 bltu r3,r2,230dc <_malloc_r+0x4e0> - 22c64: 8804d1ba srli r2,r17,6 - 22c68: 12000e44 addi r8,r2,57 - 22c6c: 11c00e04 addi r7,r2,56 - 22c70: 4209883a add r4,r8,r8 - 22c74: 04c000f4 movhi r19,3 - 22c78: 2109883a add r4,r4,r4 - 22c7c: 9ccdf904 addi r19,r19,14308 - 22c80: 2109883a add r4,r4,r4 - 22c84: 9909883a add r4,r19,r4 - 22c88: 24000117 ldw r16,4(r4) - 22c8c: 213ffe04 addi r4,r4,-8 - 22c90: 24009726 beq r4,r16,22ef0 <_malloc_r+0x2f4> - 22c94: 80800117 ldw r2,4(r16) - 22c98: 01bfff04 movi r6,-4 - 22c9c: 014003c4 movi r5,15 - 22ca0: 1184703a and r2,r2,r6 - 22ca4: 1447c83a sub r3,r2,r17 - 22ca8: 28c00716 blt r5,r3,22cc8 <_malloc_r+0xcc> - 22cac: 1800920e bge r3,zero,22ef8 <_malloc_r+0x2fc> - 22cb0: 84000317 ldw r16,12(r16) - 22cb4: 24008e26 beq r4,r16,22ef0 <_malloc_r+0x2f4> - 22cb8: 80800117 ldw r2,4(r16) - 22cbc: 1184703a and r2,r2,r6 - 22cc0: 1447c83a sub r3,r2,r17 - 22cc4: 28fff90e bge r5,r3,22cac <_malloc_r+0xb0> - 22cc8: 3809883a mov r4,r7 - 22ccc: 018000f4 movhi r6,3 - 22cd0: 9c000417 ldw r16,16(r19) - 22cd4: 318df904 addi r6,r6,14308 - 22cd8: 32000204 addi r8,r6,8 - 22cdc: 82013426 beq r16,r8,231b0 <_malloc_r+0x5b4> - 22ce0: 80c00117 ldw r3,4(r16) - 22ce4: 00bfff04 movi r2,-4 - 22ce8: 188e703a and r7,r3,r2 - 22cec: 3c45c83a sub r2,r7,r17 - 22cf0: 00c003c4 movi r3,15 - 22cf4: 18811f16 blt r3,r2,23174 <_malloc_r+0x578> - 22cf8: 32000515 stw r8,20(r6) - 22cfc: 32000415 stw r8,16(r6) - 22d00: 10007f0e bge r2,zero,22f00 <_malloc_r+0x304> - 22d04: 00807fc4 movi r2,511 - 22d08: 11c0fd36 bltu r2,r7,23100 <_malloc_r+0x504> - 22d0c: 3806d0fa srli r3,r7,3 - 22d10: 01c00044 movi r7,1 - 22d14: 30800117 ldw r2,4(r6) - 22d18: 19400044 addi r5,r3,1 - 22d1c: 294b883a add r5,r5,r5 - 22d20: 1807d0ba srai r3,r3,2 - 22d24: 294b883a add r5,r5,r5 - 22d28: 294b883a add r5,r5,r5 - 22d2c: 298b883a add r5,r5,r6 - 22d30: 38c6983a sll r3,r7,r3 - 22d34: 29c00017 ldw r7,0(r5) - 22d38: 2a7ffe04 addi r9,r5,-8 - 22d3c: 1886b03a or r3,r3,r2 - 22d40: 82400315 stw r9,12(r16) - 22d44: 81c00215 stw r7,8(r16) - 22d48: 30c00115 stw r3,4(r6) - 22d4c: 2c000015 stw r16,0(r5) - 22d50: 3c000315 stw r16,12(r7) - 22d54: 2005d0ba srai r2,r4,2 - 22d58: 01400044 movi r5,1 - 22d5c: 288a983a sll r5,r5,r2 - 22d60: 19406f36 bltu r3,r5,22f20 <_malloc_r+0x324> - 22d64: 28c4703a and r2,r5,r3 - 22d68: 10000a1e bne r2,zero,22d94 <_malloc_r+0x198> - 22d6c: 00bfff04 movi r2,-4 - 22d70: 294b883a add r5,r5,r5 - 22d74: 2088703a and r4,r4,r2 - 22d78: 28c4703a and r2,r5,r3 - 22d7c: 21000104 addi r4,r4,4 - 22d80: 1000041e bne r2,zero,22d94 <_malloc_r+0x198> - 22d84: 294b883a add r5,r5,r5 - 22d88: 28c4703a and r2,r5,r3 - 22d8c: 21000104 addi r4,r4,4 - 22d90: 103ffc26 beq r2,zero,22d84 <_malloc_r+0x188> - 22d94: 02bfff04 movi r10,-4 - 22d98: 024003c4 movi r9,15 - 22d9c: 21800044 addi r6,r4,1 - 22da0: 318d883a add r6,r6,r6 - 22da4: 318d883a add r6,r6,r6 - 22da8: 318d883a add r6,r6,r6 - 22dac: 998d883a add r6,r19,r6 - 22db0: 333ffe04 addi r12,r6,-8 - 22db4: 2017883a mov r11,r4 - 22db8: 31800104 addi r6,r6,4 - 22dbc: 34000017 ldw r16,0(r6) - 22dc0: 31fffd04 addi r7,r6,-12 - 22dc4: 81c0041e bne r16,r7,22dd8 <_malloc_r+0x1dc> - 22dc8: 0000fb06 br 231b8 <_malloc_r+0x5bc> - 22dcc: 1801030e bge r3,zero,231dc <_malloc_r+0x5e0> - 22dd0: 84000317 ldw r16,12(r16) - 22dd4: 81c0f826 beq r16,r7,231b8 <_malloc_r+0x5bc> - 22dd8: 80800117 ldw r2,4(r16) - 22ddc: 1284703a and r2,r2,r10 - 22de0: 1447c83a sub r3,r2,r17 - 22de4: 48fff90e bge r9,r3,22dcc <_malloc_r+0x1d0> - 22de8: 80800317 ldw r2,12(r16) - 22dec: 81000217 ldw r4,8(r16) - 22df0: 89400054 ori r5,r17,1 - 22df4: 81400115 stw r5,4(r16) - 22df8: 20800315 stw r2,12(r4) - 22dfc: 11000215 stw r4,8(r2) - 22e00: 8463883a add r17,r16,r17 - 22e04: 9c400515 stw r17,20(r19) - 22e08: 9c400415 stw r17,16(r19) - 22e0c: 18800054 ori r2,r3,1 - 22e10: 88800115 stw r2,4(r17) - 22e14: 8a000315 stw r8,12(r17) - 22e18: 8a000215 stw r8,8(r17) - 22e1c: 88e3883a add r17,r17,r3 - 22e20: 88c00015 stw r3,0(r17) - 22e24: 9009883a mov r4,r18 - 22e28: 002fec80 call 2fec8 <__malloc_unlock> - 22e2c: 80800204 addi r2,r16,8 - 22e30: 00001b06 br 22ea0 <_malloc_r+0x2a4> - 22e34: 04400404 movi r17,16 - 22e38: 89402536 bltu r17,r5,22ed0 <_malloc_r+0x2d4> - 22e3c: 002fea40 call 2fea4 <__malloc_lock> - 22e40: 00800184 movi r2,6 - 22e44: 01000084 movi r4,2 - 22e48: 04c000f4 movhi r19,3 - 22e4c: 1085883a add r2,r2,r2 - 22e50: 9ccdf904 addi r19,r19,14308 - 22e54: 1085883a add r2,r2,r2 - 22e58: 9885883a add r2,r19,r2 - 22e5c: 14000117 ldw r16,4(r2) - 22e60: 10fffe04 addi r3,r2,-8 - 22e64: 80c0d926 beq r16,r3,231cc <_malloc_r+0x5d0> - 22e68: 80c00117 ldw r3,4(r16) - 22e6c: 81000317 ldw r4,12(r16) - 22e70: 00bfff04 movi r2,-4 - 22e74: 1884703a and r2,r3,r2 - 22e78: 81400217 ldw r5,8(r16) - 22e7c: 8085883a add r2,r16,r2 - 22e80: 10c00117 ldw r3,4(r2) - 22e84: 29000315 stw r4,12(r5) - 22e88: 21400215 stw r5,8(r4) - 22e8c: 18c00054 ori r3,r3,1 - 22e90: 10c00115 stw r3,4(r2) - 22e94: 9009883a mov r4,r18 - 22e98: 002fec80 call 2fec8 <__malloc_unlock> - 22e9c: 80800204 addi r2,r16,8 - 22ea0: dfc00a17 ldw ra,40(sp) - 22ea4: df000917 ldw fp,36(sp) - 22ea8: ddc00817 ldw r23,32(sp) - 22eac: dd800717 ldw r22,28(sp) - 22eb0: dd400617 ldw r21,24(sp) - 22eb4: dd000517 ldw r20,20(sp) - 22eb8: dcc00417 ldw r19,16(sp) - 22ebc: dc800317 ldw r18,12(sp) - 22ec0: dc400217 ldw r17,8(sp) - 22ec4: dc000117 ldw r16,4(sp) - 22ec8: dec00b04 addi sp,sp,44 - 22ecc: f800283a ret - 22ed0: 00800304 movi r2,12 - 22ed4: 90800015 stw r2,0(r18) - 22ed8: 0005883a mov r2,zero - 22edc: 003ff006 br 22ea0 <_malloc_r+0x2a4> - 22ee0: 01002004 movi r4,128 - 22ee4: 02001004 movi r8,64 - 22ee8: 01c00fc4 movi r7,63 - 22eec: 003f6106 br 22c74 <_malloc_r+0x78> - 22ef0: 4009883a mov r4,r8 - 22ef4: 003f7506 br 22ccc <_malloc_r+0xd0> - 22ef8: 81000317 ldw r4,12(r16) - 22efc: 003fde06 br 22e78 <_malloc_r+0x27c> - 22f00: 81c5883a add r2,r16,r7 - 22f04: 11400117 ldw r5,4(r2) - 22f08: 9009883a mov r4,r18 - 22f0c: 29400054 ori r5,r5,1 - 22f10: 11400115 stw r5,4(r2) - 22f14: 002fec80 call 2fec8 <__malloc_unlock> - 22f18: 80800204 addi r2,r16,8 - 22f1c: 003fe006 br 22ea0 <_malloc_r+0x2a4> - 22f20: 9c000217 ldw r16,8(r19) - 22f24: 00bfff04 movi r2,-4 - 22f28: 85800117 ldw r22,4(r16) - 22f2c: b0ac703a and r22,r22,r2 - 22f30: b4400336 bltu r22,r17,22f40 <_malloc_r+0x344> - 22f34: b445c83a sub r2,r22,r17 - 22f38: 00c003c4 movi r3,15 - 22f3c: 18805d16 blt r3,r2,230b4 <_malloc_r+0x4b8> - 22f40: 05c000f4 movhi r23,3 - 22f44: 008000f4 movhi r2,3 - 22f48: 109c5804 addi r2,r2,29024 - 22f4c: bdd3a004 addi r23,r23,20096 - 22f50: 15400017 ldw r21,0(r2) - 22f54: b8c00017 ldw r3,0(r23) - 22f58: 00bfffc4 movi r2,-1 - 22f5c: 858d883a add r6,r16,r22 - 22f60: 8d6b883a add r21,r17,r21 - 22f64: 1880ea26 beq r3,r2,23310 <_malloc_r+0x714> - 22f68: ad4403c4 addi r21,r21,4111 - 22f6c: 00bc0004 movi r2,-4096 - 22f70: a8aa703a and r21,r21,r2 - 22f74: a80b883a mov r5,r21 - 22f78: 9009883a mov r4,r18 - 22f7c: d9800015 stw r6,0(sp) - 22f80: 00237180 call 23718 <_sbrk_r> - 22f84: 1029883a mov r20,r2 - 22f88: 00bfffc4 movi r2,-1 - 22f8c: d9800017 ldw r6,0(sp) - 22f90: a080e826 beq r20,r2,23334 <_malloc_r+0x738> - 22f94: a180a636 bltu r20,r6,23230 <_malloc_r+0x634> - 22f98: 070000f4 movhi fp,3 - 22f9c: e71c6404 addi fp,fp,29072 - 22fa0: e0800017 ldw r2,0(fp) - 22fa4: a887883a add r3,r21,r2 - 22fa8: e0c00015 stw r3,0(fp) - 22fac: 3500e626 beq r6,r20,23348 <_malloc_r+0x74c> - 22fb0: b9000017 ldw r4,0(r23) - 22fb4: 00bfffc4 movi r2,-1 - 22fb8: 2080ee26 beq r4,r2,23374 <_malloc_r+0x778> - 22fbc: a185c83a sub r2,r20,r6 - 22fc0: 10c5883a add r2,r2,r3 - 22fc4: e0800015 stw r2,0(fp) - 22fc8: a0c001cc andi r3,r20,7 - 22fcc: 1800bc26 beq r3,zero,232c0 <_malloc_r+0x6c4> - 22fd0: a0e9c83a sub r20,r20,r3 - 22fd4: 00840204 movi r2,4104 - 22fd8: a5000204 addi r20,r20,8 - 22fdc: 10c7c83a sub r3,r2,r3 - 22fe0: a545883a add r2,r20,r21 - 22fe4: 1083ffcc andi r2,r2,4095 - 22fe8: 18abc83a sub r21,r3,r2 - 22fec: a80b883a mov r5,r21 - 22ff0: 9009883a mov r4,r18 - 22ff4: 00237180 call 23718 <_sbrk_r> - 22ff8: 00ffffc4 movi r3,-1 - 22ffc: 10c0e126 beq r2,r3,23384 <_malloc_r+0x788> - 23000: 1505c83a sub r2,r2,r20 - 23004: 1545883a add r2,r2,r21 - 23008: 10800054 ori r2,r2,1 - 2300c: e0c00017 ldw r3,0(fp) - 23010: 9d000215 stw r20,8(r19) - 23014: a0800115 stw r2,4(r20) - 23018: a8c7883a add r3,r21,r3 - 2301c: e0c00015 stw r3,0(fp) - 23020: 84c00e26 beq r16,r19,2305c <_malloc_r+0x460> - 23024: 018003c4 movi r6,15 - 23028: 3580a72e bgeu r6,r22,232c8 <_malloc_r+0x6cc> - 2302c: 81400117 ldw r5,4(r16) - 23030: 013ffe04 movi r4,-8 - 23034: b0bffd04 addi r2,r22,-12 - 23038: 1104703a and r2,r2,r4 - 2303c: 2900004c andi r4,r5,1 - 23040: 2088b03a or r4,r4,r2 - 23044: 81000115 stw r4,4(r16) - 23048: 01400144 movi r5,5 - 2304c: 8089883a add r4,r16,r2 - 23050: 21400115 stw r5,4(r4) - 23054: 21400215 stw r5,8(r4) - 23058: 3080cd36 bltu r6,r2,23390 <_malloc_r+0x794> - 2305c: 008000f4 movhi r2,3 - 23060: 109c5704 addi r2,r2,29020 - 23064: 11000017 ldw r4,0(r2) - 23068: 20c0012e bgeu r4,r3,23070 <_malloc_r+0x474> - 2306c: 10c00015 stw r3,0(r2) - 23070: 008000f4 movhi r2,3 - 23074: 109c5604 addi r2,r2,29016 - 23078: 11000017 ldw r4,0(r2) - 2307c: 9c000217 ldw r16,8(r19) - 23080: 20c0012e bgeu r4,r3,23088 <_malloc_r+0x48c> - 23084: 10c00015 stw r3,0(r2) - 23088: 80c00117 ldw r3,4(r16) - 2308c: 00bfff04 movi r2,-4 - 23090: 1886703a and r3,r3,r2 - 23094: 1c45c83a sub r2,r3,r17 - 23098: 1c400236 bltu r3,r17,230a4 <_malloc_r+0x4a8> - 2309c: 00c003c4 movi r3,15 - 230a0: 18800416 blt r3,r2,230b4 <_malloc_r+0x4b8> - 230a4: 9009883a mov r4,r18 - 230a8: 002fec80 call 2fec8 <__malloc_unlock> - 230ac: 0005883a mov r2,zero - 230b0: 003f7b06 br 22ea0 <_malloc_r+0x2a4> - 230b4: 88c00054 ori r3,r17,1 - 230b8: 80c00115 stw r3,4(r16) - 230bc: 8463883a add r17,r16,r17 - 230c0: 10800054 ori r2,r2,1 - 230c4: 9c400215 stw r17,8(r19) - 230c8: 88800115 stw r2,4(r17) - 230cc: 9009883a mov r4,r18 - 230d0: 002fec80 call 2fec8 <__malloc_unlock> - 230d4: 80800204 addi r2,r16,8 - 230d8: 003f7106 br 22ea0 <_malloc_r+0x2a4> - 230dc: 00c00504 movi r3,20 - 230e0: 18804a2e bgeu r3,r2,2320c <_malloc_r+0x610> - 230e4: 00c01504 movi r3,84 - 230e8: 18806e36 bltu r3,r2,232a4 <_malloc_r+0x6a8> - 230ec: 8804d33a srli r2,r17,12 - 230f0: 12001bc4 addi r8,r2,111 - 230f4: 11c01b84 addi r7,r2,110 - 230f8: 4209883a add r4,r8,r8 - 230fc: 003edd06 br 22c74 <_malloc_r+0x78> - 23100: 3804d27a srli r2,r7,9 - 23104: 00c00104 movi r3,4 - 23108: 1880442e bgeu r3,r2,2321c <_malloc_r+0x620> - 2310c: 00c00504 movi r3,20 - 23110: 18808136 bltu r3,r2,23318 <_malloc_r+0x71c> - 23114: 11401704 addi r5,r2,92 - 23118: 10c016c4 addi r3,r2,91 - 2311c: 294b883a add r5,r5,r5 - 23120: 294b883a add r5,r5,r5 - 23124: 294b883a add r5,r5,r5 - 23128: 994b883a add r5,r19,r5 - 2312c: 28800017 ldw r2,0(r5) - 23130: 018000f4 movhi r6,3 - 23134: 297ffe04 addi r5,r5,-8 - 23138: 318df904 addi r6,r6,14308 - 2313c: 28806526 beq r5,r2,232d4 <_malloc_r+0x6d8> - 23140: 01bfff04 movi r6,-4 - 23144: 10c00117 ldw r3,4(r2) - 23148: 1986703a and r3,r3,r6 - 2314c: 38c0022e bgeu r7,r3,23158 <_malloc_r+0x55c> - 23150: 10800217 ldw r2,8(r2) - 23154: 28bffb1e bne r5,r2,23144 <_malloc_r+0x548> - 23158: 11400317 ldw r5,12(r2) - 2315c: 98c00117 ldw r3,4(r19) - 23160: 81400315 stw r5,12(r16) - 23164: 80800215 stw r2,8(r16) - 23168: 2c000215 stw r16,8(r5) - 2316c: 14000315 stw r16,12(r2) - 23170: 003ef806 br 22d54 <_malloc_r+0x158> - 23174: 88c00054 ori r3,r17,1 - 23178: 80c00115 stw r3,4(r16) - 2317c: 8463883a add r17,r16,r17 - 23180: 34400515 stw r17,20(r6) - 23184: 34400415 stw r17,16(r6) - 23188: 10c00054 ori r3,r2,1 - 2318c: 8a000315 stw r8,12(r17) - 23190: 8a000215 stw r8,8(r17) - 23194: 88c00115 stw r3,4(r17) - 23198: 88a3883a add r17,r17,r2 - 2319c: 88800015 stw r2,0(r17) - 231a0: 9009883a mov r4,r18 - 231a4: 002fec80 call 2fec8 <__malloc_unlock> - 231a8: 80800204 addi r2,r16,8 - 231ac: 003f3c06 br 22ea0 <_malloc_r+0x2a4> - 231b0: 30c00117 ldw r3,4(r6) - 231b4: 003ee706 br 22d54 <_malloc_r+0x158> - 231b8: 5ac00044 addi r11,r11,1 - 231bc: 588000cc andi r2,r11,3 - 231c0: 31800204 addi r6,r6,8 - 231c4: 103efd1e bne r2,zero,22dbc <_malloc_r+0x1c0> - 231c8: 00002406 br 2325c <_malloc_r+0x660> - 231cc: 14000317 ldw r16,12(r2) - 231d0: 143f251e bne r2,r16,22e68 <_malloc_r+0x26c> - 231d4: 21000084 addi r4,r4,2 - 231d8: 003ebc06 br 22ccc <_malloc_r+0xd0> - 231dc: 8085883a add r2,r16,r2 - 231e0: 10c00117 ldw r3,4(r2) - 231e4: 81000317 ldw r4,12(r16) - 231e8: 81400217 ldw r5,8(r16) - 231ec: 18c00054 ori r3,r3,1 - 231f0: 10c00115 stw r3,4(r2) - 231f4: 29000315 stw r4,12(r5) - 231f8: 21400215 stw r5,8(r4) - 231fc: 9009883a mov r4,r18 - 23200: 002fec80 call 2fec8 <__malloc_unlock> - 23204: 80800204 addi r2,r16,8 - 23208: 003f2506 br 22ea0 <_malloc_r+0x2a4> - 2320c: 12001704 addi r8,r2,92 - 23210: 11c016c4 addi r7,r2,91 - 23214: 4209883a add r4,r8,r8 - 23218: 003e9606 br 22c74 <_malloc_r+0x78> - 2321c: 3804d1ba srli r2,r7,6 - 23220: 11400e44 addi r5,r2,57 - 23224: 10c00e04 addi r3,r2,56 - 23228: 294b883a add r5,r5,r5 - 2322c: 003fbc06 br 23120 <_malloc_r+0x524> - 23230: 84ff5926 beq r16,r19,22f98 <_malloc_r+0x39c> - 23234: 008000f4 movhi r2,3 - 23238: 108df904 addi r2,r2,14308 - 2323c: 14000217 ldw r16,8(r2) - 23240: 00bfff04 movi r2,-4 - 23244: 80c00117 ldw r3,4(r16) - 23248: 1886703a and r3,r3,r2 - 2324c: 003f9106 br 23094 <_malloc_r+0x498> - 23250: 60800217 ldw r2,8(r12) - 23254: 213fffc4 addi r4,r4,-1 - 23258: 1300651e bne r2,r12,233f0 <_malloc_r+0x7f4> - 2325c: 208000cc andi r2,r4,3 - 23260: 633ffe04 addi r12,r12,-8 - 23264: 103ffa1e bne r2,zero,23250 <_malloc_r+0x654> - 23268: 98800117 ldw r2,4(r19) - 2326c: 0146303a nor r3,zero,r5 - 23270: 1884703a and r2,r3,r2 - 23274: 98800115 stw r2,4(r19) - 23278: 294b883a add r5,r5,r5 - 2327c: 117f2836 bltu r2,r5,22f20 <_malloc_r+0x324> - 23280: 283f2726 beq r5,zero,22f20 <_malloc_r+0x324> - 23284: 2886703a and r3,r5,r2 - 23288: 5809883a mov r4,r11 - 2328c: 183ec31e bne r3,zero,22d9c <_malloc_r+0x1a0> - 23290: 294b883a add r5,r5,r5 - 23294: 2886703a and r3,r5,r2 - 23298: 21000104 addi r4,r4,4 - 2329c: 183ffc26 beq r3,zero,23290 <_malloc_r+0x694> - 232a0: 003ebe06 br 22d9c <_malloc_r+0x1a0> - 232a4: 00c05504 movi r3,340 - 232a8: 18801236 bltu r3,r2,232f4 <_malloc_r+0x6f8> - 232ac: 8804d3fa srli r2,r17,15 - 232b0: 12001e04 addi r8,r2,120 - 232b4: 11c01dc4 addi r7,r2,119 - 232b8: 4209883a add r4,r8,r8 - 232bc: 003e6d06 br 22c74 <_malloc_r+0x78> - 232c0: 00c40004 movi r3,4096 - 232c4: 003f4606 br 22fe0 <_malloc_r+0x3e4> - 232c8: 00800044 movi r2,1 - 232cc: a0800115 stw r2,4(r20) - 232d0: 003f7406 br 230a4 <_malloc_r+0x4a8> - 232d4: 1805d0ba srai r2,r3,2 - 232d8: 01c00044 movi r7,1 - 232dc: 30c00117 ldw r3,4(r6) - 232e0: 388e983a sll r7,r7,r2 - 232e4: 2805883a mov r2,r5 - 232e8: 38c6b03a or r3,r7,r3 - 232ec: 30c00115 stw r3,4(r6) - 232f0: 003f9b06 br 23160 <_malloc_r+0x564> - 232f4: 00c15504 movi r3,1364 - 232f8: 18801a36 bltu r3,r2,23364 <_malloc_r+0x768> - 232fc: 8804d4ba srli r2,r17,18 - 23300: 12001f44 addi r8,r2,125 - 23304: 11c01f04 addi r7,r2,124 - 23308: 4209883a add r4,r8,r8 - 2330c: 003e5906 br 22c74 <_malloc_r+0x78> - 23310: ad400404 addi r21,r21,16 - 23314: 003f1706 br 22f74 <_malloc_r+0x378> - 23318: 00c01504 movi r3,84 - 2331c: 18802336 bltu r3,r2,233ac <_malloc_r+0x7b0> - 23320: 3804d33a srli r2,r7,12 - 23324: 11401bc4 addi r5,r2,111 - 23328: 10c01b84 addi r3,r2,110 - 2332c: 294b883a add r5,r5,r5 - 23330: 003f7b06 br 23120 <_malloc_r+0x524> - 23334: 9c000217 ldw r16,8(r19) - 23338: 00bfff04 movi r2,-4 - 2333c: 80c00117 ldw r3,4(r16) - 23340: 1886703a and r3,r3,r2 - 23344: 003f5306 br 23094 <_malloc_r+0x498> - 23348: 3083ffcc andi r2,r6,4095 - 2334c: 103f181e bne r2,zero,22fb0 <_malloc_r+0x3b4> - 23350: 99000217 ldw r4,8(r19) - 23354: b545883a add r2,r22,r21 - 23358: 10800054 ori r2,r2,1 - 2335c: 20800115 stw r2,4(r4) - 23360: 003f3e06 br 2305c <_malloc_r+0x460> - 23364: 01003f84 movi r4,254 - 23368: 02001fc4 movi r8,127 - 2336c: 01c01f84 movi r7,126 - 23370: 003e4006 br 22c74 <_malloc_r+0x78> - 23374: 008000f4 movhi r2,3 - 23378: 1093a004 addi r2,r2,20096 - 2337c: 15000015 stw r20,0(r2) - 23380: 003f1106 br 22fc8 <_malloc_r+0x3cc> - 23384: 00800044 movi r2,1 - 23388: 002b883a mov r21,zero - 2338c: 003f1f06 br 2300c <_malloc_r+0x410> - 23390: 81400204 addi r5,r16,8 - 23394: 9009883a mov r4,r18 - 23398: 0027c1c0 call 27c1c <_free_r> - 2339c: 008000f4 movhi r2,3 - 233a0: 109c6404 addi r2,r2,29072 - 233a4: 10c00017 ldw r3,0(r2) - 233a8: 003f2c06 br 2305c <_malloc_r+0x460> - 233ac: 00c05504 movi r3,340 - 233b0: 18800536 bltu r3,r2,233c8 <_malloc_r+0x7cc> - 233b4: 3804d3fa srli r2,r7,15 - 233b8: 11401e04 addi r5,r2,120 - 233bc: 10c01dc4 addi r3,r2,119 - 233c0: 294b883a add r5,r5,r5 - 233c4: 003f5606 br 23120 <_malloc_r+0x524> - 233c8: 00c15504 movi r3,1364 - 233cc: 18800536 bltu r3,r2,233e4 <_malloc_r+0x7e8> - 233d0: 3804d4ba srli r2,r7,18 - 233d4: 11401f44 addi r5,r2,125 - 233d8: 10c01f04 addi r3,r2,124 - 233dc: 294b883a add r5,r5,r5 - 233e0: 003f4f06 br 23120 <_malloc_r+0x524> - 233e4: 01403f84 movi r5,254 - 233e8: 00c01f84 movi r3,126 - 233ec: 003f4c06 br 23120 <_malloc_r+0x524> - 233f0: 98800117 ldw r2,4(r19) - 233f4: 003fa006 br 23278 <_malloc_r+0x67c> - 233f8: 8808d0fa srli r4,r17,3 - 233fc: 20800044 addi r2,r4,1 - 23400: 1085883a add r2,r2,r2 - 23404: 003e9006 br 22e48 <_malloc_r+0x24c> +00022ff4 <_printf_r>: + 22ff4: defffd04 addi sp,sp,-12 + 22ff8: 2805883a mov r2,r5 + 22ffc: dfc00015 stw ra,0(sp) + 23000: d9800115 stw r6,4(sp) + 23004: d9c00215 stw r7,8(sp) + 23008: 21400217 ldw r5,8(r4) + 2300c: d9c00104 addi r7,sp,4 + 23010: 100d883a mov r6,r2 + 23014: 00232400 call 23240 <___vfprintf_internal_r> + 23018: dfc00017 ldw ra,0(sp) + 2301c: dec00304 addi sp,sp,12 + 23020: f800283a ret -00023408 : - 23408: 20c000cc andi r3,r4,3 - 2340c: 2005883a mov r2,r4 - 23410: 18004426 beq r3,zero,23524 - 23414: 31ffffc4 addi r7,r6,-1 - 23418: 30004026 beq r6,zero,2351c - 2341c: 2813883a mov r9,r5 - 23420: 200d883a mov r6,r4 - 23424: 2007883a mov r3,r4 - 23428: 00000406 br 2343c - 2342c: 3a3fffc4 addi r8,r7,-1 - 23430: 31800044 addi r6,r6,1 - 23434: 38003926 beq r7,zero,2351c - 23438: 400f883a mov r7,r8 - 2343c: 18c00044 addi r3,r3,1 - 23440: 32400005 stb r9,0(r6) - 23444: 1a0000cc andi r8,r3,3 - 23448: 403ff81e bne r8,zero,2342c - 2344c: 010000c4 movi r4,3 - 23450: 21c02d2e bgeu r4,r7,23508 - 23454: 29003fcc andi r4,r5,255 - 23458: 200c923a slli r6,r4,8 - 2345c: 3108b03a or r4,r6,r4 - 23460: 200c943a slli r6,r4,16 - 23464: 218cb03a or r6,r4,r6 - 23468: 010003c4 movi r4,15 - 2346c: 21c0182e bgeu r4,r7,234d0 - 23470: 3b3ffc04 addi r12,r7,-16 - 23474: 6018d13a srli r12,r12,4 - 23478: 1a000104 addi r8,r3,4 - 2347c: 1ac00204 addi r11,r3,8 - 23480: 6008913a slli r4,r12,4 - 23484: 1a800304 addi r10,r3,12 - 23488: 1813883a mov r9,r3 - 2348c: 21000504 addi r4,r4,20 - 23490: 1909883a add r4,r3,r4 - 23494: 49800015 stw r6,0(r9) - 23498: 41800015 stw r6,0(r8) - 2349c: 59800015 stw r6,0(r11) - 234a0: 51800015 stw r6,0(r10) - 234a4: 42000404 addi r8,r8,16 - 234a8: 4a400404 addi r9,r9,16 - 234ac: 5ac00404 addi r11,r11,16 - 234b0: 52800404 addi r10,r10,16 - 234b4: 413ff71e bne r8,r4,23494 - 234b8: 63000044 addi r12,r12,1 - 234bc: 6018913a slli r12,r12,4 - 234c0: 39c003cc andi r7,r7,15 - 234c4: 010000c4 movi r4,3 - 234c8: 1b07883a add r3,r3,r12 - 234cc: 21c00e2e bgeu r4,r7,23508 - 234d0: 1813883a mov r9,r3 - 234d4: 3811883a mov r8,r7 - 234d8: 010000c4 movi r4,3 - 234dc: 49800015 stw r6,0(r9) - 234e0: 423fff04 addi r8,r8,-4 - 234e4: 4a400104 addi r9,r9,4 - 234e8: 223ffc36 bltu r4,r8,234dc - 234ec: 393fff04 addi r4,r7,-4 - 234f0: 2008d0ba srli r4,r4,2 - 234f4: 39c000cc andi r7,r7,3 - 234f8: 21000044 addi r4,r4,1 - 234fc: 2109883a add r4,r4,r4 - 23500: 2109883a add r4,r4,r4 - 23504: 1907883a add r3,r3,r4 - 23508: 38000526 beq r7,zero,23520 - 2350c: 19cf883a add r7,r3,r7 - 23510: 19400005 stb r5,0(r3) - 23514: 18c00044 addi r3,r3,1 - 23518: 38fffd1e bne r7,r3,23510 - 2351c: f800283a ret - 23520: f800283a ret - 23524: 2007883a mov r3,r4 - 23528: 300f883a mov r7,r6 - 2352c: 003fc706 br 2344c +00023024 : + 23024: defffc04 addi sp,sp,-16 + 23028: dfc00015 stw ra,0(sp) + 2302c: d9400115 stw r5,4(sp) + 23030: d9800215 stw r6,8(sp) + 23034: d9c00315 stw r7,12(sp) + 23038: 008000f4 movhi r2,3 + 2303c: 10915404 addi r2,r2,17744 + 23040: 10800017 ldw r2,0(r2) + 23044: 200b883a mov r5,r4 + 23048: d9800104 addi r6,sp,4 + 2304c: 11000217 ldw r4,8(r2) + 23050: 00254380 call 25438 <__vfprintf_internal> + 23054: dfc00017 ldw ra,0(sp) + 23058: dec00404 addi sp,sp,16 + 2305c: f800283a ret -00023530 <_printf_r>: - 23530: defffd04 addi sp,sp,-12 - 23534: 2805883a mov r2,r5 - 23538: dfc00015 stw ra,0(sp) - 2353c: d9800115 stw r6,4(sp) - 23540: d9c00215 stw r7,8(sp) - 23544: 21400217 ldw r5,8(r4) - 23548: d9c00104 addi r7,sp,4 - 2354c: 100d883a mov r6,r2 - 23550: 00238040 call 23804 <___vfprintf_internal_r> - 23554: dfc00017 ldw ra,0(sp) - 23558: dec00304 addi sp,sp,12 - 2355c: f800283a ret +00023060 <_putchar_r>: + 23060: 21800217 ldw r6,8(r4) + 23064: 00295c81 jmpi 295c8 <_putc_r> -00023560 : - 23560: defffc04 addi sp,sp,-16 - 23564: dfc00015 stw ra,0(sp) - 23568: d9400115 stw r5,4(sp) - 2356c: d9800215 stw r6,8(sp) - 23570: d9c00315 stw r7,12(sp) - 23574: 008000f4 movhi r2,3 - 23578: 10939f04 addi r2,r2,20092 - 2357c: 10800017 ldw r2,0(r2) - 23580: 200b883a mov r5,r4 - 23584: d9800104 addi r6,sp,4 - 23588: 11000217 ldw r4,8(r2) - 2358c: 00259fc0 call 259fc <__vfprintf_internal> - 23590: dfc00017 ldw ra,0(sp) - 23594: dec00404 addi sp,sp,16 - 23598: f800283a ret +00023068 : + 23068: 008000f4 movhi r2,3 + 2306c: 10915404 addi r2,r2,17744 + 23070: 200b883a mov r5,r4 + 23074: 11000017 ldw r4,0(r2) + 23078: 21800217 ldw r6,8(r4) + 2307c: 00295c81 jmpi 295c8 <_putc_r> -0002359c <_putchar_r>: - 2359c: 21800217 ldw r6,8(r4) - 235a0: 0029b8c1 jmpi 29b8c <_putc_r> +00023080 <_puts_r>: + 23080: defff604 addi sp,sp,-40 + 23084: dc000715 stw r16,28(sp) + 23088: 2021883a mov r16,r4 + 2308c: 2809883a mov r4,r5 + 23090: dc400815 stw r17,32(sp) + 23094: dfc00915 stw ra,36(sp) + 23098: 2823883a mov r17,r5 + 2309c: 00231a80 call 231a8 + 230a0: 10c00044 addi r3,r2,1 + 230a4: d8800115 stw r2,4(sp) + 230a8: 008000f4 movhi r2,3 + 230ac: 1087fb04 addi r2,r2,8172 + 230b0: d8800215 stw r2,8(sp) + 230b4: 00800044 movi r2,1 + 230b8: d8800315 stw r2,12(sp) + 230bc: 00800084 movi r2,2 + 230c0: dc400015 stw r17,0(sp) + 230c4: d8c00615 stw r3,24(sp) + 230c8: dec00415 stw sp,16(sp) + 230cc: d8800515 stw r2,20(sp) + 230d0: 80000226 beq r16,zero,230dc <_puts_r+0x5c> + 230d4: 80800e17 ldw r2,56(r16) + 230d8: 10001426 beq r2,zero,2312c <_puts_r+0xac> + 230dc: 81400217 ldw r5,8(r16) + 230e0: 2880030b ldhu r2,12(r5) + 230e4: 10c8000c andi r3,r2,8192 + 230e8: 1800061e bne r3,zero,23104 <_puts_r+0x84> + 230ec: 29001917 ldw r4,100(r5) + 230f0: 00f7ffc4 movi r3,-8193 + 230f4: 10880014 ori r2,r2,8192 + 230f8: 20c6703a and r3,r4,r3 + 230fc: 2880030d sth r2,12(r5) + 23100: 28c01915 stw r3,100(r5) + 23104: d9800404 addi r6,sp,16 + 23108: 8009883a mov r4,r16 + 2310c: 00279680 call 27968 <__sfvwrite_r> + 23110: 1000091e bne r2,zero,23138 <_puts_r+0xb8> + 23114: 00800284 movi r2,10 + 23118: dfc00917 ldw ra,36(sp) + 2311c: dc400817 ldw r17,32(sp) + 23120: dc000717 ldw r16,28(sp) + 23124: dec00a04 addi sp,sp,40 + 23128: f800283a ret + 2312c: 8009883a mov r4,r16 + 23130: 00274e40 call 274e4 <__sinit> + 23134: 003fe906 br 230dc <_gp+0xfffe6ba4> + 23138: 00bfffc4 movi r2,-1 + 2313c: 003ff606 br 23118 <_gp+0xfffe6be0> -000235a4 : - 235a4: 008000f4 movhi r2,3 - 235a8: 10939f04 addi r2,r2,20092 - 235ac: 200b883a mov r5,r4 - 235b0: 11000017 ldw r4,0(r2) - 235b4: 21800217 ldw r6,8(r4) - 235b8: 0029b8c1 jmpi 29b8c <_putc_r> +00023140 : + 23140: 008000f4 movhi r2,3 + 23144: 10915404 addi r2,r2,17744 + 23148: 200b883a mov r5,r4 + 2314c: 11000017 ldw r4,0(r2) + 23150: 00230801 jmpi 23080 <_puts_r> -000235bc <_puts_r>: - 235bc: defff604 addi sp,sp,-40 - 235c0: dc000715 stw r16,28(sp) - 235c4: 2021883a mov r16,r4 - 235c8: 2809883a mov r4,r5 - 235cc: dc400815 stw r17,32(sp) - 235d0: dfc00915 stw ra,36(sp) - 235d4: 2823883a mov r17,r5 - 235d8: 002376c0 call 2376c - 235dc: 10c00044 addi r3,r2,1 - 235e0: d8800115 stw r2,4(sp) - 235e4: 008000f4 movhi r2,3 - 235e8: 108a4904 addi r2,r2,10532 - 235ec: d8800215 stw r2,8(sp) - 235f0: 00800044 movi r2,1 - 235f4: d8800315 stw r2,12(sp) - 235f8: 00800084 movi r2,2 - 235fc: dc400015 stw r17,0(sp) - 23600: d8c00615 stw r3,24(sp) - 23604: dec00415 stw sp,16(sp) - 23608: d8800515 stw r2,20(sp) - 2360c: 80000226 beq r16,zero,23618 <_puts_r+0x5c> - 23610: 80800e17 ldw r2,56(r16) - 23614: 10001426 beq r2,zero,23668 <_puts_r+0xac> - 23618: 81400217 ldw r5,8(r16) - 2361c: 2880030b ldhu r2,12(r5) - 23620: 10c8000c andi r3,r2,8192 - 23624: 1800061e bne r3,zero,23640 <_puts_r+0x84> - 23628: 29001917 ldw r4,100(r5) - 2362c: 00f7ffc4 movi r3,-8193 - 23630: 10880014 ori r2,r2,8192 - 23634: 20c6703a and r3,r4,r3 - 23638: 2880030d sth r2,12(r5) - 2363c: 28c01915 stw r3,100(r5) - 23640: d9800404 addi r6,sp,16 - 23644: 8009883a mov r4,r16 - 23648: 0027f2c0 call 27f2c <__sfvwrite_r> - 2364c: 1000091e bne r2,zero,23674 <_puts_r+0xb8> - 23650: 00800284 movi r2,10 - 23654: dfc00917 ldw ra,36(sp) - 23658: dc400817 ldw r17,32(sp) - 2365c: dc000717 ldw r16,28(sp) - 23660: dec00a04 addi sp,sp,40 - 23664: f800283a ret - 23668: 8009883a mov r4,r16 - 2366c: 0027aa80 call 27aa8 <__sinit> - 23670: 003fe906 br 23618 <_puts_r+0x5c> - 23674: 00bfffc4 movi r2,-1 - 23678: 003ff606 br 23654 <_puts_r+0x98> +00023154 <_sbrk_r>: + 23154: defffd04 addi sp,sp,-12 + 23158: dc000015 stw r16,0(sp) + 2315c: 040000f4 movhi r16,3 + 23160: dc400115 stw r17,4(sp) + 23164: 841a0c04 addi r16,r16,26672 + 23168: 2023883a mov r17,r4 + 2316c: 2809883a mov r4,r5 + 23170: dfc00215 stw ra,8(sp) + 23174: 80000015 stw zero,0(r16) + 23178: 002f7780 call 2f778 + 2317c: 00ffffc4 movi r3,-1 + 23180: 10c00526 beq r2,r3,23198 <_sbrk_r+0x44> + 23184: dfc00217 ldw ra,8(sp) + 23188: dc400117 ldw r17,4(sp) + 2318c: dc000017 ldw r16,0(sp) + 23190: dec00304 addi sp,sp,12 + 23194: f800283a ret + 23198: 80c00017 ldw r3,0(r16) + 2319c: 183ff926 beq r3,zero,23184 <_gp+0xfffe6c4c> + 231a0: 88c00015 stw r3,0(r17) + 231a4: 003ff706 br 23184 <_gp+0xfffe6c4c> -0002367c : - 2367c: 008000f4 movhi r2,3 - 23680: 10939f04 addi r2,r2,20092 - 23684: 200b883a mov r5,r4 - 23688: 11000017 ldw r4,0(r2) - 2368c: 00235bc1 jmpi 235bc <_puts_r> +000231a8 : + 231a8: 208000cc andi r2,r4,3 + 231ac: 10002026 beq r2,zero,23230 + 231b0: 20800007 ldb r2,0(r4) + 231b4: 10002026 beq r2,zero,23238 + 231b8: 2005883a mov r2,r4 + 231bc: 00000206 br 231c8 + 231c0: 10c00007 ldb r3,0(r2) + 231c4: 18001826 beq r3,zero,23228 + 231c8: 10800044 addi r2,r2,1 + 231cc: 10c000cc andi r3,r2,3 + 231d0: 183ffb1e bne r3,zero,231c0 <_gp+0xfffe6c88> + 231d4: 10c00017 ldw r3,0(r2) + 231d8: 01ffbff4 movhi r7,65279 + 231dc: 39ffbfc4 addi r7,r7,-257 + 231e0: 00ca303a nor r5,zero,r3 + 231e4: 01a02074 movhi r6,32897 + 231e8: 19c7883a add r3,r3,r7 + 231ec: 31a02004 addi r6,r6,-32640 + 231f0: 1946703a and r3,r3,r5 + 231f4: 1986703a and r3,r3,r6 + 231f8: 1800091e bne r3,zero,23220 + 231fc: 10800104 addi r2,r2,4 + 23200: 10c00017 ldw r3,0(r2) + 23204: 19cb883a add r5,r3,r7 + 23208: 00c6303a nor r3,zero,r3 + 2320c: 28c6703a and r3,r5,r3 + 23210: 1986703a and r3,r3,r6 + 23214: 183ff926 beq r3,zero,231fc <_gp+0xfffe6cc4> + 23218: 00000106 br 23220 + 2321c: 10800044 addi r2,r2,1 + 23220: 10c00007 ldb r3,0(r2) + 23224: 183ffd1e bne r3,zero,2321c <_gp+0xfffe6ce4> + 23228: 1105c83a sub r2,r2,r4 + 2322c: f800283a ret + 23230: 2005883a mov r2,r4 + 23234: 003fe706 br 231d4 <_gp+0xfffe6c9c> + 23238: 0005883a mov r2,zero + 2323c: f800283a ret -00023690 <__srget_r>: - 23690: defffd04 addi sp,sp,-12 - 23694: dc400115 stw r17,4(sp) - 23698: dc000015 stw r16,0(sp) - 2369c: dfc00215 stw ra,8(sp) - 236a0: 2023883a mov r17,r4 - 236a4: 2821883a mov r16,r5 - 236a8: 20000226 beq r4,zero,236b4 <__srget_r+0x24> - 236ac: 20800e17 ldw r2,56(r4) - 236b0: 10001026 beq r2,zero,236f4 <__srget_r+0x64> - 236b4: 800b883a mov r5,r16 - 236b8: 8809883a mov r4,r17 - 236bc: 002a2740 call 2a274 <__srefill_r> - 236c0: 10000e1e bne r2,zero,236fc <__srget_r+0x6c> - 236c4: 80c00017 ldw r3,0(r16) - 236c8: 80800117 ldw r2,4(r16) - 236cc: 19000044 addi r4,r3,1 - 236d0: 10bfffc4 addi r2,r2,-1 - 236d4: 80800115 stw r2,4(r16) - 236d8: 81000015 stw r4,0(r16) - 236dc: 18800003 ldbu r2,0(r3) - 236e0: dfc00217 ldw ra,8(sp) - 236e4: dc400117 ldw r17,4(sp) - 236e8: dc000017 ldw r16,0(sp) - 236ec: dec00304 addi sp,sp,12 - 236f0: f800283a ret - 236f4: 0027aa80 call 27aa8 <__sinit> - 236f8: 003fee06 br 236b4 <__srget_r+0x24> - 236fc: 00bfffc4 movi r2,-1 - 23700: 003ff706 br 236e0 <__srget_r+0x50> - -00023704 <__srget>: - 23704: 008000f4 movhi r2,3 - 23708: 10939f04 addi r2,r2,20092 - 2370c: 200b883a mov r5,r4 - 23710: 11000017 ldw r4,0(r2) - 23714: 00236901 jmpi 23690 <__srget_r> - -00023718 <_sbrk_r>: - 23718: defffd04 addi sp,sp,-12 - 2371c: dc000015 stw r16,0(sp) - 23720: 040000f4 movhi r16,3 - 23724: dc400115 stw r17,4(sp) - 23728: 841c5904 addi r16,r16,29028 - 2372c: 2023883a mov r17,r4 - 23730: 2809883a mov r4,r5 - 23734: dfc00215 stw ra,8(sp) - 23738: 80000015 stw zero,0(r16) - 2373c: 003009c0 call 3009c - 23740: 00ffffc4 movi r3,-1 - 23744: 10c00526 beq r2,r3,2375c <_sbrk_r+0x44> - 23748: dfc00217 ldw ra,8(sp) - 2374c: dc400117 ldw r17,4(sp) - 23750: dc000017 ldw r16,0(sp) - 23754: dec00304 addi sp,sp,12 - 23758: f800283a ret - 2375c: 80c00017 ldw r3,0(r16) - 23760: 183ff926 beq r3,zero,23748 <_sbrk_r+0x30> - 23764: 88c00015 stw r3,0(r17) - 23768: 003ff706 br 23748 <_sbrk_r+0x30> - -0002376c : - 2376c: 208000cc andi r2,r4,3 - 23770: 10002026 beq r2,zero,237f4 - 23774: 20800007 ldb r2,0(r4) - 23778: 10002026 beq r2,zero,237fc - 2377c: 2005883a mov r2,r4 - 23780: 00000206 br 2378c - 23784: 10c00007 ldb r3,0(r2) - 23788: 18001826 beq r3,zero,237ec - 2378c: 10800044 addi r2,r2,1 - 23790: 10c000cc andi r3,r2,3 - 23794: 183ffb1e bne r3,zero,23784 - 23798: 10c00017 ldw r3,0(r2) - 2379c: 01ffbff4 movhi r7,65279 - 237a0: 39ffbfc4 addi r7,r7,-257 - 237a4: 00ca303a nor r5,zero,r3 - 237a8: 01a02074 movhi r6,32897 - 237ac: 19c7883a add r3,r3,r7 - 237b0: 31a02004 addi r6,r6,-32640 - 237b4: 1946703a and r3,r3,r5 - 237b8: 1986703a and r3,r3,r6 - 237bc: 1800091e bne r3,zero,237e4 - 237c0: 10800104 addi r2,r2,4 - 237c4: 10c00017 ldw r3,0(r2) - 237c8: 19cb883a add r5,r3,r7 - 237cc: 00c6303a nor r3,zero,r3 - 237d0: 28c6703a and r3,r5,r3 - 237d4: 1986703a and r3,r3,r6 - 237d8: 183ff926 beq r3,zero,237c0 - 237dc: 00000106 br 237e4 - 237e0: 10800044 addi r2,r2,1 - 237e4: 10c00007 ldb r3,0(r2) - 237e8: 183ffd1e bne r3,zero,237e0 - 237ec: 1105c83a sub r2,r2,r4 - 237f0: f800283a ret - 237f4: 2005883a mov r2,r4 - 237f8: 003fe706 br 23798 - 237fc: 0005883a mov r2,zero - 23800: f800283a ret - -00023804 <___vfprintf_internal_r>: - 23804: deffb804 addi sp,sp,-288 - 23808: dfc04715 stw ra,284(sp) - 2380c: ddc04515 stw r23,276(sp) - 23810: dd404315 stw r21,268(sp) - 23814: d9002c15 stw r4,176(sp) - 23818: 282f883a mov r23,r5 - 2381c: 302b883a mov r21,r6 - 23820: d9c02d15 stw r7,180(sp) - 23824: df004615 stw fp,280(sp) - 23828: dd804415 stw r22,272(sp) - 2382c: dd004215 stw r20,264(sp) - 23830: dcc04115 stw r19,260(sp) - 23834: dc804015 stw r18,256(sp) - 23838: dc403f15 stw r17,252(sp) - 2383c: dc003e15 stw r16,248(sp) - 23840: 002861c0 call 2861c <_localeconv_r> - 23844: 10800017 ldw r2,0(r2) - 23848: 1009883a mov r4,r2 - 2384c: d8803415 stw r2,208(sp) - 23850: 002376c0 call 2376c - 23854: d8803715 stw r2,220(sp) - 23858: d8802c17 ldw r2,176(sp) - 2385c: 10000226 beq r2,zero,23868 <___vfprintf_internal_r+0x64> - 23860: 10800e17 ldw r2,56(r2) - 23864: 1000f926 beq r2,zero,23c4c <___vfprintf_internal_r+0x448> - 23868: b880030b ldhu r2,12(r23) - 2386c: 10c8000c andi r3,r2,8192 - 23870: 1800061e bne r3,zero,2388c <___vfprintf_internal_r+0x88> - 23874: b9001917 ldw r4,100(r23) - 23878: 00f7ffc4 movi r3,-8193 - 2387c: 10880014 ori r2,r2,8192 - 23880: 20c6703a and r3,r4,r3 - 23884: b880030d sth r2,12(r23) - 23888: b8c01915 stw r3,100(r23) - 2388c: 10c0020c andi r3,r2,8 - 23890: 1800c126 beq r3,zero,23b98 <___vfprintf_internal_r+0x394> - 23894: b8c00417 ldw r3,16(r23) - 23898: 1800bf26 beq r3,zero,23b98 <___vfprintf_internal_r+0x394> - 2389c: 1080068c andi r2,r2,26 - 238a0: 00c00284 movi r3,10 - 238a4: 10c0c426 beq r2,r3,23bb8 <___vfprintf_internal_r+0x3b4> - 238a8: d8c00404 addi r3,sp,16 - 238ac: 050000f4 movhi r20,3 - 238b0: d9001e04 addi r4,sp,120 - 238b4: a50a5a84 addi r20,r20,10602 - 238b8: d8c01e15 stw r3,120(sp) - 238bc: d8002015 stw zero,128(sp) - 238c0: d8001f15 stw zero,124(sp) - 238c4: d8003315 stw zero,204(sp) - 238c8: d8003615 stw zero,216(sp) - 238cc: d8003815 stw zero,224(sp) - 238d0: 1811883a mov r8,r3 - 238d4: d8003915 stw zero,228(sp) - 238d8: d8003a15 stw zero,232(sp) - 238dc: d8002f15 stw zero,188(sp) - 238e0: d9002815 stw r4,160(sp) - 238e4: a8800007 ldb r2,0(r21) - 238e8: 10027b26 beq r2,zero,242d8 <___vfprintf_internal_r+0xad4> - 238ec: 00c00944 movi r3,37 - 238f0: a821883a mov r16,r21 - 238f4: 10c0021e bne r2,r3,23900 <___vfprintf_internal_r+0xfc> - 238f8: 00001406 br 2394c <___vfprintf_internal_r+0x148> - 238fc: 10c00326 beq r2,r3,2390c <___vfprintf_internal_r+0x108> - 23900: 84000044 addi r16,r16,1 - 23904: 80800007 ldb r2,0(r16) - 23908: 103ffc1e bne r2,zero,238fc <___vfprintf_internal_r+0xf8> - 2390c: 8563c83a sub r17,r16,r21 - 23910: 88000e26 beq r17,zero,2394c <___vfprintf_internal_r+0x148> - 23914: d8c02017 ldw r3,128(sp) - 23918: d8801f17 ldw r2,124(sp) - 2391c: 45400015 stw r21,0(r8) - 23920: 1c47883a add r3,r3,r17 - 23924: 10800044 addi r2,r2,1 - 23928: d8c02015 stw r3,128(sp) - 2392c: 44400115 stw r17,4(r8) - 23930: d8801f15 stw r2,124(sp) - 23934: 00c001c4 movi r3,7 - 23938: 1880a716 blt r3,r2,23bd8 <___vfprintf_internal_r+0x3d4> - 2393c: 42000204 addi r8,r8,8 - 23940: d9402f17 ldw r5,188(sp) - 23944: 2c4b883a add r5,r5,r17 - 23948: d9402f15 stw r5,188(sp) - 2394c: 80800007 ldb r2,0(r16) - 23950: 1000a826 beq r2,zero,23bf4 <___vfprintf_internal_r+0x3f0> - 23954: 84400047 ldb r17,1(r16) - 23958: 00bfffc4 movi r2,-1 - 2395c: 85400044 addi r21,r16,1 - 23960: d8002785 stb zero,158(sp) - 23964: 0007883a mov r3,zero - 23968: 000f883a mov r7,zero - 2396c: d8802915 stw r2,164(sp) - 23970: d8003115 stw zero,196(sp) - 23974: 0025883a mov r18,zero - 23978: 01401604 movi r5,88 - 2397c: 01800244 movi r6,9 - 23980: 02800a84 movi r10,42 - 23984: 02401b04 movi r9,108 - 23988: ad400044 addi r21,r21,1 - 2398c: 88bff804 addi r2,r17,-32 - 23990: 28830436 bltu r5,r2,245a4 <___vfprintf_internal_r+0xda0> - 23994: 100490ba slli r2,r2,2 - 23998: 010000b4 movhi r4,2 - 2399c: 210e6b04 addi r4,r4,14764 - 239a0: 1105883a add r2,r2,r4 - 239a4: 10800017 ldw r2,0(r2) - 239a8: 1000683a jmp r2 - 239ac: 000244c4 movi zero,2323 - 239b0: 000245a4 muli zero,zero,2326 - 239b4: 000245a4 muli zero,zero,2326 - 239b8: 000244e4 muli zero,zero,2323 - 239bc: 000245a4 muli zero,zero,2326 - 239c0: 000245a4 muli zero,zero,2326 - 239c4: 000245a4 muli zero,zero,2326 - 239c8: 000245a4 muli zero,zero,2326 - 239cc: 000245a4 muli zero,zero,2326 - 239d0: 000245a4 muli zero,zero,2326 - 239d4: 00023c58 cmpnei zero,zero,2289 - 239d8: 00024400 call 2440 <__alt_mem_onchip_memory2_0-0x1dbc0> - 239dc: 000245a4 muli zero,zero,2326 - 239e0: 00023b20 cmpeqi zero,zero,2284 - 239e4: 00023c80 call 23c8 <__alt_mem_onchip_memory2_0-0x1dc38> - 239e8: 000245a4 muli zero,zero,2326 - 239ec: 00023cc0 call 23cc <__alt_mem_onchip_memory2_0-0x1dc34> - 239f0: 00023ccc andi zero,zero,2291 - 239f4: 00023ccc andi zero,zero,2291 - 239f8: 00023ccc andi zero,zero,2291 - 239fc: 00023ccc andi zero,zero,2291 - 23a00: 00023ccc andi zero,zero,2291 - 23a04: 00023ccc andi zero,zero,2291 - 23a08: 00023ccc andi zero,zero,2291 - 23a0c: 00023ccc andi zero,zero,2291 - 23a10: 00023ccc andi zero,zero,2291 - 23a14: 000245a4 muli zero,zero,2326 - 23a18: 000245a4 muli zero,zero,2326 - 23a1c: 000245a4 muli zero,zero,2326 - 23a20: 000245a4 muli zero,zero,2326 - 23a24: 000245a4 muli zero,zero,2326 - 23a28: 000245a4 muli zero,zero,2326 - 23a2c: 000245a4 muli zero,zero,2326 - 23a30: 000245a4 muli zero,zero,2326 - 23a34: 000245a4 muli zero,zero,2326 - 23a38: 000245a4 muli zero,zero,2326 - 23a3c: 00023d00 call 23d0 <__alt_mem_onchip_memory2_0-0x1dc30> - 23a40: 00023dbc xorhi zero,zero,2294 - 23a44: 000245a4 muli zero,zero,2326 - 23a48: 00023dbc xorhi zero,zero,2294 - 23a4c: 000245a4 muli zero,zero,2326 - 23a50: 000245a4 muli zero,zero,2326 - 23a54: 000245a4 muli zero,zero,2326 - 23a58: 000245a4 muli zero,zero,2326 - 23a5c: 00023e5c xori zero,zero,2297 - 23a60: 000245a4 muli zero,zero,2326 - 23a64: 000245a4 muli zero,zero,2326 - 23a68: 00023e68 cmpgeui zero,zero,2297 - 23a6c: 000245a4 muli zero,zero,2326 - 23a70: 000245a4 muli zero,zero,2326 - 23a74: 000245a4 muli zero,zero,2326 - 23a78: 000245a4 muli zero,zero,2326 - 23a7c: 000245a4 muli zero,zero,2326 - 23a80: 000242e0 cmpeqi zero,zero,2315 - 23a84: 000245a4 muli zero,zero,2326 - 23a88: 000245a4 muli zero,zero,2326 - 23a8c: 00024340 call 2434 <__alt_mem_onchip_memory2_0-0x1dbcc> - 23a90: 000245a4 muli zero,zero,2326 - 23a94: 000245a4 muli zero,zero,2326 - 23a98: 000245a4 muli zero,zero,2326 - 23a9c: 000245a4 muli zero,zero,2326 - 23aa0: 000245a4 muli zero,zero,2326 - 23aa4: 000245a4 muli zero,zero,2326 - 23aa8: 000245a4 muli zero,zero,2326 - 23aac: 000245a4 muli zero,zero,2326 - 23ab0: 000245a4 muli zero,zero,2326 - 23ab4: 000245a4 muli zero,zero,2326 - 23ab8: 00024550 cmplti zero,zero,2325 - 23abc: 000244f0 cmpltui zero,zero,2323 - 23ac0: 00023dbc xorhi zero,zero,2294 - 23ac4: 00023dbc xorhi zero,zero,2294 - 23ac8: 00023dbc xorhi zero,zero,2294 - 23acc: 00024500 call 2450 <__alt_mem_onchip_memory2_0-0x1dbb0> - 23ad0: 000244f0 cmpltui zero,zero,2323 - 23ad4: 000245a4 muli zero,zero,2326 - 23ad8: 000245a4 muli zero,zero,2326 - 23adc: 0002450c andi zero,zero,2324 - 23ae0: 000245a4 muli zero,zero,2326 - 23ae4: 0002451c xori zero,zero,2324 - 23ae8: 000243f0 cmpltui zero,zero,2319 - 23aec: 00023b2c andhi zero,zero,2284 - 23af0: 00024410 cmplti zero,zero,2320 - 23af4: 000245a4 muli zero,zero,2326 - 23af8: 0002441c xori zero,zero,2320 - 23afc: 000245a4 muli zero,zero,2326 - 23b00: 00024478 rdprs zero,zero,2321 - 23b04: 000245a4 muli zero,zero,2326 - 23b08: 000245a4 muli zero,zero,2326 - 23b0c: 00024488 cmpgei zero,zero,2322 - 23b10: d9003117 ldw r4,196(sp) - 23b14: d8802d15 stw r2,180(sp) - 23b18: 0109c83a sub r4,zero,r4 - 23b1c: d9003115 stw r4,196(sp) - 23b20: 94800114 ori r18,r18,4 - 23b24: ac400007 ldb r17,0(r21) - 23b28: 003f9706 br 23988 <___vfprintf_internal_r+0x184> - 23b2c: 00800c04 movi r2,48 - 23b30: d9002d17 ldw r4,180(sp) - 23b34: d9402917 ldw r5,164(sp) - 23b38: d8802705 stb r2,156(sp) - 23b3c: 00801e04 movi r2,120 - 23b40: d8802745 stb r2,157(sp) - 23b44: d8002785 stb zero,158(sp) - 23b48: 20c00104 addi r3,r4,4 - 23b4c: 24c00017 ldw r19,0(r4) - 23b50: 002d883a mov r22,zero - 23b54: 90800094 ori r2,r18,2 - 23b58: 28029a16 blt r5,zero,245c4 <___vfprintf_internal_r+0xdc0> - 23b5c: 00bfdfc4 movi r2,-129 - 23b60: 90a4703a and r18,r18,r2 - 23b64: d8c02d15 stw r3,180(sp) - 23b68: 94800094 ori r18,r18,2 - 23b6c: 9802871e bne r19,zero,2458c <___vfprintf_internal_r+0xd88> - 23b70: 008000f4 movhi r2,3 - 23b74: 108a5304 addi r2,r2,10572 - 23b78: d8803915 stw r2,228(sp) - 23b7c: 04401e04 movi r17,120 - 23b80: d8802917 ldw r2,164(sp) - 23b84: 0039883a mov fp,zero - 23b88: 1001e926 beq r2,zero,24330 <___vfprintf_internal_r+0xb2c> - 23b8c: 0027883a mov r19,zero - 23b90: 002d883a mov r22,zero - 23b94: 00020506 br 243ac <___vfprintf_internal_r+0xba8> - 23b98: d9002c17 ldw r4,176(sp) - 23b9c: b80b883a mov r5,r23 - 23ba0: 0025ad40 call 25ad4 <__swsetup_r> - 23ba4: 1005ac1e bne r2,zero,25258 <___vfprintf_internal_r+0x1a54> - 23ba8: b880030b ldhu r2,12(r23) - 23bac: 00c00284 movi r3,10 - 23bb0: 1080068c andi r2,r2,26 - 23bb4: 10ff3c1e bne r2,r3,238a8 <___vfprintf_internal_r+0xa4> - 23bb8: b880038f ldh r2,14(r23) - 23bbc: 103f3a16 blt r2,zero,238a8 <___vfprintf_internal_r+0xa4> - 23bc0: d9c02d17 ldw r7,180(sp) - 23bc4: d9002c17 ldw r4,176(sp) - 23bc8: a80d883a mov r6,r21 - 23bcc: b80b883a mov r5,r23 - 23bd0: 0025a180 call 25a18 <__sbprintf> - 23bd4: 00001106 br 23c1c <___vfprintf_internal_r+0x418> - 23bd8: d9002c17 ldw r4,176(sp) - 23bdc: d9801e04 addi r6,sp,120 - 23be0: b80b883a mov r5,r23 - 23be4: 002a7d00 call 2a7d0 <__sprint_r> - 23be8: 1000081e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 23bec: da000404 addi r8,sp,16 - 23bf0: 003f5306 br 23940 <___vfprintf_internal_r+0x13c> - 23bf4: d8802017 ldw r2,128(sp) - 23bf8: 10000426 beq r2,zero,23c0c <___vfprintf_internal_r+0x408> - 23bfc: d9002c17 ldw r4,176(sp) - 23c00: d9801e04 addi r6,sp,120 - 23c04: b80b883a mov r5,r23 - 23c08: 002a7d00 call 2a7d0 <__sprint_r> - 23c0c: b880030b ldhu r2,12(r23) - 23c10: 1080100c andi r2,r2,64 - 23c14: 1005901e bne r2,zero,25258 <___vfprintf_internal_r+0x1a54> - 23c18: d8802f17 ldw r2,188(sp) - 23c1c: dfc04717 ldw ra,284(sp) - 23c20: df004617 ldw fp,280(sp) - 23c24: ddc04517 ldw r23,276(sp) - 23c28: dd804417 ldw r22,272(sp) - 23c2c: dd404317 ldw r21,268(sp) - 23c30: dd004217 ldw r20,264(sp) - 23c34: dcc04117 ldw r19,260(sp) - 23c38: dc804017 ldw r18,256(sp) - 23c3c: dc403f17 ldw r17,252(sp) - 23c40: dc003e17 ldw r16,248(sp) - 23c44: dec04804 addi sp,sp,288 - 23c48: f800283a ret - 23c4c: d9002c17 ldw r4,176(sp) - 23c50: 0027aa80 call 27aa8 <__sinit> - 23c54: 003f0406 br 23868 <___vfprintf_internal_r+0x64> - 23c58: d8802d17 ldw r2,180(sp) - 23c5c: d9002d17 ldw r4,180(sp) - 23c60: 10800017 ldw r2,0(r2) - 23c64: d8803115 stw r2,196(sp) - 23c68: 20800104 addi r2,r4,4 - 23c6c: d9003117 ldw r4,196(sp) - 23c70: 203fa716 blt r4,zero,23b10 <___vfprintf_internal_r+0x30c> - 23c74: d8802d15 stw r2,180(sp) - 23c78: ac400007 ldb r17,0(r21) - 23c7c: 003f4206 br 23988 <___vfprintf_internal_r+0x184> - 23c80: ac400007 ldb r17,0(r21) - 23c84: aac00044 addi r11,r21,1 - 23c88: 8a872826 beq r17,r10,2592c <___vfprintf_internal_r+0x2128> - 23c8c: 88bff404 addi r2,r17,-48 - 23c90: 0009883a mov r4,zero - 23c94: 30867d36 bltu r6,r2,2568c <___vfprintf_internal_r+0x1e88> - 23c98: 5c400007 ldb r17,0(r11) - 23c9c: 210002a4 muli r4,r4,10 - 23ca0: 5d400044 addi r21,r11,1 - 23ca4: a817883a mov r11,r21 - 23ca8: 2089883a add r4,r4,r2 - 23cac: 88bff404 addi r2,r17,-48 - 23cb0: 30bff92e bgeu r6,r2,23c98 <___vfprintf_internal_r+0x494> - 23cb4: 2005c916 blt r4,zero,253dc <___vfprintf_internal_r+0x1bd8> - 23cb8: d9002915 stw r4,164(sp) - 23cbc: 003f3306 br 2398c <___vfprintf_internal_r+0x188> - 23cc0: 94802014 ori r18,r18,128 - 23cc4: ac400007 ldb r17,0(r21) - 23cc8: 003f2f06 br 23988 <___vfprintf_internal_r+0x184> - 23ccc: a809883a mov r4,r21 - 23cd0: d8003115 stw zero,196(sp) - 23cd4: 88bff404 addi r2,r17,-48 - 23cd8: 0017883a mov r11,zero - 23cdc: 24400007 ldb r17,0(r4) - 23ce0: 5ac002a4 muli r11,r11,10 - 23ce4: ad400044 addi r21,r21,1 - 23ce8: a809883a mov r4,r21 - 23cec: 12d7883a add r11,r2,r11 - 23cf0: 88bff404 addi r2,r17,-48 - 23cf4: 30bff92e bgeu r6,r2,23cdc <___vfprintf_internal_r+0x4d8> - 23cf8: dac03115 stw r11,196(sp) - 23cfc: 003f2306 br 2398c <___vfprintf_internal_r+0x188> - 23d00: 18c03fcc andi r3,r3,255 - 23d04: 18072b1e bne r3,zero,259b4 <___vfprintf_internal_r+0x21b0> - 23d08: 94800414 ori r18,r18,16 - 23d0c: 9080080c andi r2,r18,32 - 23d10: 10037b26 beq r2,zero,24b00 <___vfprintf_internal_r+0x12fc> - 23d14: d9402d17 ldw r5,180(sp) - 23d18: 28800117 ldw r2,4(r5) - 23d1c: 2cc00017 ldw r19,0(r5) - 23d20: 29400204 addi r5,r5,8 - 23d24: d9402d15 stw r5,180(sp) - 23d28: 102d883a mov r22,r2 - 23d2c: 10044b16 blt r2,zero,24e5c <___vfprintf_internal_r+0x1658> - 23d30: d9402917 ldw r5,164(sp) - 23d34: df002783 ldbu fp,158(sp) - 23d38: 2803bc16 blt r5,zero,24c2c <___vfprintf_internal_r+0x1428> - 23d3c: 00ffdfc4 movi r3,-129 - 23d40: 9d84b03a or r2,r19,r22 - 23d44: 90e4703a and r18,r18,r3 - 23d48: 10017726 beq r2,zero,24328 <___vfprintf_internal_r+0xb24> - 23d4c: b0038326 beq r22,zero,24b5c <___vfprintf_internal_r+0x1358> - 23d50: dc402a15 stw r17,168(sp) - 23d54: dc001e04 addi r16,sp,120 - 23d58: b023883a mov r17,r22 - 23d5c: 402d883a mov r22,r8 - 23d60: 9809883a mov r4,r19 - 23d64: 880b883a mov r5,r17 - 23d68: 01800284 movi r6,10 - 23d6c: 000f883a mov r7,zero - 23d70: 002cbc80 call 2cbc8 <__umoddi3> - 23d74: 10800c04 addi r2,r2,48 - 23d78: 843fffc4 addi r16,r16,-1 - 23d7c: 9809883a mov r4,r19 - 23d80: 880b883a mov r5,r17 - 23d84: 80800005 stb r2,0(r16) - 23d88: 01800284 movi r6,10 - 23d8c: 000f883a mov r7,zero - 23d90: 002c6500 call 2c650 <__udivdi3> - 23d94: 1027883a mov r19,r2 - 23d98: 10c4b03a or r2,r2,r3 - 23d9c: 1823883a mov r17,r3 - 23da0: 103fef1e bne r2,zero,23d60 <___vfprintf_internal_r+0x55c> - 23da4: d8c02817 ldw r3,160(sp) - 23da8: dc402a17 ldw r17,168(sp) - 23dac: b011883a mov r8,r22 - 23db0: 1c07c83a sub r3,r3,r16 - 23db4: d8c02e15 stw r3,184(sp) - 23db8: 00005906 br 23f20 <___vfprintf_internal_r+0x71c> - 23dbc: 18c03fcc andi r3,r3,255 - 23dc0: 1806fa1e bne r3,zero,259ac <___vfprintf_internal_r+0x21a8> - 23dc4: 9080020c andi r2,r18,8 - 23dc8: 10048a26 beq r2,zero,24ff4 <___vfprintf_internal_r+0x17f0> - 23dcc: d8c02d17 ldw r3,180(sp) - 23dd0: d9002d17 ldw r4,180(sp) - 23dd4: d9402d17 ldw r5,180(sp) - 23dd8: 18c00017 ldw r3,0(r3) - 23ddc: 21000117 ldw r4,4(r4) - 23de0: 29400204 addi r5,r5,8 - 23de4: d8c03615 stw r3,216(sp) - 23de8: d9003815 stw r4,224(sp) - 23dec: d9402d15 stw r5,180(sp) - 23df0: d9003617 ldw r4,216(sp) - 23df4: d9403817 ldw r5,224(sp) - 23df8: da003d15 stw r8,244(sp) - 23dfc: 04000044 movi r16,1 - 23e00: 002a4480 call 2a448 <__fpclassifyd> - 23e04: da003d17 ldw r8,244(sp) - 23e08: 14041f1e bne r2,r16,24e88 <___vfprintf_internal_r+0x1684> - 23e0c: d9003617 ldw r4,216(sp) - 23e10: d9403817 ldw r5,224(sp) - 23e14: 000d883a mov r6,zero - 23e18: 000f883a mov r7,zero - 23e1c: 002e3f80 call 2e3f8 <__ledf2> - 23e20: da003d17 ldw r8,244(sp) - 23e24: 1005be16 blt r2,zero,25520 <___vfprintf_internal_r+0x1d1c> - 23e28: df002783 ldbu fp,158(sp) - 23e2c: 008011c4 movi r2,71 - 23e30: 1445330e bge r2,r17,25300 <___vfprintf_internal_r+0x1afc> - 23e34: 040000f4 movhi r16,3 - 23e38: 840a4b04 addi r16,r16,10540 - 23e3c: 00c000c4 movi r3,3 - 23e40: 00bfdfc4 movi r2,-129 - 23e44: d8c02a15 stw r3,168(sp) - 23e48: 90a4703a and r18,r18,r2 - 23e4c: d8c02e15 stw r3,184(sp) - 23e50: d8002915 stw zero,164(sp) - 23e54: d8003215 stw zero,200(sp) - 23e58: 00003706 br 23f38 <___vfprintf_internal_r+0x734> - 23e5c: 94800214 ori r18,r18,8 - 23e60: ac400007 ldb r17,0(r21) - 23e64: 003ec806 br 23988 <___vfprintf_internal_r+0x184> - 23e68: 18c03fcc andi r3,r3,255 - 23e6c: 1806db1e bne r3,zero,259dc <___vfprintf_internal_r+0x21d8> - 23e70: 94800414 ori r18,r18,16 - 23e74: 9080080c andi r2,r18,32 - 23e78: 1002d826 beq r2,zero,249dc <___vfprintf_internal_r+0x11d8> - 23e7c: d9402d17 ldw r5,180(sp) - 23e80: d8c02917 ldw r3,164(sp) - 23e84: d8002785 stb zero,158(sp) - 23e88: 28800204 addi r2,r5,8 - 23e8c: 2cc00017 ldw r19,0(r5) - 23e90: 2d800117 ldw r22,4(r5) - 23e94: 18048f16 blt r3,zero,250d4 <___vfprintf_internal_r+0x18d0> - 23e98: 013fdfc4 movi r4,-129 - 23e9c: 9d86b03a or r3,r19,r22 - 23ea0: d8802d15 stw r2,180(sp) - 23ea4: 9124703a and r18,r18,r4 - 23ea8: 1802d91e bne r3,zero,24a10 <___vfprintf_internal_r+0x120c> - 23eac: d8c02917 ldw r3,164(sp) - 23eb0: 0039883a mov fp,zero - 23eb4: 1805c326 beq r3,zero,255c4 <___vfprintf_internal_r+0x1dc0> - 23eb8: 0027883a mov r19,zero - 23ebc: 002d883a mov r22,zero - 23ec0: dc001e04 addi r16,sp,120 - 23ec4: 9806d0fa srli r3,r19,3 - 23ec8: b008977a slli r4,r22,29 - 23ecc: b02cd0fa srli r22,r22,3 - 23ed0: 9cc001cc andi r19,r19,7 - 23ed4: 98800c04 addi r2,r19,48 - 23ed8: 843fffc4 addi r16,r16,-1 - 23edc: 20e6b03a or r19,r4,r3 - 23ee0: 80800005 stb r2,0(r16) - 23ee4: 9d86b03a or r3,r19,r22 - 23ee8: 183ff61e bne r3,zero,23ec4 <___vfprintf_internal_r+0x6c0> - 23eec: 90c0004c andi r3,r18,1 - 23ef0: 18013b26 beq r3,zero,243e0 <___vfprintf_internal_r+0xbdc> - 23ef4: 10803fcc andi r2,r2,255 - 23ef8: 1080201c xori r2,r2,128 - 23efc: 10bfe004 addi r2,r2,-128 - 23f00: 00c00c04 movi r3,48 - 23f04: 10c13626 beq r2,r3,243e0 <___vfprintf_internal_r+0xbdc> - 23f08: 80ffffc5 stb r3,-1(r16) - 23f0c: d8c02817 ldw r3,160(sp) - 23f10: 80bfffc4 addi r2,r16,-1 - 23f14: 1021883a mov r16,r2 - 23f18: 1887c83a sub r3,r3,r2 - 23f1c: d8c02e15 stw r3,184(sp) - 23f20: d8802e17 ldw r2,184(sp) - 23f24: d9002917 ldw r4,164(sp) - 23f28: 1100010e bge r2,r4,23f30 <___vfprintf_internal_r+0x72c> - 23f2c: 2005883a mov r2,r4 - 23f30: d8802a15 stw r2,168(sp) - 23f34: d8003215 stw zero,200(sp) - 23f38: e7003fcc andi fp,fp,255 - 23f3c: e700201c xori fp,fp,128 - 23f40: e73fe004 addi fp,fp,-128 - 23f44: e0000326 beq fp,zero,23f54 <___vfprintf_internal_r+0x750> - 23f48: d8c02a17 ldw r3,168(sp) - 23f4c: 18c00044 addi r3,r3,1 - 23f50: d8c02a15 stw r3,168(sp) - 23f54: 90c0008c andi r3,r18,2 - 23f58: d8c02b15 stw r3,172(sp) - 23f5c: 18000326 beq r3,zero,23f6c <___vfprintf_internal_r+0x768> - 23f60: d8c02a17 ldw r3,168(sp) - 23f64: 18c00084 addi r3,r3,2 - 23f68: d8c02a15 stw r3,168(sp) - 23f6c: 90c0210c andi r3,r18,132 - 23f70: d8c03015 stw r3,192(sp) - 23f74: 1801a31e bne r3,zero,24604 <___vfprintf_internal_r+0xe00> - 23f78: d9003117 ldw r4,196(sp) - 23f7c: d8c02a17 ldw r3,168(sp) - 23f80: 20e7c83a sub r19,r4,r3 - 23f84: 04c19f0e bge zero,r19,24604 <___vfprintf_internal_r+0xe00> - 23f88: 02400404 movi r9,16 - 23f8c: d8c02017 ldw r3,128(sp) - 23f90: d8801f17 ldw r2,124(sp) - 23f94: 4cc50d0e bge r9,r19,253cc <___vfprintf_internal_r+0x1bc8> - 23f98: 014000f4 movhi r5,3 - 23f9c: 294a5e84 addi r5,r5,10618 - 23fa0: dc403b15 stw r17,236(sp) - 23fa4: d9403515 stw r5,212(sp) - 23fa8: 9823883a mov r17,r19 - 23fac: 482d883a mov r22,r9 - 23fb0: 9027883a mov r19,r18 - 23fb4: 070001c4 movi fp,7 - 23fb8: 8025883a mov r18,r16 - 23fbc: dc002c17 ldw r16,176(sp) - 23fc0: 00000306 br 23fd0 <___vfprintf_internal_r+0x7cc> - 23fc4: 8c7ffc04 addi r17,r17,-16 - 23fc8: 42000204 addi r8,r8,8 - 23fcc: b440130e bge r22,r17,2401c <___vfprintf_internal_r+0x818> - 23fd0: 010000f4 movhi r4,3 - 23fd4: 18c00404 addi r3,r3,16 - 23fd8: 10800044 addi r2,r2,1 - 23fdc: 210a5e84 addi r4,r4,10618 - 23fe0: 41000015 stw r4,0(r8) - 23fe4: 45800115 stw r22,4(r8) - 23fe8: d8c02015 stw r3,128(sp) - 23fec: d8801f15 stw r2,124(sp) - 23ff0: e0bff40e bge fp,r2,23fc4 <___vfprintf_internal_r+0x7c0> - 23ff4: d9801e04 addi r6,sp,120 - 23ff8: b80b883a mov r5,r23 - 23ffc: 8009883a mov r4,r16 - 24000: 002a7d00 call 2a7d0 <__sprint_r> - 24004: 103f011e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24008: 8c7ffc04 addi r17,r17,-16 - 2400c: d8c02017 ldw r3,128(sp) - 24010: d8801f17 ldw r2,124(sp) - 24014: da000404 addi r8,sp,16 - 24018: b47fed16 blt r22,r17,23fd0 <___vfprintf_internal_r+0x7cc> - 2401c: 9021883a mov r16,r18 - 24020: 9825883a mov r18,r19 - 24024: 8827883a mov r19,r17 - 24028: dc403b17 ldw r17,236(sp) - 2402c: d9403517 ldw r5,212(sp) - 24030: 98c7883a add r3,r19,r3 - 24034: 10800044 addi r2,r2,1 - 24038: 41400015 stw r5,0(r8) - 2403c: 44c00115 stw r19,4(r8) - 24040: d8c02015 stw r3,128(sp) - 24044: d8801f15 stw r2,124(sp) - 24048: 010001c4 movi r4,7 - 2404c: 2082a316 blt r4,r2,24adc <___vfprintf_internal_r+0x12d8> - 24050: df002787 ldb fp,158(sp) - 24054: 42000204 addi r8,r8,8 - 24058: e0000c26 beq fp,zero,2408c <___vfprintf_internal_r+0x888> - 2405c: d8801f17 ldw r2,124(sp) - 24060: d9002784 addi r4,sp,158 - 24064: 18c00044 addi r3,r3,1 - 24068: 10800044 addi r2,r2,1 - 2406c: 41000015 stw r4,0(r8) - 24070: 01000044 movi r4,1 - 24074: 41000115 stw r4,4(r8) - 24078: d8c02015 stw r3,128(sp) - 2407c: d8801f15 stw r2,124(sp) - 24080: 010001c4 movi r4,7 - 24084: 20823c16 blt r4,r2,24978 <___vfprintf_internal_r+0x1174> - 24088: 42000204 addi r8,r8,8 - 2408c: d8802b17 ldw r2,172(sp) - 24090: 10000c26 beq r2,zero,240c4 <___vfprintf_internal_r+0x8c0> - 24094: d8801f17 ldw r2,124(sp) - 24098: d9002704 addi r4,sp,156 - 2409c: 18c00084 addi r3,r3,2 - 240a0: 10800044 addi r2,r2,1 - 240a4: 41000015 stw r4,0(r8) - 240a8: 01000084 movi r4,2 - 240ac: 41000115 stw r4,4(r8) - 240b0: d8c02015 stw r3,128(sp) - 240b4: d8801f15 stw r2,124(sp) - 240b8: 010001c4 movi r4,7 - 240bc: 20823616 blt r4,r2,24998 <___vfprintf_internal_r+0x1194> - 240c0: 42000204 addi r8,r8,8 - 240c4: d9003017 ldw r4,192(sp) - 240c8: 00802004 movi r2,128 - 240cc: 20819926 beq r4,r2,24734 <___vfprintf_internal_r+0xf30> - 240d0: d9402917 ldw r5,164(sp) - 240d4: d8802e17 ldw r2,184(sp) - 240d8: 28adc83a sub r22,r5,r2 - 240dc: 0580310e bge zero,r22,241a4 <___vfprintf_internal_r+0x9a0> - 240e0: 07000404 movi fp,16 - 240e4: d8801f17 ldw r2,124(sp) - 240e8: e584140e bge fp,r22,2513c <___vfprintf_internal_r+0x1938> - 240ec: 014000f4 movhi r5,3 - 240f0: 294a5a84 addi r5,r5,10602 - 240f4: dc402915 stw r17,164(sp) - 240f8: d9402b15 stw r5,172(sp) - 240fc: b023883a mov r17,r22 - 24100: 04c001c4 movi r19,7 - 24104: a82d883a mov r22,r21 - 24108: 902b883a mov r21,r18 - 2410c: 8025883a mov r18,r16 - 24110: dc002c17 ldw r16,176(sp) - 24114: 00000306 br 24124 <___vfprintf_internal_r+0x920> - 24118: 8c7ffc04 addi r17,r17,-16 - 2411c: 42000204 addi r8,r8,8 - 24120: e440110e bge fp,r17,24168 <___vfprintf_internal_r+0x964> - 24124: 18c00404 addi r3,r3,16 - 24128: 10800044 addi r2,r2,1 - 2412c: 45000015 stw r20,0(r8) - 24130: 47000115 stw fp,4(r8) - 24134: d8c02015 stw r3,128(sp) - 24138: d8801f15 stw r2,124(sp) - 2413c: 98bff60e bge r19,r2,24118 <___vfprintf_internal_r+0x914> - 24140: d9801e04 addi r6,sp,120 - 24144: b80b883a mov r5,r23 - 24148: 8009883a mov r4,r16 - 2414c: 002a7d00 call 2a7d0 <__sprint_r> - 24150: 103eae1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24154: 8c7ffc04 addi r17,r17,-16 - 24158: d8c02017 ldw r3,128(sp) - 2415c: d8801f17 ldw r2,124(sp) - 24160: da000404 addi r8,sp,16 - 24164: e47fef16 blt fp,r17,24124 <___vfprintf_internal_r+0x920> - 24168: 9021883a mov r16,r18 - 2416c: a825883a mov r18,r21 - 24170: b02b883a mov r21,r22 - 24174: 882d883a mov r22,r17 - 24178: dc402917 ldw r17,164(sp) - 2417c: d9002b17 ldw r4,172(sp) - 24180: 1d87883a add r3,r3,r22 - 24184: 10800044 addi r2,r2,1 - 24188: 41000015 stw r4,0(r8) - 2418c: 45800115 stw r22,4(r8) - 24190: d8c02015 stw r3,128(sp) - 24194: d8801f15 stw r2,124(sp) - 24198: 010001c4 movi r4,7 - 2419c: 2081ee16 blt r4,r2,24958 <___vfprintf_internal_r+0x1154> - 241a0: 42000204 addi r8,r8,8 - 241a4: 9080400c andi r2,r18,256 - 241a8: 1001181e bne r2,zero,2460c <___vfprintf_internal_r+0xe08> - 241ac: d9402e17 ldw r5,184(sp) - 241b0: d8801f17 ldw r2,124(sp) - 241b4: 44000015 stw r16,0(r8) - 241b8: 1947883a add r3,r3,r5 - 241bc: 10800044 addi r2,r2,1 - 241c0: 41400115 stw r5,4(r8) - 241c4: d8c02015 stw r3,128(sp) - 241c8: d8801f15 stw r2,124(sp) - 241cc: 010001c4 movi r4,7 - 241d0: 2081d316 blt r4,r2,24920 <___vfprintf_internal_r+0x111c> - 241d4: 42000204 addi r8,r8,8 - 241d8: 9480010c andi r18,r18,4 - 241dc: 90003226 beq r18,zero,242a8 <___vfprintf_internal_r+0xaa4> - 241e0: d9403117 ldw r5,196(sp) - 241e4: d8802a17 ldw r2,168(sp) - 241e8: 28a1c83a sub r16,r5,r2 - 241ec: 04002e0e bge zero,r16,242a8 <___vfprintf_internal_r+0xaa4> - 241f0: 04400404 movi r17,16 - 241f4: d8801f17 ldw r2,124(sp) - 241f8: 8c04a20e bge r17,r16,25484 <___vfprintf_internal_r+0x1c80> - 241fc: 014000f4 movhi r5,3 - 24200: 294a5e84 addi r5,r5,10618 - 24204: d9403515 stw r5,212(sp) - 24208: 048001c4 movi r18,7 - 2420c: dcc02c17 ldw r19,176(sp) - 24210: 00000306 br 24220 <___vfprintf_internal_r+0xa1c> - 24214: 843ffc04 addi r16,r16,-16 - 24218: 42000204 addi r8,r8,8 - 2421c: 8c00130e bge r17,r16,2426c <___vfprintf_internal_r+0xa68> - 24220: 010000f4 movhi r4,3 - 24224: 18c00404 addi r3,r3,16 - 24228: 10800044 addi r2,r2,1 - 2422c: 210a5e84 addi r4,r4,10618 - 24230: 41000015 stw r4,0(r8) - 24234: 44400115 stw r17,4(r8) - 24238: d8c02015 stw r3,128(sp) - 2423c: d8801f15 stw r2,124(sp) - 24240: 90bff40e bge r18,r2,24214 <___vfprintf_internal_r+0xa10> - 24244: d9801e04 addi r6,sp,120 - 24248: b80b883a mov r5,r23 - 2424c: 9809883a mov r4,r19 - 24250: 002a7d00 call 2a7d0 <__sprint_r> - 24254: 103e6d1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24258: 843ffc04 addi r16,r16,-16 - 2425c: d8c02017 ldw r3,128(sp) - 24260: d8801f17 ldw r2,124(sp) - 24264: da000404 addi r8,sp,16 - 24268: 8c3fed16 blt r17,r16,24220 <___vfprintf_internal_r+0xa1c> - 2426c: d9403517 ldw r5,212(sp) - 24270: 1c07883a add r3,r3,r16 - 24274: 10800044 addi r2,r2,1 - 24278: 41400015 stw r5,0(r8) - 2427c: 44000115 stw r16,4(r8) - 24280: d8c02015 stw r3,128(sp) - 24284: d8801f15 stw r2,124(sp) - 24288: 010001c4 movi r4,7 - 2428c: 2080060e bge r4,r2,242a8 <___vfprintf_internal_r+0xaa4> - 24290: d9002c17 ldw r4,176(sp) - 24294: d9801e04 addi r6,sp,120 - 24298: b80b883a mov r5,r23 - 2429c: 002a7d00 call 2a7d0 <__sprint_r> - 242a0: 103e5a1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 242a4: d8c02017 ldw r3,128(sp) - 242a8: d8803117 ldw r2,196(sp) - 242ac: d9002a17 ldw r4,168(sp) - 242b0: 1100010e bge r2,r4,242b8 <___vfprintf_internal_r+0xab4> - 242b4: 2005883a mov r2,r4 - 242b8: d9402f17 ldw r5,188(sp) - 242bc: 288b883a add r5,r5,r2 - 242c0: d9402f15 stw r5,188(sp) - 242c4: 18019e1e bne r3,zero,24940 <___vfprintf_internal_r+0x113c> - 242c8: a8800007 ldb r2,0(r21) - 242cc: d8001f15 stw zero,124(sp) - 242d0: da000404 addi r8,sp,16 - 242d4: 103d851e bne r2,zero,238ec <___vfprintf_internal_r+0xe8> - 242d8: a821883a mov r16,r21 - 242dc: 003d9b06 br 2394c <___vfprintf_internal_r+0x148> - 242e0: 18c03fcc andi r3,r3,255 - 242e4: 1805c11e bne r3,zero,259ec <___vfprintf_internal_r+0x21e8> - 242e8: 94800414 ori r18,r18,16 - 242ec: 9080080c andi r2,r18,32 - 242f0: 10020c26 beq r2,zero,24b24 <___vfprintf_internal_r+0x1320> - 242f4: d8802d17 ldw r2,180(sp) - 242f8: d9002917 ldw r4,164(sp) - 242fc: d8002785 stb zero,158(sp) - 24300: 10c00204 addi r3,r2,8 - 24304: 14c00017 ldw r19,0(r2) - 24308: 15800117 ldw r22,4(r2) - 2430c: 20040f16 blt r4,zero,2534c <___vfprintf_internal_r+0x1b48> - 24310: 013fdfc4 movi r4,-129 - 24314: 9d84b03a or r2,r19,r22 - 24318: d8c02d15 stw r3,180(sp) - 2431c: 9124703a and r18,r18,r4 - 24320: 0039883a mov fp,zero - 24324: 103e891e bne r2,zero,23d4c <___vfprintf_internal_r+0x548> - 24328: d9002917 ldw r4,164(sp) - 2432c: 2002c11e bne r4,zero,24e34 <___vfprintf_internal_r+0x1630> - 24330: d8002915 stw zero,164(sp) - 24334: d8002e15 stw zero,184(sp) - 24338: dc001e04 addi r16,sp,120 - 2433c: 003ef806 br 23f20 <___vfprintf_internal_r+0x71c> - 24340: 18c03fcc andi r3,r3,255 - 24344: 18059d1e bne r3,zero,259bc <___vfprintf_internal_r+0x21b8> - 24348: 014000f4 movhi r5,3 - 2434c: 294a4e04 addi r5,r5,10552 - 24350: d9403915 stw r5,228(sp) - 24354: 9080080c andi r2,r18,32 - 24358: 10005226 beq r2,zero,244a4 <___vfprintf_internal_r+0xca0> - 2435c: d8802d17 ldw r2,180(sp) - 24360: 14c00017 ldw r19,0(r2) - 24364: 15800117 ldw r22,4(r2) - 24368: 10800204 addi r2,r2,8 - 2436c: d8802d15 stw r2,180(sp) - 24370: 9080004c andi r2,r18,1 - 24374: 10019026 beq r2,zero,249b8 <___vfprintf_internal_r+0x11b4> - 24378: 9d84b03a or r2,r19,r22 - 2437c: 10036926 beq r2,zero,25124 <___vfprintf_internal_r+0x1920> - 24380: d8c02917 ldw r3,164(sp) - 24384: 00800c04 movi r2,48 - 24388: d8802705 stb r2,156(sp) - 2438c: dc402745 stb r17,157(sp) - 24390: d8002785 stb zero,158(sp) - 24394: 90800094 ori r2,r18,2 - 24398: 18045d16 blt r3,zero,25510 <___vfprintf_internal_r+0x1d0c> - 2439c: 00bfdfc4 movi r2,-129 - 243a0: 90a4703a and r18,r18,r2 - 243a4: 94800094 ori r18,r18,2 - 243a8: 0039883a mov fp,zero - 243ac: d9003917 ldw r4,228(sp) - 243b0: dc001e04 addi r16,sp,120 - 243b4: 988003cc andi r2,r19,15 - 243b8: b006973a slli r3,r22,28 - 243bc: 2085883a add r2,r4,r2 - 243c0: 9826d13a srli r19,r19,4 - 243c4: 10800003 ldbu r2,0(r2) - 243c8: b02cd13a srli r22,r22,4 - 243cc: 843fffc4 addi r16,r16,-1 - 243d0: 1ce6b03a or r19,r3,r19 - 243d4: 80800005 stb r2,0(r16) - 243d8: 9d84b03a or r2,r19,r22 - 243dc: 103ff51e bne r2,zero,243b4 <___vfprintf_internal_r+0xbb0> - 243e0: d8c02817 ldw r3,160(sp) - 243e4: 1c07c83a sub r3,r3,r16 - 243e8: d8c02e15 stw r3,184(sp) - 243ec: 003ecc06 br 23f20 <___vfprintf_internal_r+0x71c> - 243f0: 18c03fcc andi r3,r3,255 - 243f4: 183e9f26 beq r3,zero,23e74 <___vfprintf_internal_r+0x670> - 243f8: d9c02785 stb r7,158(sp) - 243fc: 003e9d06 br 23e74 <___vfprintf_internal_r+0x670> - 24400: 00c00044 movi r3,1 - 24404: 01c00ac4 movi r7,43 - 24408: ac400007 ldb r17,0(r21) - 2440c: 003d5e06 br 23988 <___vfprintf_internal_r+0x184> - 24410: 94800814 ori r18,r18,32 - 24414: ac400007 ldb r17,0(r21) - 24418: 003d5b06 br 23988 <___vfprintf_internal_r+0x184> - 2441c: d8c02d17 ldw r3,180(sp) - 24420: d8002785 stb zero,158(sp) - 24424: 1c000017 ldw r16,0(r3) - 24428: 1cc00104 addi r19,r3,4 - 2442c: 80041926 beq r16,zero,25494 <___vfprintf_internal_r+0x1c90> - 24430: d9002917 ldw r4,164(sp) - 24434: 2003d016 blt r4,zero,25378 <___vfprintf_internal_r+0x1b74> - 24438: 200d883a mov r6,r4 - 2443c: 000b883a mov r5,zero - 24440: 8009883a mov r4,r16 - 24444: da003d15 stw r8,244(sp) - 24448: 00288080 call 28808 - 2444c: da003d17 ldw r8,244(sp) - 24450: 10045426 beq r2,zero,255a4 <___vfprintf_internal_r+0x1da0> - 24454: 1405c83a sub r2,r2,r16 - 24458: d8802e15 stw r2,184(sp) - 2445c: 1003cc16 blt r2,zero,25390 <___vfprintf_internal_r+0x1b8c> - 24460: df002783 ldbu fp,158(sp) - 24464: d8802a15 stw r2,168(sp) - 24468: dcc02d15 stw r19,180(sp) - 2446c: d8002915 stw zero,164(sp) - 24470: d8003215 stw zero,200(sp) - 24474: 003eb006 br 23f38 <___vfprintf_internal_r+0x734> - 24478: 18c03fcc andi r3,r3,255 - 2447c: 183f9b26 beq r3,zero,242ec <___vfprintf_internal_r+0xae8> - 24480: d9c02785 stb r7,158(sp) - 24484: 003f9906 br 242ec <___vfprintf_internal_r+0xae8> - 24488: 18c03fcc andi r3,r3,255 - 2448c: 1805551e bne r3,zero,259e4 <___vfprintf_internal_r+0x21e0> - 24490: 014000f4 movhi r5,3 - 24494: 294a5304 addi r5,r5,10572 - 24498: d9403915 stw r5,228(sp) - 2449c: 9080080c andi r2,r18,32 - 244a0: 103fae1e bne r2,zero,2435c <___vfprintf_internal_r+0xb58> - 244a4: 9080040c andi r2,r18,16 - 244a8: 1002de26 beq r2,zero,25024 <___vfprintf_internal_r+0x1820> - 244ac: d8c02d17 ldw r3,180(sp) - 244b0: 002d883a mov r22,zero - 244b4: 1cc00017 ldw r19,0(r3) - 244b8: 18c00104 addi r3,r3,4 - 244bc: d8c02d15 stw r3,180(sp) - 244c0: 003fab06 br 24370 <___vfprintf_internal_r+0xb6c> - 244c4: 38803fcc andi r2,r7,255 - 244c8: 1080201c xori r2,r2,128 - 244cc: 10bfe004 addi r2,r2,-128 - 244d0: 1002d21e bne r2,zero,2501c <___vfprintf_internal_r+0x1818> - 244d4: 00c00044 movi r3,1 - 244d8: 01c00804 movi r7,32 - 244dc: ac400007 ldb r17,0(r21) - 244e0: 003d2906 br 23988 <___vfprintf_internal_r+0x184> - 244e4: 94800054 ori r18,r18,1 - 244e8: ac400007 ldb r17,0(r21) - 244ec: 003d2606 br 23988 <___vfprintf_internal_r+0x184> - 244f0: 18c03fcc andi r3,r3,255 - 244f4: 183e0526 beq r3,zero,23d0c <___vfprintf_internal_r+0x508> - 244f8: d9c02785 stb r7,158(sp) - 244fc: 003e0306 br 23d0c <___vfprintf_internal_r+0x508> - 24500: 94801014 ori r18,r18,64 - 24504: ac400007 ldb r17,0(r21) - 24508: 003d1f06 br 23988 <___vfprintf_internal_r+0x184> - 2450c: ac400007 ldb r17,0(r21) - 24510: 8a438726 beq r17,r9,25330 <___vfprintf_internal_r+0x1b2c> - 24514: 94800414 ori r18,r18,16 - 24518: 003d1b06 br 23988 <___vfprintf_internal_r+0x184> - 2451c: 18c03fcc andi r3,r3,255 - 24520: 1805341e bne r3,zero,259f4 <___vfprintf_internal_r+0x21f0> - 24524: 9080080c andi r2,r18,32 - 24528: 1002cd26 beq r2,zero,25060 <___vfprintf_internal_r+0x185c> - 2452c: d9402d17 ldw r5,180(sp) - 24530: d9002f17 ldw r4,188(sp) - 24534: 28800017 ldw r2,0(r5) - 24538: 2007d7fa srai r3,r4,31 - 2453c: 29400104 addi r5,r5,4 - 24540: d9402d15 stw r5,180(sp) - 24544: 11000015 stw r4,0(r2) - 24548: 10c00115 stw r3,4(r2) - 2454c: 003ce506 br 238e4 <___vfprintf_internal_r+0xe0> - 24550: d8c02d17 ldw r3,180(sp) - 24554: d9002d17 ldw r4,180(sp) - 24558: d8002785 stb zero,158(sp) - 2455c: 18800017 ldw r2,0(r3) - 24560: 21000104 addi r4,r4,4 - 24564: 00c00044 movi r3,1 - 24568: d8c02a15 stw r3,168(sp) - 2456c: d8801405 stb r2,80(sp) - 24570: d9002d15 stw r4,180(sp) - 24574: d8c02e15 stw r3,184(sp) - 24578: d8002915 stw zero,164(sp) - 2457c: d8003215 stw zero,200(sp) - 24580: dc001404 addi r16,sp,80 - 24584: 0039883a mov fp,zero - 24588: 003e7206 br 23f54 <___vfprintf_internal_r+0x750> - 2458c: 010000f4 movhi r4,3 - 24590: 210a5304 addi r4,r4,10572 - 24594: 0039883a mov fp,zero - 24598: d9003915 stw r4,228(sp) - 2459c: 04401e04 movi r17,120 - 245a0: 003f8206 br 243ac <___vfprintf_internal_r+0xba8> - 245a4: 18c03fcc andi r3,r3,255 - 245a8: 1805061e bne r3,zero,259c4 <___vfprintf_internal_r+0x21c0> - 245ac: 883d9126 beq r17,zero,23bf4 <___vfprintf_internal_r+0x3f0> - 245b0: 00c00044 movi r3,1 - 245b4: d8c02a15 stw r3,168(sp) - 245b8: dc401405 stb r17,80(sp) - 245bc: d8002785 stb zero,158(sp) - 245c0: 003fec06 br 24574 <___vfprintf_internal_r+0xd70> - 245c4: 014000f4 movhi r5,3 - 245c8: 294a5304 addi r5,r5,10572 - 245cc: d9403915 stw r5,228(sp) - 245d0: d8c02d15 stw r3,180(sp) - 245d4: 1025883a mov r18,r2 - 245d8: 04401e04 movi r17,120 - 245dc: 9d84b03a or r2,r19,r22 - 245e0: 1000fc1e bne r2,zero,249d4 <___vfprintf_internal_r+0x11d0> - 245e4: 0039883a mov fp,zero - 245e8: 00800084 movi r2,2 - 245ec: 10803fcc andi r2,r2,255 - 245f0: 00c00044 movi r3,1 - 245f4: 10c20f26 beq r2,r3,24e34 <___vfprintf_internal_r+0x1630> - 245f8: 00c00084 movi r3,2 - 245fc: 10fd6326 beq r2,r3,23b8c <___vfprintf_internal_r+0x388> - 24600: 003e2d06 br 23eb8 <___vfprintf_internal_r+0x6b4> - 24604: d8c02017 ldw r3,128(sp) - 24608: 003e9306 br 24058 <___vfprintf_internal_r+0x854> - 2460c: 00801944 movi r2,101 - 24610: 14407e0e bge r2,r17,2480c <___vfprintf_internal_r+0x1008> - 24614: d9003617 ldw r4,216(sp) - 24618: d9403817 ldw r5,224(sp) - 2461c: 000d883a mov r6,zero - 24620: 000f883a mov r7,zero - 24624: d8c03c15 stw r3,240(sp) - 24628: da003d15 stw r8,244(sp) - 2462c: 002e2940 call 2e294 <__eqdf2> - 24630: d8c03c17 ldw r3,240(sp) - 24634: da003d17 ldw r8,244(sp) - 24638: 1000f71e bne r2,zero,24a18 <___vfprintf_internal_r+0x1214> - 2463c: d8801f17 ldw r2,124(sp) - 24640: 010000f4 movhi r4,3 - 24644: 210a5a04 addi r4,r4,10600 - 24648: 18c00044 addi r3,r3,1 - 2464c: 10800044 addi r2,r2,1 - 24650: 41000015 stw r4,0(r8) - 24654: 01000044 movi r4,1 - 24658: 41000115 stw r4,4(r8) - 2465c: d8c02015 stw r3,128(sp) - 24660: d8801f15 stw r2,124(sp) - 24664: 010001c4 movi r4,7 - 24668: 2082b816 blt r4,r2,2514c <___vfprintf_internal_r+0x1948> - 2466c: 42000204 addi r8,r8,8 - 24670: d8802617 ldw r2,152(sp) - 24674: d9403317 ldw r5,204(sp) - 24678: 11400216 blt r2,r5,24684 <___vfprintf_internal_r+0xe80> - 2467c: 9080004c andi r2,r18,1 - 24680: 103ed526 beq r2,zero,241d8 <___vfprintf_internal_r+0x9d4> - 24684: d8803717 ldw r2,220(sp) - 24688: d9003417 ldw r4,208(sp) - 2468c: d9403717 ldw r5,220(sp) - 24690: 1887883a add r3,r3,r2 - 24694: d8801f17 ldw r2,124(sp) - 24698: 41000015 stw r4,0(r8) - 2469c: 41400115 stw r5,4(r8) - 246a0: 10800044 addi r2,r2,1 - 246a4: d8c02015 stw r3,128(sp) - 246a8: d8801f15 stw r2,124(sp) - 246ac: 010001c4 movi r4,7 - 246b0: 20832916 blt r4,r2,25358 <___vfprintf_internal_r+0x1b54> - 246b4: 42000204 addi r8,r8,8 - 246b8: d8803317 ldw r2,204(sp) - 246bc: 143fffc4 addi r16,r2,-1 - 246c0: 043ec50e bge zero,r16,241d8 <___vfprintf_internal_r+0x9d4> - 246c4: 04400404 movi r17,16 - 246c8: d8801f17 ldw r2,124(sp) - 246cc: 8c00880e bge r17,r16,248f0 <___vfprintf_internal_r+0x10ec> - 246d0: 014000f4 movhi r5,3 - 246d4: 294a5a84 addi r5,r5,10602 - 246d8: d9402b15 stw r5,172(sp) - 246dc: 058001c4 movi r22,7 - 246e0: dcc02c17 ldw r19,176(sp) - 246e4: 00000306 br 246f4 <___vfprintf_internal_r+0xef0> - 246e8: 42000204 addi r8,r8,8 - 246ec: 843ffc04 addi r16,r16,-16 - 246f0: 8c00820e bge r17,r16,248fc <___vfprintf_internal_r+0x10f8> - 246f4: 18c00404 addi r3,r3,16 - 246f8: 10800044 addi r2,r2,1 - 246fc: 45000015 stw r20,0(r8) - 24700: 44400115 stw r17,4(r8) - 24704: d8c02015 stw r3,128(sp) - 24708: d8801f15 stw r2,124(sp) - 2470c: b0bff60e bge r22,r2,246e8 <___vfprintf_internal_r+0xee4> - 24710: d9801e04 addi r6,sp,120 - 24714: b80b883a mov r5,r23 - 24718: 9809883a mov r4,r19 - 2471c: 002a7d00 call 2a7d0 <__sprint_r> - 24720: 103d3a1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24724: d8c02017 ldw r3,128(sp) - 24728: d8801f17 ldw r2,124(sp) - 2472c: da000404 addi r8,sp,16 - 24730: 003fee06 br 246ec <___vfprintf_internal_r+0xee8> - 24734: d9403117 ldw r5,196(sp) - 24738: d8802a17 ldw r2,168(sp) - 2473c: 28adc83a sub r22,r5,r2 - 24740: 05be630e bge zero,r22,240d0 <___vfprintf_internal_r+0x8cc> - 24744: 07000404 movi fp,16 - 24748: d8801f17 ldw r2,124(sp) - 2474c: e5838f0e bge fp,r22,2558c <___vfprintf_internal_r+0x1d88> - 24750: 014000f4 movhi r5,3 - 24754: 294a5a84 addi r5,r5,10602 - 24758: dc403015 stw r17,192(sp) - 2475c: d9402b15 stw r5,172(sp) - 24760: b023883a mov r17,r22 - 24764: 04c001c4 movi r19,7 - 24768: a82d883a mov r22,r21 - 2476c: 902b883a mov r21,r18 - 24770: 8025883a mov r18,r16 - 24774: dc002c17 ldw r16,176(sp) - 24778: 00000306 br 24788 <___vfprintf_internal_r+0xf84> - 2477c: 8c7ffc04 addi r17,r17,-16 - 24780: 42000204 addi r8,r8,8 - 24784: e440110e bge fp,r17,247cc <___vfprintf_internal_r+0xfc8> - 24788: 18c00404 addi r3,r3,16 - 2478c: 10800044 addi r2,r2,1 - 24790: 45000015 stw r20,0(r8) - 24794: 47000115 stw fp,4(r8) - 24798: d8c02015 stw r3,128(sp) - 2479c: d8801f15 stw r2,124(sp) - 247a0: 98bff60e bge r19,r2,2477c <___vfprintf_internal_r+0xf78> - 247a4: d9801e04 addi r6,sp,120 - 247a8: b80b883a mov r5,r23 - 247ac: 8009883a mov r4,r16 - 247b0: 002a7d00 call 2a7d0 <__sprint_r> - 247b4: 103d151e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 247b8: 8c7ffc04 addi r17,r17,-16 - 247bc: d8c02017 ldw r3,128(sp) - 247c0: d8801f17 ldw r2,124(sp) - 247c4: da000404 addi r8,sp,16 - 247c8: e47fef16 blt fp,r17,24788 <___vfprintf_internal_r+0xf84> - 247cc: 9021883a mov r16,r18 - 247d0: a825883a mov r18,r21 - 247d4: b02b883a mov r21,r22 - 247d8: 882d883a mov r22,r17 - 247dc: dc403017 ldw r17,192(sp) - 247e0: d9002b17 ldw r4,172(sp) - 247e4: 1d87883a add r3,r3,r22 - 247e8: 10800044 addi r2,r2,1 - 247ec: 41000015 stw r4,0(r8) - 247f0: 45800115 stw r22,4(r8) +00023240 <___vfprintf_internal_r>: + 23240: deffb804 addi sp,sp,-288 + 23244: dfc04715 stw ra,284(sp) + 23248: ddc04515 stw r23,276(sp) + 2324c: dd404315 stw r21,268(sp) + 23250: d9002c15 stw r4,176(sp) + 23254: 282f883a mov r23,r5 + 23258: 302b883a mov r21,r6 + 2325c: d9c02d15 stw r7,180(sp) + 23260: df004615 stw fp,280(sp) + 23264: dd804415 stw r22,272(sp) + 23268: dd004215 stw r20,264(sp) + 2326c: dcc04115 stw r19,260(sp) + 23270: dc804015 stw r18,256(sp) + 23274: dc403f15 stw r17,252(sp) + 23278: dc003e15 stw r16,248(sp) + 2327c: 00280580 call 28058 <_localeconv_r> + 23280: 10800017 ldw r2,0(r2) + 23284: 1009883a mov r4,r2 + 23288: d8803415 stw r2,208(sp) + 2328c: 00231a80 call 231a8 + 23290: d8803715 stw r2,220(sp) + 23294: d8802c17 ldw r2,176(sp) + 23298: 10000226 beq r2,zero,232a4 <___vfprintf_internal_r+0x64> + 2329c: 10800e17 ldw r2,56(r2) + 232a0: 1000f926 beq r2,zero,23688 <___vfprintf_internal_r+0x448> + 232a4: b880030b ldhu r2,12(r23) + 232a8: 10c8000c andi r3,r2,8192 + 232ac: 1800061e bne r3,zero,232c8 <___vfprintf_internal_r+0x88> + 232b0: b9001917 ldw r4,100(r23) + 232b4: 00f7ffc4 movi r3,-8193 + 232b8: 10880014 ori r2,r2,8192 + 232bc: 20c6703a and r3,r4,r3 + 232c0: b880030d sth r2,12(r23) + 232c4: b8c01915 stw r3,100(r23) + 232c8: 10c0020c andi r3,r2,8 + 232cc: 1800c126 beq r3,zero,235d4 <___vfprintf_internal_r+0x394> + 232d0: b8c00417 ldw r3,16(r23) + 232d4: 1800bf26 beq r3,zero,235d4 <___vfprintf_internal_r+0x394> + 232d8: 1080068c andi r2,r2,26 + 232dc: 00c00284 movi r3,10 + 232e0: 10c0c426 beq r2,r3,235f4 <___vfprintf_internal_r+0x3b4> + 232e4: d8c00404 addi r3,sp,16 + 232e8: 050000f4 movhi r20,3 + 232ec: d9001e04 addi r4,sp,120 + 232f0: a5080c84 addi r20,r20,8242 + 232f4: d8c01e15 stw r3,120(sp) + 232f8: d8002015 stw zero,128(sp) + 232fc: d8001f15 stw zero,124(sp) + 23300: d8003315 stw zero,204(sp) + 23304: d8003615 stw zero,216(sp) + 23308: d8003815 stw zero,224(sp) + 2330c: 1811883a mov r8,r3 + 23310: d8003915 stw zero,228(sp) + 23314: d8003a15 stw zero,232(sp) + 23318: d8002f15 stw zero,188(sp) + 2331c: d9002815 stw r4,160(sp) + 23320: a8800007 ldb r2,0(r21) + 23324: 10027b26 beq r2,zero,23d14 <___vfprintf_internal_r+0xad4> + 23328: 00c00944 movi r3,37 + 2332c: a821883a mov r16,r21 + 23330: 10c0021e bne r2,r3,2333c <___vfprintf_internal_r+0xfc> + 23334: 00001406 br 23388 <___vfprintf_internal_r+0x148> + 23338: 10c00326 beq r2,r3,23348 <___vfprintf_internal_r+0x108> + 2333c: 84000044 addi r16,r16,1 + 23340: 80800007 ldb r2,0(r16) + 23344: 103ffc1e bne r2,zero,23338 <_gp+0xfffe6e00> + 23348: 8563c83a sub r17,r16,r21 + 2334c: 88000e26 beq r17,zero,23388 <___vfprintf_internal_r+0x148> + 23350: d8c02017 ldw r3,128(sp) + 23354: d8801f17 ldw r2,124(sp) + 23358: 45400015 stw r21,0(r8) + 2335c: 1c47883a add r3,r3,r17 + 23360: 10800044 addi r2,r2,1 + 23364: d8c02015 stw r3,128(sp) + 23368: 44400115 stw r17,4(r8) + 2336c: d8801f15 stw r2,124(sp) + 23370: 00c001c4 movi r3,7 + 23374: 1880a716 blt r3,r2,23614 <___vfprintf_internal_r+0x3d4> + 23378: 42000204 addi r8,r8,8 + 2337c: d9402f17 ldw r5,188(sp) + 23380: 2c4b883a add r5,r5,r17 + 23384: d9402f15 stw r5,188(sp) + 23388: 80800007 ldb r2,0(r16) + 2338c: 1000a826 beq r2,zero,23630 <___vfprintf_internal_r+0x3f0> + 23390: 84400047 ldb r17,1(r16) + 23394: 00bfffc4 movi r2,-1 + 23398: 85400044 addi r21,r16,1 + 2339c: d8002785 stb zero,158(sp) + 233a0: 0007883a mov r3,zero + 233a4: 000f883a mov r7,zero + 233a8: d8802915 stw r2,164(sp) + 233ac: d8003115 stw zero,196(sp) + 233b0: 0025883a mov r18,zero + 233b4: 01401604 movi r5,88 + 233b8: 01800244 movi r6,9 + 233bc: 02800a84 movi r10,42 + 233c0: 02401b04 movi r9,108 + 233c4: ad400044 addi r21,r21,1 + 233c8: 88bff804 addi r2,r17,-32 + 233cc: 28830436 bltu r5,r2,23fe0 <___vfprintf_internal_r+0xda0> + 233d0: 100490ba slli r2,r2,2 + 233d4: 010000b4 movhi r4,2 + 233d8: 210cfa04 addi r4,r4,13288 + 233dc: 1105883a add r2,r2,r4 + 233e0: 10800017 ldw r2,0(r2) + 233e4: 1000683a jmp r2 + 233e8: 00023f00 call 23f0 <__alt_mem_onchip_memory2_0-0x1dc10> + 233ec: 00023fe0 cmpeqi zero,zero,2303 + 233f0: 00023fe0 cmpeqi zero,zero,2303 + 233f4: 00023f20 cmpeqi zero,zero,2300 + 233f8: 00023fe0 cmpeqi zero,zero,2303 + 233fc: 00023fe0 cmpeqi zero,zero,2303 + 23400: 00023fe0 cmpeqi zero,zero,2303 + 23404: 00023fe0 cmpeqi zero,zero,2303 + 23408: 00023fe0 cmpeqi zero,zero,2303 + 2340c: 00023fe0 cmpeqi zero,zero,2303 + 23410: 00023694 movui zero,2266 + 23414: 00023e3c xorhi zero,zero,2296 + 23418: 00023fe0 cmpeqi zero,zero,2303 + 2341c: 0002355c xori zero,zero,2261 + 23420: 000236bc xorhi zero,zero,2266 + 23424: 00023fe0 cmpeqi zero,zero,2303 + 23428: 000236fc xorhi zero,zero,2267 + 2342c: 00023708 cmpgei zero,zero,2268 + 23430: 00023708 cmpgei zero,zero,2268 + 23434: 00023708 cmpgei zero,zero,2268 + 23438: 00023708 cmpgei zero,zero,2268 + 2343c: 00023708 cmpgei zero,zero,2268 + 23440: 00023708 cmpgei zero,zero,2268 + 23444: 00023708 cmpgei zero,zero,2268 + 23448: 00023708 cmpgei zero,zero,2268 + 2344c: 00023708 cmpgei zero,zero,2268 + 23450: 00023fe0 cmpeqi zero,zero,2303 + 23454: 00023fe0 cmpeqi zero,zero,2303 + 23458: 00023fe0 cmpeqi zero,zero,2303 + 2345c: 00023fe0 cmpeqi zero,zero,2303 + 23460: 00023fe0 cmpeqi zero,zero,2303 + 23464: 00023fe0 cmpeqi zero,zero,2303 + 23468: 00023fe0 cmpeqi zero,zero,2303 + 2346c: 00023fe0 cmpeqi zero,zero,2303 + 23470: 00023fe0 cmpeqi zero,zero,2303 + 23474: 00023fe0 cmpeqi zero,zero,2303 + 23478: 0002373c xorhi zero,zero,2268 + 2347c: 000237f8 rdprs zero,zero,2271 + 23480: 00023fe0 cmpeqi zero,zero,2303 + 23484: 000237f8 rdprs zero,zero,2271 + 23488: 00023fe0 cmpeqi zero,zero,2303 + 2348c: 00023fe0 cmpeqi zero,zero,2303 + 23490: 00023fe0 cmpeqi zero,zero,2303 + 23494: 00023fe0 cmpeqi zero,zero,2303 + 23498: 00023898 cmpnei zero,zero,2274 + 2349c: 00023fe0 cmpeqi zero,zero,2303 + 234a0: 00023fe0 cmpeqi zero,zero,2303 + 234a4: 000238a4 muli zero,zero,2274 + 234a8: 00023fe0 cmpeqi zero,zero,2303 + 234ac: 00023fe0 cmpeqi zero,zero,2303 + 234b0: 00023fe0 cmpeqi zero,zero,2303 + 234b4: 00023fe0 cmpeqi zero,zero,2303 + 234b8: 00023fe0 cmpeqi zero,zero,2303 + 234bc: 00023d1c xori zero,zero,2292 + 234c0: 00023fe0 cmpeqi zero,zero,2303 + 234c4: 00023fe0 cmpeqi zero,zero,2303 + 234c8: 00023d7c xorhi zero,zero,2293 + 234cc: 00023fe0 cmpeqi zero,zero,2303 + 234d0: 00023fe0 cmpeqi zero,zero,2303 + 234d4: 00023fe0 cmpeqi zero,zero,2303 + 234d8: 00023fe0 cmpeqi zero,zero,2303 + 234dc: 00023fe0 cmpeqi zero,zero,2303 + 234e0: 00023fe0 cmpeqi zero,zero,2303 + 234e4: 00023fe0 cmpeqi zero,zero,2303 + 234e8: 00023fe0 cmpeqi zero,zero,2303 + 234ec: 00023fe0 cmpeqi zero,zero,2303 + 234f0: 00023fe0 cmpeqi zero,zero,2303 + 234f4: 00023f8c andi zero,zero,2302 + 234f8: 00023f2c andhi zero,zero,2300 + 234fc: 000237f8 rdprs zero,zero,2271 + 23500: 000237f8 rdprs zero,zero,2271 + 23504: 000237f8 rdprs zero,zero,2271 + 23508: 00023f3c xorhi zero,zero,2300 + 2350c: 00023f2c andhi zero,zero,2300 + 23510: 00023fe0 cmpeqi zero,zero,2303 + 23514: 00023fe0 cmpeqi zero,zero,2303 + 23518: 00023f48 cmpgei zero,zero,2301 + 2351c: 00023fe0 cmpeqi zero,zero,2303 + 23520: 00023f58 cmpnei zero,zero,2301 + 23524: 00023e2c andhi zero,zero,2296 + 23528: 00023568 cmpgeui zero,zero,2261 + 2352c: 00023e4c andi zero,zero,2297 + 23530: 00023fe0 cmpeqi zero,zero,2303 + 23534: 00023e58 cmpnei zero,zero,2297 + 23538: 00023fe0 cmpeqi zero,zero,2303 + 2353c: 00023eb4 movhi zero,2298 + 23540: 00023fe0 cmpeqi zero,zero,2303 + 23544: 00023fe0 cmpeqi zero,zero,2303 + 23548: 00023ec4 movi zero,2299 + 2354c: d9003117 ldw r4,196(sp) + 23550: d8802d15 stw r2,180(sp) + 23554: 0109c83a sub r4,zero,r4 + 23558: d9003115 stw r4,196(sp) + 2355c: 94800114 ori r18,r18,4 + 23560: ac400007 ldb r17,0(r21) + 23564: 003f9706 br 233c4 <_gp+0xfffe6e8c> + 23568: 00800c04 movi r2,48 + 2356c: d9002d17 ldw r4,180(sp) + 23570: d9402917 ldw r5,164(sp) + 23574: d8802705 stb r2,156(sp) + 23578: 00801e04 movi r2,120 + 2357c: d8802745 stb r2,157(sp) + 23580: d8002785 stb zero,158(sp) + 23584: 20c00104 addi r3,r4,4 + 23588: 24c00017 ldw r19,0(r4) + 2358c: 002d883a mov r22,zero + 23590: 90800094 ori r2,r18,2 + 23594: 28029a16 blt r5,zero,24000 <___vfprintf_internal_r+0xdc0> + 23598: 00bfdfc4 movi r2,-129 + 2359c: 90a4703a and r18,r18,r2 + 235a0: d8c02d15 stw r3,180(sp) + 235a4: 94800094 ori r18,r18,2 + 235a8: 9802871e bne r19,zero,23fc8 <___vfprintf_internal_r+0xd88> + 235ac: 008000f4 movhi r2,3 + 235b0: 10880504 addi r2,r2,8212 + 235b4: d8803915 stw r2,228(sp) + 235b8: 04401e04 movi r17,120 + 235bc: d8802917 ldw r2,164(sp) + 235c0: 0039883a mov fp,zero + 235c4: 1001e926 beq r2,zero,23d6c <___vfprintf_internal_r+0xb2c> + 235c8: 0027883a mov r19,zero + 235cc: 002d883a mov r22,zero + 235d0: 00020506 br 23de8 <___vfprintf_internal_r+0xba8> + 235d4: d9002c17 ldw r4,176(sp) + 235d8: b80b883a mov r5,r23 + 235dc: 00255100 call 25510 <__swsetup_r> + 235e0: 1005ac1e bne r2,zero,24c94 <___vfprintf_internal_r+0x1a54> + 235e4: b880030b ldhu r2,12(r23) + 235e8: 00c00284 movi r3,10 + 235ec: 1080068c andi r2,r2,26 + 235f0: 10ff3c1e bne r2,r3,232e4 <_gp+0xfffe6dac> + 235f4: b880038f ldh r2,14(r23) + 235f8: 103f3a16 blt r2,zero,232e4 <_gp+0xfffe6dac> + 235fc: d9c02d17 ldw r7,180(sp) + 23600: d9002c17 ldw r4,176(sp) + 23604: a80d883a mov r6,r21 + 23608: b80b883a mov r5,r23 + 2360c: 00254540 call 25454 <__sbprintf> + 23610: 00001106 br 23658 <___vfprintf_internal_r+0x418> + 23614: d9002c17 ldw r4,176(sp) + 23618: d9801e04 addi r6,sp,120 + 2361c: b80b883a mov r5,r23 + 23620: 002a01c0 call 2a01c <__sprint_r> + 23624: 1000081e bne r2,zero,23648 <___vfprintf_internal_r+0x408> + 23628: da000404 addi r8,sp,16 + 2362c: 003f5306 br 2337c <_gp+0xfffe6e44> + 23630: d8802017 ldw r2,128(sp) + 23634: 10000426 beq r2,zero,23648 <___vfprintf_internal_r+0x408> + 23638: d9002c17 ldw r4,176(sp) + 2363c: d9801e04 addi r6,sp,120 + 23640: b80b883a mov r5,r23 + 23644: 002a01c0 call 2a01c <__sprint_r> + 23648: b880030b ldhu r2,12(r23) + 2364c: 1080100c andi r2,r2,64 + 23650: 1005901e bne r2,zero,24c94 <___vfprintf_internal_r+0x1a54> + 23654: d8802f17 ldw r2,188(sp) + 23658: dfc04717 ldw ra,284(sp) + 2365c: df004617 ldw fp,280(sp) + 23660: ddc04517 ldw r23,276(sp) + 23664: dd804417 ldw r22,272(sp) + 23668: dd404317 ldw r21,268(sp) + 2366c: dd004217 ldw r20,264(sp) + 23670: dcc04117 ldw r19,260(sp) + 23674: dc804017 ldw r18,256(sp) + 23678: dc403f17 ldw r17,252(sp) + 2367c: dc003e17 ldw r16,248(sp) + 23680: dec04804 addi sp,sp,288 + 23684: f800283a ret + 23688: d9002c17 ldw r4,176(sp) + 2368c: 00274e40 call 274e4 <__sinit> + 23690: 003f0406 br 232a4 <_gp+0xfffe6d6c> + 23694: d8802d17 ldw r2,180(sp) + 23698: d9002d17 ldw r4,180(sp) + 2369c: 10800017 ldw r2,0(r2) + 236a0: d8803115 stw r2,196(sp) + 236a4: 20800104 addi r2,r4,4 + 236a8: d9003117 ldw r4,196(sp) + 236ac: 203fa716 blt r4,zero,2354c <_gp+0xfffe7014> + 236b0: d8802d15 stw r2,180(sp) + 236b4: ac400007 ldb r17,0(r21) + 236b8: 003f4206 br 233c4 <_gp+0xfffe6e8c> + 236bc: ac400007 ldb r17,0(r21) + 236c0: aac00044 addi r11,r21,1 + 236c4: 8a872826 beq r17,r10,25368 <___vfprintf_internal_r+0x2128> + 236c8: 88bff404 addi r2,r17,-48 + 236cc: 0009883a mov r4,zero + 236d0: 30867d36 bltu r6,r2,250c8 <___vfprintf_internal_r+0x1e88> + 236d4: 5c400007 ldb r17,0(r11) + 236d8: 210002a4 muli r4,r4,10 + 236dc: 5d400044 addi r21,r11,1 + 236e0: a817883a mov r11,r21 + 236e4: 2089883a add r4,r4,r2 + 236e8: 88bff404 addi r2,r17,-48 + 236ec: 30bff92e bgeu r6,r2,236d4 <_gp+0xfffe719c> + 236f0: 2005c916 blt r4,zero,24e18 <___vfprintf_internal_r+0x1bd8> + 236f4: d9002915 stw r4,164(sp) + 236f8: 003f3306 br 233c8 <_gp+0xfffe6e90> + 236fc: 94802014 ori r18,r18,128 + 23700: ac400007 ldb r17,0(r21) + 23704: 003f2f06 br 233c4 <_gp+0xfffe6e8c> + 23708: a809883a mov r4,r21 + 2370c: d8003115 stw zero,196(sp) + 23710: 88bff404 addi r2,r17,-48 + 23714: 0017883a mov r11,zero + 23718: 24400007 ldb r17,0(r4) + 2371c: 5ac002a4 muli r11,r11,10 + 23720: ad400044 addi r21,r21,1 + 23724: a809883a mov r4,r21 + 23728: 12d7883a add r11,r2,r11 + 2372c: 88bff404 addi r2,r17,-48 + 23730: 30bff92e bgeu r6,r2,23718 <_gp+0xfffe71e0> + 23734: dac03115 stw r11,196(sp) + 23738: 003f2306 br 233c8 <_gp+0xfffe6e90> + 2373c: 18c03fcc andi r3,r3,255 + 23740: 18072b1e bne r3,zero,253f0 <___vfprintf_internal_r+0x21b0> + 23744: 94800414 ori r18,r18,16 + 23748: 9080080c andi r2,r18,32 + 2374c: 10037b26 beq r2,zero,2453c <___vfprintf_internal_r+0x12fc> + 23750: d9402d17 ldw r5,180(sp) + 23754: 28800117 ldw r2,4(r5) + 23758: 2cc00017 ldw r19,0(r5) + 2375c: 29400204 addi r5,r5,8 + 23760: d9402d15 stw r5,180(sp) + 23764: 102d883a mov r22,r2 + 23768: 10044b16 blt r2,zero,24898 <___vfprintf_internal_r+0x1658> + 2376c: d9402917 ldw r5,164(sp) + 23770: df002783 ldbu fp,158(sp) + 23774: 2803bc16 blt r5,zero,24668 <___vfprintf_internal_r+0x1428> + 23778: 00ffdfc4 movi r3,-129 + 2377c: 9d84b03a or r2,r19,r22 + 23780: 90e4703a and r18,r18,r3 + 23784: 10017726 beq r2,zero,23d64 <___vfprintf_internal_r+0xb24> + 23788: b0038326 beq r22,zero,24598 <___vfprintf_internal_r+0x1358> + 2378c: dc402a15 stw r17,168(sp) + 23790: dc001e04 addi r16,sp,120 + 23794: b023883a mov r17,r22 + 23798: 402d883a mov r22,r8 + 2379c: 9809883a mov r4,r19 + 237a0: 880b883a mov r5,r17 + 237a4: 01800284 movi r6,10 + 237a8: 000f883a mov r7,zero + 237ac: 002c4140 call 2c414 <__umoddi3> + 237b0: 10800c04 addi r2,r2,48 + 237b4: 843fffc4 addi r16,r16,-1 + 237b8: 9809883a mov r4,r19 + 237bc: 880b883a mov r5,r17 + 237c0: 80800005 stb r2,0(r16) + 237c4: 01800284 movi r6,10 + 237c8: 000f883a mov r7,zero + 237cc: 002be9c0 call 2be9c <__udivdi3> + 237d0: 1027883a mov r19,r2 + 237d4: 10c4b03a or r2,r2,r3 + 237d8: 1823883a mov r17,r3 + 237dc: 103fef1e bne r2,zero,2379c <_gp+0xfffe7264> + 237e0: d8c02817 ldw r3,160(sp) + 237e4: dc402a17 ldw r17,168(sp) + 237e8: b011883a mov r8,r22 + 237ec: 1c07c83a sub r3,r3,r16 + 237f0: d8c02e15 stw r3,184(sp) + 237f4: 00005906 br 2395c <___vfprintf_internal_r+0x71c> + 237f8: 18c03fcc andi r3,r3,255 + 237fc: 1806fa1e bne r3,zero,253e8 <___vfprintf_internal_r+0x21a8> + 23800: 9080020c andi r2,r18,8 + 23804: 10048a26 beq r2,zero,24a30 <___vfprintf_internal_r+0x17f0> + 23808: d8c02d17 ldw r3,180(sp) + 2380c: d9002d17 ldw r4,180(sp) + 23810: d9402d17 ldw r5,180(sp) + 23814: 18c00017 ldw r3,0(r3) + 23818: 21000117 ldw r4,4(r4) + 2381c: 29400204 addi r5,r5,8 + 23820: d8c03615 stw r3,216(sp) + 23824: d9003815 stw r4,224(sp) + 23828: d9402d15 stw r5,180(sp) + 2382c: d9003617 ldw r4,216(sp) + 23830: d9403817 ldw r5,224(sp) + 23834: da003d15 stw r8,244(sp) + 23838: 04000044 movi r16,1 + 2383c: 0029c940 call 29c94 <__fpclassifyd> + 23840: da003d17 ldw r8,244(sp) + 23844: 14041f1e bne r2,r16,248c4 <___vfprintf_internal_r+0x1684> + 23848: d9003617 ldw r4,216(sp) + 2384c: d9403817 ldw r5,224(sp) + 23850: 000d883a mov r6,zero + 23854: 000f883a mov r7,zero + 23858: 002dc440 call 2dc44 <__ledf2> + 2385c: da003d17 ldw r8,244(sp) + 23860: 1005be16 blt r2,zero,24f5c <___vfprintf_internal_r+0x1d1c> + 23864: df002783 ldbu fp,158(sp) + 23868: 008011c4 movi r2,71 + 2386c: 1445330e bge r2,r17,24d3c <___vfprintf_internal_r+0x1afc> + 23870: 040000f4 movhi r16,3 + 23874: 8407fd04 addi r16,r16,8180 + 23878: 00c000c4 movi r3,3 + 2387c: 00bfdfc4 movi r2,-129 + 23880: d8c02a15 stw r3,168(sp) + 23884: 90a4703a and r18,r18,r2 + 23888: d8c02e15 stw r3,184(sp) + 2388c: d8002915 stw zero,164(sp) + 23890: d8003215 stw zero,200(sp) + 23894: 00003706 br 23974 <___vfprintf_internal_r+0x734> + 23898: 94800214 ori r18,r18,8 + 2389c: ac400007 ldb r17,0(r21) + 238a0: 003ec806 br 233c4 <_gp+0xfffe6e8c> + 238a4: 18c03fcc andi r3,r3,255 + 238a8: 1806db1e bne r3,zero,25418 <___vfprintf_internal_r+0x21d8> + 238ac: 94800414 ori r18,r18,16 + 238b0: 9080080c andi r2,r18,32 + 238b4: 1002d826 beq r2,zero,24418 <___vfprintf_internal_r+0x11d8> + 238b8: d9402d17 ldw r5,180(sp) + 238bc: d8c02917 ldw r3,164(sp) + 238c0: d8002785 stb zero,158(sp) + 238c4: 28800204 addi r2,r5,8 + 238c8: 2cc00017 ldw r19,0(r5) + 238cc: 2d800117 ldw r22,4(r5) + 238d0: 18048f16 blt r3,zero,24b10 <___vfprintf_internal_r+0x18d0> + 238d4: 013fdfc4 movi r4,-129 + 238d8: 9d86b03a or r3,r19,r22 + 238dc: d8802d15 stw r2,180(sp) + 238e0: 9124703a and r18,r18,r4 + 238e4: 1802d91e bne r3,zero,2444c <___vfprintf_internal_r+0x120c> + 238e8: d8c02917 ldw r3,164(sp) + 238ec: 0039883a mov fp,zero + 238f0: 1805c326 beq r3,zero,25000 <___vfprintf_internal_r+0x1dc0> + 238f4: 0027883a mov r19,zero + 238f8: 002d883a mov r22,zero + 238fc: dc001e04 addi r16,sp,120 + 23900: 9806d0fa srli r3,r19,3 + 23904: b008977a slli r4,r22,29 + 23908: b02cd0fa srli r22,r22,3 + 2390c: 9cc001cc andi r19,r19,7 + 23910: 98800c04 addi r2,r19,48 + 23914: 843fffc4 addi r16,r16,-1 + 23918: 20e6b03a or r19,r4,r3 + 2391c: 80800005 stb r2,0(r16) + 23920: 9d86b03a or r3,r19,r22 + 23924: 183ff61e bne r3,zero,23900 <_gp+0xfffe73c8> + 23928: 90c0004c andi r3,r18,1 + 2392c: 18013b26 beq r3,zero,23e1c <___vfprintf_internal_r+0xbdc> + 23930: 10803fcc andi r2,r2,255 + 23934: 1080201c xori r2,r2,128 + 23938: 10bfe004 addi r2,r2,-128 + 2393c: 00c00c04 movi r3,48 + 23940: 10c13626 beq r2,r3,23e1c <___vfprintf_internal_r+0xbdc> + 23944: 80ffffc5 stb r3,-1(r16) + 23948: d8c02817 ldw r3,160(sp) + 2394c: 80bfffc4 addi r2,r16,-1 + 23950: 1021883a mov r16,r2 + 23954: 1887c83a sub r3,r3,r2 + 23958: d8c02e15 stw r3,184(sp) + 2395c: d8802e17 ldw r2,184(sp) + 23960: d9002917 ldw r4,164(sp) + 23964: 1100010e bge r2,r4,2396c <___vfprintf_internal_r+0x72c> + 23968: 2005883a mov r2,r4 + 2396c: d8802a15 stw r2,168(sp) + 23970: d8003215 stw zero,200(sp) + 23974: e7003fcc andi fp,fp,255 + 23978: e700201c xori fp,fp,128 + 2397c: e73fe004 addi fp,fp,-128 + 23980: e0000326 beq fp,zero,23990 <___vfprintf_internal_r+0x750> + 23984: d8c02a17 ldw r3,168(sp) + 23988: 18c00044 addi r3,r3,1 + 2398c: d8c02a15 stw r3,168(sp) + 23990: 90c0008c andi r3,r18,2 + 23994: d8c02b15 stw r3,172(sp) + 23998: 18000326 beq r3,zero,239a8 <___vfprintf_internal_r+0x768> + 2399c: d8c02a17 ldw r3,168(sp) + 239a0: 18c00084 addi r3,r3,2 + 239a4: d8c02a15 stw r3,168(sp) + 239a8: 90c0210c andi r3,r18,132 + 239ac: d8c03015 stw r3,192(sp) + 239b0: 1801a31e bne r3,zero,24040 <___vfprintf_internal_r+0xe00> + 239b4: d9003117 ldw r4,196(sp) + 239b8: d8c02a17 ldw r3,168(sp) + 239bc: 20e7c83a sub r19,r4,r3 + 239c0: 04c19f0e bge zero,r19,24040 <___vfprintf_internal_r+0xe00> + 239c4: 02400404 movi r9,16 + 239c8: d8c02017 ldw r3,128(sp) + 239cc: d8801f17 ldw r2,124(sp) + 239d0: 4cc50d0e bge r9,r19,24e08 <___vfprintf_internal_r+0x1bc8> + 239d4: 014000f4 movhi r5,3 + 239d8: 29481084 addi r5,r5,8258 + 239dc: dc403b15 stw r17,236(sp) + 239e0: d9403515 stw r5,212(sp) + 239e4: 9823883a mov r17,r19 + 239e8: 482d883a mov r22,r9 + 239ec: 9027883a mov r19,r18 + 239f0: 070001c4 movi fp,7 + 239f4: 8025883a mov r18,r16 + 239f8: dc002c17 ldw r16,176(sp) + 239fc: 00000306 br 23a0c <___vfprintf_internal_r+0x7cc> + 23a00: 8c7ffc04 addi r17,r17,-16 + 23a04: 42000204 addi r8,r8,8 + 23a08: b440130e bge r22,r17,23a58 <___vfprintf_internal_r+0x818> + 23a0c: 010000f4 movhi r4,3 + 23a10: 18c00404 addi r3,r3,16 + 23a14: 10800044 addi r2,r2,1 + 23a18: 21081084 addi r4,r4,8258 + 23a1c: 41000015 stw r4,0(r8) + 23a20: 45800115 stw r22,4(r8) + 23a24: d8c02015 stw r3,128(sp) + 23a28: d8801f15 stw r2,124(sp) + 23a2c: e0bff40e bge fp,r2,23a00 <_gp+0xfffe74c8> + 23a30: d9801e04 addi r6,sp,120 + 23a34: b80b883a mov r5,r23 + 23a38: 8009883a mov r4,r16 + 23a3c: 002a01c0 call 2a01c <__sprint_r> + 23a40: 103f011e bne r2,zero,23648 <_gp+0xfffe7110> + 23a44: 8c7ffc04 addi r17,r17,-16 + 23a48: d8c02017 ldw r3,128(sp) + 23a4c: d8801f17 ldw r2,124(sp) + 23a50: da000404 addi r8,sp,16 + 23a54: b47fed16 blt r22,r17,23a0c <_gp+0xfffe74d4> + 23a58: 9021883a mov r16,r18 + 23a5c: 9825883a mov r18,r19 + 23a60: 8827883a mov r19,r17 + 23a64: dc403b17 ldw r17,236(sp) + 23a68: d9403517 ldw r5,212(sp) + 23a6c: 98c7883a add r3,r19,r3 + 23a70: 10800044 addi r2,r2,1 + 23a74: 41400015 stw r5,0(r8) + 23a78: 44c00115 stw r19,4(r8) + 23a7c: d8c02015 stw r3,128(sp) + 23a80: d8801f15 stw r2,124(sp) + 23a84: 010001c4 movi r4,7 + 23a88: 2082a316 blt r4,r2,24518 <___vfprintf_internal_r+0x12d8> + 23a8c: df002787 ldb fp,158(sp) + 23a90: 42000204 addi r8,r8,8 + 23a94: e0000c26 beq fp,zero,23ac8 <___vfprintf_internal_r+0x888> + 23a98: d8801f17 ldw r2,124(sp) + 23a9c: d9002784 addi r4,sp,158 + 23aa0: 18c00044 addi r3,r3,1 + 23aa4: 10800044 addi r2,r2,1 + 23aa8: 41000015 stw r4,0(r8) + 23aac: 01000044 movi r4,1 + 23ab0: 41000115 stw r4,4(r8) + 23ab4: d8c02015 stw r3,128(sp) + 23ab8: d8801f15 stw r2,124(sp) + 23abc: 010001c4 movi r4,7 + 23ac0: 20823c16 blt r4,r2,243b4 <___vfprintf_internal_r+0x1174> + 23ac4: 42000204 addi r8,r8,8 + 23ac8: d8802b17 ldw r2,172(sp) + 23acc: 10000c26 beq r2,zero,23b00 <___vfprintf_internal_r+0x8c0> + 23ad0: d8801f17 ldw r2,124(sp) + 23ad4: d9002704 addi r4,sp,156 + 23ad8: 18c00084 addi r3,r3,2 + 23adc: 10800044 addi r2,r2,1 + 23ae0: 41000015 stw r4,0(r8) + 23ae4: 01000084 movi r4,2 + 23ae8: 41000115 stw r4,4(r8) + 23aec: d8c02015 stw r3,128(sp) + 23af0: d8801f15 stw r2,124(sp) + 23af4: 010001c4 movi r4,7 + 23af8: 20823616 blt r4,r2,243d4 <___vfprintf_internal_r+0x1194> + 23afc: 42000204 addi r8,r8,8 + 23b00: d9003017 ldw r4,192(sp) + 23b04: 00802004 movi r2,128 + 23b08: 20819926 beq r4,r2,24170 <___vfprintf_internal_r+0xf30> + 23b0c: d9402917 ldw r5,164(sp) + 23b10: d8802e17 ldw r2,184(sp) + 23b14: 28adc83a sub r22,r5,r2 + 23b18: 0580310e bge zero,r22,23be0 <___vfprintf_internal_r+0x9a0> + 23b1c: 07000404 movi fp,16 + 23b20: d8801f17 ldw r2,124(sp) + 23b24: e584140e bge fp,r22,24b78 <___vfprintf_internal_r+0x1938> + 23b28: 014000f4 movhi r5,3 + 23b2c: 29480c84 addi r5,r5,8242 + 23b30: dc402915 stw r17,164(sp) + 23b34: d9402b15 stw r5,172(sp) + 23b38: b023883a mov r17,r22 + 23b3c: 04c001c4 movi r19,7 + 23b40: a82d883a mov r22,r21 + 23b44: 902b883a mov r21,r18 + 23b48: 8025883a mov r18,r16 + 23b4c: dc002c17 ldw r16,176(sp) + 23b50: 00000306 br 23b60 <___vfprintf_internal_r+0x920> + 23b54: 8c7ffc04 addi r17,r17,-16 + 23b58: 42000204 addi r8,r8,8 + 23b5c: e440110e bge fp,r17,23ba4 <___vfprintf_internal_r+0x964> + 23b60: 18c00404 addi r3,r3,16 + 23b64: 10800044 addi r2,r2,1 + 23b68: 45000015 stw r20,0(r8) + 23b6c: 47000115 stw fp,4(r8) + 23b70: d8c02015 stw r3,128(sp) + 23b74: d8801f15 stw r2,124(sp) + 23b78: 98bff60e bge r19,r2,23b54 <_gp+0xfffe761c> + 23b7c: d9801e04 addi r6,sp,120 + 23b80: b80b883a mov r5,r23 + 23b84: 8009883a mov r4,r16 + 23b88: 002a01c0 call 2a01c <__sprint_r> + 23b8c: 103eae1e bne r2,zero,23648 <_gp+0xfffe7110> + 23b90: 8c7ffc04 addi r17,r17,-16 + 23b94: d8c02017 ldw r3,128(sp) + 23b98: d8801f17 ldw r2,124(sp) + 23b9c: da000404 addi r8,sp,16 + 23ba0: e47fef16 blt fp,r17,23b60 <_gp+0xfffe7628> + 23ba4: 9021883a mov r16,r18 + 23ba8: a825883a mov r18,r21 + 23bac: b02b883a mov r21,r22 + 23bb0: 882d883a mov r22,r17 + 23bb4: dc402917 ldw r17,164(sp) + 23bb8: d9002b17 ldw r4,172(sp) + 23bbc: 1d87883a add r3,r3,r22 + 23bc0: 10800044 addi r2,r2,1 + 23bc4: 41000015 stw r4,0(r8) + 23bc8: 45800115 stw r22,4(r8) + 23bcc: d8c02015 stw r3,128(sp) + 23bd0: d8801f15 stw r2,124(sp) + 23bd4: 010001c4 movi r4,7 + 23bd8: 2081ee16 blt r4,r2,24394 <___vfprintf_internal_r+0x1154> + 23bdc: 42000204 addi r8,r8,8 + 23be0: 9080400c andi r2,r18,256 + 23be4: 1001181e bne r2,zero,24048 <___vfprintf_internal_r+0xe08> + 23be8: d9402e17 ldw r5,184(sp) + 23bec: d8801f17 ldw r2,124(sp) + 23bf0: 44000015 stw r16,0(r8) + 23bf4: 1947883a add r3,r3,r5 + 23bf8: 10800044 addi r2,r2,1 + 23bfc: 41400115 stw r5,4(r8) + 23c00: d8c02015 stw r3,128(sp) + 23c04: d8801f15 stw r2,124(sp) + 23c08: 010001c4 movi r4,7 + 23c0c: 2081d316 blt r4,r2,2435c <___vfprintf_internal_r+0x111c> + 23c10: 42000204 addi r8,r8,8 + 23c14: 9480010c andi r18,r18,4 + 23c18: 90003226 beq r18,zero,23ce4 <___vfprintf_internal_r+0xaa4> + 23c1c: d9403117 ldw r5,196(sp) + 23c20: d8802a17 ldw r2,168(sp) + 23c24: 28a1c83a sub r16,r5,r2 + 23c28: 04002e0e bge zero,r16,23ce4 <___vfprintf_internal_r+0xaa4> + 23c2c: 04400404 movi r17,16 + 23c30: d8801f17 ldw r2,124(sp) + 23c34: 8c04a20e bge r17,r16,24ec0 <___vfprintf_internal_r+0x1c80> + 23c38: 014000f4 movhi r5,3 + 23c3c: 29481084 addi r5,r5,8258 + 23c40: d9403515 stw r5,212(sp) + 23c44: 048001c4 movi r18,7 + 23c48: dcc02c17 ldw r19,176(sp) + 23c4c: 00000306 br 23c5c <___vfprintf_internal_r+0xa1c> + 23c50: 843ffc04 addi r16,r16,-16 + 23c54: 42000204 addi r8,r8,8 + 23c58: 8c00130e bge r17,r16,23ca8 <___vfprintf_internal_r+0xa68> + 23c5c: 010000f4 movhi r4,3 + 23c60: 18c00404 addi r3,r3,16 + 23c64: 10800044 addi r2,r2,1 + 23c68: 21081084 addi r4,r4,8258 + 23c6c: 41000015 stw r4,0(r8) + 23c70: 44400115 stw r17,4(r8) + 23c74: d8c02015 stw r3,128(sp) + 23c78: d8801f15 stw r2,124(sp) + 23c7c: 90bff40e bge r18,r2,23c50 <_gp+0xfffe7718> + 23c80: d9801e04 addi r6,sp,120 + 23c84: b80b883a mov r5,r23 + 23c88: 9809883a mov r4,r19 + 23c8c: 002a01c0 call 2a01c <__sprint_r> + 23c90: 103e6d1e bne r2,zero,23648 <_gp+0xfffe7110> + 23c94: 843ffc04 addi r16,r16,-16 + 23c98: d8c02017 ldw r3,128(sp) + 23c9c: d8801f17 ldw r2,124(sp) + 23ca0: da000404 addi r8,sp,16 + 23ca4: 8c3fed16 blt r17,r16,23c5c <_gp+0xfffe7724> + 23ca8: d9403517 ldw r5,212(sp) + 23cac: 1c07883a add r3,r3,r16 + 23cb0: 10800044 addi r2,r2,1 + 23cb4: 41400015 stw r5,0(r8) + 23cb8: 44000115 stw r16,4(r8) + 23cbc: d8c02015 stw r3,128(sp) + 23cc0: d8801f15 stw r2,124(sp) + 23cc4: 010001c4 movi r4,7 + 23cc8: 2080060e bge r4,r2,23ce4 <___vfprintf_internal_r+0xaa4> + 23ccc: d9002c17 ldw r4,176(sp) + 23cd0: d9801e04 addi r6,sp,120 + 23cd4: b80b883a mov r5,r23 + 23cd8: 002a01c0 call 2a01c <__sprint_r> + 23cdc: 103e5a1e bne r2,zero,23648 <_gp+0xfffe7110> + 23ce0: d8c02017 ldw r3,128(sp) + 23ce4: d8803117 ldw r2,196(sp) + 23ce8: d9002a17 ldw r4,168(sp) + 23cec: 1100010e bge r2,r4,23cf4 <___vfprintf_internal_r+0xab4> + 23cf0: 2005883a mov r2,r4 + 23cf4: d9402f17 ldw r5,188(sp) + 23cf8: 288b883a add r5,r5,r2 + 23cfc: d9402f15 stw r5,188(sp) + 23d00: 18019e1e bne r3,zero,2437c <___vfprintf_internal_r+0x113c> + 23d04: a8800007 ldb r2,0(r21) + 23d08: d8001f15 stw zero,124(sp) + 23d0c: da000404 addi r8,sp,16 + 23d10: 103d851e bne r2,zero,23328 <_gp+0xfffe6df0> + 23d14: a821883a mov r16,r21 + 23d18: 003d9b06 br 23388 <_gp+0xfffe6e50> + 23d1c: 18c03fcc andi r3,r3,255 + 23d20: 1805c11e bne r3,zero,25428 <___vfprintf_internal_r+0x21e8> + 23d24: 94800414 ori r18,r18,16 + 23d28: 9080080c andi r2,r18,32 + 23d2c: 10020c26 beq r2,zero,24560 <___vfprintf_internal_r+0x1320> + 23d30: d8802d17 ldw r2,180(sp) + 23d34: d9002917 ldw r4,164(sp) + 23d38: d8002785 stb zero,158(sp) + 23d3c: 10c00204 addi r3,r2,8 + 23d40: 14c00017 ldw r19,0(r2) + 23d44: 15800117 ldw r22,4(r2) + 23d48: 20040f16 blt r4,zero,24d88 <___vfprintf_internal_r+0x1b48> + 23d4c: 013fdfc4 movi r4,-129 + 23d50: 9d84b03a or r2,r19,r22 + 23d54: d8c02d15 stw r3,180(sp) + 23d58: 9124703a and r18,r18,r4 + 23d5c: 0039883a mov fp,zero + 23d60: 103e891e bne r2,zero,23788 <_gp+0xfffe7250> + 23d64: d9002917 ldw r4,164(sp) + 23d68: 2002c11e bne r4,zero,24870 <___vfprintf_internal_r+0x1630> + 23d6c: d8002915 stw zero,164(sp) + 23d70: d8002e15 stw zero,184(sp) + 23d74: dc001e04 addi r16,sp,120 + 23d78: 003ef806 br 2395c <_gp+0xfffe7424> + 23d7c: 18c03fcc andi r3,r3,255 + 23d80: 18059d1e bne r3,zero,253f8 <___vfprintf_internal_r+0x21b8> + 23d84: 014000f4 movhi r5,3 + 23d88: 29480004 addi r5,r5,8192 + 23d8c: d9403915 stw r5,228(sp) + 23d90: 9080080c andi r2,r18,32 + 23d94: 10005226 beq r2,zero,23ee0 <___vfprintf_internal_r+0xca0> + 23d98: d8802d17 ldw r2,180(sp) + 23d9c: 14c00017 ldw r19,0(r2) + 23da0: 15800117 ldw r22,4(r2) + 23da4: 10800204 addi r2,r2,8 + 23da8: d8802d15 stw r2,180(sp) + 23dac: 9080004c andi r2,r18,1 + 23db0: 10019026 beq r2,zero,243f4 <___vfprintf_internal_r+0x11b4> + 23db4: 9d84b03a or r2,r19,r22 + 23db8: 10036926 beq r2,zero,24b60 <___vfprintf_internal_r+0x1920> + 23dbc: d8c02917 ldw r3,164(sp) + 23dc0: 00800c04 movi r2,48 + 23dc4: d8802705 stb r2,156(sp) + 23dc8: dc402745 stb r17,157(sp) + 23dcc: d8002785 stb zero,158(sp) + 23dd0: 90800094 ori r2,r18,2 + 23dd4: 18045d16 blt r3,zero,24f4c <___vfprintf_internal_r+0x1d0c> + 23dd8: 00bfdfc4 movi r2,-129 + 23ddc: 90a4703a and r18,r18,r2 + 23de0: 94800094 ori r18,r18,2 + 23de4: 0039883a mov fp,zero + 23de8: d9003917 ldw r4,228(sp) + 23dec: dc001e04 addi r16,sp,120 + 23df0: 988003cc andi r2,r19,15 + 23df4: b006973a slli r3,r22,28 + 23df8: 2085883a add r2,r4,r2 + 23dfc: 9826d13a srli r19,r19,4 + 23e00: 10800003 ldbu r2,0(r2) + 23e04: b02cd13a srli r22,r22,4 + 23e08: 843fffc4 addi r16,r16,-1 + 23e0c: 1ce6b03a or r19,r3,r19 + 23e10: 80800005 stb r2,0(r16) + 23e14: 9d84b03a or r2,r19,r22 + 23e18: 103ff51e bne r2,zero,23df0 <_gp+0xfffe78b8> + 23e1c: d8c02817 ldw r3,160(sp) + 23e20: 1c07c83a sub r3,r3,r16 + 23e24: d8c02e15 stw r3,184(sp) + 23e28: 003ecc06 br 2395c <_gp+0xfffe7424> + 23e2c: 18c03fcc andi r3,r3,255 + 23e30: 183e9f26 beq r3,zero,238b0 <_gp+0xfffe7378> + 23e34: d9c02785 stb r7,158(sp) + 23e38: 003e9d06 br 238b0 <_gp+0xfffe7378> + 23e3c: 00c00044 movi r3,1 + 23e40: 01c00ac4 movi r7,43 + 23e44: ac400007 ldb r17,0(r21) + 23e48: 003d5e06 br 233c4 <_gp+0xfffe6e8c> + 23e4c: 94800814 ori r18,r18,32 + 23e50: ac400007 ldb r17,0(r21) + 23e54: 003d5b06 br 233c4 <_gp+0xfffe6e8c> + 23e58: d8c02d17 ldw r3,180(sp) + 23e5c: d8002785 stb zero,158(sp) + 23e60: 1c000017 ldw r16,0(r3) + 23e64: 1cc00104 addi r19,r3,4 + 23e68: 80041926 beq r16,zero,24ed0 <___vfprintf_internal_r+0x1c90> + 23e6c: d9002917 ldw r4,164(sp) + 23e70: 2003d016 blt r4,zero,24db4 <___vfprintf_internal_r+0x1b74> + 23e74: 200d883a mov r6,r4 + 23e78: 000b883a mov r5,zero + 23e7c: 8009883a mov r4,r16 + 23e80: da003d15 stw r8,244(sp) + 23e84: 00282440 call 28244 + 23e88: da003d17 ldw r8,244(sp) + 23e8c: 10045426 beq r2,zero,24fe0 <___vfprintf_internal_r+0x1da0> + 23e90: 1405c83a sub r2,r2,r16 + 23e94: d8802e15 stw r2,184(sp) + 23e98: 1003cc16 blt r2,zero,24dcc <___vfprintf_internal_r+0x1b8c> + 23e9c: df002783 ldbu fp,158(sp) + 23ea0: d8802a15 stw r2,168(sp) + 23ea4: dcc02d15 stw r19,180(sp) + 23ea8: d8002915 stw zero,164(sp) + 23eac: d8003215 stw zero,200(sp) + 23eb0: 003eb006 br 23974 <_gp+0xfffe743c> + 23eb4: 18c03fcc andi r3,r3,255 + 23eb8: 183f9b26 beq r3,zero,23d28 <_gp+0xfffe77f0> + 23ebc: d9c02785 stb r7,158(sp) + 23ec0: 003f9906 br 23d28 <_gp+0xfffe77f0> + 23ec4: 18c03fcc andi r3,r3,255 + 23ec8: 1805551e bne r3,zero,25420 <___vfprintf_internal_r+0x21e0> + 23ecc: 014000f4 movhi r5,3 + 23ed0: 29480504 addi r5,r5,8212 + 23ed4: d9403915 stw r5,228(sp) + 23ed8: 9080080c andi r2,r18,32 + 23edc: 103fae1e bne r2,zero,23d98 <_gp+0xfffe7860> + 23ee0: 9080040c andi r2,r18,16 + 23ee4: 1002de26 beq r2,zero,24a60 <___vfprintf_internal_r+0x1820> + 23ee8: d8c02d17 ldw r3,180(sp) + 23eec: 002d883a mov r22,zero + 23ef0: 1cc00017 ldw r19,0(r3) + 23ef4: 18c00104 addi r3,r3,4 + 23ef8: d8c02d15 stw r3,180(sp) + 23efc: 003fab06 br 23dac <_gp+0xfffe7874> + 23f00: 38803fcc andi r2,r7,255 + 23f04: 1080201c xori r2,r2,128 + 23f08: 10bfe004 addi r2,r2,-128 + 23f0c: 1002d21e bne r2,zero,24a58 <___vfprintf_internal_r+0x1818> + 23f10: 00c00044 movi r3,1 + 23f14: 01c00804 movi r7,32 + 23f18: ac400007 ldb r17,0(r21) + 23f1c: 003d2906 br 233c4 <_gp+0xfffe6e8c> + 23f20: 94800054 ori r18,r18,1 + 23f24: ac400007 ldb r17,0(r21) + 23f28: 003d2606 br 233c4 <_gp+0xfffe6e8c> + 23f2c: 18c03fcc andi r3,r3,255 + 23f30: 183e0526 beq r3,zero,23748 <_gp+0xfffe7210> + 23f34: d9c02785 stb r7,158(sp) + 23f38: 003e0306 br 23748 <_gp+0xfffe7210> + 23f3c: 94801014 ori r18,r18,64 + 23f40: ac400007 ldb r17,0(r21) + 23f44: 003d1f06 br 233c4 <_gp+0xfffe6e8c> + 23f48: ac400007 ldb r17,0(r21) + 23f4c: 8a438726 beq r17,r9,24d6c <___vfprintf_internal_r+0x1b2c> + 23f50: 94800414 ori r18,r18,16 + 23f54: 003d1b06 br 233c4 <_gp+0xfffe6e8c> + 23f58: 18c03fcc andi r3,r3,255 + 23f5c: 1805341e bne r3,zero,25430 <___vfprintf_internal_r+0x21f0> + 23f60: 9080080c andi r2,r18,32 + 23f64: 1002cd26 beq r2,zero,24a9c <___vfprintf_internal_r+0x185c> + 23f68: d9402d17 ldw r5,180(sp) + 23f6c: d9002f17 ldw r4,188(sp) + 23f70: 28800017 ldw r2,0(r5) + 23f74: 2007d7fa srai r3,r4,31 + 23f78: 29400104 addi r5,r5,4 + 23f7c: d9402d15 stw r5,180(sp) + 23f80: 11000015 stw r4,0(r2) + 23f84: 10c00115 stw r3,4(r2) + 23f88: 003ce506 br 23320 <_gp+0xfffe6de8> + 23f8c: d8c02d17 ldw r3,180(sp) + 23f90: d9002d17 ldw r4,180(sp) + 23f94: d8002785 stb zero,158(sp) + 23f98: 18800017 ldw r2,0(r3) + 23f9c: 21000104 addi r4,r4,4 + 23fa0: 00c00044 movi r3,1 + 23fa4: d8c02a15 stw r3,168(sp) + 23fa8: d8801405 stb r2,80(sp) + 23fac: d9002d15 stw r4,180(sp) + 23fb0: d8c02e15 stw r3,184(sp) + 23fb4: d8002915 stw zero,164(sp) + 23fb8: d8003215 stw zero,200(sp) + 23fbc: dc001404 addi r16,sp,80 + 23fc0: 0039883a mov fp,zero + 23fc4: 003e7206 br 23990 <_gp+0xfffe7458> + 23fc8: 010000f4 movhi r4,3 + 23fcc: 21080504 addi r4,r4,8212 + 23fd0: 0039883a mov fp,zero + 23fd4: d9003915 stw r4,228(sp) + 23fd8: 04401e04 movi r17,120 + 23fdc: 003f8206 br 23de8 <_gp+0xfffe78b0> + 23fe0: 18c03fcc andi r3,r3,255 + 23fe4: 1805061e bne r3,zero,25400 <___vfprintf_internal_r+0x21c0> + 23fe8: 883d9126 beq r17,zero,23630 <_gp+0xfffe70f8> + 23fec: 00c00044 movi r3,1 + 23ff0: d8c02a15 stw r3,168(sp) + 23ff4: dc401405 stb r17,80(sp) + 23ff8: d8002785 stb zero,158(sp) + 23ffc: 003fec06 br 23fb0 <_gp+0xfffe7a78> + 24000: 014000f4 movhi r5,3 + 24004: 29480504 addi r5,r5,8212 + 24008: d9403915 stw r5,228(sp) + 2400c: d8c02d15 stw r3,180(sp) + 24010: 1025883a mov r18,r2 + 24014: 04401e04 movi r17,120 + 24018: 9d84b03a or r2,r19,r22 + 2401c: 1000fc1e bne r2,zero,24410 <___vfprintf_internal_r+0x11d0> + 24020: 0039883a mov fp,zero + 24024: 00800084 movi r2,2 + 24028: 10803fcc andi r2,r2,255 + 2402c: 00c00044 movi r3,1 + 24030: 10c20f26 beq r2,r3,24870 <___vfprintf_internal_r+0x1630> + 24034: 00c00084 movi r3,2 + 24038: 10fd6326 beq r2,r3,235c8 <_gp+0xfffe7090> + 2403c: 003e2d06 br 238f4 <_gp+0xfffe73bc> + 24040: d8c02017 ldw r3,128(sp) + 24044: 003e9306 br 23a94 <_gp+0xfffe755c> + 24048: 00801944 movi r2,101 + 2404c: 14407e0e bge r2,r17,24248 <___vfprintf_internal_r+0x1008> + 24050: d9003617 ldw r4,216(sp) + 24054: d9403817 ldw r5,224(sp) + 24058: 000d883a mov r6,zero + 2405c: 000f883a mov r7,zero + 24060: d8c03c15 stw r3,240(sp) + 24064: da003d15 stw r8,244(sp) + 24068: 002dae00 call 2dae0 <__eqdf2> + 2406c: d8c03c17 ldw r3,240(sp) + 24070: da003d17 ldw r8,244(sp) + 24074: 1000f71e bne r2,zero,24454 <___vfprintf_internal_r+0x1214> + 24078: d8801f17 ldw r2,124(sp) + 2407c: 010000f4 movhi r4,3 + 24080: 21080c04 addi r4,r4,8240 + 24084: 18c00044 addi r3,r3,1 + 24088: 10800044 addi r2,r2,1 + 2408c: 41000015 stw r4,0(r8) + 24090: 01000044 movi r4,1 + 24094: 41000115 stw r4,4(r8) + 24098: d8c02015 stw r3,128(sp) + 2409c: d8801f15 stw r2,124(sp) + 240a0: 010001c4 movi r4,7 + 240a4: 2082b816 blt r4,r2,24b88 <___vfprintf_internal_r+0x1948> + 240a8: 42000204 addi r8,r8,8 + 240ac: d8802617 ldw r2,152(sp) + 240b0: d9403317 ldw r5,204(sp) + 240b4: 11400216 blt r2,r5,240c0 <___vfprintf_internal_r+0xe80> + 240b8: 9080004c andi r2,r18,1 + 240bc: 103ed526 beq r2,zero,23c14 <_gp+0xfffe76dc> + 240c0: d8803717 ldw r2,220(sp) + 240c4: d9003417 ldw r4,208(sp) + 240c8: d9403717 ldw r5,220(sp) + 240cc: 1887883a add r3,r3,r2 + 240d0: d8801f17 ldw r2,124(sp) + 240d4: 41000015 stw r4,0(r8) + 240d8: 41400115 stw r5,4(r8) + 240dc: 10800044 addi r2,r2,1 + 240e0: d8c02015 stw r3,128(sp) + 240e4: d8801f15 stw r2,124(sp) + 240e8: 010001c4 movi r4,7 + 240ec: 20832916 blt r4,r2,24d94 <___vfprintf_internal_r+0x1b54> + 240f0: 42000204 addi r8,r8,8 + 240f4: d8803317 ldw r2,204(sp) + 240f8: 143fffc4 addi r16,r2,-1 + 240fc: 043ec50e bge zero,r16,23c14 <_gp+0xfffe76dc> + 24100: 04400404 movi r17,16 + 24104: d8801f17 ldw r2,124(sp) + 24108: 8c00880e bge r17,r16,2432c <___vfprintf_internal_r+0x10ec> + 2410c: 014000f4 movhi r5,3 + 24110: 29480c84 addi r5,r5,8242 + 24114: d9402b15 stw r5,172(sp) + 24118: 058001c4 movi r22,7 + 2411c: dcc02c17 ldw r19,176(sp) + 24120: 00000306 br 24130 <___vfprintf_internal_r+0xef0> + 24124: 42000204 addi r8,r8,8 + 24128: 843ffc04 addi r16,r16,-16 + 2412c: 8c00820e bge r17,r16,24338 <___vfprintf_internal_r+0x10f8> + 24130: 18c00404 addi r3,r3,16 + 24134: 10800044 addi r2,r2,1 + 24138: 45000015 stw r20,0(r8) + 2413c: 44400115 stw r17,4(r8) + 24140: d8c02015 stw r3,128(sp) + 24144: d8801f15 stw r2,124(sp) + 24148: b0bff60e bge r22,r2,24124 <_gp+0xfffe7bec> + 2414c: d9801e04 addi r6,sp,120 + 24150: b80b883a mov r5,r23 + 24154: 9809883a mov r4,r19 + 24158: 002a01c0 call 2a01c <__sprint_r> + 2415c: 103d3a1e bne r2,zero,23648 <_gp+0xfffe7110> + 24160: d8c02017 ldw r3,128(sp) + 24164: d8801f17 ldw r2,124(sp) + 24168: da000404 addi r8,sp,16 + 2416c: 003fee06 br 24128 <_gp+0xfffe7bf0> + 24170: d9403117 ldw r5,196(sp) + 24174: d8802a17 ldw r2,168(sp) + 24178: 28adc83a sub r22,r5,r2 + 2417c: 05be630e bge zero,r22,23b0c <_gp+0xfffe75d4> + 24180: 07000404 movi fp,16 + 24184: d8801f17 ldw r2,124(sp) + 24188: e5838f0e bge fp,r22,24fc8 <___vfprintf_internal_r+0x1d88> + 2418c: 014000f4 movhi r5,3 + 24190: 29480c84 addi r5,r5,8242 + 24194: dc403015 stw r17,192(sp) + 24198: d9402b15 stw r5,172(sp) + 2419c: b023883a mov r17,r22 + 241a0: 04c001c4 movi r19,7 + 241a4: a82d883a mov r22,r21 + 241a8: 902b883a mov r21,r18 + 241ac: 8025883a mov r18,r16 + 241b0: dc002c17 ldw r16,176(sp) + 241b4: 00000306 br 241c4 <___vfprintf_internal_r+0xf84> + 241b8: 8c7ffc04 addi r17,r17,-16 + 241bc: 42000204 addi r8,r8,8 + 241c0: e440110e bge fp,r17,24208 <___vfprintf_internal_r+0xfc8> + 241c4: 18c00404 addi r3,r3,16 + 241c8: 10800044 addi r2,r2,1 + 241cc: 45000015 stw r20,0(r8) + 241d0: 47000115 stw fp,4(r8) + 241d4: d8c02015 stw r3,128(sp) + 241d8: d8801f15 stw r2,124(sp) + 241dc: 98bff60e bge r19,r2,241b8 <_gp+0xfffe7c80> + 241e0: d9801e04 addi r6,sp,120 + 241e4: b80b883a mov r5,r23 + 241e8: 8009883a mov r4,r16 + 241ec: 002a01c0 call 2a01c <__sprint_r> + 241f0: 103d151e bne r2,zero,23648 <_gp+0xfffe7110> + 241f4: 8c7ffc04 addi r17,r17,-16 + 241f8: d8c02017 ldw r3,128(sp) + 241fc: d8801f17 ldw r2,124(sp) + 24200: da000404 addi r8,sp,16 + 24204: e47fef16 blt fp,r17,241c4 <_gp+0xfffe7c8c> + 24208: 9021883a mov r16,r18 + 2420c: a825883a mov r18,r21 + 24210: b02b883a mov r21,r22 + 24214: 882d883a mov r22,r17 + 24218: dc403017 ldw r17,192(sp) + 2421c: d9002b17 ldw r4,172(sp) + 24220: 1d87883a add r3,r3,r22 + 24224: 10800044 addi r2,r2,1 + 24228: 41000015 stw r4,0(r8) + 2422c: 45800115 stw r22,4(r8) + 24230: d8c02015 stw r3,128(sp) + 24234: d8801f15 stw r2,124(sp) + 24238: 010001c4 movi r4,7 + 2423c: 20818e16 blt r4,r2,24878 <___vfprintf_internal_r+0x1638> + 24240: 42000204 addi r8,r8,8 + 24244: 003e3106 br 23b0c <_gp+0xfffe75d4> + 24248: d9403317 ldw r5,204(sp) + 2424c: 00800044 movi r2,1 + 24250: 18c00044 addi r3,r3,1 + 24254: 1141530e bge r2,r5,247a4 <___vfprintf_internal_r+0x1564> + 24258: dc401f17 ldw r17,124(sp) + 2425c: 00800044 movi r2,1 + 24260: 40800115 stw r2,4(r8) + 24264: 8c400044 addi r17,r17,1 + 24268: 44000015 stw r16,0(r8) + 2426c: d8c02015 stw r3,128(sp) + 24270: dc401f15 stw r17,124(sp) + 24274: 008001c4 movi r2,7 + 24278: 14416b16 blt r2,r17,24828 <___vfprintf_internal_r+0x15e8> + 2427c: 42000204 addi r8,r8,8 + 24280: d8803717 ldw r2,220(sp) + 24284: d9003417 ldw r4,208(sp) + 24288: 8c400044 addi r17,r17,1 + 2428c: 10c7883a add r3,r2,r3 + 24290: 40800115 stw r2,4(r8) + 24294: 41000015 stw r4,0(r8) + 24298: d8c02015 stw r3,128(sp) + 2429c: dc401f15 stw r17,124(sp) + 242a0: 008001c4 movi r2,7 + 242a4: 14416916 blt r2,r17,2484c <___vfprintf_internal_r+0x160c> + 242a8: 45800204 addi r22,r8,8 + 242ac: d9003617 ldw r4,216(sp) + 242b0: d9403817 ldw r5,224(sp) + 242b4: 000d883a mov r6,zero + 242b8: 000f883a mov r7,zero + 242bc: d8c03c15 stw r3,240(sp) + 242c0: 002dae00 call 2dae0 <__eqdf2> + 242c4: d8c03c17 ldw r3,240(sp) + 242c8: 1000bc26 beq r2,zero,245bc <___vfprintf_internal_r+0x137c> + 242cc: d9403317 ldw r5,204(sp) + 242d0: 84000044 addi r16,r16,1 + 242d4: 8c400044 addi r17,r17,1 + 242d8: 28bfffc4 addi r2,r5,-1 + 242dc: 1887883a add r3,r3,r2 + 242e0: b0800115 stw r2,4(r22) + 242e4: b4000015 stw r16,0(r22) + 242e8: d8c02015 stw r3,128(sp) + 242ec: dc401f15 stw r17,124(sp) + 242f0: 008001c4 movi r2,7 + 242f4: 14414316 blt r2,r17,24804 <___vfprintf_internal_r+0x15c4> + 242f8: b5800204 addi r22,r22,8 + 242fc: d9003a17 ldw r4,232(sp) + 24300: df0022c4 addi fp,sp,139 + 24304: 8c400044 addi r17,r17,1 + 24308: 20c7883a add r3,r4,r3 + 2430c: b7000015 stw fp,0(r22) + 24310: b1000115 stw r4,4(r22) + 24314: d8c02015 stw r3,128(sp) + 24318: dc401f15 stw r17,124(sp) + 2431c: 008001c4 movi r2,7 + 24320: 14400e16 blt r2,r17,2435c <___vfprintf_internal_r+0x111c> + 24324: b2000204 addi r8,r22,8 + 24328: 003e3a06 br 23c14 <_gp+0xfffe76dc> + 2432c: 010000f4 movhi r4,3 + 24330: 21080c84 addi r4,r4,8242 + 24334: d9002b15 stw r4,172(sp) + 24338: d9002b17 ldw r4,172(sp) + 2433c: 1c07883a add r3,r3,r16 + 24340: 44000115 stw r16,4(r8) + 24344: 41000015 stw r4,0(r8) + 24348: 10800044 addi r2,r2,1 + 2434c: d8c02015 stw r3,128(sp) + 24350: d8801f15 stw r2,124(sp) + 24354: 010001c4 movi r4,7 + 24358: 20be2d0e bge r4,r2,23c10 <_gp+0xfffe76d8> + 2435c: d9002c17 ldw r4,176(sp) + 24360: d9801e04 addi r6,sp,120 + 24364: b80b883a mov r5,r23 + 24368: 002a01c0 call 2a01c <__sprint_r> + 2436c: 103cb61e bne r2,zero,23648 <_gp+0xfffe7110> + 24370: d8c02017 ldw r3,128(sp) + 24374: da000404 addi r8,sp,16 + 24378: 003e2606 br 23c14 <_gp+0xfffe76dc> + 2437c: d9002c17 ldw r4,176(sp) + 24380: d9801e04 addi r6,sp,120 + 24384: b80b883a mov r5,r23 + 24388: 002a01c0 call 2a01c <__sprint_r> + 2438c: 103e5d26 beq r2,zero,23d04 <_gp+0xfffe77cc> + 24390: 003cad06 br 23648 <_gp+0xfffe7110> + 24394: d9002c17 ldw r4,176(sp) + 24398: d9801e04 addi r6,sp,120 + 2439c: b80b883a mov r5,r23 + 243a0: 002a01c0 call 2a01c <__sprint_r> + 243a4: 103ca81e bne r2,zero,23648 <_gp+0xfffe7110> + 243a8: d8c02017 ldw r3,128(sp) + 243ac: da000404 addi r8,sp,16 + 243b0: 003e0b06 br 23be0 <_gp+0xfffe76a8> + 243b4: d9002c17 ldw r4,176(sp) + 243b8: d9801e04 addi r6,sp,120 + 243bc: b80b883a mov r5,r23 + 243c0: 002a01c0 call 2a01c <__sprint_r> + 243c4: 103ca01e bne r2,zero,23648 <_gp+0xfffe7110> + 243c8: d8c02017 ldw r3,128(sp) + 243cc: da000404 addi r8,sp,16 + 243d0: 003dbd06 br 23ac8 <_gp+0xfffe7590> + 243d4: d9002c17 ldw r4,176(sp) + 243d8: d9801e04 addi r6,sp,120 + 243dc: b80b883a mov r5,r23 + 243e0: 002a01c0 call 2a01c <__sprint_r> + 243e4: 103c981e bne r2,zero,23648 <_gp+0xfffe7110> + 243e8: d8c02017 ldw r3,128(sp) + 243ec: da000404 addi r8,sp,16 + 243f0: 003dc306 br 23b00 <_gp+0xfffe75c8> + 243f4: d8802917 ldw r2,164(sp) + 243f8: d8002785 stb zero,158(sp) + 243fc: 103f0616 blt r2,zero,24018 <_gp+0xfffe7ae0> + 24400: 00ffdfc4 movi r3,-129 + 24404: 9d84b03a or r2,r19,r22 + 24408: 90e4703a and r18,r18,r3 + 2440c: 103c6b26 beq r2,zero,235bc <_gp+0xfffe7084> + 24410: 0039883a mov fp,zero + 24414: 003e7406 br 23de8 <_gp+0xfffe78b0> + 24418: 9080040c andi r2,r18,16 + 2441c: 1001b326 beq r2,zero,24aec <___vfprintf_internal_r+0x18ac> + 24420: d9002d17 ldw r4,180(sp) + 24424: d9402917 ldw r5,164(sp) + 24428: d8002785 stb zero,158(sp) + 2442c: 20800104 addi r2,r4,4 + 24430: 24c00017 ldw r19,0(r4) + 24434: 002d883a mov r22,zero + 24438: 2801b516 blt r5,zero,24b10 <___vfprintf_internal_r+0x18d0> + 2443c: 00ffdfc4 movi r3,-129 + 24440: d8802d15 stw r2,180(sp) + 24444: 90e4703a and r18,r18,r3 + 24448: 983d2726 beq r19,zero,238e8 <_gp+0xfffe73b0> + 2444c: 0039883a mov fp,zero + 24450: 003d2a06 br 238fc <_gp+0xfffe73c4> + 24454: dc402617 ldw r17,152(sp) + 24458: 0441d30e bge zero,r17,24ba8 <___vfprintf_internal_r+0x1968> + 2445c: dc403217 ldw r17,200(sp) + 24460: d8803317 ldw r2,204(sp) + 24464: 1440010e bge r2,r17,2446c <___vfprintf_internal_r+0x122c> + 24468: 1023883a mov r17,r2 + 2446c: 04400a0e bge zero,r17,24498 <___vfprintf_internal_r+0x1258> + 24470: d8801f17 ldw r2,124(sp) + 24474: 1c47883a add r3,r3,r17 + 24478: 44000015 stw r16,0(r8) + 2447c: 10800044 addi r2,r2,1 + 24480: 44400115 stw r17,4(r8) + 24484: d8c02015 stw r3,128(sp) + 24488: d8801f15 stw r2,124(sp) + 2448c: 010001c4 movi r4,7 + 24490: 20826516 blt r4,r2,24e28 <___vfprintf_internal_r+0x1be8> + 24494: 42000204 addi r8,r8,8 + 24498: 88026116 blt r17,zero,24e20 <___vfprintf_internal_r+0x1be0> + 2449c: d9003217 ldw r4,200(sp) + 244a0: 2463c83a sub r17,r4,r17 + 244a4: 04407b0e bge zero,r17,24694 <___vfprintf_internal_r+0x1454> + 244a8: 05800404 movi r22,16 + 244ac: d8801f17 ldw r2,124(sp) + 244b0: b4419d0e bge r22,r17,24b28 <___vfprintf_internal_r+0x18e8> + 244b4: 010000f4 movhi r4,3 + 244b8: 21080c84 addi r4,r4,8242 + 244bc: d9002b15 stw r4,172(sp) + 244c0: 070001c4 movi fp,7 + 244c4: dcc02c17 ldw r19,176(sp) + 244c8: 00000306 br 244d8 <___vfprintf_internal_r+0x1298> + 244cc: 42000204 addi r8,r8,8 + 244d0: 8c7ffc04 addi r17,r17,-16 + 244d4: b441970e bge r22,r17,24b34 <___vfprintf_internal_r+0x18f4> + 244d8: 18c00404 addi r3,r3,16 + 244dc: 10800044 addi r2,r2,1 + 244e0: 45000015 stw r20,0(r8) + 244e4: 45800115 stw r22,4(r8) + 244e8: d8c02015 stw r3,128(sp) + 244ec: d8801f15 stw r2,124(sp) + 244f0: e0bff60e bge fp,r2,244cc <_gp+0xfffe7f94> + 244f4: d9801e04 addi r6,sp,120 + 244f8: b80b883a mov r5,r23 + 244fc: 9809883a mov r4,r19 + 24500: 002a01c0 call 2a01c <__sprint_r> + 24504: 103c501e bne r2,zero,23648 <_gp+0xfffe7110> + 24508: d8c02017 ldw r3,128(sp) + 2450c: d8801f17 ldw r2,124(sp) + 24510: da000404 addi r8,sp,16 + 24514: 003fee06 br 244d0 <_gp+0xfffe7f98> + 24518: d9002c17 ldw r4,176(sp) + 2451c: d9801e04 addi r6,sp,120 + 24520: b80b883a mov r5,r23 + 24524: 002a01c0 call 2a01c <__sprint_r> + 24528: 103c471e bne r2,zero,23648 <_gp+0xfffe7110> + 2452c: d8c02017 ldw r3,128(sp) + 24530: df002787 ldb fp,158(sp) + 24534: da000404 addi r8,sp,16 + 24538: 003d5606 br 23a94 <_gp+0xfffe755c> + 2453c: 9080040c andi r2,r18,16 + 24540: 10016126 beq r2,zero,24ac8 <___vfprintf_internal_r+0x1888> + 24544: d8802d17 ldw r2,180(sp) + 24548: 14c00017 ldw r19,0(r2) + 2454c: 10800104 addi r2,r2,4 + 24550: d8802d15 stw r2,180(sp) + 24554: 982dd7fa srai r22,r19,31 + 24558: b005883a mov r2,r22 + 2455c: 003c8206 br 23768 <_gp+0xfffe7230> + 24560: 9080040c andi r2,r18,16 + 24564: 10003526 beq r2,zero,2463c <___vfprintf_internal_r+0x13fc> + 24568: d9402d17 ldw r5,180(sp) + 2456c: d8c02917 ldw r3,164(sp) + 24570: d8002785 stb zero,158(sp) + 24574: 28800104 addi r2,r5,4 + 24578: 2cc00017 ldw r19,0(r5) + 2457c: 002d883a mov r22,zero + 24580: 18003716 blt r3,zero,24660 <___vfprintf_internal_r+0x1420> + 24584: 00ffdfc4 movi r3,-129 + 24588: d8802d15 stw r2,180(sp) + 2458c: 90e4703a and r18,r18,r3 + 24590: 0039883a mov fp,zero + 24594: 983df326 beq r19,zero,23d64 <_gp+0xfffe782c> + 24598: 00800244 movi r2,9 + 2459c: 14fc7b36 bltu r2,r19,2378c <_gp+0xfffe7254> + 245a0: d8c02817 ldw r3,160(sp) + 245a4: dc001dc4 addi r16,sp,119 + 245a8: 9cc00c04 addi r19,r19,48 + 245ac: 1c07c83a sub r3,r3,r16 + 245b0: dcc01dc5 stb r19,119(sp) + 245b4: d8c02e15 stw r3,184(sp) + 245b8: 003ce806 br 2395c <_gp+0xfffe7424> + 245bc: d8803317 ldw r2,204(sp) + 245c0: 143fffc4 addi r16,r2,-1 + 245c4: 043f4d0e bge zero,r16,242fc <_gp+0xfffe7dc4> + 245c8: 07000404 movi fp,16 + 245cc: e400810e bge fp,r16,247d4 <___vfprintf_internal_r+0x1594> + 245d0: 014000f4 movhi r5,3 + 245d4: 29480c84 addi r5,r5,8242 + 245d8: d9402b15 stw r5,172(sp) + 245dc: 01c001c4 movi r7,7 + 245e0: dcc02c17 ldw r19,176(sp) + 245e4: 00000306 br 245f4 <___vfprintf_internal_r+0x13b4> + 245e8: b5800204 addi r22,r22,8 + 245ec: 843ffc04 addi r16,r16,-16 + 245f0: e4007b0e bge fp,r16,247e0 <___vfprintf_internal_r+0x15a0> + 245f4: 18c00404 addi r3,r3,16 + 245f8: 8c400044 addi r17,r17,1 + 245fc: b5000015 stw r20,0(r22) + 24600: b7000115 stw fp,4(r22) + 24604: d8c02015 stw r3,128(sp) + 24608: dc401f15 stw r17,124(sp) + 2460c: 3c7ff60e bge r7,r17,245e8 <_gp+0xfffe80b0> + 24610: d9801e04 addi r6,sp,120 + 24614: b80b883a mov r5,r23 + 24618: 9809883a mov r4,r19 + 2461c: d9c03c15 stw r7,240(sp) + 24620: 002a01c0 call 2a01c <__sprint_r> + 24624: d9c03c17 ldw r7,240(sp) + 24628: 103c071e bne r2,zero,23648 <_gp+0xfffe7110> + 2462c: d8c02017 ldw r3,128(sp) + 24630: dc401f17 ldw r17,124(sp) + 24634: dd800404 addi r22,sp,16 + 24638: 003fec06 br 245ec <_gp+0xfffe80b4> + 2463c: 9080100c andi r2,r18,64 + 24640: d8002785 stb zero,158(sp) + 24644: 10010e26 beq r2,zero,24a80 <___vfprintf_internal_r+0x1840> + 24648: d9002d17 ldw r4,180(sp) + 2464c: d9402917 ldw r5,164(sp) + 24650: 002d883a mov r22,zero + 24654: 20800104 addi r2,r4,4 + 24658: 24c0000b ldhu r19,0(r4) + 2465c: 283fc90e bge r5,zero,24584 <_gp+0xfffe804c> + 24660: d8802d15 stw r2,180(sp) + 24664: 0039883a mov fp,zero + 24668: 9d84b03a or r2,r19,r22 + 2466c: 103c461e bne r2,zero,23788 <_gp+0xfffe7250> + 24670: 00800044 movi r2,1 + 24674: 003e6c06 br 24028 <_gp+0xfffe7af0> + 24678: d9002c17 ldw r4,176(sp) + 2467c: d9801e04 addi r6,sp,120 + 24680: b80b883a mov r5,r23 + 24684: 002a01c0 call 2a01c <__sprint_r> + 24688: 103bef1e bne r2,zero,23648 <_gp+0xfffe7110> + 2468c: d8c02017 ldw r3,128(sp) + 24690: da000404 addi r8,sp,16 + 24694: d9003217 ldw r4,200(sp) + 24698: d8802617 ldw r2,152(sp) + 2469c: d9403317 ldw r5,204(sp) + 246a0: 8123883a add r17,r16,r4 + 246a4: 11400216 blt r2,r5,246b0 <___vfprintf_internal_r+0x1470> + 246a8: 9100004c andi r4,r18,1 + 246ac: 20000d26 beq r4,zero,246e4 <___vfprintf_internal_r+0x14a4> + 246b0: d9003717 ldw r4,220(sp) + 246b4: d9403417 ldw r5,208(sp) + 246b8: 1907883a add r3,r3,r4 + 246bc: d9001f17 ldw r4,124(sp) + 246c0: 41400015 stw r5,0(r8) + 246c4: d9403717 ldw r5,220(sp) + 246c8: 21000044 addi r4,r4,1 + 246cc: d8c02015 stw r3,128(sp) + 246d0: 41400115 stw r5,4(r8) + 246d4: d9001f15 stw r4,124(sp) + 246d8: 014001c4 movi r5,7 + 246dc: 2901e816 blt r5,r4,24e80 <___vfprintf_internal_r+0x1c40> + 246e0: 42000204 addi r8,r8,8 + 246e4: d9003317 ldw r4,204(sp) + 246e8: 8121883a add r16,r16,r4 + 246ec: 2085c83a sub r2,r4,r2 + 246f0: 8461c83a sub r16,r16,r17 + 246f4: 1400010e bge r2,r16,246fc <___vfprintf_internal_r+0x14bc> + 246f8: 1021883a mov r16,r2 + 246fc: 04000a0e bge zero,r16,24728 <___vfprintf_internal_r+0x14e8> + 24700: d9001f17 ldw r4,124(sp) + 24704: 1c07883a add r3,r3,r16 + 24708: 44400015 stw r17,0(r8) + 2470c: 21000044 addi r4,r4,1 + 24710: 44000115 stw r16,4(r8) + 24714: d8c02015 stw r3,128(sp) + 24718: d9001f15 stw r4,124(sp) + 2471c: 014001c4 movi r5,7 + 24720: 2901fb16 blt r5,r4,24f10 <___vfprintf_internal_r+0x1cd0> + 24724: 42000204 addi r8,r8,8 + 24728: 8001f716 blt r16,zero,24f08 <___vfprintf_internal_r+0x1cc8> + 2472c: 1421c83a sub r16,r2,r16 + 24730: 043d380e bge zero,r16,23c14 <_gp+0xfffe76dc> + 24734: 04400404 movi r17,16 + 24738: d8801f17 ldw r2,124(sp) + 2473c: 8c3efb0e bge r17,r16,2432c <_gp+0xfffe7df4> + 24740: 014000f4 movhi r5,3 + 24744: 29480c84 addi r5,r5,8242 + 24748: d9402b15 stw r5,172(sp) + 2474c: 058001c4 movi r22,7 + 24750: dcc02c17 ldw r19,176(sp) + 24754: 00000306 br 24764 <___vfprintf_internal_r+0x1524> + 24758: 42000204 addi r8,r8,8 + 2475c: 843ffc04 addi r16,r16,-16 + 24760: 8c3ef50e bge r17,r16,24338 <_gp+0xfffe7e00> + 24764: 18c00404 addi r3,r3,16 + 24768: 10800044 addi r2,r2,1 + 2476c: 45000015 stw r20,0(r8) + 24770: 44400115 stw r17,4(r8) + 24774: d8c02015 stw r3,128(sp) + 24778: d8801f15 stw r2,124(sp) + 2477c: b0bff60e bge r22,r2,24758 <_gp+0xfffe8220> + 24780: d9801e04 addi r6,sp,120 + 24784: b80b883a mov r5,r23 + 24788: 9809883a mov r4,r19 + 2478c: 002a01c0 call 2a01c <__sprint_r> + 24790: 103bad1e bne r2,zero,23648 <_gp+0xfffe7110> + 24794: d8c02017 ldw r3,128(sp) + 24798: d8801f17 ldw r2,124(sp) + 2479c: da000404 addi r8,sp,16 + 247a0: 003fee06 br 2475c <_gp+0xfffe8224> + 247a4: 9088703a and r4,r18,r2 + 247a8: 203eab1e bne r4,zero,24258 <_gp+0xfffe7d20> + 247ac: dc401f17 ldw r17,124(sp) + 247b0: 40800115 stw r2,4(r8) + 247b4: 44000015 stw r16,0(r8) + 247b8: 8c400044 addi r17,r17,1 + 247bc: d8c02015 stw r3,128(sp) + 247c0: dc401f15 stw r17,124(sp) + 247c4: 008001c4 movi r2,7 + 247c8: 14400e16 blt r2,r17,24804 <___vfprintf_internal_r+0x15c4> + 247cc: 45800204 addi r22,r8,8 + 247d0: 003eca06 br 242fc <_gp+0xfffe7dc4> + 247d4: 010000f4 movhi r4,3 + 247d8: 21080c84 addi r4,r4,8242 + 247dc: d9002b15 stw r4,172(sp) + 247e0: d8802b17 ldw r2,172(sp) + 247e4: 1c07883a add r3,r3,r16 + 247e8: 8c400044 addi r17,r17,1 + 247ec: b0800015 stw r2,0(r22) + 247f0: b4000115 stw r16,4(r22) 247f4: d8c02015 stw r3,128(sp) - 247f8: d8801f15 stw r2,124(sp) - 247fc: 010001c4 movi r4,7 - 24800: 20818e16 blt r4,r2,24e3c <___vfprintf_internal_r+0x1638> - 24804: 42000204 addi r8,r8,8 - 24808: 003e3106 br 240d0 <___vfprintf_internal_r+0x8cc> - 2480c: d9403317 ldw r5,204(sp) - 24810: 00800044 movi r2,1 - 24814: 18c00044 addi r3,r3,1 - 24818: 1141530e bge r2,r5,24d68 <___vfprintf_internal_r+0x1564> + 247f8: dc401f15 stw r17,124(sp) + 247fc: 008001c4 movi r2,7 + 24800: 147ebd0e bge r2,r17,242f8 <_gp+0xfffe7dc0> + 24804: d9002c17 ldw r4,176(sp) + 24808: d9801e04 addi r6,sp,120 + 2480c: b80b883a mov r5,r23 + 24810: 002a01c0 call 2a01c <__sprint_r> + 24814: 103b8c1e bne r2,zero,23648 <_gp+0xfffe7110> + 24818: d8c02017 ldw r3,128(sp) 2481c: dc401f17 ldw r17,124(sp) - 24820: 00800044 movi r2,1 - 24824: 40800115 stw r2,4(r8) - 24828: 8c400044 addi r17,r17,1 - 2482c: 44000015 stw r16,0(r8) - 24830: d8c02015 stw r3,128(sp) - 24834: dc401f15 stw r17,124(sp) - 24838: 008001c4 movi r2,7 - 2483c: 14416b16 blt r2,r17,24dec <___vfprintf_internal_r+0x15e8> - 24840: 42000204 addi r8,r8,8 - 24844: d8803717 ldw r2,220(sp) - 24848: d9003417 ldw r4,208(sp) - 2484c: 8c400044 addi r17,r17,1 - 24850: 10c7883a add r3,r2,r3 - 24854: 40800115 stw r2,4(r8) - 24858: 41000015 stw r4,0(r8) - 2485c: d8c02015 stw r3,128(sp) - 24860: dc401f15 stw r17,124(sp) - 24864: 008001c4 movi r2,7 - 24868: 14416916 blt r2,r17,24e10 <___vfprintf_internal_r+0x160c> - 2486c: 45800204 addi r22,r8,8 - 24870: d9003617 ldw r4,216(sp) - 24874: d9403817 ldw r5,224(sp) - 24878: 000d883a mov r6,zero - 2487c: 000f883a mov r7,zero - 24880: d8c03c15 stw r3,240(sp) - 24884: 002e2940 call 2e294 <__eqdf2> - 24888: d8c03c17 ldw r3,240(sp) - 2488c: 1000bc26 beq r2,zero,24b80 <___vfprintf_internal_r+0x137c> - 24890: d9403317 ldw r5,204(sp) - 24894: 84000044 addi r16,r16,1 - 24898: 8c400044 addi r17,r17,1 - 2489c: 28bfffc4 addi r2,r5,-1 - 248a0: 1887883a add r3,r3,r2 - 248a4: b0800115 stw r2,4(r22) - 248a8: b4000015 stw r16,0(r22) - 248ac: d8c02015 stw r3,128(sp) - 248b0: dc401f15 stw r17,124(sp) - 248b4: 008001c4 movi r2,7 - 248b8: 14414316 blt r2,r17,24dc8 <___vfprintf_internal_r+0x15c4> - 248bc: b5800204 addi r22,r22,8 - 248c0: d9003a17 ldw r4,232(sp) - 248c4: df0022c4 addi fp,sp,139 - 248c8: 8c400044 addi r17,r17,1 - 248cc: 20c7883a add r3,r4,r3 - 248d0: b7000015 stw fp,0(r22) - 248d4: b1000115 stw r4,4(r22) - 248d8: d8c02015 stw r3,128(sp) - 248dc: dc401f15 stw r17,124(sp) - 248e0: 008001c4 movi r2,7 - 248e4: 14400e16 blt r2,r17,24920 <___vfprintf_internal_r+0x111c> - 248e8: b2000204 addi r8,r22,8 - 248ec: 003e3a06 br 241d8 <___vfprintf_internal_r+0x9d4> - 248f0: 010000f4 movhi r4,3 - 248f4: 210a5a84 addi r4,r4,10602 - 248f8: d9002b15 stw r4,172(sp) - 248fc: d9002b17 ldw r4,172(sp) - 24900: 1c07883a add r3,r3,r16 - 24904: 44000115 stw r16,4(r8) - 24908: 41000015 stw r4,0(r8) - 2490c: 10800044 addi r2,r2,1 - 24910: d8c02015 stw r3,128(sp) - 24914: d8801f15 stw r2,124(sp) - 24918: 010001c4 movi r4,7 - 2491c: 20be2d0e bge r4,r2,241d4 <___vfprintf_internal_r+0x9d0> - 24920: d9002c17 ldw r4,176(sp) - 24924: d9801e04 addi r6,sp,120 - 24928: b80b883a mov r5,r23 - 2492c: 002a7d00 call 2a7d0 <__sprint_r> - 24930: 103cb61e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24934: d8c02017 ldw r3,128(sp) - 24938: da000404 addi r8,sp,16 - 2493c: 003e2606 br 241d8 <___vfprintf_internal_r+0x9d4> - 24940: d9002c17 ldw r4,176(sp) - 24944: d9801e04 addi r6,sp,120 - 24948: b80b883a mov r5,r23 - 2494c: 002a7d00 call 2a7d0 <__sprint_r> - 24950: 103e5d26 beq r2,zero,242c8 <___vfprintf_internal_r+0xac4> - 24954: 003cad06 br 23c0c <___vfprintf_internal_r+0x408> - 24958: d9002c17 ldw r4,176(sp) - 2495c: d9801e04 addi r6,sp,120 - 24960: b80b883a mov r5,r23 - 24964: 002a7d00 call 2a7d0 <__sprint_r> - 24968: 103ca81e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 2496c: d8c02017 ldw r3,128(sp) - 24970: da000404 addi r8,sp,16 - 24974: 003e0b06 br 241a4 <___vfprintf_internal_r+0x9a0> - 24978: d9002c17 ldw r4,176(sp) - 2497c: d9801e04 addi r6,sp,120 - 24980: b80b883a mov r5,r23 - 24984: 002a7d00 call 2a7d0 <__sprint_r> - 24988: 103ca01e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 2498c: d8c02017 ldw r3,128(sp) - 24990: da000404 addi r8,sp,16 - 24994: 003dbd06 br 2408c <___vfprintf_internal_r+0x888> - 24998: d9002c17 ldw r4,176(sp) - 2499c: d9801e04 addi r6,sp,120 - 249a0: b80b883a mov r5,r23 - 249a4: 002a7d00 call 2a7d0 <__sprint_r> - 249a8: 103c981e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 249ac: d8c02017 ldw r3,128(sp) - 249b0: da000404 addi r8,sp,16 - 249b4: 003dc306 br 240c4 <___vfprintf_internal_r+0x8c0> - 249b8: d8802917 ldw r2,164(sp) - 249bc: d8002785 stb zero,158(sp) - 249c0: 103f0616 blt r2,zero,245dc <___vfprintf_internal_r+0xdd8> - 249c4: 00ffdfc4 movi r3,-129 - 249c8: 9d84b03a or r2,r19,r22 - 249cc: 90e4703a and r18,r18,r3 - 249d0: 103c6b26 beq r2,zero,23b80 <___vfprintf_internal_r+0x37c> - 249d4: 0039883a mov fp,zero - 249d8: 003e7406 br 243ac <___vfprintf_internal_r+0xba8> - 249dc: 9080040c andi r2,r18,16 - 249e0: 1001b326 beq r2,zero,250b0 <___vfprintf_internal_r+0x18ac> - 249e4: d9002d17 ldw r4,180(sp) - 249e8: d9402917 ldw r5,164(sp) - 249ec: d8002785 stb zero,158(sp) - 249f0: 20800104 addi r2,r4,4 - 249f4: 24c00017 ldw r19,0(r4) - 249f8: 002d883a mov r22,zero - 249fc: 2801b516 blt r5,zero,250d4 <___vfprintf_internal_r+0x18d0> - 24a00: 00ffdfc4 movi r3,-129 - 24a04: d8802d15 stw r2,180(sp) - 24a08: 90e4703a and r18,r18,r3 - 24a0c: 983d2726 beq r19,zero,23eac <___vfprintf_internal_r+0x6a8> - 24a10: 0039883a mov fp,zero - 24a14: 003d2a06 br 23ec0 <___vfprintf_internal_r+0x6bc> - 24a18: dc402617 ldw r17,152(sp) - 24a1c: 0441d30e bge zero,r17,2516c <___vfprintf_internal_r+0x1968> - 24a20: dc403217 ldw r17,200(sp) - 24a24: d8803317 ldw r2,204(sp) - 24a28: 1440010e bge r2,r17,24a30 <___vfprintf_internal_r+0x122c> - 24a2c: 1023883a mov r17,r2 - 24a30: 04400a0e bge zero,r17,24a5c <___vfprintf_internal_r+0x1258> - 24a34: d8801f17 ldw r2,124(sp) - 24a38: 1c47883a add r3,r3,r17 - 24a3c: 44000015 stw r16,0(r8) - 24a40: 10800044 addi r2,r2,1 - 24a44: 44400115 stw r17,4(r8) - 24a48: d8c02015 stw r3,128(sp) - 24a4c: d8801f15 stw r2,124(sp) - 24a50: 010001c4 movi r4,7 - 24a54: 20826516 blt r4,r2,253ec <___vfprintf_internal_r+0x1be8> - 24a58: 42000204 addi r8,r8,8 - 24a5c: 88026116 blt r17,zero,253e4 <___vfprintf_internal_r+0x1be0> - 24a60: d9003217 ldw r4,200(sp) - 24a64: 2463c83a sub r17,r4,r17 - 24a68: 04407b0e bge zero,r17,24c58 <___vfprintf_internal_r+0x1454> - 24a6c: 05800404 movi r22,16 - 24a70: d8801f17 ldw r2,124(sp) - 24a74: b4419d0e bge r22,r17,250ec <___vfprintf_internal_r+0x18e8> - 24a78: 010000f4 movhi r4,3 - 24a7c: 210a5a84 addi r4,r4,10602 - 24a80: d9002b15 stw r4,172(sp) - 24a84: 070001c4 movi fp,7 - 24a88: dcc02c17 ldw r19,176(sp) - 24a8c: 00000306 br 24a9c <___vfprintf_internal_r+0x1298> - 24a90: 42000204 addi r8,r8,8 - 24a94: 8c7ffc04 addi r17,r17,-16 - 24a98: b441970e bge r22,r17,250f8 <___vfprintf_internal_r+0x18f4> - 24a9c: 18c00404 addi r3,r3,16 - 24aa0: 10800044 addi r2,r2,1 - 24aa4: 45000015 stw r20,0(r8) - 24aa8: 45800115 stw r22,4(r8) - 24aac: d8c02015 stw r3,128(sp) - 24ab0: d8801f15 stw r2,124(sp) - 24ab4: e0bff60e bge fp,r2,24a90 <___vfprintf_internal_r+0x128c> - 24ab8: d9801e04 addi r6,sp,120 - 24abc: b80b883a mov r5,r23 - 24ac0: 9809883a mov r4,r19 - 24ac4: 002a7d00 call 2a7d0 <__sprint_r> - 24ac8: 103c501e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24acc: d8c02017 ldw r3,128(sp) - 24ad0: d8801f17 ldw r2,124(sp) - 24ad4: da000404 addi r8,sp,16 - 24ad8: 003fee06 br 24a94 <___vfprintf_internal_r+0x1290> - 24adc: d9002c17 ldw r4,176(sp) - 24ae0: d9801e04 addi r6,sp,120 - 24ae4: b80b883a mov r5,r23 - 24ae8: 002a7d00 call 2a7d0 <__sprint_r> - 24aec: 103c471e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24af0: d8c02017 ldw r3,128(sp) - 24af4: df002787 ldb fp,158(sp) - 24af8: da000404 addi r8,sp,16 - 24afc: 003d5606 br 24058 <___vfprintf_internal_r+0x854> - 24b00: 9080040c andi r2,r18,16 - 24b04: 10016126 beq r2,zero,2508c <___vfprintf_internal_r+0x1888> - 24b08: d8802d17 ldw r2,180(sp) - 24b0c: 14c00017 ldw r19,0(r2) - 24b10: 10800104 addi r2,r2,4 + 24820: dd800404 addi r22,sp,16 + 24824: 003eb506 br 242fc <_gp+0xfffe7dc4> + 24828: d9002c17 ldw r4,176(sp) + 2482c: d9801e04 addi r6,sp,120 + 24830: b80b883a mov r5,r23 + 24834: 002a01c0 call 2a01c <__sprint_r> + 24838: 103b831e bne r2,zero,23648 <_gp+0xfffe7110> + 2483c: d8c02017 ldw r3,128(sp) + 24840: dc401f17 ldw r17,124(sp) + 24844: da000404 addi r8,sp,16 + 24848: 003e8d06 br 24280 <_gp+0xfffe7d48> + 2484c: d9002c17 ldw r4,176(sp) + 24850: d9801e04 addi r6,sp,120 + 24854: b80b883a mov r5,r23 + 24858: 002a01c0 call 2a01c <__sprint_r> + 2485c: 103b7a1e bne r2,zero,23648 <_gp+0xfffe7110> + 24860: d8c02017 ldw r3,128(sp) + 24864: dc401f17 ldw r17,124(sp) + 24868: dd800404 addi r22,sp,16 + 2486c: 003e8f06 br 242ac <_gp+0xfffe7d74> + 24870: 0027883a mov r19,zero + 24874: 003f4a06 br 245a0 <_gp+0xfffe8068> + 24878: d9002c17 ldw r4,176(sp) + 2487c: d9801e04 addi r6,sp,120 + 24880: b80b883a mov r5,r23 + 24884: 002a01c0 call 2a01c <__sprint_r> + 24888: 103b6f1e bne r2,zero,23648 <_gp+0xfffe7110> + 2488c: d8c02017 ldw r3,128(sp) + 24890: da000404 addi r8,sp,16 + 24894: 003c9d06 br 23b0c <_gp+0xfffe75d4> + 24898: 04e7c83a sub r19,zero,r19 + 2489c: 9804c03a cmpne r2,r19,zero + 248a0: 05adc83a sub r22,zero,r22 + 248a4: b0adc83a sub r22,r22,r2 + 248a8: d8802917 ldw r2,164(sp) + 248ac: 07000b44 movi fp,45 + 248b0: df002785 stb fp,158(sp) + 248b4: 10017b16 blt r2,zero,24ea4 <___vfprintf_internal_r+0x1c64> + 248b8: 00bfdfc4 movi r2,-129 + 248bc: 90a4703a and r18,r18,r2 + 248c0: 003bb106 br 23788 <_gp+0xfffe7250> + 248c4: d9003617 ldw r4,216(sp) + 248c8: d9403817 ldw r5,224(sp) + 248cc: da003d15 stw r8,244(sp) + 248d0: 0029c940 call 29c94 <__fpclassifyd> + 248d4: da003d17 ldw r8,244(sp) + 248d8: 1000f026 beq r2,zero,24c9c <___vfprintf_internal_r+0x1a5c> + 248dc: d9002917 ldw r4,164(sp) + 248e0: 05bff7c4 movi r22,-33 + 248e4: 00bfffc4 movi r2,-1 + 248e8: 8dac703a and r22,r17,r22 + 248ec: 20820026 beq r4,r2,250f0 <___vfprintf_internal_r+0x1eb0> + 248f0: 008011c4 movi r2,71 + 248f4: b081f726 beq r22,r2,250d4 <___vfprintf_internal_r+0x1e94> + 248f8: d9003817 ldw r4,224(sp) + 248fc: 90c04014 ori r3,r18,256 + 24900: d8c02b15 stw r3,172(sp) + 24904: 20021516 blt r4,zero,2515c <___vfprintf_internal_r+0x1f1c> + 24908: dcc03817 ldw r19,224(sp) + 2490c: d8002a05 stb zero,168(sp) + 24910: 00801984 movi r2,102 + 24914: 8881f926 beq r17,r2,250fc <___vfprintf_internal_r+0x1ebc> + 24918: 00801184 movi r2,70 + 2491c: 88821c26 beq r17,r2,25190 <___vfprintf_internal_r+0x1f50> + 24920: 00801144 movi r2,69 + 24924: b081ef26 beq r22,r2,250e4 <___vfprintf_internal_r+0x1ea4> + 24928: d8c02917 ldw r3,164(sp) + 2492c: d8802104 addi r2,sp,132 + 24930: d8800315 stw r2,12(sp) + 24934: d9403617 ldw r5,216(sp) + 24938: d8802504 addi r2,sp,148 + 2493c: d9002c17 ldw r4,176(sp) + 24940: d8800215 stw r2,8(sp) + 24944: d8802604 addi r2,sp,152 + 24948: d8c00015 stw r3,0(sp) + 2494c: d8800115 stw r2,4(sp) + 24950: 01c00084 movi r7,2 + 24954: 980d883a mov r6,r19 + 24958: d8c03c15 stw r3,240(sp) + 2495c: da003d15 stw r8,244(sp) + 24960: 00258640 call 25864 <_dtoa_r> + 24964: 1021883a mov r16,r2 + 24968: 008019c4 movi r2,103 + 2496c: d8c03c17 ldw r3,240(sp) + 24970: da003d17 ldw r8,244(sp) + 24974: 88817126 beq r17,r2,24f3c <___vfprintf_internal_r+0x1cfc> + 24978: 008011c4 movi r2,71 + 2497c: 88829226 beq r17,r2,253c8 <___vfprintf_internal_r+0x2188> + 24980: 80f9883a add fp,r16,r3 + 24984: d9003617 ldw r4,216(sp) + 24988: 000d883a mov r6,zero + 2498c: 000f883a mov r7,zero + 24990: 980b883a mov r5,r19 + 24994: da003d15 stw r8,244(sp) + 24998: 002dae00 call 2dae0 <__eqdf2> + 2499c: da003d17 ldw r8,244(sp) + 249a0: 10018d26 beq r2,zero,24fd8 <___vfprintf_internal_r+0x1d98> + 249a4: d8802117 ldw r2,132(sp) + 249a8: 1700062e bgeu r2,fp,249c4 <___vfprintf_internal_r+0x1784> + 249ac: 01000c04 movi r4,48 + 249b0: 10c00044 addi r3,r2,1 + 249b4: d8c02115 stw r3,132(sp) + 249b8: 11000005 stb r4,0(r2) + 249bc: d8802117 ldw r2,132(sp) + 249c0: 173ffb36 bltu r2,fp,249b0 <_gp+0xfffe8478> + 249c4: 1405c83a sub r2,r2,r16 + 249c8: d8803315 stw r2,204(sp) + 249cc: 008011c4 movi r2,71 + 249d0: b0817626 beq r22,r2,24fac <___vfprintf_internal_r+0x1d6c> + 249d4: 00801944 movi r2,101 + 249d8: 1442810e bge r2,r17,253e0 <___vfprintf_internal_r+0x21a0> + 249dc: d8c02617 ldw r3,152(sp) + 249e0: 00801984 movi r2,102 + 249e4: d8c03215 stw r3,200(sp) + 249e8: 8881fe26 beq r17,r2,251e4 <___vfprintf_internal_r+0x1fa4> + 249ec: d8c03217 ldw r3,200(sp) + 249f0: d9003317 ldw r4,204(sp) + 249f4: 1901dd16 blt r3,r4,2516c <___vfprintf_internal_r+0x1f2c> + 249f8: 9480004c andi r18,r18,1 + 249fc: 90022b1e bne r18,zero,252ac <___vfprintf_internal_r+0x206c> + 24a00: 1805883a mov r2,r3 + 24a04: 18028016 blt r3,zero,25408 <___vfprintf_internal_r+0x21c8> + 24a08: d8c03217 ldw r3,200(sp) + 24a0c: 044019c4 movi r17,103 + 24a10: d8c02e15 stw r3,184(sp) + 24a14: df002a07 ldb fp,168(sp) + 24a18: e001531e bne fp,zero,24f68 <___vfprintf_internal_r+0x1d28> + 24a1c: df002783 ldbu fp,158(sp) + 24a20: d8802a15 stw r2,168(sp) + 24a24: dc802b17 ldw r18,172(sp) + 24a28: d8002915 stw zero,164(sp) + 24a2c: 003bd106 br 23974 <_gp+0xfffe743c> + 24a30: d8802d17 ldw r2,180(sp) + 24a34: d8c02d17 ldw r3,180(sp) + 24a38: d9002d17 ldw r4,180(sp) + 24a3c: 10800017 ldw r2,0(r2) + 24a40: 18c00117 ldw r3,4(r3) + 24a44: 21000204 addi r4,r4,8 + 24a48: d8803615 stw r2,216(sp) + 24a4c: d8c03815 stw r3,224(sp) + 24a50: d9002d15 stw r4,180(sp) + 24a54: 003b7506 br 2382c <_gp+0xfffe72f4> + 24a58: ac400007 ldb r17,0(r21) + 24a5c: 003a5906 br 233c4 <_gp+0xfffe6e8c> + 24a60: 9080100c andi r2,r18,64 + 24a64: 1000a826 beq r2,zero,24d08 <___vfprintf_internal_r+0x1ac8> + 24a68: d9002d17 ldw r4,180(sp) + 24a6c: 002d883a mov r22,zero + 24a70: 24c0000b ldhu r19,0(r4) + 24a74: 21000104 addi r4,r4,4 + 24a78: d9002d15 stw r4,180(sp) + 24a7c: 003ccb06 br 23dac <_gp+0xfffe7874> + 24a80: d8c02d17 ldw r3,180(sp) + 24a84: d9002917 ldw r4,164(sp) + 24a88: 002d883a mov r22,zero + 24a8c: 18800104 addi r2,r3,4 + 24a90: 1cc00017 ldw r19,0(r3) + 24a94: 203ebb0e bge r4,zero,24584 <_gp+0xfffe804c> + 24a98: 003ef106 br 24660 <_gp+0xfffe8128> + 24a9c: 9080040c andi r2,r18,16 + 24aa0: 1000921e bne r2,zero,24cec <___vfprintf_internal_r+0x1aac> + 24aa4: 9480100c andi r18,r18,64 + 24aa8: 90013926 beq r18,zero,24f90 <___vfprintf_internal_r+0x1d50> + 24aac: d9002d17 ldw r4,180(sp) + 24ab0: d9402f17 ldw r5,188(sp) + 24ab4: 20800017 ldw r2,0(r4) + 24ab8: 21000104 addi r4,r4,4 + 24abc: d9002d15 stw r4,180(sp) + 24ac0: 1140000d sth r5,0(r2) + 24ac4: 003a1606 br 23320 <_gp+0xfffe6de8> + 24ac8: 9080100c andi r2,r18,64 + 24acc: 10008026 beq r2,zero,24cd0 <___vfprintf_internal_r+0x1a90> + 24ad0: d8c02d17 ldw r3,180(sp) + 24ad4: 1cc0000f ldh r19,0(r3) + 24ad8: 18c00104 addi r3,r3,4 + 24adc: d8c02d15 stw r3,180(sp) + 24ae0: 982dd7fa srai r22,r19,31 + 24ae4: b005883a mov r2,r22 + 24ae8: 003b1f06 br 23768 <_gp+0xfffe7230> + 24aec: 9080100c andi r2,r18,64 + 24af0: d8002785 stb zero,158(sp) + 24af4: 10008a1e bne r2,zero,24d20 <___vfprintf_internal_r+0x1ae0> + 24af8: d9402d17 ldw r5,180(sp) + 24afc: d8c02917 ldw r3,164(sp) + 24b00: 002d883a mov r22,zero + 24b04: 28800104 addi r2,r5,4 + 24b08: 2cc00017 ldw r19,0(r5) + 24b0c: 183e4b0e bge r3,zero,2443c <_gp+0xfffe7f04> + 24b10: 9d86b03a or r3,r19,r22 24b14: d8802d15 stw r2,180(sp) - 24b18: 982dd7fa srai r22,r19,31 - 24b1c: b005883a mov r2,r22 - 24b20: 003c8206 br 23d2c <___vfprintf_internal_r+0x528> - 24b24: 9080040c andi r2,r18,16 - 24b28: 10003526 beq r2,zero,24c00 <___vfprintf_internal_r+0x13fc> - 24b2c: d9402d17 ldw r5,180(sp) - 24b30: d8c02917 ldw r3,164(sp) - 24b34: d8002785 stb zero,158(sp) - 24b38: 28800104 addi r2,r5,4 - 24b3c: 2cc00017 ldw r19,0(r5) - 24b40: 002d883a mov r22,zero - 24b44: 18003716 blt r3,zero,24c24 <___vfprintf_internal_r+0x1420> - 24b48: 00ffdfc4 movi r3,-129 - 24b4c: d8802d15 stw r2,180(sp) - 24b50: 90e4703a and r18,r18,r3 - 24b54: 0039883a mov fp,zero - 24b58: 983df326 beq r19,zero,24328 <___vfprintf_internal_r+0xb24> - 24b5c: 00800244 movi r2,9 - 24b60: 14fc7b36 bltu r2,r19,23d50 <___vfprintf_internal_r+0x54c> - 24b64: d8c02817 ldw r3,160(sp) - 24b68: dc001dc4 addi r16,sp,119 - 24b6c: 9cc00c04 addi r19,r19,48 - 24b70: 1c07c83a sub r3,r3,r16 - 24b74: dcc01dc5 stb r19,119(sp) - 24b78: d8c02e15 stw r3,184(sp) - 24b7c: 003ce806 br 23f20 <___vfprintf_internal_r+0x71c> - 24b80: d8803317 ldw r2,204(sp) - 24b84: 143fffc4 addi r16,r2,-1 - 24b88: 043f4d0e bge zero,r16,248c0 <___vfprintf_internal_r+0x10bc> - 24b8c: 07000404 movi fp,16 - 24b90: e400810e bge fp,r16,24d98 <___vfprintf_internal_r+0x1594> - 24b94: 014000f4 movhi r5,3 - 24b98: 294a5a84 addi r5,r5,10602 - 24b9c: d9402b15 stw r5,172(sp) - 24ba0: 01c001c4 movi r7,7 - 24ba4: dcc02c17 ldw r19,176(sp) - 24ba8: 00000306 br 24bb8 <___vfprintf_internal_r+0x13b4> - 24bac: b5800204 addi r22,r22,8 - 24bb0: 843ffc04 addi r16,r16,-16 - 24bb4: e4007b0e bge fp,r16,24da4 <___vfprintf_internal_r+0x15a0> - 24bb8: 18c00404 addi r3,r3,16 - 24bbc: 8c400044 addi r17,r17,1 - 24bc0: b5000015 stw r20,0(r22) - 24bc4: b7000115 stw fp,4(r22) + 24b18: 183e4c1e bne r3,zero,2444c <_gp+0xfffe7f14> + 24b1c: 0039883a mov fp,zero + 24b20: 0005883a mov r2,zero + 24b24: 003d4006 br 24028 <_gp+0xfffe7af0> + 24b28: 014000f4 movhi r5,3 + 24b2c: 29480c84 addi r5,r5,8242 + 24b30: d9402b15 stw r5,172(sp) + 24b34: d9402b17 ldw r5,172(sp) + 24b38: 1c47883a add r3,r3,r17 + 24b3c: 10800044 addi r2,r2,1 + 24b40: 41400015 stw r5,0(r8) + 24b44: 44400115 stw r17,4(r8) + 24b48: d8c02015 stw r3,128(sp) + 24b4c: d8801f15 stw r2,124(sp) + 24b50: 010001c4 movi r4,7 + 24b54: 20bec816 blt r4,r2,24678 <_gp+0xfffe8140> + 24b58: 42000204 addi r8,r8,8 + 24b5c: 003ecd06 br 24694 <_gp+0xfffe815c> + 24b60: d9002917 ldw r4,164(sp) + 24b64: d8002785 stb zero,158(sp) + 24b68: 203d2d16 blt r4,zero,24020 <_gp+0xfffe7ae8> + 24b6c: 00bfdfc4 movi r2,-129 + 24b70: 90a4703a and r18,r18,r2 + 24b74: 003a9106 br 235bc <_gp+0xfffe7084> + 24b78: 010000f4 movhi r4,3 + 24b7c: 21080c84 addi r4,r4,8242 + 24b80: d9002b15 stw r4,172(sp) + 24b84: 003c0c06 br 23bb8 <_gp+0xfffe7680> + 24b88: d9002c17 ldw r4,176(sp) + 24b8c: d9801e04 addi r6,sp,120 + 24b90: b80b883a mov r5,r23 + 24b94: 002a01c0 call 2a01c <__sprint_r> + 24b98: 103aab1e bne r2,zero,23648 <_gp+0xfffe7110> + 24b9c: d8c02017 ldw r3,128(sp) + 24ba0: da000404 addi r8,sp,16 + 24ba4: 003d4106 br 240ac <_gp+0xfffe7b74> + 24ba8: d8801f17 ldw r2,124(sp) + 24bac: 014000f4 movhi r5,3 + 24bb0: 01000044 movi r4,1 + 24bb4: 18c00044 addi r3,r3,1 + 24bb8: 10800044 addi r2,r2,1 + 24bbc: 29480c04 addi r5,r5,8240 + 24bc0: 41000115 stw r4,4(r8) + 24bc4: 41400015 stw r5,0(r8) 24bc8: d8c02015 stw r3,128(sp) - 24bcc: dc401f15 stw r17,124(sp) - 24bd0: 3c7ff60e bge r7,r17,24bac <___vfprintf_internal_r+0x13a8> - 24bd4: d9801e04 addi r6,sp,120 - 24bd8: b80b883a mov r5,r23 - 24bdc: 9809883a mov r4,r19 - 24be0: d9c03c15 stw r7,240(sp) - 24be4: 002a7d00 call 2a7d0 <__sprint_r> - 24be8: d9c03c17 ldw r7,240(sp) - 24bec: 103c071e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24bf0: d8c02017 ldw r3,128(sp) - 24bf4: dc401f17 ldw r17,124(sp) - 24bf8: dd800404 addi r22,sp,16 - 24bfc: 003fec06 br 24bb0 <___vfprintf_internal_r+0x13ac> - 24c00: 9080100c andi r2,r18,64 - 24c04: d8002785 stb zero,158(sp) - 24c08: 10010e26 beq r2,zero,25044 <___vfprintf_internal_r+0x1840> - 24c0c: d9002d17 ldw r4,180(sp) - 24c10: d9402917 ldw r5,164(sp) - 24c14: 002d883a mov r22,zero - 24c18: 20800104 addi r2,r4,4 - 24c1c: 24c0000b ldhu r19,0(r4) - 24c20: 283fc90e bge r5,zero,24b48 <___vfprintf_internal_r+0x1344> - 24c24: d8802d15 stw r2,180(sp) - 24c28: 0039883a mov fp,zero - 24c2c: 9d84b03a or r2,r19,r22 - 24c30: 103c461e bne r2,zero,23d4c <___vfprintf_internal_r+0x548> - 24c34: 00800044 movi r2,1 - 24c38: 003e6c06 br 245ec <___vfprintf_internal_r+0xde8> - 24c3c: d9002c17 ldw r4,176(sp) - 24c40: d9801e04 addi r6,sp,120 - 24c44: b80b883a mov r5,r23 - 24c48: 002a7d00 call 2a7d0 <__sprint_r> - 24c4c: 103bef1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24c50: d8c02017 ldw r3,128(sp) - 24c54: da000404 addi r8,sp,16 - 24c58: d9003217 ldw r4,200(sp) - 24c5c: d8802617 ldw r2,152(sp) - 24c60: d9403317 ldw r5,204(sp) - 24c64: 8123883a add r17,r16,r4 - 24c68: 11400216 blt r2,r5,24c74 <___vfprintf_internal_r+0x1470> - 24c6c: 9100004c andi r4,r18,1 - 24c70: 20000d26 beq r4,zero,24ca8 <___vfprintf_internal_r+0x14a4> - 24c74: d9003717 ldw r4,220(sp) - 24c78: d9403417 ldw r5,208(sp) - 24c7c: 1907883a add r3,r3,r4 - 24c80: d9001f17 ldw r4,124(sp) - 24c84: 41400015 stw r5,0(r8) - 24c88: d9403717 ldw r5,220(sp) - 24c8c: 21000044 addi r4,r4,1 - 24c90: d8c02015 stw r3,128(sp) - 24c94: 41400115 stw r5,4(r8) - 24c98: d9001f15 stw r4,124(sp) - 24c9c: 014001c4 movi r5,7 - 24ca0: 2901e816 blt r5,r4,25444 <___vfprintf_internal_r+0x1c40> - 24ca4: 42000204 addi r8,r8,8 - 24ca8: d9003317 ldw r4,204(sp) - 24cac: 8121883a add r16,r16,r4 - 24cb0: 2085c83a sub r2,r4,r2 - 24cb4: 8461c83a sub r16,r16,r17 - 24cb8: 1400010e bge r2,r16,24cc0 <___vfprintf_internal_r+0x14bc> - 24cbc: 1021883a mov r16,r2 - 24cc0: 04000a0e bge zero,r16,24cec <___vfprintf_internal_r+0x14e8> - 24cc4: d9001f17 ldw r4,124(sp) - 24cc8: 1c07883a add r3,r3,r16 - 24ccc: 44400015 stw r17,0(r8) - 24cd0: 21000044 addi r4,r4,1 - 24cd4: 44000115 stw r16,4(r8) - 24cd8: d8c02015 stw r3,128(sp) - 24cdc: d9001f15 stw r4,124(sp) - 24ce0: 014001c4 movi r5,7 - 24ce4: 2901fb16 blt r5,r4,254d4 <___vfprintf_internal_r+0x1cd0> - 24ce8: 42000204 addi r8,r8,8 - 24cec: 8001f716 blt r16,zero,254cc <___vfprintf_internal_r+0x1cc8> - 24cf0: 1421c83a sub r16,r2,r16 - 24cf4: 043d380e bge zero,r16,241d8 <___vfprintf_internal_r+0x9d4> - 24cf8: 04400404 movi r17,16 - 24cfc: d8801f17 ldw r2,124(sp) - 24d00: 8c3efb0e bge r17,r16,248f0 <___vfprintf_internal_r+0x10ec> - 24d04: 014000f4 movhi r5,3 - 24d08: 294a5a84 addi r5,r5,10602 - 24d0c: d9402b15 stw r5,172(sp) - 24d10: 058001c4 movi r22,7 - 24d14: dcc02c17 ldw r19,176(sp) - 24d18: 00000306 br 24d28 <___vfprintf_internal_r+0x1524> - 24d1c: 42000204 addi r8,r8,8 - 24d20: 843ffc04 addi r16,r16,-16 - 24d24: 8c3ef50e bge r17,r16,248fc <___vfprintf_internal_r+0x10f8> - 24d28: 18c00404 addi r3,r3,16 - 24d2c: 10800044 addi r2,r2,1 - 24d30: 45000015 stw r20,0(r8) - 24d34: 44400115 stw r17,4(r8) - 24d38: d8c02015 stw r3,128(sp) - 24d3c: d8801f15 stw r2,124(sp) - 24d40: b0bff60e bge r22,r2,24d1c <___vfprintf_internal_r+0x1518> - 24d44: d9801e04 addi r6,sp,120 - 24d48: b80b883a mov r5,r23 - 24d4c: 9809883a mov r4,r19 - 24d50: 002a7d00 call 2a7d0 <__sprint_r> - 24d54: 103bad1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24d58: d8c02017 ldw r3,128(sp) - 24d5c: d8801f17 ldw r2,124(sp) - 24d60: da000404 addi r8,sp,16 - 24d64: 003fee06 br 24d20 <___vfprintf_internal_r+0x151c> - 24d68: 9088703a and r4,r18,r2 - 24d6c: 203eab1e bne r4,zero,2481c <___vfprintf_internal_r+0x1018> - 24d70: dc401f17 ldw r17,124(sp) - 24d74: 40800115 stw r2,4(r8) - 24d78: 44000015 stw r16,0(r8) - 24d7c: 8c400044 addi r17,r17,1 - 24d80: d8c02015 stw r3,128(sp) - 24d84: dc401f15 stw r17,124(sp) - 24d88: 008001c4 movi r2,7 - 24d8c: 14400e16 blt r2,r17,24dc8 <___vfprintf_internal_r+0x15c4> - 24d90: 45800204 addi r22,r8,8 - 24d94: 003eca06 br 248c0 <___vfprintf_internal_r+0x10bc> - 24d98: 010000f4 movhi r4,3 - 24d9c: 210a5a84 addi r4,r4,10602 - 24da0: d9002b15 stw r4,172(sp) - 24da4: d8802b17 ldw r2,172(sp) - 24da8: 1c07883a add r3,r3,r16 - 24dac: 8c400044 addi r17,r17,1 - 24db0: b0800015 stw r2,0(r22) - 24db4: b4000115 stw r16,4(r22) - 24db8: d8c02015 stw r3,128(sp) - 24dbc: dc401f15 stw r17,124(sp) - 24dc0: 008001c4 movi r2,7 - 24dc4: 147ebd0e bge r2,r17,248bc <___vfprintf_internal_r+0x10b8> - 24dc8: d9002c17 ldw r4,176(sp) - 24dcc: d9801e04 addi r6,sp,120 - 24dd0: b80b883a mov r5,r23 - 24dd4: 002a7d00 call 2a7d0 <__sprint_r> - 24dd8: 103b8c1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24ddc: d8c02017 ldw r3,128(sp) - 24de0: dc401f17 ldw r17,124(sp) - 24de4: dd800404 addi r22,sp,16 - 24de8: 003eb506 br 248c0 <___vfprintf_internal_r+0x10bc> - 24dec: d9002c17 ldw r4,176(sp) - 24df0: d9801e04 addi r6,sp,120 - 24df4: b80b883a mov r5,r23 - 24df8: 002a7d00 call 2a7d0 <__sprint_r> - 24dfc: 103b831e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24e00: d8c02017 ldw r3,128(sp) - 24e04: dc401f17 ldw r17,124(sp) - 24e08: da000404 addi r8,sp,16 - 24e0c: 003e8d06 br 24844 <___vfprintf_internal_r+0x1040> - 24e10: d9002c17 ldw r4,176(sp) - 24e14: d9801e04 addi r6,sp,120 - 24e18: b80b883a mov r5,r23 - 24e1c: 002a7d00 call 2a7d0 <__sprint_r> - 24e20: 103b7a1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24e24: d8c02017 ldw r3,128(sp) - 24e28: dc401f17 ldw r17,124(sp) - 24e2c: dd800404 addi r22,sp,16 - 24e30: 003e8f06 br 24870 <___vfprintf_internal_r+0x106c> - 24e34: 0027883a mov r19,zero - 24e38: 003f4a06 br 24b64 <___vfprintf_internal_r+0x1360> - 24e3c: d9002c17 ldw r4,176(sp) - 24e40: d9801e04 addi r6,sp,120 - 24e44: b80b883a mov r5,r23 - 24e48: 002a7d00 call 2a7d0 <__sprint_r> - 24e4c: 103b6f1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 24e50: d8c02017 ldw r3,128(sp) - 24e54: da000404 addi r8,sp,16 - 24e58: 003c9d06 br 240d0 <___vfprintf_internal_r+0x8cc> - 24e5c: 04e7c83a sub r19,zero,r19 - 24e60: 9804c03a cmpne r2,r19,zero - 24e64: 05adc83a sub r22,zero,r22 - 24e68: b0adc83a sub r22,r22,r2 - 24e6c: d8802917 ldw r2,164(sp) - 24e70: 07000b44 movi fp,45 - 24e74: df002785 stb fp,158(sp) - 24e78: 10017b16 blt r2,zero,25468 <___vfprintf_internal_r+0x1c64> - 24e7c: 00bfdfc4 movi r2,-129 - 24e80: 90a4703a and r18,r18,r2 - 24e84: 003bb106 br 23d4c <___vfprintf_internal_r+0x548> - 24e88: d9003617 ldw r4,216(sp) - 24e8c: d9403817 ldw r5,224(sp) - 24e90: da003d15 stw r8,244(sp) - 24e94: 002a4480 call 2a448 <__fpclassifyd> - 24e98: da003d17 ldw r8,244(sp) - 24e9c: 1000f026 beq r2,zero,25260 <___vfprintf_internal_r+0x1a5c> - 24ea0: d9002917 ldw r4,164(sp) - 24ea4: 05bff7c4 movi r22,-33 - 24ea8: 00bfffc4 movi r2,-1 - 24eac: 8dac703a and r22,r17,r22 - 24eb0: 20820026 beq r4,r2,256b4 <___vfprintf_internal_r+0x1eb0> - 24eb4: 008011c4 movi r2,71 - 24eb8: b081f726 beq r22,r2,25698 <___vfprintf_internal_r+0x1e94> - 24ebc: d9003817 ldw r4,224(sp) - 24ec0: 90c04014 ori r3,r18,256 - 24ec4: d8c02b15 stw r3,172(sp) - 24ec8: 20021516 blt r4,zero,25720 <___vfprintf_internal_r+0x1f1c> - 24ecc: dcc03817 ldw r19,224(sp) - 24ed0: d8002a05 stb zero,168(sp) - 24ed4: 00801984 movi r2,102 - 24ed8: 8881f926 beq r17,r2,256c0 <___vfprintf_internal_r+0x1ebc> - 24edc: 00801184 movi r2,70 - 24ee0: 88821c26 beq r17,r2,25754 <___vfprintf_internal_r+0x1f50> - 24ee4: 00801144 movi r2,69 - 24ee8: b081ef26 beq r22,r2,256a8 <___vfprintf_internal_r+0x1ea4> - 24eec: d8c02917 ldw r3,164(sp) - 24ef0: d8802104 addi r2,sp,132 - 24ef4: d8800315 stw r2,12(sp) - 24ef8: d9403617 ldw r5,216(sp) - 24efc: d8802504 addi r2,sp,148 - 24f00: d9002c17 ldw r4,176(sp) - 24f04: d8800215 stw r2,8(sp) - 24f08: d8802604 addi r2,sp,152 - 24f0c: d8c00015 stw r3,0(sp) - 24f10: d8800115 stw r2,4(sp) - 24f14: 01c00084 movi r7,2 - 24f18: 980d883a mov r6,r19 - 24f1c: d8c03c15 stw r3,240(sp) - 24f20: da003d15 stw r8,244(sp) - 24f24: 0025e280 call 25e28 <_dtoa_r> - 24f28: 1021883a mov r16,r2 - 24f2c: 008019c4 movi r2,103 - 24f30: d8c03c17 ldw r3,240(sp) - 24f34: da003d17 ldw r8,244(sp) - 24f38: 88817126 beq r17,r2,25500 <___vfprintf_internal_r+0x1cfc> - 24f3c: 008011c4 movi r2,71 - 24f40: 88829226 beq r17,r2,2598c <___vfprintf_internal_r+0x2188> - 24f44: 80f9883a add fp,r16,r3 - 24f48: d9003617 ldw r4,216(sp) - 24f4c: 000d883a mov r6,zero - 24f50: 000f883a mov r7,zero - 24f54: 980b883a mov r5,r19 - 24f58: da003d15 stw r8,244(sp) - 24f5c: 002e2940 call 2e294 <__eqdf2> - 24f60: da003d17 ldw r8,244(sp) - 24f64: 10018d26 beq r2,zero,2559c <___vfprintf_internal_r+0x1d98> - 24f68: d8802117 ldw r2,132(sp) - 24f6c: 1700062e bgeu r2,fp,24f88 <___vfprintf_internal_r+0x1784> - 24f70: 01000c04 movi r4,48 - 24f74: 10c00044 addi r3,r2,1 - 24f78: d8c02115 stw r3,132(sp) - 24f7c: 11000005 stb r4,0(r2) - 24f80: d8802117 ldw r2,132(sp) - 24f84: 173ffb36 bltu r2,fp,24f74 <___vfprintf_internal_r+0x1770> - 24f88: 1405c83a sub r2,r2,r16 - 24f8c: d8803315 stw r2,204(sp) - 24f90: 008011c4 movi r2,71 - 24f94: b0817626 beq r22,r2,25570 <___vfprintf_internal_r+0x1d6c> - 24f98: 00801944 movi r2,101 - 24f9c: 1442810e bge r2,r17,259a4 <___vfprintf_internal_r+0x21a0> - 24fa0: d8c02617 ldw r3,152(sp) - 24fa4: 00801984 movi r2,102 - 24fa8: d8c03215 stw r3,200(sp) - 24fac: 8881fe26 beq r17,r2,257a8 <___vfprintf_internal_r+0x1fa4> - 24fb0: d8c03217 ldw r3,200(sp) - 24fb4: d9003317 ldw r4,204(sp) - 24fb8: 1901dd16 blt r3,r4,25730 <___vfprintf_internal_r+0x1f2c> - 24fbc: 9480004c andi r18,r18,1 - 24fc0: 90022b1e bne r18,zero,25870 <___vfprintf_internal_r+0x206c> - 24fc4: 1805883a mov r2,r3 - 24fc8: 18028016 blt r3,zero,259cc <___vfprintf_internal_r+0x21c8> - 24fcc: d8c03217 ldw r3,200(sp) - 24fd0: 044019c4 movi r17,103 - 24fd4: d8c02e15 stw r3,184(sp) - 24fd8: df002a07 ldb fp,168(sp) - 24fdc: e001531e bne fp,zero,2552c <___vfprintf_internal_r+0x1d28> - 24fe0: df002783 ldbu fp,158(sp) - 24fe4: d8802a15 stw r2,168(sp) - 24fe8: dc802b17 ldw r18,172(sp) - 24fec: d8002915 stw zero,164(sp) - 24ff0: 003bd106 br 23f38 <___vfprintf_internal_r+0x734> - 24ff4: d8802d17 ldw r2,180(sp) - 24ff8: d8c02d17 ldw r3,180(sp) - 24ffc: d9002d17 ldw r4,180(sp) - 25000: 10800017 ldw r2,0(r2) - 25004: 18c00117 ldw r3,4(r3) - 25008: 21000204 addi r4,r4,8 - 2500c: d8803615 stw r2,216(sp) - 25010: d8c03815 stw r3,224(sp) - 25014: d9002d15 stw r4,180(sp) - 25018: 003b7506 br 23df0 <___vfprintf_internal_r+0x5ec> - 2501c: ac400007 ldb r17,0(r21) - 25020: 003a5906 br 23988 <___vfprintf_internal_r+0x184> - 25024: 9080100c andi r2,r18,64 - 25028: 1000a826 beq r2,zero,252cc <___vfprintf_internal_r+0x1ac8> - 2502c: d9002d17 ldw r4,180(sp) - 25030: 002d883a mov r22,zero - 25034: 24c0000b ldhu r19,0(r4) - 25038: 21000104 addi r4,r4,4 - 2503c: d9002d15 stw r4,180(sp) - 25040: 003ccb06 br 24370 <___vfprintf_internal_r+0xb6c> - 25044: d8c02d17 ldw r3,180(sp) - 25048: d9002917 ldw r4,164(sp) - 2504c: 002d883a mov r22,zero - 25050: 18800104 addi r2,r3,4 - 25054: 1cc00017 ldw r19,0(r3) - 25058: 203ebb0e bge r4,zero,24b48 <___vfprintf_internal_r+0x1344> - 2505c: 003ef106 br 24c24 <___vfprintf_internal_r+0x1420> - 25060: 9080040c andi r2,r18,16 - 25064: 1000921e bne r2,zero,252b0 <___vfprintf_internal_r+0x1aac> - 25068: 9480100c andi r18,r18,64 - 2506c: 90013926 beq r18,zero,25554 <___vfprintf_internal_r+0x1d50> - 25070: d9002d17 ldw r4,180(sp) - 25074: d9402f17 ldw r5,188(sp) - 25078: 20800017 ldw r2,0(r4) - 2507c: 21000104 addi r4,r4,4 - 25080: d9002d15 stw r4,180(sp) - 25084: 1140000d sth r5,0(r2) - 25088: 003a1606 br 238e4 <___vfprintf_internal_r+0xe0> - 2508c: 9080100c andi r2,r18,64 - 25090: 10008026 beq r2,zero,25294 <___vfprintf_internal_r+0x1a90> - 25094: d8c02d17 ldw r3,180(sp) - 25098: 1cc0000f ldh r19,0(r3) - 2509c: 18c00104 addi r3,r3,4 - 250a0: d8c02d15 stw r3,180(sp) - 250a4: 982dd7fa srai r22,r19,31 - 250a8: b005883a mov r2,r22 - 250ac: 003b1f06 br 23d2c <___vfprintf_internal_r+0x528> - 250b0: 9080100c andi r2,r18,64 - 250b4: d8002785 stb zero,158(sp) - 250b8: 10008a1e bne r2,zero,252e4 <___vfprintf_internal_r+0x1ae0> - 250bc: d9402d17 ldw r5,180(sp) - 250c0: d8c02917 ldw r3,164(sp) - 250c4: 002d883a mov r22,zero - 250c8: 28800104 addi r2,r5,4 - 250cc: 2cc00017 ldw r19,0(r5) - 250d0: 183e4b0e bge r3,zero,24a00 <___vfprintf_internal_r+0x11fc> - 250d4: 9d86b03a or r3,r19,r22 - 250d8: d8802d15 stw r2,180(sp) - 250dc: 183e4c1e bne r3,zero,24a10 <___vfprintf_internal_r+0x120c> - 250e0: 0039883a mov fp,zero - 250e4: 0005883a mov r2,zero - 250e8: 003d4006 br 245ec <___vfprintf_internal_r+0xde8> - 250ec: 014000f4 movhi r5,3 - 250f0: 294a5a84 addi r5,r5,10602 - 250f4: d9402b15 stw r5,172(sp) - 250f8: d9402b17 ldw r5,172(sp) - 250fc: 1c47883a add r3,r3,r17 - 25100: 10800044 addi r2,r2,1 - 25104: 41400015 stw r5,0(r8) - 25108: 44400115 stw r17,4(r8) - 2510c: d8c02015 stw r3,128(sp) - 25110: d8801f15 stw r2,124(sp) - 25114: 010001c4 movi r4,7 - 25118: 20bec816 blt r4,r2,24c3c <___vfprintf_internal_r+0x1438> - 2511c: 42000204 addi r8,r8,8 - 25120: 003ecd06 br 24c58 <___vfprintf_internal_r+0x1454> - 25124: d9002917 ldw r4,164(sp) - 25128: d8002785 stb zero,158(sp) - 2512c: 203d2d16 blt r4,zero,245e4 <___vfprintf_internal_r+0xde0> - 25130: 00bfdfc4 movi r2,-129 - 25134: 90a4703a and r18,r18,r2 - 25138: 003a9106 br 23b80 <___vfprintf_internal_r+0x37c> - 2513c: 010000f4 movhi r4,3 - 25140: 210a5a84 addi r4,r4,10602 - 25144: d9002b15 stw r4,172(sp) - 25148: 003c0c06 br 2417c <___vfprintf_internal_r+0x978> - 2514c: d9002c17 ldw r4,176(sp) - 25150: d9801e04 addi r6,sp,120 - 25154: b80b883a mov r5,r23 - 25158: 002a7d00 call 2a7d0 <__sprint_r> - 2515c: 103aab1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 25160: d8c02017 ldw r3,128(sp) - 25164: da000404 addi r8,sp,16 - 25168: 003d4106 br 24670 <___vfprintf_internal_r+0xe6c> - 2516c: d8801f17 ldw r2,124(sp) - 25170: 014000f4 movhi r5,3 - 25174: 01000044 movi r4,1 - 25178: 18c00044 addi r3,r3,1 - 2517c: 10800044 addi r2,r2,1 - 25180: 294a5a04 addi r5,r5,10600 - 25184: 41000115 stw r4,4(r8) - 25188: 41400015 stw r5,0(r8) - 2518c: d8c02015 stw r3,128(sp) - 25190: d8801f15 stw r2,124(sp) - 25194: 010001c4 movi r4,7 - 25198: 20805c16 blt r4,r2,2530c <___vfprintf_internal_r+0x1b08> - 2519c: 42000204 addi r8,r8,8 - 251a0: 8800041e bne r17,zero,251b4 <___vfprintf_internal_r+0x19b0> - 251a4: d8803317 ldw r2,204(sp) - 251a8: 1000021e bne r2,zero,251b4 <___vfprintf_internal_r+0x19b0> - 251ac: 9080004c andi r2,r18,1 - 251b0: 103c0926 beq r2,zero,241d8 <___vfprintf_internal_r+0x9d4> - 251b4: d9003717 ldw r4,220(sp) - 251b8: d8801f17 ldw r2,124(sp) - 251bc: d9403417 ldw r5,208(sp) - 251c0: 20c7883a add r3,r4,r3 - 251c4: 10800044 addi r2,r2,1 - 251c8: 41000115 stw r4,4(r8) - 251cc: 41400015 stw r5,0(r8) - 251d0: d8c02015 stw r3,128(sp) - 251d4: d8801f15 stw r2,124(sp) - 251d8: 010001c4 movi r4,7 - 251dc: 20812116 blt r4,r2,25664 <___vfprintf_internal_r+0x1e60> - 251e0: 42000204 addi r8,r8,8 - 251e4: 0463c83a sub r17,zero,r17 - 251e8: 0440730e bge zero,r17,253b8 <___vfprintf_internal_r+0x1bb4> - 251ec: 05800404 movi r22,16 - 251f0: b440860e bge r22,r17,2540c <___vfprintf_internal_r+0x1c08> - 251f4: 014000f4 movhi r5,3 - 251f8: 294a5a84 addi r5,r5,10602 - 251fc: d9402b15 stw r5,172(sp) - 25200: 070001c4 movi fp,7 - 25204: dcc02c17 ldw r19,176(sp) - 25208: 00000306 br 25218 <___vfprintf_internal_r+0x1a14> - 2520c: 42000204 addi r8,r8,8 - 25210: 8c7ffc04 addi r17,r17,-16 - 25214: b440800e bge r22,r17,25418 <___vfprintf_internal_r+0x1c14> - 25218: 18c00404 addi r3,r3,16 - 2521c: 10800044 addi r2,r2,1 - 25220: 45000015 stw r20,0(r8) - 25224: 45800115 stw r22,4(r8) - 25228: d8c02015 stw r3,128(sp) - 2522c: d8801f15 stw r2,124(sp) - 25230: e0bff60e bge fp,r2,2520c <___vfprintf_internal_r+0x1a08> - 25234: d9801e04 addi r6,sp,120 - 25238: b80b883a mov r5,r23 - 2523c: 9809883a mov r4,r19 - 25240: 002a7d00 call 2a7d0 <__sprint_r> - 25244: 103a711e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 25248: d8c02017 ldw r3,128(sp) - 2524c: d8801f17 ldw r2,124(sp) - 25250: da000404 addi r8,sp,16 - 25254: 003fee06 br 25210 <___vfprintf_internal_r+0x1a0c> - 25258: 00bfffc4 movi r2,-1 - 2525c: 003a6f06 br 23c1c <___vfprintf_internal_r+0x418> - 25260: 008011c4 movi r2,71 - 25264: 1440b816 blt r2,r17,25548 <___vfprintf_internal_r+0x1d44> - 25268: 040000f4 movhi r16,3 - 2526c: 840a4c04 addi r16,r16,10544 - 25270: 00c000c4 movi r3,3 - 25274: 00bfdfc4 movi r2,-129 - 25278: d8c02a15 stw r3,168(sp) - 2527c: 90a4703a and r18,r18,r2 - 25280: df002783 ldbu fp,158(sp) - 25284: d8c02e15 stw r3,184(sp) - 25288: d8002915 stw zero,164(sp) - 2528c: d8003215 stw zero,200(sp) - 25290: 003b2906 br 23f38 <___vfprintf_internal_r+0x734> - 25294: d9002d17 ldw r4,180(sp) - 25298: 24c00017 ldw r19,0(r4) - 2529c: 21000104 addi r4,r4,4 - 252a0: d9002d15 stw r4,180(sp) - 252a4: 982dd7fa srai r22,r19,31 - 252a8: b005883a mov r2,r22 - 252ac: 003a9f06 br 23d2c <___vfprintf_internal_r+0x528> - 252b0: d9402d17 ldw r5,180(sp) - 252b4: d8c02f17 ldw r3,188(sp) - 252b8: 28800017 ldw r2,0(r5) - 252bc: 29400104 addi r5,r5,4 - 252c0: d9402d15 stw r5,180(sp) - 252c4: 10c00015 stw r3,0(r2) - 252c8: 00398606 br 238e4 <___vfprintf_internal_r+0xe0> - 252cc: d9402d17 ldw r5,180(sp) - 252d0: 002d883a mov r22,zero - 252d4: 2cc00017 ldw r19,0(r5) - 252d8: 29400104 addi r5,r5,4 - 252dc: d9402d15 stw r5,180(sp) - 252e0: 003c2306 br 24370 <___vfprintf_internal_r+0xb6c> - 252e4: d8c02d17 ldw r3,180(sp) - 252e8: d9002917 ldw r4,164(sp) - 252ec: 002d883a mov r22,zero - 252f0: 18800104 addi r2,r3,4 - 252f4: 1cc0000b ldhu r19,0(r3) - 252f8: 203dc10e bge r4,zero,24a00 <___vfprintf_internal_r+0x11fc> - 252fc: 003f7506 br 250d4 <___vfprintf_internal_r+0x18d0> - 25300: 040000f4 movhi r16,3 - 25304: 840a4a04 addi r16,r16,10536 - 25308: 003acc06 br 23e3c <___vfprintf_internal_r+0x638> - 2530c: d9002c17 ldw r4,176(sp) - 25310: d9801e04 addi r6,sp,120 - 25314: b80b883a mov r5,r23 - 25318: 002a7d00 call 2a7d0 <__sprint_r> - 2531c: 103a3b1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 25320: dc402617 ldw r17,152(sp) - 25324: d8c02017 ldw r3,128(sp) - 25328: da000404 addi r8,sp,16 - 2532c: 003f9c06 br 251a0 <___vfprintf_internal_r+0x199c> - 25330: ac400043 ldbu r17,1(r21) - 25334: 94800814 ori r18,r18,32 - 25338: ad400044 addi r21,r21,1 - 2533c: 8c403fcc andi r17,r17,255 - 25340: 8c40201c xori r17,r17,128 - 25344: 8c7fe004 addi r17,r17,-128 - 25348: 00398f06 br 23988 <___vfprintf_internal_r+0x184> - 2534c: d8c02d15 stw r3,180(sp) - 25350: 0039883a mov fp,zero - 25354: 003e3506 br 24c2c <___vfprintf_internal_r+0x1428> - 25358: d9002c17 ldw r4,176(sp) - 2535c: d9801e04 addi r6,sp,120 - 25360: b80b883a mov r5,r23 - 25364: 002a7d00 call 2a7d0 <__sprint_r> - 25368: 103a281e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 2536c: d8c02017 ldw r3,128(sp) - 25370: da000404 addi r8,sp,16 - 25374: 003cd006 br 246b8 <___vfprintf_internal_r+0xeb4> - 25378: 8009883a mov r4,r16 - 2537c: da003d15 stw r8,244(sp) - 25380: 002376c0 call 2376c - 25384: d8802e15 stw r2,184(sp) - 25388: da003d17 ldw r8,244(sp) - 2538c: 103c340e bge r2,zero,24460 <___vfprintf_internal_r+0xc5c> - 25390: 0005883a mov r2,zero - 25394: 003c3206 br 24460 <___vfprintf_internal_r+0xc5c> - 25398: d9002c17 ldw r4,176(sp) - 2539c: d9801e04 addi r6,sp,120 - 253a0: b80b883a mov r5,r23 - 253a4: 002a7d00 call 2a7d0 <__sprint_r> - 253a8: 103a181e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 253ac: d8c02017 ldw r3,128(sp) - 253b0: d8801f17 ldw r2,124(sp) - 253b4: da000404 addi r8,sp,16 - 253b8: d9403317 ldw r5,204(sp) - 253bc: 10800044 addi r2,r2,1 - 253c0: 44000015 stw r16,0(r8) - 253c4: 28c7883a add r3,r5,r3 - 253c8: 003b7d06 br 241c0 <___vfprintf_internal_r+0x9bc> - 253cc: 010000f4 movhi r4,3 - 253d0: 210a5e84 addi r4,r4,10618 - 253d4: d9003515 stw r4,212(sp) - 253d8: 003b1406 br 2402c <___vfprintf_internal_r+0x828> - 253dc: 013fffc4 movi r4,-1 - 253e0: 003a3506 br 23cb8 <___vfprintf_internal_r+0x4b4> - 253e4: 0023883a mov r17,zero - 253e8: 003d9d06 br 24a60 <___vfprintf_internal_r+0x125c> - 253ec: d9002c17 ldw r4,176(sp) - 253f0: d9801e04 addi r6,sp,120 - 253f4: b80b883a mov r5,r23 - 253f8: 002a7d00 call 2a7d0 <__sprint_r> - 253fc: 103a031e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 25400: d8c02017 ldw r3,128(sp) - 25404: da000404 addi r8,sp,16 - 25408: 003d9406 br 24a5c <___vfprintf_internal_r+0x1258> - 2540c: 010000f4 movhi r4,3 - 25410: 210a5a84 addi r4,r4,10602 - 25414: d9002b15 stw r4,172(sp) - 25418: d9002b17 ldw r4,172(sp) - 2541c: 1c47883a add r3,r3,r17 - 25420: 10800044 addi r2,r2,1 - 25424: 41000015 stw r4,0(r8) - 25428: 44400115 stw r17,4(r8) - 2542c: d8c02015 stw r3,128(sp) - 25430: d8801f15 stw r2,124(sp) - 25434: 010001c4 movi r4,7 - 25438: 20bfd716 blt r4,r2,25398 <___vfprintf_internal_r+0x1b94> - 2543c: 42000204 addi r8,r8,8 - 25440: 003fdd06 br 253b8 <___vfprintf_internal_r+0x1bb4> - 25444: d9002c17 ldw r4,176(sp) - 25448: d9801e04 addi r6,sp,120 - 2544c: b80b883a mov r5,r23 - 25450: 002a7d00 call 2a7d0 <__sprint_r> - 25454: 1039ed1e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 25458: d8802617 ldw r2,152(sp) - 2545c: d8c02017 ldw r3,128(sp) - 25460: da000404 addi r8,sp,16 - 25464: 003e1006 br 24ca8 <___vfprintf_internal_r+0x14a4> - 25468: 00800044 movi r2,1 - 2546c: 10803fcc andi r2,r2,255 - 25470: 00c00044 movi r3,1 - 25474: 10fa3526 beq r2,r3,23d4c <___vfprintf_internal_r+0x548> - 25478: 00c00084 movi r3,2 - 2547c: 10fbcb26 beq r2,r3,243ac <___vfprintf_internal_r+0xba8> - 25480: 003a8f06 br 23ec0 <___vfprintf_internal_r+0x6bc> - 25484: 010000f4 movhi r4,3 - 25488: 210a5e84 addi r4,r4,10618 - 2548c: d9003515 stw r4,212(sp) - 25490: 003b7606 br 2426c <___vfprintf_internal_r+0xa68> - 25494: d8802917 ldw r2,164(sp) - 25498: 00c00184 movi r3,6 - 2549c: 1880012e bgeu r3,r2,254a4 <___vfprintf_internal_r+0x1ca0> - 254a0: 1805883a mov r2,r3 - 254a4: d8802e15 stw r2,184(sp) - 254a8: 1000ef16 blt r2,zero,25868 <___vfprintf_internal_r+0x2064> - 254ac: 040000f4 movhi r16,3 - 254b0: d8802a15 stw r2,168(sp) - 254b4: dcc02d15 stw r19,180(sp) - 254b8: d8002915 stw zero,164(sp) - 254bc: d8003215 stw zero,200(sp) - 254c0: 840a5804 addi r16,r16,10592 - 254c4: 0039883a mov fp,zero - 254c8: 003aa206 br 23f54 <___vfprintf_internal_r+0x750> - 254cc: 0021883a mov r16,zero - 254d0: 003e0706 br 24cf0 <___vfprintf_internal_r+0x14ec> - 254d4: d9002c17 ldw r4,176(sp) - 254d8: d9801e04 addi r6,sp,120 - 254dc: b80b883a mov r5,r23 - 254e0: 002a7d00 call 2a7d0 <__sprint_r> - 254e4: 1039c91e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 254e8: d8802617 ldw r2,152(sp) - 254ec: d9403317 ldw r5,204(sp) - 254f0: d8c02017 ldw r3,128(sp) - 254f4: da000404 addi r8,sp,16 - 254f8: 2885c83a sub r2,r5,r2 - 254fc: 003dfb06 br 24cec <___vfprintf_internal_r+0x14e8> - 25500: 9080004c andi r2,r18,1 - 25504: 103e8f1e bne r2,zero,24f44 <___vfprintf_internal_r+0x1740> - 25508: d8802117 ldw r2,132(sp) - 2550c: 003e9e06 br 24f88 <___vfprintf_internal_r+0x1784> - 25510: 1025883a mov r18,r2 - 25514: 0039883a mov fp,zero - 25518: 00800084 movi r2,2 - 2551c: 003fd306 br 2546c <___vfprintf_internal_r+0x1c68> - 25520: 07000b44 movi fp,45 - 25524: df002785 stb fp,158(sp) - 25528: 003a4006 br 23e2c <___vfprintf_internal_r+0x628> - 2552c: 00c00b44 movi r3,45 - 25530: d8c02785 stb r3,158(sp) - 25534: d8802a15 stw r2,168(sp) - 25538: dc802b17 ldw r18,172(sp) - 2553c: d8002915 stw zero,164(sp) - 25540: 07000b44 movi fp,45 - 25544: 003a8006 br 23f48 <___vfprintf_internal_r+0x744> - 25548: 040000f4 movhi r16,3 - 2554c: 840a4d04 addi r16,r16,10548 - 25550: 003f4706 br 25270 <___vfprintf_internal_r+0x1a6c> - 25554: d8c02d17 ldw r3,180(sp) - 25558: d9002f17 ldw r4,188(sp) - 2555c: 18800017 ldw r2,0(r3) - 25560: 18c00104 addi r3,r3,4 - 25564: d8c02d15 stw r3,180(sp) - 25568: 11000015 stw r4,0(r2) - 2556c: 0038dd06 br 238e4 <___vfprintf_internal_r+0xe0> - 25570: dd802617 ldw r22,152(sp) - 25574: 00bfff44 movi r2,-3 - 25578: b0801c16 blt r22,r2,255ec <___vfprintf_internal_r+0x1de8> - 2557c: d9402917 ldw r5,164(sp) - 25580: 2d801a16 blt r5,r22,255ec <___vfprintf_internal_r+0x1de8> - 25584: dd803215 stw r22,200(sp) - 25588: 003e8906 br 24fb0 <___vfprintf_internal_r+0x17ac> - 2558c: 010000f4 movhi r4,3 - 25590: 210a5a84 addi r4,r4,10602 - 25594: d9002b15 stw r4,172(sp) - 25598: 003c9106 br 247e0 <___vfprintf_internal_r+0xfdc> - 2559c: e005883a mov r2,fp - 255a0: 003e7906 br 24f88 <___vfprintf_internal_r+0x1784> - 255a4: d9402917 ldw r5,164(sp) - 255a8: df002783 ldbu fp,158(sp) - 255ac: dcc02d15 stw r19,180(sp) - 255b0: d9402a15 stw r5,168(sp) - 255b4: d9402e15 stw r5,184(sp) - 255b8: d8002915 stw zero,164(sp) - 255bc: d8003215 stw zero,200(sp) - 255c0: 003a5d06 br 23f38 <___vfprintf_internal_r+0x734> - 255c4: 9080004c andi r2,r18,1 - 255c8: 0039883a mov fp,zero - 255cc: 10000426 beq r2,zero,255e0 <___vfprintf_internal_r+0x1ddc> - 255d0: 00800c04 movi r2,48 - 255d4: dc001dc4 addi r16,sp,119 - 255d8: d8801dc5 stb r2,119(sp) - 255dc: 003b8006 br 243e0 <___vfprintf_internal_r+0xbdc> - 255e0: d8002e15 stw zero,184(sp) - 255e4: dc001e04 addi r16,sp,120 - 255e8: 003a4d06 br 23f20 <___vfprintf_internal_r+0x71c> - 255ec: 8c7fff84 addi r17,r17,-2 - 255f0: b5bfffc4 addi r22,r22,-1 - 255f4: dd802615 stw r22,152(sp) - 255f8: dc4022c5 stb r17,139(sp) - 255fc: b000bf16 blt r22,zero,258fc <___vfprintf_internal_r+0x20f8> - 25600: 00800ac4 movi r2,43 - 25604: d8802305 stb r2,140(sp) - 25608: 00800244 movi r2,9 - 2560c: 15807016 blt r2,r22,257d0 <___vfprintf_internal_r+0x1fcc> - 25610: 00800c04 movi r2,48 - 25614: b5800c04 addi r22,r22,48 - 25618: d8802345 stb r2,141(sp) - 2561c: dd802385 stb r22,142(sp) - 25620: d88023c4 addi r2,sp,143 - 25624: df0022c4 addi fp,sp,139 - 25628: d8c03317 ldw r3,204(sp) - 2562c: 1739c83a sub fp,r2,fp - 25630: d9003317 ldw r4,204(sp) - 25634: e0c7883a add r3,fp,r3 - 25638: df003a15 stw fp,232(sp) - 2563c: d8c02e15 stw r3,184(sp) - 25640: 00800044 movi r2,1 - 25644: 1100b30e bge r2,r4,25914 <___vfprintf_internal_r+0x2110> - 25648: d8c02e17 ldw r3,184(sp) - 2564c: 18c00044 addi r3,r3,1 - 25650: d8c02e15 stw r3,184(sp) - 25654: 1805883a mov r2,r3 - 25658: 1800ac16 blt r3,zero,2590c <___vfprintf_internal_r+0x2108> - 2565c: d8003215 stw zero,200(sp) - 25660: 003e5d06 br 24fd8 <___vfprintf_internal_r+0x17d4> - 25664: d9002c17 ldw r4,176(sp) - 25668: d9801e04 addi r6,sp,120 - 2566c: b80b883a mov r5,r23 - 25670: 002a7d00 call 2a7d0 <__sprint_r> - 25674: 1039651e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> - 25678: dc402617 ldw r17,152(sp) - 2567c: d8c02017 ldw r3,128(sp) - 25680: d8801f17 ldw r2,124(sp) - 25684: da000404 addi r8,sp,16 - 25688: 003ed606 br 251e4 <___vfprintf_internal_r+0x19e0> - 2568c: 582b883a mov r21,r11 - 25690: d8002915 stw zero,164(sp) - 25694: 0038bd06 br 2398c <___vfprintf_internal_r+0x188> - 25698: d8802917 ldw r2,164(sp) - 2569c: 103e071e bne r2,zero,24ebc <___vfprintf_internal_r+0x16b8> - 256a0: dc002915 stw r16,164(sp) - 256a4: 003e0506 br 24ebc <___vfprintf_internal_r+0x16b8> - 256a8: d9002917 ldw r4,164(sp) - 256ac: 20c00044 addi r3,r4,1 - 256b0: 003e0f06 br 24ef0 <___vfprintf_internal_r+0x16ec> - 256b4: 01400184 movi r5,6 - 256b8: d9402915 stw r5,164(sp) - 256bc: 003dff06 br 24ebc <___vfprintf_internal_r+0x16b8> - 256c0: d8802104 addi r2,sp,132 - 256c4: d8800315 stw r2,12(sp) - 256c8: d8802504 addi r2,sp,148 - 256cc: d8800215 stw r2,8(sp) - 256d0: d8802604 addi r2,sp,152 - 256d4: d8800115 stw r2,4(sp) - 256d8: d8802917 ldw r2,164(sp) - 256dc: d9403617 ldw r5,216(sp) - 256e0: d9002c17 ldw r4,176(sp) - 256e4: d8800015 stw r2,0(sp) - 256e8: 01c000c4 movi r7,3 - 256ec: 980d883a mov r6,r19 - 256f0: da003d15 stw r8,244(sp) - 256f4: 0025e280 call 25e28 <_dtoa_r> - 256f8: d8c02917 ldw r3,164(sp) - 256fc: da003d17 ldw r8,244(sp) - 25700: 1021883a mov r16,r2 - 25704: 10f9883a add fp,r2,r3 - 25708: 81000007 ldb r4,0(r16) - 2570c: 00800c04 movi r2,48 - 25710: 20805e26 beq r4,r2,2588c <___vfprintf_internal_r+0x2088> - 25714: d8c02617 ldw r3,152(sp) - 25718: e0f9883a add fp,fp,r3 - 2571c: 003e0a06 br 24f48 <___vfprintf_internal_r+0x1744> - 25720: 00c00b44 movi r3,45 - 25724: 24e0003c xorhi r19,r4,32768 - 25728: d8c02a05 stb r3,168(sp) - 2572c: 003de906 br 24ed4 <___vfprintf_internal_r+0x16d0> - 25730: d8c03217 ldw r3,200(sp) - 25734: 00c07a0e bge zero,r3,25920 <___vfprintf_internal_r+0x211c> - 25738: 00800044 movi r2,1 - 2573c: d9003317 ldw r4,204(sp) - 25740: 1105883a add r2,r2,r4 - 25744: d8802e15 stw r2,184(sp) - 25748: 10004e16 blt r2,zero,25884 <___vfprintf_internal_r+0x2080> - 2574c: 044019c4 movi r17,103 - 25750: 003e2106 br 24fd8 <___vfprintf_internal_r+0x17d4> - 25754: d9002917 ldw r4,164(sp) - 25758: d8802104 addi r2,sp,132 - 2575c: d8800315 stw r2,12(sp) - 25760: d9000015 stw r4,0(sp) - 25764: d8802504 addi r2,sp,148 - 25768: d9403617 ldw r5,216(sp) - 2576c: d9002c17 ldw r4,176(sp) - 25770: d8800215 stw r2,8(sp) - 25774: d8802604 addi r2,sp,152 - 25778: d8800115 stw r2,4(sp) - 2577c: 01c000c4 movi r7,3 - 25780: 980d883a mov r6,r19 - 25784: da003d15 stw r8,244(sp) - 25788: 0025e280 call 25e28 <_dtoa_r> - 2578c: d8c02917 ldw r3,164(sp) - 25790: da003d17 ldw r8,244(sp) - 25794: 1021883a mov r16,r2 - 25798: 00801184 movi r2,70 - 2579c: 80f9883a add fp,r16,r3 - 257a0: 88bfd926 beq r17,r2,25708 <___vfprintf_internal_r+0x1f04> - 257a4: 003de806 br 24f48 <___vfprintf_internal_r+0x1744> - 257a8: d9002917 ldw r4,164(sp) - 257ac: 00c04d0e bge zero,r3,258e4 <___vfprintf_internal_r+0x20e0> - 257b0: 2000441e bne r4,zero,258c4 <___vfprintf_internal_r+0x20c0> - 257b4: 9480004c andi r18,r18,1 - 257b8: 9000421e bne r18,zero,258c4 <___vfprintf_internal_r+0x20c0> - 257bc: 1805883a mov r2,r3 - 257c0: 18007016 blt r3,zero,25984 <___vfprintf_internal_r+0x2180> - 257c4: d8c03217 ldw r3,200(sp) - 257c8: d8c02e15 stw r3,184(sp) - 257cc: 003e0206 br 24fd8 <___vfprintf_internal_r+0x17d4> - 257d0: df0022c4 addi fp,sp,139 - 257d4: dc002915 stw r16,164(sp) - 257d8: 4027883a mov r19,r8 - 257dc: e021883a mov r16,fp - 257e0: b009883a mov r4,r22 - 257e4: 01400284 movi r5,10 - 257e8: 00229ac0 call 229ac <__modsi3> - 257ec: 10800c04 addi r2,r2,48 - 257f0: 843fffc4 addi r16,r16,-1 - 257f4: b009883a mov r4,r22 - 257f8: 01400284 movi r5,10 - 257fc: 80800005 stb r2,0(r16) - 25800: 00229280 call 22928 <__divsi3> - 25804: 102d883a mov r22,r2 - 25808: 00800244 movi r2,9 - 2580c: 15bff416 blt r2,r22,257e0 <___vfprintf_internal_r+0x1fdc> - 25810: 9811883a mov r8,r19 - 25814: b0800c04 addi r2,r22,48 - 25818: 8027883a mov r19,r16 - 2581c: 997fffc4 addi r5,r19,-1 - 25820: 98bfffc5 stb r2,-1(r19) - 25824: dc002917 ldw r16,164(sp) - 25828: 2f006a2e bgeu r5,fp,259d4 <___vfprintf_internal_r+0x21d0> - 2582c: d9c02384 addi r7,sp,142 - 25830: 3ccfc83a sub r7,r7,r19 - 25834: d9002344 addi r4,sp,141 - 25838: e1cf883a add r7,fp,r7 - 2583c: 00000106 br 25844 <___vfprintf_internal_r+0x2040> - 25840: 28800003 ldbu r2,0(r5) - 25844: 20800005 stb r2,0(r4) - 25848: 21000044 addi r4,r4,1 - 2584c: 29400044 addi r5,r5,1 - 25850: 393ffb1e bne r7,r4,25840 <___vfprintf_internal_r+0x203c> - 25854: d8802304 addi r2,sp,140 - 25858: 14c5c83a sub r2,r2,r19 - 2585c: d8c02344 addi r3,sp,141 - 25860: 1885883a add r2,r3,r2 - 25864: 003f7006 br 25628 <___vfprintf_internal_r+0x1e24> - 25868: 0005883a mov r2,zero - 2586c: 003f0f06 br 254ac <___vfprintf_internal_r+0x1ca8> - 25870: d8c03217 ldw r3,200(sp) - 25874: 18c00044 addi r3,r3,1 - 25878: d8c02e15 stw r3,184(sp) - 2587c: 1805883a mov r2,r3 - 25880: 183fb20e bge r3,zero,2574c <___vfprintf_internal_r+0x1f48> - 25884: 0005883a mov r2,zero - 25888: 003fb006 br 2574c <___vfprintf_internal_r+0x1f48> - 2588c: d9003617 ldw r4,216(sp) - 25890: 000d883a mov r6,zero - 25894: 000f883a mov r7,zero - 25898: 980b883a mov r5,r19 - 2589c: d8c03c15 stw r3,240(sp) - 258a0: da003d15 stw r8,244(sp) - 258a4: 002e2940 call 2e294 <__eqdf2> - 258a8: d8c03c17 ldw r3,240(sp) - 258ac: da003d17 ldw r8,244(sp) - 258b0: 103f9826 beq r2,zero,25714 <___vfprintf_internal_r+0x1f10> - 258b4: 00800044 movi r2,1 - 258b8: 10c7c83a sub r3,r2,r3 - 258bc: d8c02615 stw r3,152(sp) - 258c0: 003f9506 br 25718 <___vfprintf_internal_r+0x1f14> - 258c4: d9002917 ldw r4,164(sp) - 258c8: d8c03217 ldw r3,200(sp) - 258cc: 20800044 addi r2,r4,1 - 258d0: 1885883a add r2,r3,r2 - 258d4: d8802e15 stw r2,184(sp) - 258d8: 103dbf0e bge r2,zero,24fd8 <___vfprintf_internal_r+0x17d4> - 258dc: 0005883a mov r2,zero - 258e0: 003dbd06 br 24fd8 <___vfprintf_internal_r+0x17d4> - 258e4: 2000211e bne r4,zero,2596c <___vfprintf_internal_r+0x2168> - 258e8: 9480004c andi r18,r18,1 - 258ec: 90001f1e bne r18,zero,2596c <___vfprintf_internal_r+0x2168> - 258f0: 00800044 movi r2,1 - 258f4: d8802e15 stw r2,184(sp) - 258f8: 003db706 br 24fd8 <___vfprintf_internal_r+0x17d4> - 258fc: 00800b44 movi r2,45 - 25900: 05adc83a sub r22,zero,r22 - 25904: d8802305 stb r2,140(sp) - 25908: 003f3f06 br 25608 <___vfprintf_internal_r+0x1e04> - 2590c: 0005883a mov r2,zero - 25910: 003f5206 br 2565c <___vfprintf_internal_r+0x1e58> - 25914: 90a4703a and r18,r18,r2 - 25918: 903f4e26 beq r18,zero,25654 <___vfprintf_internal_r+0x1e50> - 2591c: 003f4a06 br 25648 <___vfprintf_internal_r+0x1e44> - 25920: 00800084 movi r2,2 - 25924: 10c5c83a sub r2,r2,r3 - 25928: 003f8406 br 2573c <___vfprintf_internal_r+0x1f38> - 2592c: d8802d17 ldw r2,180(sp) - 25930: d9002d17 ldw r4,180(sp) - 25934: ac400043 ldbu r17,1(r21) - 25938: 10800017 ldw r2,0(r2) - 2593c: 582b883a mov r21,r11 - 25940: d8802915 stw r2,164(sp) - 25944: 20800104 addi r2,r4,4 - 25948: d9002917 ldw r4,164(sp) - 2594c: d8802d15 stw r2,180(sp) - 25950: 203e7a0e bge r4,zero,2533c <___vfprintf_internal_r+0x1b38> - 25954: 8c403fcc andi r17,r17,255 - 25958: 00bfffc4 movi r2,-1 - 2595c: 8c40201c xori r17,r17,128 - 25960: d8802915 stw r2,164(sp) - 25964: 8c7fe004 addi r17,r17,-128 - 25968: 00380706 br 23988 <___vfprintf_internal_r+0x184> - 2596c: d8c02917 ldw r3,164(sp) - 25970: 18c00084 addi r3,r3,2 - 25974: d8c02e15 stw r3,184(sp) - 25978: 1805883a mov r2,r3 - 2597c: 183d960e bge r3,zero,24fd8 <___vfprintf_internal_r+0x17d4> - 25980: 003fd606 br 258dc <___vfprintf_internal_r+0x20d8> - 25984: 0005883a mov r2,zero - 25988: 003f8e06 br 257c4 <___vfprintf_internal_r+0x1fc0> - 2598c: 9080004c andi r2,r18,1 - 25990: 103f811e bne r2,zero,25798 <___vfprintf_internal_r+0x1f94> - 25994: d8802117 ldw r2,132(sp) - 25998: 1405c83a sub r2,r2,r16 - 2599c: d8803315 stw r2,204(sp) - 259a0: b47ef326 beq r22,r17,25570 <___vfprintf_internal_r+0x1d6c> - 259a4: dd802617 ldw r22,152(sp) - 259a8: 003f1106 br 255f0 <___vfprintf_internal_r+0x1dec> - 259ac: d9c02785 stb r7,158(sp) - 259b0: 00390406 br 23dc4 <___vfprintf_internal_r+0x5c0> - 259b4: d9c02785 stb r7,158(sp) - 259b8: 0038d306 br 23d08 <___vfprintf_internal_r+0x504> - 259bc: d9c02785 stb r7,158(sp) - 259c0: 003a6106 br 24348 <___vfprintf_internal_r+0xb44> - 259c4: d9c02785 stb r7,158(sp) - 259c8: 003af806 br 245ac <___vfprintf_internal_r+0xda8> - 259cc: 0005883a mov r2,zero - 259d0: 003d7e06 br 24fcc <___vfprintf_internal_r+0x17c8> - 259d4: d8802344 addi r2,sp,141 - 259d8: 003f1306 br 25628 <___vfprintf_internal_r+0x1e24> - 259dc: d9c02785 stb r7,158(sp) - 259e0: 00392306 br 23e70 <___vfprintf_internal_r+0x66c> - 259e4: d9c02785 stb r7,158(sp) - 259e8: 003aa906 br 24490 <___vfprintf_internal_r+0xc8c> - 259ec: d9c02785 stb r7,158(sp) - 259f0: 003a3d06 br 242e8 <___vfprintf_internal_r+0xae4> - 259f4: d9c02785 stb r7,158(sp) - 259f8: 003aca06 br 24524 <___vfprintf_internal_r+0xd20> + 24bcc: d8801f15 stw r2,124(sp) + 24bd0: 010001c4 movi r4,7 + 24bd4: 20805c16 blt r4,r2,24d48 <___vfprintf_internal_r+0x1b08> + 24bd8: 42000204 addi r8,r8,8 + 24bdc: 8800041e bne r17,zero,24bf0 <___vfprintf_internal_r+0x19b0> + 24be0: d8803317 ldw r2,204(sp) + 24be4: 1000021e bne r2,zero,24bf0 <___vfprintf_internal_r+0x19b0> + 24be8: 9080004c andi r2,r18,1 + 24bec: 103c0926 beq r2,zero,23c14 <_gp+0xfffe76dc> + 24bf0: d9003717 ldw r4,220(sp) + 24bf4: d8801f17 ldw r2,124(sp) + 24bf8: d9403417 ldw r5,208(sp) + 24bfc: 20c7883a add r3,r4,r3 + 24c00: 10800044 addi r2,r2,1 + 24c04: 41000115 stw r4,4(r8) + 24c08: 41400015 stw r5,0(r8) + 24c0c: d8c02015 stw r3,128(sp) + 24c10: d8801f15 stw r2,124(sp) + 24c14: 010001c4 movi r4,7 + 24c18: 20812116 blt r4,r2,250a0 <___vfprintf_internal_r+0x1e60> + 24c1c: 42000204 addi r8,r8,8 + 24c20: 0463c83a sub r17,zero,r17 + 24c24: 0440730e bge zero,r17,24df4 <___vfprintf_internal_r+0x1bb4> + 24c28: 05800404 movi r22,16 + 24c2c: b440860e bge r22,r17,24e48 <___vfprintf_internal_r+0x1c08> + 24c30: 014000f4 movhi r5,3 + 24c34: 29480c84 addi r5,r5,8242 + 24c38: d9402b15 stw r5,172(sp) + 24c3c: 070001c4 movi fp,7 + 24c40: dcc02c17 ldw r19,176(sp) + 24c44: 00000306 br 24c54 <___vfprintf_internal_r+0x1a14> + 24c48: 42000204 addi r8,r8,8 + 24c4c: 8c7ffc04 addi r17,r17,-16 + 24c50: b440800e bge r22,r17,24e54 <___vfprintf_internal_r+0x1c14> + 24c54: 18c00404 addi r3,r3,16 + 24c58: 10800044 addi r2,r2,1 + 24c5c: 45000015 stw r20,0(r8) + 24c60: 45800115 stw r22,4(r8) + 24c64: d8c02015 stw r3,128(sp) + 24c68: d8801f15 stw r2,124(sp) + 24c6c: e0bff60e bge fp,r2,24c48 <_gp+0xfffe8710> + 24c70: d9801e04 addi r6,sp,120 + 24c74: b80b883a mov r5,r23 + 24c78: 9809883a mov r4,r19 + 24c7c: 002a01c0 call 2a01c <__sprint_r> + 24c80: 103a711e bne r2,zero,23648 <_gp+0xfffe7110> + 24c84: d8c02017 ldw r3,128(sp) + 24c88: d8801f17 ldw r2,124(sp) + 24c8c: da000404 addi r8,sp,16 + 24c90: 003fee06 br 24c4c <_gp+0xfffe8714> + 24c94: 00bfffc4 movi r2,-1 + 24c98: 003a6f06 br 23658 <_gp+0xfffe7120> + 24c9c: 008011c4 movi r2,71 + 24ca0: 1440b816 blt r2,r17,24f84 <___vfprintf_internal_r+0x1d44> + 24ca4: 040000f4 movhi r16,3 + 24ca8: 8407fe04 addi r16,r16,8184 + 24cac: 00c000c4 movi r3,3 + 24cb0: 00bfdfc4 movi r2,-129 + 24cb4: d8c02a15 stw r3,168(sp) + 24cb8: 90a4703a and r18,r18,r2 + 24cbc: df002783 ldbu fp,158(sp) + 24cc0: d8c02e15 stw r3,184(sp) + 24cc4: d8002915 stw zero,164(sp) + 24cc8: d8003215 stw zero,200(sp) + 24ccc: 003b2906 br 23974 <_gp+0xfffe743c> + 24cd0: d9002d17 ldw r4,180(sp) + 24cd4: 24c00017 ldw r19,0(r4) + 24cd8: 21000104 addi r4,r4,4 + 24cdc: d9002d15 stw r4,180(sp) + 24ce0: 982dd7fa srai r22,r19,31 + 24ce4: b005883a mov r2,r22 + 24ce8: 003a9f06 br 23768 <_gp+0xfffe7230> + 24cec: d9402d17 ldw r5,180(sp) + 24cf0: d8c02f17 ldw r3,188(sp) + 24cf4: 28800017 ldw r2,0(r5) + 24cf8: 29400104 addi r5,r5,4 + 24cfc: d9402d15 stw r5,180(sp) + 24d00: 10c00015 stw r3,0(r2) + 24d04: 00398606 br 23320 <_gp+0xfffe6de8> + 24d08: d9402d17 ldw r5,180(sp) + 24d0c: 002d883a mov r22,zero + 24d10: 2cc00017 ldw r19,0(r5) + 24d14: 29400104 addi r5,r5,4 + 24d18: d9402d15 stw r5,180(sp) + 24d1c: 003c2306 br 23dac <_gp+0xfffe7874> + 24d20: d8c02d17 ldw r3,180(sp) + 24d24: d9002917 ldw r4,164(sp) + 24d28: 002d883a mov r22,zero + 24d2c: 18800104 addi r2,r3,4 + 24d30: 1cc0000b ldhu r19,0(r3) + 24d34: 203dc10e bge r4,zero,2443c <_gp+0xfffe7f04> + 24d38: 003f7506 br 24b10 <_gp+0xfffe85d8> + 24d3c: 040000f4 movhi r16,3 + 24d40: 8407fc04 addi r16,r16,8176 + 24d44: 003acc06 br 23878 <_gp+0xfffe7340> + 24d48: d9002c17 ldw r4,176(sp) + 24d4c: d9801e04 addi r6,sp,120 + 24d50: b80b883a mov r5,r23 + 24d54: 002a01c0 call 2a01c <__sprint_r> + 24d58: 103a3b1e bne r2,zero,23648 <_gp+0xfffe7110> + 24d5c: dc402617 ldw r17,152(sp) + 24d60: d8c02017 ldw r3,128(sp) + 24d64: da000404 addi r8,sp,16 + 24d68: 003f9c06 br 24bdc <_gp+0xfffe86a4> + 24d6c: ac400043 ldbu r17,1(r21) + 24d70: 94800814 ori r18,r18,32 + 24d74: ad400044 addi r21,r21,1 + 24d78: 8c403fcc andi r17,r17,255 + 24d7c: 8c40201c xori r17,r17,128 + 24d80: 8c7fe004 addi r17,r17,-128 + 24d84: 00398f06 br 233c4 <_gp+0xfffe6e8c> + 24d88: d8c02d15 stw r3,180(sp) + 24d8c: 0039883a mov fp,zero + 24d90: 003e3506 br 24668 <_gp+0xfffe8130> + 24d94: d9002c17 ldw r4,176(sp) + 24d98: d9801e04 addi r6,sp,120 + 24d9c: b80b883a mov r5,r23 + 24da0: 002a01c0 call 2a01c <__sprint_r> + 24da4: 103a281e bne r2,zero,23648 <_gp+0xfffe7110> + 24da8: d8c02017 ldw r3,128(sp) + 24dac: da000404 addi r8,sp,16 + 24db0: 003cd006 br 240f4 <_gp+0xfffe7bbc> + 24db4: 8009883a mov r4,r16 + 24db8: da003d15 stw r8,244(sp) + 24dbc: 00231a80 call 231a8 + 24dc0: d8802e15 stw r2,184(sp) + 24dc4: da003d17 ldw r8,244(sp) + 24dc8: 103c340e bge r2,zero,23e9c <_gp+0xfffe7964> + 24dcc: 0005883a mov r2,zero + 24dd0: 003c3206 br 23e9c <_gp+0xfffe7964> + 24dd4: d9002c17 ldw r4,176(sp) + 24dd8: d9801e04 addi r6,sp,120 + 24ddc: b80b883a mov r5,r23 + 24de0: 002a01c0 call 2a01c <__sprint_r> + 24de4: 103a181e bne r2,zero,23648 <_gp+0xfffe7110> + 24de8: d8c02017 ldw r3,128(sp) + 24dec: d8801f17 ldw r2,124(sp) + 24df0: da000404 addi r8,sp,16 + 24df4: d9403317 ldw r5,204(sp) + 24df8: 10800044 addi r2,r2,1 + 24dfc: 44000015 stw r16,0(r8) + 24e00: 28c7883a add r3,r5,r3 + 24e04: 003b7d06 br 23bfc <_gp+0xfffe76c4> + 24e08: 010000f4 movhi r4,3 + 24e0c: 21081084 addi r4,r4,8258 + 24e10: d9003515 stw r4,212(sp) + 24e14: 003b1406 br 23a68 <_gp+0xfffe7530> + 24e18: 013fffc4 movi r4,-1 + 24e1c: 003a3506 br 236f4 <_gp+0xfffe71bc> + 24e20: 0023883a mov r17,zero + 24e24: 003d9d06 br 2449c <_gp+0xfffe7f64> + 24e28: d9002c17 ldw r4,176(sp) + 24e2c: d9801e04 addi r6,sp,120 + 24e30: b80b883a mov r5,r23 + 24e34: 002a01c0 call 2a01c <__sprint_r> + 24e38: 103a031e bne r2,zero,23648 <_gp+0xfffe7110> + 24e3c: d8c02017 ldw r3,128(sp) + 24e40: da000404 addi r8,sp,16 + 24e44: 003d9406 br 24498 <_gp+0xfffe7f60> + 24e48: 010000f4 movhi r4,3 + 24e4c: 21080c84 addi r4,r4,8242 + 24e50: d9002b15 stw r4,172(sp) + 24e54: d9002b17 ldw r4,172(sp) + 24e58: 1c47883a add r3,r3,r17 + 24e5c: 10800044 addi r2,r2,1 + 24e60: 41000015 stw r4,0(r8) + 24e64: 44400115 stw r17,4(r8) + 24e68: d8c02015 stw r3,128(sp) + 24e6c: d8801f15 stw r2,124(sp) + 24e70: 010001c4 movi r4,7 + 24e74: 20bfd716 blt r4,r2,24dd4 <_gp+0xfffe889c> + 24e78: 42000204 addi r8,r8,8 + 24e7c: 003fdd06 br 24df4 <_gp+0xfffe88bc> + 24e80: d9002c17 ldw r4,176(sp) + 24e84: d9801e04 addi r6,sp,120 + 24e88: b80b883a mov r5,r23 + 24e8c: 002a01c0 call 2a01c <__sprint_r> + 24e90: 1039ed1e bne r2,zero,23648 <_gp+0xfffe7110> + 24e94: d8802617 ldw r2,152(sp) + 24e98: d8c02017 ldw r3,128(sp) + 24e9c: da000404 addi r8,sp,16 + 24ea0: 003e1006 br 246e4 <_gp+0xfffe81ac> + 24ea4: 00800044 movi r2,1 + 24ea8: 10803fcc andi r2,r2,255 + 24eac: 00c00044 movi r3,1 + 24eb0: 10fa3526 beq r2,r3,23788 <_gp+0xfffe7250> + 24eb4: 00c00084 movi r3,2 + 24eb8: 10fbcb26 beq r2,r3,23de8 <_gp+0xfffe78b0> + 24ebc: 003a8f06 br 238fc <_gp+0xfffe73c4> + 24ec0: 010000f4 movhi r4,3 + 24ec4: 21081084 addi r4,r4,8258 + 24ec8: d9003515 stw r4,212(sp) + 24ecc: 003b7606 br 23ca8 <_gp+0xfffe7770> + 24ed0: d8802917 ldw r2,164(sp) + 24ed4: 00c00184 movi r3,6 + 24ed8: 1880012e bgeu r3,r2,24ee0 <___vfprintf_internal_r+0x1ca0> + 24edc: 1805883a mov r2,r3 + 24ee0: d8802e15 stw r2,184(sp) + 24ee4: 1000ef16 blt r2,zero,252a4 <___vfprintf_internal_r+0x2064> + 24ee8: 040000f4 movhi r16,3 + 24eec: d8802a15 stw r2,168(sp) + 24ef0: dcc02d15 stw r19,180(sp) + 24ef4: d8002915 stw zero,164(sp) + 24ef8: d8003215 stw zero,200(sp) + 24efc: 84080a04 addi r16,r16,8232 + 24f00: 0039883a mov fp,zero + 24f04: 003aa206 br 23990 <_gp+0xfffe7458> + 24f08: 0021883a mov r16,zero + 24f0c: 003e0706 br 2472c <_gp+0xfffe81f4> + 24f10: d9002c17 ldw r4,176(sp) + 24f14: d9801e04 addi r6,sp,120 + 24f18: b80b883a mov r5,r23 + 24f1c: 002a01c0 call 2a01c <__sprint_r> + 24f20: 1039c91e bne r2,zero,23648 <_gp+0xfffe7110> + 24f24: d8802617 ldw r2,152(sp) + 24f28: d9403317 ldw r5,204(sp) + 24f2c: d8c02017 ldw r3,128(sp) + 24f30: da000404 addi r8,sp,16 + 24f34: 2885c83a sub r2,r5,r2 + 24f38: 003dfb06 br 24728 <_gp+0xfffe81f0> + 24f3c: 9080004c andi r2,r18,1 + 24f40: 103e8f1e bne r2,zero,24980 <_gp+0xfffe8448> + 24f44: d8802117 ldw r2,132(sp) + 24f48: 003e9e06 br 249c4 <_gp+0xfffe848c> + 24f4c: 1025883a mov r18,r2 + 24f50: 0039883a mov fp,zero + 24f54: 00800084 movi r2,2 + 24f58: 003fd306 br 24ea8 <_gp+0xfffe8970> + 24f5c: 07000b44 movi fp,45 + 24f60: df002785 stb fp,158(sp) + 24f64: 003a4006 br 23868 <_gp+0xfffe7330> + 24f68: 00c00b44 movi r3,45 + 24f6c: d8c02785 stb r3,158(sp) + 24f70: d8802a15 stw r2,168(sp) + 24f74: dc802b17 ldw r18,172(sp) + 24f78: d8002915 stw zero,164(sp) + 24f7c: 07000b44 movi fp,45 + 24f80: 003a8006 br 23984 <_gp+0xfffe744c> + 24f84: 040000f4 movhi r16,3 + 24f88: 8407ff04 addi r16,r16,8188 + 24f8c: 003f4706 br 24cac <_gp+0xfffe8774> + 24f90: d8c02d17 ldw r3,180(sp) + 24f94: d9002f17 ldw r4,188(sp) + 24f98: 18800017 ldw r2,0(r3) + 24f9c: 18c00104 addi r3,r3,4 + 24fa0: d8c02d15 stw r3,180(sp) + 24fa4: 11000015 stw r4,0(r2) + 24fa8: 0038dd06 br 23320 <_gp+0xfffe6de8> + 24fac: dd802617 ldw r22,152(sp) + 24fb0: 00bfff44 movi r2,-3 + 24fb4: b0801c16 blt r22,r2,25028 <___vfprintf_internal_r+0x1de8> + 24fb8: d9402917 ldw r5,164(sp) + 24fbc: 2d801a16 blt r5,r22,25028 <___vfprintf_internal_r+0x1de8> + 24fc0: dd803215 stw r22,200(sp) + 24fc4: 003e8906 br 249ec <_gp+0xfffe84b4> + 24fc8: 010000f4 movhi r4,3 + 24fcc: 21080c84 addi r4,r4,8242 + 24fd0: d9002b15 stw r4,172(sp) + 24fd4: 003c9106 br 2421c <_gp+0xfffe7ce4> + 24fd8: e005883a mov r2,fp + 24fdc: 003e7906 br 249c4 <_gp+0xfffe848c> + 24fe0: d9402917 ldw r5,164(sp) + 24fe4: df002783 ldbu fp,158(sp) + 24fe8: dcc02d15 stw r19,180(sp) + 24fec: d9402a15 stw r5,168(sp) + 24ff0: d9402e15 stw r5,184(sp) + 24ff4: d8002915 stw zero,164(sp) + 24ff8: d8003215 stw zero,200(sp) + 24ffc: 003a5d06 br 23974 <_gp+0xfffe743c> + 25000: 9080004c andi r2,r18,1 + 25004: 0039883a mov fp,zero + 25008: 10000426 beq r2,zero,2501c <___vfprintf_internal_r+0x1ddc> + 2500c: 00800c04 movi r2,48 + 25010: dc001dc4 addi r16,sp,119 + 25014: d8801dc5 stb r2,119(sp) + 25018: 003b8006 br 23e1c <_gp+0xfffe78e4> + 2501c: d8002e15 stw zero,184(sp) + 25020: dc001e04 addi r16,sp,120 + 25024: 003a4d06 br 2395c <_gp+0xfffe7424> + 25028: 8c7fff84 addi r17,r17,-2 + 2502c: b5bfffc4 addi r22,r22,-1 + 25030: dd802615 stw r22,152(sp) + 25034: dc4022c5 stb r17,139(sp) + 25038: b000bf16 blt r22,zero,25338 <___vfprintf_internal_r+0x20f8> + 2503c: 00800ac4 movi r2,43 + 25040: d8802305 stb r2,140(sp) + 25044: 00800244 movi r2,9 + 25048: 15807016 blt r2,r22,2520c <___vfprintf_internal_r+0x1fcc> + 2504c: 00800c04 movi r2,48 + 25050: b5800c04 addi r22,r22,48 + 25054: d8802345 stb r2,141(sp) + 25058: dd802385 stb r22,142(sp) + 2505c: d88023c4 addi r2,sp,143 + 25060: df0022c4 addi fp,sp,139 + 25064: d8c03317 ldw r3,204(sp) + 25068: 1739c83a sub fp,r2,fp + 2506c: d9003317 ldw r4,204(sp) + 25070: e0c7883a add r3,fp,r3 + 25074: df003a15 stw fp,232(sp) + 25078: d8c02e15 stw r3,184(sp) + 2507c: 00800044 movi r2,1 + 25080: 1100b30e bge r2,r4,25350 <___vfprintf_internal_r+0x2110> + 25084: d8c02e17 ldw r3,184(sp) + 25088: 18c00044 addi r3,r3,1 + 2508c: d8c02e15 stw r3,184(sp) + 25090: 1805883a mov r2,r3 + 25094: 1800ac16 blt r3,zero,25348 <___vfprintf_internal_r+0x2108> + 25098: d8003215 stw zero,200(sp) + 2509c: 003e5d06 br 24a14 <_gp+0xfffe84dc> + 250a0: d9002c17 ldw r4,176(sp) + 250a4: d9801e04 addi r6,sp,120 + 250a8: b80b883a mov r5,r23 + 250ac: 002a01c0 call 2a01c <__sprint_r> + 250b0: 1039651e bne r2,zero,23648 <_gp+0xfffe7110> + 250b4: dc402617 ldw r17,152(sp) + 250b8: d8c02017 ldw r3,128(sp) + 250bc: d8801f17 ldw r2,124(sp) + 250c0: da000404 addi r8,sp,16 + 250c4: 003ed606 br 24c20 <_gp+0xfffe86e8> + 250c8: 582b883a mov r21,r11 + 250cc: d8002915 stw zero,164(sp) + 250d0: 0038bd06 br 233c8 <_gp+0xfffe6e90> + 250d4: d8802917 ldw r2,164(sp) + 250d8: 103e071e bne r2,zero,248f8 <_gp+0xfffe83c0> + 250dc: dc002915 stw r16,164(sp) + 250e0: 003e0506 br 248f8 <_gp+0xfffe83c0> + 250e4: d9002917 ldw r4,164(sp) + 250e8: 20c00044 addi r3,r4,1 + 250ec: 003e0f06 br 2492c <_gp+0xfffe83f4> + 250f0: 01400184 movi r5,6 + 250f4: d9402915 stw r5,164(sp) + 250f8: 003dff06 br 248f8 <_gp+0xfffe83c0> + 250fc: d8802104 addi r2,sp,132 + 25100: d8800315 stw r2,12(sp) + 25104: d8802504 addi r2,sp,148 + 25108: d8800215 stw r2,8(sp) + 2510c: d8802604 addi r2,sp,152 + 25110: d8800115 stw r2,4(sp) + 25114: d8802917 ldw r2,164(sp) + 25118: d9403617 ldw r5,216(sp) + 2511c: d9002c17 ldw r4,176(sp) + 25120: d8800015 stw r2,0(sp) + 25124: 01c000c4 movi r7,3 + 25128: 980d883a mov r6,r19 + 2512c: da003d15 stw r8,244(sp) + 25130: 00258640 call 25864 <_dtoa_r> + 25134: d8c02917 ldw r3,164(sp) + 25138: da003d17 ldw r8,244(sp) + 2513c: 1021883a mov r16,r2 + 25140: 10f9883a add fp,r2,r3 + 25144: 81000007 ldb r4,0(r16) + 25148: 00800c04 movi r2,48 + 2514c: 20805e26 beq r4,r2,252c8 <___vfprintf_internal_r+0x2088> + 25150: d8c02617 ldw r3,152(sp) + 25154: e0f9883a add fp,fp,r3 + 25158: 003e0a06 br 24984 <_gp+0xfffe844c> + 2515c: 00c00b44 movi r3,45 + 25160: 24e0003c xorhi r19,r4,32768 + 25164: d8c02a05 stb r3,168(sp) + 25168: 003de906 br 24910 <_gp+0xfffe83d8> + 2516c: d8c03217 ldw r3,200(sp) + 25170: 00c07a0e bge zero,r3,2535c <___vfprintf_internal_r+0x211c> + 25174: 00800044 movi r2,1 + 25178: d9003317 ldw r4,204(sp) + 2517c: 1105883a add r2,r2,r4 + 25180: d8802e15 stw r2,184(sp) + 25184: 10004e16 blt r2,zero,252c0 <___vfprintf_internal_r+0x2080> + 25188: 044019c4 movi r17,103 + 2518c: 003e2106 br 24a14 <_gp+0xfffe84dc> + 25190: d9002917 ldw r4,164(sp) + 25194: d8802104 addi r2,sp,132 + 25198: d8800315 stw r2,12(sp) + 2519c: d9000015 stw r4,0(sp) + 251a0: d8802504 addi r2,sp,148 + 251a4: d9403617 ldw r5,216(sp) + 251a8: d9002c17 ldw r4,176(sp) + 251ac: d8800215 stw r2,8(sp) + 251b0: d8802604 addi r2,sp,152 + 251b4: d8800115 stw r2,4(sp) + 251b8: 01c000c4 movi r7,3 + 251bc: 980d883a mov r6,r19 + 251c0: da003d15 stw r8,244(sp) + 251c4: 00258640 call 25864 <_dtoa_r> + 251c8: d8c02917 ldw r3,164(sp) + 251cc: da003d17 ldw r8,244(sp) + 251d0: 1021883a mov r16,r2 + 251d4: 00801184 movi r2,70 + 251d8: 80f9883a add fp,r16,r3 + 251dc: 88bfd926 beq r17,r2,25144 <_gp+0xfffe8c0c> + 251e0: 003de806 br 24984 <_gp+0xfffe844c> + 251e4: d9002917 ldw r4,164(sp) + 251e8: 00c04d0e bge zero,r3,25320 <___vfprintf_internal_r+0x20e0> + 251ec: 2000441e bne r4,zero,25300 <___vfprintf_internal_r+0x20c0> + 251f0: 9480004c andi r18,r18,1 + 251f4: 9000421e bne r18,zero,25300 <___vfprintf_internal_r+0x20c0> + 251f8: 1805883a mov r2,r3 + 251fc: 18007016 blt r3,zero,253c0 <___vfprintf_internal_r+0x2180> + 25200: d8c03217 ldw r3,200(sp) + 25204: d8c02e15 stw r3,184(sp) + 25208: 003e0206 br 24a14 <_gp+0xfffe84dc> + 2520c: df0022c4 addi fp,sp,139 + 25210: dc002915 stw r16,164(sp) + 25214: 4027883a mov r19,r8 + 25218: e021883a mov r16,fp + 2521c: b009883a mov r4,r22 + 25220: 01400284 movi r5,10 + 25224: 00225680 call 22568 <__modsi3> + 25228: 10800c04 addi r2,r2,48 + 2522c: 843fffc4 addi r16,r16,-1 + 25230: b009883a mov r4,r22 + 25234: 01400284 movi r5,10 + 25238: 80800005 stb r2,0(r16) + 2523c: 00224e40 call 224e4 <__divsi3> + 25240: 102d883a mov r22,r2 + 25244: 00800244 movi r2,9 + 25248: 15bff416 blt r2,r22,2521c <_gp+0xfffe8ce4> + 2524c: 9811883a mov r8,r19 + 25250: b0800c04 addi r2,r22,48 + 25254: 8027883a mov r19,r16 + 25258: 997fffc4 addi r5,r19,-1 + 2525c: 98bfffc5 stb r2,-1(r19) + 25260: dc002917 ldw r16,164(sp) + 25264: 2f006a2e bgeu r5,fp,25410 <___vfprintf_internal_r+0x21d0> + 25268: d9c02384 addi r7,sp,142 + 2526c: 3ccfc83a sub r7,r7,r19 + 25270: d9002344 addi r4,sp,141 + 25274: e1cf883a add r7,fp,r7 + 25278: 00000106 br 25280 <___vfprintf_internal_r+0x2040> + 2527c: 28800003 ldbu r2,0(r5) + 25280: 20800005 stb r2,0(r4) + 25284: 21000044 addi r4,r4,1 + 25288: 29400044 addi r5,r5,1 + 2528c: 393ffb1e bne r7,r4,2527c <_gp+0xfffe8d44> + 25290: d8802304 addi r2,sp,140 + 25294: 14c5c83a sub r2,r2,r19 + 25298: d8c02344 addi r3,sp,141 + 2529c: 1885883a add r2,r3,r2 + 252a0: 003f7006 br 25064 <_gp+0xfffe8b2c> + 252a4: 0005883a mov r2,zero + 252a8: 003f0f06 br 24ee8 <_gp+0xfffe89b0> + 252ac: d8c03217 ldw r3,200(sp) + 252b0: 18c00044 addi r3,r3,1 + 252b4: d8c02e15 stw r3,184(sp) + 252b8: 1805883a mov r2,r3 + 252bc: 183fb20e bge r3,zero,25188 <_gp+0xfffe8c50> + 252c0: 0005883a mov r2,zero + 252c4: 003fb006 br 25188 <_gp+0xfffe8c50> + 252c8: d9003617 ldw r4,216(sp) + 252cc: 000d883a mov r6,zero + 252d0: 000f883a mov r7,zero + 252d4: 980b883a mov r5,r19 + 252d8: d8c03c15 stw r3,240(sp) + 252dc: da003d15 stw r8,244(sp) + 252e0: 002dae00 call 2dae0 <__eqdf2> + 252e4: d8c03c17 ldw r3,240(sp) + 252e8: da003d17 ldw r8,244(sp) + 252ec: 103f9826 beq r2,zero,25150 <_gp+0xfffe8c18> + 252f0: 00800044 movi r2,1 + 252f4: 10c7c83a sub r3,r2,r3 + 252f8: d8c02615 stw r3,152(sp) + 252fc: 003f9506 br 25154 <_gp+0xfffe8c1c> + 25300: d9002917 ldw r4,164(sp) + 25304: d8c03217 ldw r3,200(sp) + 25308: 20800044 addi r2,r4,1 + 2530c: 1885883a add r2,r3,r2 + 25310: d8802e15 stw r2,184(sp) + 25314: 103dbf0e bge r2,zero,24a14 <_gp+0xfffe84dc> + 25318: 0005883a mov r2,zero + 2531c: 003dbd06 br 24a14 <_gp+0xfffe84dc> + 25320: 2000211e bne r4,zero,253a8 <___vfprintf_internal_r+0x2168> + 25324: 9480004c andi r18,r18,1 + 25328: 90001f1e bne r18,zero,253a8 <___vfprintf_internal_r+0x2168> + 2532c: 00800044 movi r2,1 + 25330: d8802e15 stw r2,184(sp) + 25334: 003db706 br 24a14 <_gp+0xfffe84dc> + 25338: 00800b44 movi r2,45 + 2533c: 05adc83a sub r22,zero,r22 + 25340: d8802305 stb r2,140(sp) + 25344: 003f3f06 br 25044 <_gp+0xfffe8b0c> + 25348: 0005883a mov r2,zero + 2534c: 003f5206 br 25098 <_gp+0xfffe8b60> + 25350: 90a4703a and r18,r18,r2 + 25354: 903f4e26 beq r18,zero,25090 <_gp+0xfffe8b58> + 25358: 003f4a06 br 25084 <_gp+0xfffe8b4c> + 2535c: 00800084 movi r2,2 + 25360: 10c5c83a sub r2,r2,r3 + 25364: 003f8406 br 25178 <_gp+0xfffe8c40> + 25368: d8802d17 ldw r2,180(sp) + 2536c: d9002d17 ldw r4,180(sp) + 25370: ac400043 ldbu r17,1(r21) + 25374: 10800017 ldw r2,0(r2) + 25378: 582b883a mov r21,r11 + 2537c: d8802915 stw r2,164(sp) + 25380: 20800104 addi r2,r4,4 + 25384: d9002917 ldw r4,164(sp) + 25388: d8802d15 stw r2,180(sp) + 2538c: 203e7a0e bge r4,zero,24d78 <_gp+0xfffe8840> + 25390: 8c403fcc andi r17,r17,255 + 25394: 00bfffc4 movi r2,-1 + 25398: 8c40201c xori r17,r17,128 + 2539c: d8802915 stw r2,164(sp) + 253a0: 8c7fe004 addi r17,r17,-128 + 253a4: 00380706 br 233c4 <_gp+0xfffe6e8c> + 253a8: d8c02917 ldw r3,164(sp) + 253ac: 18c00084 addi r3,r3,2 + 253b0: d8c02e15 stw r3,184(sp) + 253b4: 1805883a mov r2,r3 + 253b8: 183d960e bge r3,zero,24a14 <_gp+0xfffe84dc> + 253bc: 003fd606 br 25318 <_gp+0xfffe8de0> + 253c0: 0005883a mov r2,zero + 253c4: 003f8e06 br 25200 <_gp+0xfffe8cc8> + 253c8: 9080004c andi r2,r18,1 + 253cc: 103f811e bne r2,zero,251d4 <_gp+0xfffe8c9c> + 253d0: d8802117 ldw r2,132(sp) + 253d4: 1405c83a sub r2,r2,r16 + 253d8: d8803315 stw r2,204(sp) + 253dc: b47ef326 beq r22,r17,24fac <_gp+0xfffe8a74> + 253e0: dd802617 ldw r22,152(sp) + 253e4: 003f1106 br 2502c <_gp+0xfffe8af4> + 253e8: d9c02785 stb r7,158(sp) + 253ec: 00390406 br 23800 <_gp+0xfffe72c8> + 253f0: d9c02785 stb r7,158(sp) + 253f4: 0038d306 br 23744 <_gp+0xfffe720c> + 253f8: d9c02785 stb r7,158(sp) + 253fc: 003a6106 br 23d84 <_gp+0xfffe784c> + 25400: d9c02785 stb r7,158(sp) + 25404: 003af806 br 23fe8 <_gp+0xfffe7ab0> + 25408: 0005883a mov r2,zero + 2540c: 003d7e06 br 24a08 <_gp+0xfffe84d0> + 25410: d8802344 addi r2,sp,141 + 25414: 003f1306 br 25064 <_gp+0xfffe8b2c> + 25418: d9c02785 stb r7,158(sp) + 2541c: 00392306 br 238ac <_gp+0xfffe7374> + 25420: d9c02785 stb r7,158(sp) + 25424: 003aa906 br 23ecc <_gp+0xfffe7994> + 25428: d9c02785 stb r7,158(sp) + 2542c: 003a3d06 br 23d24 <_gp+0xfffe77ec> + 25430: d9c02785 stb r7,158(sp) + 25434: 003aca06 br 23f60 <_gp+0xfffe7a28> -000259fc <__vfprintf_internal>: - 259fc: 008000f4 movhi r2,3 - 25a00: 10939f04 addi r2,r2,20092 - 25a04: 300f883a mov r7,r6 - 25a08: 280d883a mov r6,r5 - 25a0c: 200b883a mov r5,r4 - 25a10: 11000017 ldw r4,0(r2) - 25a14: 00238041 jmpi 23804 <___vfprintf_internal_r> +00025438 <__vfprintf_internal>: + 25438: 008000f4 movhi r2,3 + 2543c: 10915404 addi r2,r2,17744 + 25440: 300f883a mov r7,r6 + 25444: 280d883a mov r6,r5 + 25448: 200b883a mov r5,r4 + 2544c: 11000017 ldw r4,0(r2) + 25450: 00232401 jmpi 23240 <___vfprintf_internal_r> -00025a18 <__sbprintf>: - 25a18: 2880030b ldhu r2,12(r5) - 25a1c: 2ac01917 ldw r11,100(r5) - 25a20: 2a80038b ldhu r10,14(r5) - 25a24: 2a400717 ldw r9,28(r5) - 25a28: 2a000917 ldw r8,36(r5) - 25a2c: defee204 addi sp,sp,-1144 - 25a30: 00c10004 movi r3,1024 - 25a34: dc011a15 stw r16,1128(sp) - 25a38: 10bfff4c andi r2,r2,65533 - 25a3c: 2821883a mov r16,r5 - 25a40: d8cb883a add r5,sp,r3 - 25a44: dc811c15 stw r18,1136(sp) - 25a48: dc411b15 stw r17,1132(sp) - 25a4c: dfc11d15 stw ra,1140(sp) - 25a50: 2025883a mov r18,r4 - 25a54: d881030d sth r2,1036(sp) - 25a58: dac11915 stw r11,1124(sp) - 25a5c: da81038d sth r10,1038(sp) - 25a60: da410715 stw r9,1052(sp) - 25a64: da010915 stw r8,1060(sp) - 25a68: dec10015 stw sp,1024(sp) - 25a6c: dec10415 stw sp,1040(sp) - 25a70: d8c10215 stw r3,1032(sp) - 25a74: d8c10515 stw r3,1044(sp) - 25a78: d8010615 stw zero,1048(sp) - 25a7c: 00238040 call 23804 <___vfprintf_internal_r> - 25a80: 1023883a mov r17,r2 - 25a84: 10000416 blt r2,zero,25a98 <__sbprintf+0x80> - 25a88: d9410004 addi r5,sp,1024 - 25a8c: 9009883a mov r4,r18 - 25a90: 00276cc0 call 276cc <_fflush_r> - 25a94: 10000d1e bne r2,zero,25acc <__sbprintf+0xb4> - 25a98: d881030b ldhu r2,1036(sp) - 25a9c: 1080100c andi r2,r2,64 - 25aa0: 10000326 beq r2,zero,25ab0 <__sbprintf+0x98> - 25aa4: 8080030b ldhu r2,12(r16) - 25aa8: 10801014 ori r2,r2,64 - 25aac: 8080030d sth r2,12(r16) - 25ab0: 8805883a mov r2,r17 - 25ab4: dfc11d17 ldw ra,1140(sp) - 25ab8: dc811c17 ldw r18,1136(sp) - 25abc: dc411b17 ldw r17,1132(sp) - 25ac0: dc011a17 ldw r16,1128(sp) - 25ac4: dec11e04 addi sp,sp,1144 - 25ac8: f800283a ret - 25acc: 047fffc4 movi r17,-1 - 25ad0: 003ff106 br 25a98 <__sbprintf+0x80> +00025454 <__sbprintf>: + 25454: 2880030b ldhu r2,12(r5) + 25458: 2ac01917 ldw r11,100(r5) + 2545c: 2a80038b ldhu r10,14(r5) + 25460: 2a400717 ldw r9,28(r5) + 25464: 2a000917 ldw r8,36(r5) + 25468: defee204 addi sp,sp,-1144 + 2546c: 00c10004 movi r3,1024 + 25470: dc011a15 stw r16,1128(sp) + 25474: 10bfff4c andi r2,r2,65533 + 25478: 2821883a mov r16,r5 + 2547c: d8cb883a add r5,sp,r3 + 25480: dc811c15 stw r18,1136(sp) + 25484: dc411b15 stw r17,1132(sp) + 25488: dfc11d15 stw ra,1140(sp) + 2548c: 2025883a mov r18,r4 + 25490: d881030d sth r2,1036(sp) + 25494: dac11915 stw r11,1124(sp) + 25498: da81038d sth r10,1038(sp) + 2549c: da410715 stw r9,1052(sp) + 254a0: da010915 stw r8,1060(sp) + 254a4: dec10015 stw sp,1024(sp) + 254a8: dec10415 stw sp,1040(sp) + 254ac: d8c10215 stw r3,1032(sp) + 254b0: d8c10515 stw r3,1044(sp) + 254b4: d8010615 stw zero,1048(sp) + 254b8: 00232400 call 23240 <___vfprintf_internal_r> + 254bc: 1023883a mov r17,r2 + 254c0: 10000416 blt r2,zero,254d4 <__sbprintf+0x80> + 254c4: d9410004 addi r5,sp,1024 + 254c8: 9009883a mov r4,r18 + 254cc: 00271080 call 27108 <_fflush_r> + 254d0: 10000d1e bne r2,zero,25508 <__sbprintf+0xb4> + 254d4: d881030b ldhu r2,1036(sp) + 254d8: 1080100c andi r2,r2,64 + 254dc: 10000326 beq r2,zero,254ec <__sbprintf+0x98> + 254e0: 8080030b ldhu r2,12(r16) + 254e4: 10801014 ori r2,r2,64 + 254e8: 8080030d sth r2,12(r16) + 254ec: 8805883a mov r2,r17 + 254f0: dfc11d17 ldw ra,1140(sp) + 254f4: dc811c17 ldw r18,1136(sp) + 254f8: dc411b17 ldw r17,1132(sp) + 254fc: dc011a17 ldw r16,1128(sp) + 25500: dec11e04 addi sp,sp,1144 + 25504: f800283a ret + 25508: 047fffc4 movi r17,-1 + 2550c: 003ff106 br 254d4 <_gp+0xfffe8f9c> -00025ad4 <__swsetup_r>: - 25ad4: 008000f4 movhi r2,3 - 25ad8: defffd04 addi sp,sp,-12 - 25adc: 10939f04 addi r2,r2,20092 - 25ae0: dc400115 stw r17,4(sp) - 25ae4: 2023883a mov r17,r4 - 25ae8: 11000017 ldw r4,0(r2) - 25aec: dc000015 stw r16,0(sp) - 25af0: dfc00215 stw ra,8(sp) - 25af4: 2821883a mov r16,r5 - 25af8: 20000226 beq r4,zero,25b04 <__swsetup_r+0x30> - 25afc: 20800e17 ldw r2,56(r4) - 25b00: 10003126 beq r2,zero,25bc8 <__swsetup_r+0xf4> - 25b04: 8080030b ldhu r2,12(r16) - 25b08: 10c0020c andi r3,r2,8 - 25b0c: 1009883a mov r4,r2 - 25b10: 18000f26 beq r3,zero,25b50 <__swsetup_r+0x7c> - 25b14: 80c00417 ldw r3,16(r16) - 25b18: 18001526 beq r3,zero,25b70 <__swsetup_r+0x9c> - 25b1c: 1100004c andi r4,r2,1 - 25b20: 20001c1e bne r4,zero,25b94 <__swsetup_r+0xc0> - 25b24: 1080008c andi r2,r2,2 - 25b28: 1000291e bne r2,zero,25bd0 <__swsetup_r+0xfc> - 25b2c: 80800517 ldw r2,20(r16) - 25b30: 80800215 stw r2,8(r16) - 25b34: 18001c26 beq r3,zero,25ba8 <__swsetup_r+0xd4> - 25b38: 0005883a mov r2,zero - 25b3c: dfc00217 ldw ra,8(sp) - 25b40: dc400117 ldw r17,4(sp) - 25b44: dc000017 ldw r16,0(sp) - 25b48: dec00304 addi sp,sp,12 - 25b4c: f800283a ret - 25b50: 2080040c andi r2,r4,16 - 25b54: 10002e26 beq r2,zero,25c10 <__swsetup_r+0x13c> - 25b58: 2080010c andi r2,r4,4 - 25b5c: 10001e1e bne r2,zero,25bd8 <__swsetup_r+0x104> - 25b60: 80c00417 ldw r3,16(r16) - 25b64: 20800214 ori r2,r4,8 - 25b68: 8080030d sth r2,12(r16) - 25b6c: 183feb1e bne r3,zero,25b1c <__swsetup_r+0x48> - 25b70: 1100a00c andi r4,r2,640 - 25b74: 01408004 movi r5,512 - 25b78: 217fe826 beq r4,r5,25b1c <__swsetup_r+0x48> - 25b7c: 800b883a mov r5,r16 - 25b80: 8809883a mov r4,r17 - 25b84: 002864c0 call 2864c <__smakebuf_r> - 25b88: 8080030b ldhu r2,12(r16) - 25b8c: 80c00417 ldw r3,16(r16) - 25b90: 003fe206 br 25b1c <__swsetup_r+0x48> - 25b94: 80800517 ldw r2,20(r16) - 25b98: 80000215 stw zero,8(r16) - 25b9c: 0085c83a sub r2,zero,r2 - 25ba0: 80800615 stw r2,24(r16) - 25ba4: 183fe41e bne r3,zero,25b38 <__swsetup_r+0x64> - 25ba8: 80c0030b ldhu r3,12(r16) - 25bac: 0005883a mov r2,zero - 25bb0: 1900200c andi r4,r3,128 - 25bb4: 203fe126 beq r4,zero,25b3c <__swsetup_r+0x68> - 25bb8: 18c01014 ori r3,r3,64 - 25bbc: 80c0030d sth r3,12(r16) - 25bc0: 00bfffc4 movi r2,-1 - 25bc4: 003fdd06 br 25b3c <__swsetup_r+0x68> - 25bc8: 0027aa80 call 27aa8 <__sinit> - 25bcc: 003fcd06 br 25b04 <__swsetup_r+0x30> - 25bd0: 0005883a mov r2,zero - 25bd4: 003fd606 br 25b30 <__swsetup_r+0x5c> - 25bd8: 81400c17 ldw r5,48(r16) - 25bdc: 28000626 beq r5,zero,25bf8 <__swsetup_r+0x124> - 25be0: 80801004 addi r2,r16,64 - 25be4: 28800326 beq r5,r2,25bf4 <__swsetup_r+0x120> - 25be8: 8809883a mov r4,r17 - 25bec: 0027c1c0 call 27c1c <_free_r> - 25bf0: 8100030b ldhu r4,12(r16) - 25bf4: 80000c15 stw zero,48(r16) - 25bf8: 80c00417 ldw r3,16(r16) - 25bfc: 00bff6c4 movi r2,-37 - 25c00: 1108703a and r4,r2,r4 - 25c04: 80000115 stw zero,4(r16) - 25c08: 80c00015 stw r3,0(r16) - 25c0c: 003fd506 br 25b64 <__swsetup_r+0x90> - 25c10: 00800244 movi r2,9 - 25c14: 88800015 stw r2,0(r17) - 25c18: 20801014 ori r2,r4,64 - 25c1c: 8080030d sth r2,12(r16) - 25c20: 00bfffc4 movi r2,-1 - 25c24: 003fc506 br 25b3c <__swsetup_r+0x68> +00025510 <__swsetup_r>: + 25510: 008000f4 movhi r2,3 + 25514: defffd04 addi sp,sp,-12 + 25518: 10915404 addi r2,r2,17744 + 2551c: dc400115 stw r17,4(sp) + 25520: 2023883a mov r17,r4 + 25524: 11000017 ldw r4,0(r2) + 25528: dc000015 stw r16,0(sp) + 2552c: dfc00215 stw ra,8(sp) + 25530: 2821883a mov r16,r5 + 25534: 20000226 beq r4,zero,25540 <__swsetup_r+0x30> + 25538: 20800e17 ldw r2,56(r4) + 2553c: 10003126 beq r2,zero,25604 <__swsetup_r+0xf4> + 25540: 8080030b ldhu r2,12(r16) + 25544: 10c0020c andi r3,r2,8 + 25548: 1009883a mov r4,r2 + 2554c: 18000f26 beq r3,zero,2558c <__swsetup_r+0x7c> + 25550: 80c00417 ldw r3,16(r16) + 25554: 18001526 beq r3,zero,255ac <__swsetup_r+0x9c> + 25558: 1100004c andi r4,r2,1 + 2555c: 20001c1e bne r4,zero,255d0 <__swsetup_r+0xc0> + 25560: 1080008c andi r2,r2,2 + 25564: 1000291e bne r2,zero,2560c <__swsetup_r+0xfc> + 25568: 80800517 ldw r2,20(r16) + 2556c: 80800215 stw r2,8(r16) + 25570: 18001c26 beq r3,zero,255e4 <__swsetup_r+0xd4> + 25574: 0005883a mov r2,zero + 25578: dfc00217 ldw ra,8(sp) + 2557c: dc400117 ldw r17,4(sp) + 25580: dc000017 ldw r16,0(sp) + 25584: dec00304 addi sp,sp,12 + 25588: f800283a ret + 2558c: 2080040c andi r2,r4,16 + 25590: 10002e26 beq r2,zero,2564c <__swsetup_r+0x13c> + 25594: 2080010c andi r2,r4,4 + 25598: 10001e1e bne r2,zero,25614 <__swsetup_r+0x104> + 2559c: 80c00417 ldw r3,16(r16) + 255a0: 20800214 ori r2,r4,8 + 255a4: 8080030d sth r2,12(r16) + 255a8: 183feb1e bne r3,zero,25558 <_gp+0xfffe9020> + 255ac: 1100a00c andi r4,r2,640 + 255b0: 01408004 movi r5,512 + 255b4: 217fe826 beq r4,r5,25558 <_gp+0xfffe9020> + 255b8: 800b883a mov r5,r16 + 255bc: 8809883a mov r4,r17 + 255c0: 00280880 call 28088 <__smakebuf_r> + 255c4: 8080030b ldhu r2,12(r16) + 255c8: 80c00417 ldw r3,16(r16) + 255cc: 003fe206 br 25558 <_gp+0xfffe9020> + 255d0: 80800517 ldw r2,20(r16) + 255d4: 80000215 stw zero,8(r16) + 255d8: 0085c83a sub r2,zero,r2 + 255dc: 80800615 stw r2,24(r16) + 255e0: 183fe41e bne r3,zero,25574 <_gp+0xfffe903c> + 255e4: 80c0030b ldhu r3,12(r16) + 255e8: 0005883a mov r2,zero + 255ec: 1900200c andi r4,r3,128 + 255f0: 203fe126 beq r4,zero,25578 <_gp+0xfffe9040> + 255f4: 18c01014 ori r3,r3,64 + 255f8: 80c0030d sth r3,12(r16) + 255fc: 00bfffc4 movi r2,-1 + 25600: 003fdd06 br 25578 <_gp+0xfffe9040> + 25604: 00274e40 call 274e4 <__sinit> + 25608: 003fcd06 br 25540 <_gp+0xfffe9008> + 2560c: 0005883a mov r2,zero + 25610: 003fd606 br 2556c <_gp+0xfffe9034> + 25614: 81400c17 ldw r5,48(r16) + 25618: 28000626 beq r5,zero,25634 <__swsetup_r+0x124> + 2561c: 80801004 addi r2,r16,64 + 25620: 28800326 beq r5,r2,25630 <__swsetup_r+0x120> + 25624: 8809883a mov r4,r17 + 25628: 00276580 call 27658 <_free_r> + 2562c: 8100030b ldhu r4,12(r16) + 25630: 80000c15 stw zero,48(r16) + 25634: 80c00417 ldw r3,16(r16) + 25638: 00bff6c4 movi r2,-37 + 2563c: 1108703a and r4,r2,r4 + 25640: 80000115 stw zero,4(r16) + 25644: 80c00015 stw r3,0(r16) + 25648: 003fd506 br 255a0 <_gp+0xfffe9068> + 2564c: 00800244 movi r2,9 + 25650: 88800015 stw r2,0(r17) + 25654: 20801014 ori r2,r4,64 + 25658: 8080030d sth r2,12(r16) + 2565c: 00bfffc4 movi r2,-1 + 25660: 003fc506 br 25578 <_gp+0xfffe9040> -00025c28 : - 25c28: defff704 addi sp,sp,-36 - 25c2c: dc800215 stw r18,8(sp) - 25c30: 20800417 ldw r2,16(r4) - 25c34: 2c800417 ldw r18,16(r5) - 25c38: dfc00815 stw ra,32(sp) - 25c3c: ddc00715 stw r23,28(sp) - 25c40: dd800615 stw r22,24(sp) - 25c44: dd400515 stw r21,20(sp) - 25c48: dd000415 stw r20,16(sp) - 25c4c: dcc00315 stw r19,12(sp) - 25c50: dc400115 stw r17,4(sp) - 25c54: dc000015 stw r16,0(sp) - 25c58: 14807116 blt r2,r18,25e20 - 25c5c: 94bfffc4 addi r18,r18,-1 - 25c60: 94ad883a add r22,r18,r18 - 25c64: b5ad883a add r22,r22,r22 - 25c68: 2c400504 addi r17,r5,20 - 25c6c: 8da9883a add r20,r17,r22 - 25c70: 25400504 addi r21,r4,20 - 25c74: 282f883a mov r23,r5 - 25c78: adad883a add r22,r21,r22 - 25c7c: a1400017 ldw r5,0(r20) - 25c80: 2021883a mov r16,r4 - 25c84: b1000017 ldw r4,0(r22) - 25c88: 29400044 addi r5,r5,1 - 25c8c: 0022a200 call 22a20 <__udivsi3> - 25c90: 1027883a mov r19,r2 - 25c94: 10002c26 beq r2,zero,25d48 - 25c98: a813883a mov r9,r21 - 25c9c: 880b883a mov r5,r17 - 25ca0: 0009883a mov r4,zero - 25ca4: 000d883a mov r6,zero - 25ca8: 2a000017 ldw r8,0(r5) - 25cac: 49c00017 ldw r7,0(r9) - 25cb0: 29400104 addi r5,r5,4 - 25cb4: 40bfffcc andi r2,r8,65535 - 25cb8: 14c5383a mul r2,r2,r19 - 25cbc: 4010d43a srli r8,r8,16 - 25cc0: 38ffffcc andi r3,r7,65535 - 25cc4: 1105883a add r2,r2,r4 - 25cc8: 1008d43a srli r4,r2,16 - 25ccc: 44d1383a mul r8,r8,r19 - 25cd0: 198d883a add r6,r3,r6 - 25cd4: 10ffffcc andi r3,r2,65535 - 25cd8: 30c7c83a sub r3,r6,r3 - 25cdc: 380ed43a srli r7,r7,16 - 25ce0: 4105883a add r2,r8,r4 - 25ce4: 180dd43a srai r6,r3,16 - 25ce8: 113fffcc andi r4,r2,65535 - 25cec: 390fc83a sub r7,r7,r4 - 25cf0: 398d883a add r6,r7,r6 - 25cf4: 300e943a slli r7,r6,16 - 25cf8: 18ffffcc andi r3,r3,65535 - 25cfc: 1008d43a srli r4,r2,16 - 25d00: 38ceb03a or r7,r7,r3 - 25d04: 49c00015 stw r7,0(r9) - 25d08: 300dd43a srai r6,r6,16 - 25d0c: 4a400104 addi r9,r9,4 - 25d10: a17fe52e bgeu r20,r5,25ca8 - 25d14: b0800017 ldw r2,0(r22) - 25d18: 10000b1e bne r2,zero,25d48 - 25d1c: b0bfff04 addi r2,r22,-4 - 25d20: a880082e bgeu r21,r2,25d44 - 25d24: b0ffff17 ldw r3,-4(r22) - 25d28: 18000326 beq r3,zero,25d38 - 25d2c: 00000506 br 25d44 - 25d30: 10c00017 ldw r3,0(r2) - 25d34: 1800031e bne r3,zero,25d44 - 25d38: 10bfff04 addi r2,r2,-4 - 25d3c: 94bfffc4 addi r18,r18,-1 - 25d40: a8bffb36 bltu r21,r2,25d30 - 25d44: 84800415 stw r18,16(r16) - 25d48: b80b883a mov r5,r23 - 25d4c: 8009883a mov r4,r16 - 25d50: 00294580 call 29458 <__mcmp> - 25d54: 10002616 blt r2,zero,25df0 - 25d58: 9cc00044 addi r19,r19,1 - 25d5c: a805883a mov r2,r21 - 25d60: 000b883a mov r5,zero - 25d64: 11000017 ldw r4,0(r2) - 25d68: 89800017 ldw r6,0(r17) - 25d6c: 10800104 addi r2,r2,4 - 25d70: 20ffffcc andi r3,r4,65535 - 25d74: 194b883a add r5,r3,r5 - 25d78: 30ffffcc andi r3,r6,65535 - 25d7c: 28c7c83a sub r3,r5,r3 - 25d80: 300cd43a srli r6,r6,16 - 25d84: 2008d43a srli r4,r4,16 - 25d88: 180bd43a srai r5,r3,16 - 25d8c: 18ffffcc andi r3,r3,65535 - 25d90: 2189c83a sub r4,r4,r6 - 25d94: 2149883a add r4,r4,r5 - 25d98: 200c943a slli r6,r4,16 - 25d9c: 8c400104 addi r17,r17,4 - 25da0: 200bd43a srai r5,r4,16 - 25da4: 30c6b03a or r3,r6,r3 - 25da8: 10ffff15 stw r3,-4(r2) - 25dac: a47fed2e bgeu r20,r17,25d64 - 25db0: 9485883a add r2,r18,r18 - 25db4: 1085883a add r2,r2,r2 - 25db8: a887883a add r3,r21,r2 - 25dbc: 18800017 ldw r2,0(r3) - 25dc0: 10000b1e bne r2,zero,25df0 - 25dc4: 18bfff04 addi r2,r3,-4 - 25dc8: a880082e bgeu r21,r2,25dec - 25dcc: 18ffff17 ldw r3,-4(r3) - 25dd0: 18000326 beq r3,zero,25de0 - 25dd4: 00000506 br 25dec - 25dd8: 10c00017 ldw r3,0(r2) - 25ddc: 1800031e bne r3,zero,25dec - 25de0: 10bfff04 addi r2,r2,-4 - 25de4: 94bfffc4 addi r18,r18,-1 - 25de8: a8bffb36 bltu r21,r2,25dd8 - 25dec: 84800415 stw r18,16(r16) - 25df0: 9805883a mov r2,r19 - 25df4: dfc00817 ldw ra,32(sp) - 25df8: ddc00717 ldw r23,28(sp) - 25dfc: dd800617 ldw r22,24(sp) - 25e00: dd400517 ldw r21,20(sp) - 25e04: dd000417 ldw r20,16(sp) - 25e08: dcc00317 ldw r19,12(sp) - 25e0c: dc800217 ldw r18,8(sp) - 25e10: dc400117 ldw r17,4(sp) - 25e14: dc000017 ldw r16,0(sp) - 25e18: dec00904 addi sp,sp,36 - 25e1c: f800283a ret - 25e20: 0005883a mov r2,zero - 25e24: 003ff306 br 25df4 +00025664 : + 25664: defff704 addi sp,sp,-36 + 25668: dc800215 stw r18,8(sp) + 2566c: 20800417 ldw r2,16(r4) + 25670: 2c800417 ldw r18,16(r5) + 25674: dfc00815 stw ra,32(sp) + 25678: ddc00715 stw r23,28(sp) + 2567c: dd800615 stw r22,24(sp) + 25680: dd400515 stw r21,20(sp) + 25684: dd000415 stw r20,16(sp) + 25688: dcc00315 stw r19,12(sp) + 2568c: dc400115 stw r17,4(sp) + 25690: dc000015 stw r16,0(sp) + 25694: 14807116 blt r2,r18,2585c + 25698: 94bfffc4 addi r18,r18,-1 + 2569c: 94ad883a add r22,r18,r18 + 256a0: b5ad883a add r22,r22,r22 + 256a4: 2c400504 addi r17,r5,20 + 256a8: 8da9883a add r20,r17,r22 + 256ac: 25400504 addi r21,r4,20 + 256b0: 282f883a mov r23,r5 + 256b4: adad883a add r22,r21,r22 + 256b8: a1400017 ldw r5,0(r20) + 256bc: 2021883a mov r16,r4 + 256c0: b1000017 ldw r4,0(r22) + 256c4: 29400044 addi r5,r5,1 + 256c8: 00225dc0 call 225dc <__udivsi3> + 256cc: 1027883a mov r19,r2 + 256d0: 10002c26 beq r2,zero,25784 + 256d4: a813883a mov r9,r21 + 256d8: 880b883a mov r5,r17 + 256dc: 0009883a mov r4,zero + 256e0: 000d883a mov r6,zero + 256e4: 2a000017 ldw r8,0(r5) + 256e8: 49c00017 ldw r7,0(r9) + 256ec: 29400104 addi r5,r5,4 + 256f0: 40bfffcc andi r2,r8,65535 + 256f4: 14c5383a mul r2,r2,r19 + 256f8: 4010d43a srli r8,r8,16 + 256fc: 38ffffcc andi r3,r7,65535 + 25700: 1105883a add r2,r2,r4 + 25704: 1008d43a srli r4,r2,16 + 25708: 44d1383a mul r8,r8,r19 + 2570c: 198d883a add r6,r3,r6 + 25710: 10ffffcc andi r3,r2,65535 + 25714: 30c7c83a sub r3,r6,r3 + 25718: 380ed43a srli r7,r7,16 + 2571c: 4105883a add r2,r8,r4 + 25720: 180dd43a srai r6,r3,16 + 25724: 113fffcc andi r4,r2,65535 + 25728: 390fc83a sub r7,r7,r4 + 2572c: 398d883a add r6,r7,r6 + 25730: 300e943a slli r7,r6,16 + 25734: 18ffffcc andi r3,r3,65535 + 25738: 1008d43a srli r4,r2,16 + 2573c: 38ceb03a or r7,r7,r3 + 25740: 49c00015 stw r7,0(r9) + 25744: 300dd43a srai r6,r6,16 + 25748: 4a400104 addi r9,r9,4 + 2574c: a17fe52e bgeu r20,r5,256e4 <_gp+0xfffe91ac> + 25750: b0800017 ldw r2,0(r22) + 25754: 10000b1e bne r2,zero,25784 + 25758: b0bfff04 addi r2,r22,-4 + 2575c: a880082e bgeu r21,r2,25780 + 25760: b0ffff17 ldw r3,-4(r22) + 25764: 18000326 beq r3,zero,25774 + 25768: 00000506 br 25780 + 2576c: 10c00017 ldw r3,0(r2) + 25770: 1800031e bne r3,zero,25780 + 25774: 10bfff04 addi r2,r2,-4 + 25778: 94bfffc4 addi r18,r18,-1 + 2577c: a8bffb36 bltu r21,r2,2576c <_gp+0xfffe9234> + 25780: 84800415 stw r18,16(r16) + 25784: b80b883a mov r5,r23 + 25788: 8009883a mov r4,r16 + 2578c: 0028e940 call 28e94 <__mcmp> + 25790: 10002616 blt r2,zero,2582c + 25794: 9cc00044 addi r19,r19,1 + 25798: a805883a mov r2,r21 + 2579c: 000b883a mov r5,zero + 257a0: 11000017 ldw r4,0(r2) + 257a4: 89800017 ldw r6,0(r17) + 257a8: 10800104 addi r2,r2,4 + 257ac: 20ffffcc andi r3,r4,65535 + 257b0: 194b883a add r5,r3,r5 + 257b4: 30ffffcc andi r3,r6,65535 + 257b8: 28c7c83a sub r3,r5,r3 + 257bc: 300cd43a srli r6,r6,16 + 257c0: 2008d43a srli r4,r4,16 + 257c4: 180bd43a srai r5,r3,16 + 257c8: 18ffffcc andi r3,r3,65535 + 257cc: 2189c83a sub r4,r4,r6 + 257d0: 2149883a add r4,r4,r5 + 257d4: 200c943a slli r6,r4,16 + 257d8: 8c400104 addi r17,r17,4 + 257dc: 200bd43a srai r5,r4,16 + 257e0: 30c6b03a or r3,r6,r3 + 257e4: 10ffff15 stw r3,-4(r2) + 257e8: a47fed2e bgeu r20,r17,257a0 <_gp+0xfffe9268> + 257ec: 9485883a add r2,r18,r18 + 257f0: 1085883a add r2,r2,r2 + 257f4: a887883a add r3,r21,r2 + 257f8: 18800017 ldw r2,0(r3) + 257fc: 10000b1e bne r2,zero,2582c + 25800: 18bfff04 addi r2,r3,-4 + 25804: a880082e bgeu r21,r2,25828 + 25808: 18ffff17 ldw r3,-4(r3) + 2580c: 18000326 beq r3,zero,2581c + 25810: 00000506 br 25828 + 25814: 10c00017 ldw r3,0(r2) + 25818: 1800031e bne r3,zero,25828 + 2581c: 10bfff04 addi r2,r2,-4 + 25820: 94bfffc4 addi r18,r18,-1 + 25824: a8bffb36 bltu r21,r2,25814 <_gp+0xfffe92dc> + 25828: 84800415 stw r18,16(r16) + 2582c: 9805883a mov r2,r19 + 25830: dfc00817 ldw ra,32(sp) + 25834: ddc00717 ldw r23,28(sp) + 25838: dd800617 ldw r22,24(sp) + 2583c: dd400517 ldw r21,20(sp) + 25840: dd000417 ldw r20,16(sp) + 25844: dcc00317 ldw r19,12(sp) + 25848: dc800217 ldw r18,8(sp) + 2584c: dc400117 ldw r17,4(sp) + 25850: dc000017 ldw r16,0(sp) + 25854: dec00904 addi sp,sp,36 + 25858: f800283a ret + 2585c: 0005883a mov r2,zero + 25860: 003ff306 br 25830 <_gp+0xfffe92f8> -00025e28 <_dtoa_r>: - 25e28: 20801017 ldw r2,64(r4) - 25e2c: deffde04 addi sp,sp,-136 - 25e30: df002015 stw fp,128(sp) - 25e34: dcc01b15 stw r19,108(sp) - 25e38: dc801a15 stw r18,104(sp) - 25e3c: dc401915 stw r17,100(sp) - 25e40: dc001815 stw r16,96(sp) - 25e44: dfc02115 stw ra,132(sp) - 25e48: ddc01f15 stw r23,124(sp) - 25e4c: dd801e15 stw r22,120(sp) - 25e50: dd401d15 stw r21,116(sp) - 25e54: dd001c15 stw r20,112(sp) - 25e58: d9c00315 stw r7,12(sp) - 25e5c: 2039883a mov fp,r4 - 25e60: 3023883a mov r17,r6 - 25e64: 2825883a mov r18,r5 - 25e68: dc002417 ldw r16,144(sp) - 25e6c: 3027883a mov r19,r6 - 25e70: 10000826 beq r2,zero,25e94 <_dtoa_r+0x6c> - 25e74: 21801117 ldw r6,68(r4) - 25e78: 00c00044 movi r3,1 - 25e7c: 100b883a mov r5,r2 - 25e80: 1986983a sll r3,r3,r6 - 25e84: 11800115 stw r6,4(r2) - 25e88: 10c00215 stw r3,8(r2) - 25e8c: 0028c380 call 28c38 <_Bfree> - 25e90: e0001015 stw zero,64(fp) - 25e94: 88002e16 blt r17,zero,25f50 <_dtoa_r+0x128> - 25e98: 80000015 stw zero,0(r16) - 25e9c: 889ffc2c andhi r2,r17,32752 - 25ea0: 00dffc34 movhi r3,32752 - 25ea4: 10c01c26 beq r2,r3,25f18 <_dtoa_r+0xf0> - 25ea8: 000d883a mov r6,zero - 25eac: 000f883a mov r7,zero - 25eb0: 9009883a mov r4,r18 - 25eb4: 980b883a mov r5,r19 - 25eb8: 002e2940 call 2e294 <__eqdf2> - 25ebc: 10002b1e bne r2,zero,25f6c <_dtoa_r+0x144> - 25ec0: d9c02317 ldw r7,140(sp) - 25ec4: 00800044 movi r2,1 - 25ec8: 38800015 stw r2,0(r7) - 25ecc: d8802517 ldw r2,148(sp) - 25ed0: 10019e26 beq r2,zero,2654c <_dtoa_r+0x724> - 25ed4: d8c02517 ldw r3,148(sp) - 25ed8: 008000f4 movhi r2,3 - 25edc: 108a5a44 addi r2,r2,10601 - 25ee0: 18800015 stw r2,0(r3) - 25ee4: 10bfffc4 addi r2,r2,-1 - 25ee8: dfc02117 ldw ra,132(sp) - 25eec: df002017 ldw fp,128(sp) - 25ef0: ddc01f17 ldw r23,124(sp) - 25ef4: dd801e17 ldw r22,120(sp) - 25ef8: dd401d17 ldw r21,116(sp) - 25efc: dd001c17 ldw r20,112(sp) - 25f00: dcc01b17 ldw r19,108(sp) - 25f04: dc801a17 ldw r18,104(sp) - 25f08: dc401917 ldw r17,100(sp) - 25f0c: dc001817 ldw r16,96(sp) - 25f10: dec02204 addi sp,sp,136 - 25f14: f800283a ret - 25f18: d8c02317 ldw r3,140(sp) - 25f1c: 0089c3c4 movi r2,9999 - 25f20: 18800015 stw r2,0(r3) - 25f24: 90017726 beq r18,zero,26504 <_dtoa_r+0x6dc> - 25f28: 008000f4 movhi r2,3 - 25f2c: 108a6604 addi r2,r2,10648 - 25f30: d9002517 ldw r4,148(sp) - 25f34: 203fec26 beq r4,zero,25ee8 <_dtoa_r+0xc0> - 25f38: 10c000c7 ldb r3,3(r2) - 25f3c: 1801781e bne r3,zero,26520 <_dtoa_r+0x6f8> - 25f40: 10c000c4 addi r3,r2,3 - 25f44: d9802517 ldw r6,148(sp) - 25f48: 30c00015 stw r3,0(r6) - 25f4c: 003fe606 br 25ee8 <_dtoa_r+0xc0> - 25f50: 04e00034 movhi r19,32768 - 25f54: 9cffffc4 addi r19,r19,-1 - 25f58: 00800044 movi r2,1 - 25f5c: 8ce6703a and r19,r17,r19 - 25f60: 80800015 stw r2,0(r16) - 25f64: 9823883a mov r17,r19 - 25f68: 003fcc06 br 25e9c <_dtoa_r+0x74> - 25f6c: d8800204 addi r2,sp,8 - 25f70: d8800015 stw r2,0(sp) - 25f74: d9c00104 addi r7,sp,4 - 25f78: 900b883a mov r5,r18 - 25f7c: 980d883a mov r6,r19 - 25f80: e009883a mov r4,fp - 25f84: 8820d53a srli r16,r17,20 - 25f88: 00298240 call 29824 <__d2b> - 25f8c: d8800915 stw r2,36(sp) - 25f90: 8001651e bne r16,zero,26528 <_dtoa_r+0x700> - 25f94: dd800217 ldw r22,8(sp) - 25f98: dc000117 ldw r16,4(sp) - 25f9c: 00800804 movi r2,32 - 25fa0: b421883a add r16,r22,r16 - 25fa4: 80c10c84 addi r3,r16,1074 - 25fa8: 10c2d10e bge r2,r3,26af0 <_dtoa_r+0xcc8> - 25fac: 00801004 movi r2,64 - 25fb0: 81010484 addi r4,r16,1042 - 25fb4: 10c7c83a sub r3,r2,r3 - 25fb8: 9108d83a srl r4,r18,r4 - 25fbc: 88e2983a sll r17,r17,r3 - 25fc0: 2448b03a or r4,r4,r17 - 25fc4: 002f65c0 call 2f65c <__floatunsidf> - 25fc8: 017f8434 movhi r5,65040 - 25fcc: 01800044 movi r6,1 - 25fd0: 1009883a mov r4,r2 - 25fd4: 194b883a add r5,r3,r5 - 25fd8: 843fffc4 addi r16,r16,-1 - 25fdc: d9801115 stw r6,68(sp) - 25fe0: 000d883a mov r6,zero - 25fe4: 01cffe34 movhi r7,16376 - 25fe8: 002ec040 call 2ec04 <__subdf3> - 25fec: 0198dbf4 movhi r6,25455 - 25ff0: 01cff4f4 movhi r7,16339 - 25ff4: 3190d844 addi r6,r6,17249 - 25ff8: 39e1e9c4 addi r7,r7,-30809 - 25ffc: 1009883a mov r4,r2 - 26000: 180b883a mov r5,r3 - 26004: 002e4ec0 call 2e4ec <__muldf3> - 26008: 01a2d874 movhi r6,35681 - 2600c: 01cff1f4 movhi r7,16327 - 26010: 31b22cc4 addi r6,r6,-14157 - 26014: 39e28a04 addi r7,r7,-30168 - 26018: 180b883a mov r5,r3 +00025864 <_dtoa_r>: + 25864: 20801017 ldw r2,64(r4) + 25868: deffde04 addi sp,sp,-136 + 2586c: df002015 stw fp,128(sp) + 25870: dcc01b15 stw r19,108(sp) + 25874: dc801a15 stw r18,104(sp) + 25878: dc401915 stw r17,100(sp) + 2587c: dc001815 stw r16,96(sp) + 25880: dfc02115 stw ra,132(sp) + 25884: ddc01f15 stw r23,124(sp) + 25888: dd801e15 stw r22,120(sp) + 2588c: dd401d15 stw r21,116(sp) + 25890: dd001c15 stw r20,112(sp) + 25894: d9c00315 stw r7,12(sp) + 25898: 2039883a mov fp,r4 + 2589c: 3023883a mov r17,r6 + 258a0: 2825883a mov r18,r5 + 258a4: dc002417 ldw r16,144(sp) + 258a8: 3027883a mov r19,r6 + 258ac: 10000826 beq r2,zero,258d0 <_dtoa_r+0x6c> + 258b0: 21801117 ldw r6,68(r4) + 258b4: 00c00044 movi r3,1 + 258b8: 100b883a mov r5,r2 + 258bc: 1986983a sll r3,r3,r6 + 258c0: 11800115 stw r6,4(r2) + 258c4: 10c00215 stw r3,8(r2) + 258c8: 00286740 call 28674 <_Bfree> + 258cc: e0001015 stw zero,64(fp) + 258d0: 88002e16 blt r17,zero,2598c <_dtoa_r+0x128> + 258d4: 80000015 stw zero,0(r16) + 258d8: 889ffc2c andhi r2,r17,32752 + 258dc: 00dffc34 movhi r3,32752 + 258e0: 10c01c26 beq r2,r3,25954 <_dtoa_r+0xf0> + 258e4: 000d883a mov r6,zero + 258e8: 000f883a mov r7,zero + 258ec: 9009883a mov r4,r18 + 258f0: 980b883a mov r5,r19 + 258f4: 002dae00 call 2dae0 <__eqdf2> + 258f8: 10002b1e bne r2,zero,259a8 <_dtoa_r+0x144> + 258fc: d9c02317 ldw r7,140(sp) + 25900: 00800044 movi r2,1 + 25904: 38800015 stw r2,0(r7) + 25908: d8802517 ldw r2,148(sp) + 2590c: 10019e26 beq r2,zero,25f88 <_dtoa_r+0x724> + 25910: d8c02517 ldw r3,148(sp) + 25914: 008000f4 movhi r2,3 + 25918: 10880c44 addi r2,r2,8241 + 2591c: 18800015 stw r2,0(r3) + 25920: 10bfffc4 addi r2,r2,-1 + 25924: dfc02117 ldw ra,132(sp) + 25928: df002017 ldw fp,128(sp) + 2592c: ddc01f17 ldw r23,124(sp) + 25930: dd801e17 ldw r22,120(sp) + 25934: dd401d17 ldw r21,116(sp) + 25938: dd001c17 ldw r20,112(sp) + 2593c: dcc01b17 ldw r19,108(sp) + 25940: dc801a17 ldw r18,104(sp) + 25944: dc401917 ldw r17,100(sp) + 25948: dc001817 ldw r16,96(sp) + 2594c: dec02204 addi sp,sp,136 + 25950: f800283a ret + 25954: d8c02317 ldw r3,140(sp) + 25958: 0089c3c4 movi r2,9999 + 2595c: 18800015 stw r2,0(r3) + 25960: 90017726 beq r18,zero,25f40 <_dtoa_r+0x6dc> + 25964: 008000f4 movhi r2,3 + 25968: 10881804 addi r2,r2,8288 + 2596c: d9002517 ldw r4,148(sp) + 25970: 203fec26 beq r4,zero,25924 <_gp+0xfffe93ec> + 25974: 10c000c7 ldb r3,3(r2) + 25978: 1801781e bne r3,zero,25f5c <_dtoa_r+0x6f8> + 2597c: 10c000c4 addi r3,r2,3 + 25980: d9802517 ldw r6,148(sp) + 25984: 30c00015 stw r3,0(r6) + 25988: 003fe606 br 25924 <_gp+0xfffe93ec> + 2598c: 04e00034 movhi r19,32768 + 25990: 9cffffc4 addi r19,r19,-1 + 25994: 00800044 movi r2,1 + 25998: 8ce6703a and r19,r17,r19 + 2599c: 80800015 stw r2,0(r16) + 259a0: 9823883a mov r17,r19 + 259a4: 003fcc06 br 258d8 <_gp+0xfffe93a0> + 259a8: d8800204 addi r2,sp,8 + 259ac: d8800015 stw r2,0(sp) + 259b0: d9c00104 addi r7,sp,4 + 259b4: 900b883a mov r5,r18 + 259b8: 980d883a mov r6,r19 + 259bc: e009883a mov r4,fp + 259c0: 8820d53a srli r16,r17,20 + 259c4: 00292600 call 29260 <__d2b> + 259c8: d8800915 stw r2,36(sp) + 259cc: 8001651e bne r16,zero,25f64 <_dtoa_r+0x700> + 259d0: dd800217 ldw r22,8(sp) + 259d4: dc000117 ldw r16,4(sp) + 259d8: 00800804 movi r2,32 + 259dc: b421883a add r16,r22,r16 + 259e0: 80c10c84 addi r3,r16,1074 + 259e4: 10c2d10e bge r2,r3,2652c <_dtoa_r+0xcc8> + 259e8: 00801004 movi r2,64 + 259ec: 81010484 addi r4,r16,1042 + 259f0: 10c7c83a sub r3,r2,r3 + 259f4: 9108d83a srl r4,r18,r4 + 259f8: 88e2983a sll r17,r17,r3 + 259fc: 2448b03a or r4,r4,r17 + 25a00: 002eea80 call 2eea8 <__floatunsidf> + 25a04: 017f8434 movhi r5,65040 + 25a08: 01800044 movi r6,1 + 25a0c: 1009883a mov r4,r2 + 25a10: 194b883a add r5,r3,r5 + 25a14: 843fffc4 addi r16,r16,-1 + 25a18: d9801115 stw r6,68(sp) + 25a1c: 000d883a mov r6,zero + 25a20: 01cffe34 movhi r7,16376 + 25a24: 002e4500 call 2e450 <__subdf3> + 25a28: 0198dbf4 movhi r6,25455 + 25a2c: 01cff4f4 movhi r7,16339 + 25a30: 3190d844 addi r6,r6,17249 + 25a34: 39e1e9c4 addi r7,r7,-30809 + 25a38: 1009883a mov r4,r2 + 25a3c: 180b883a mov r5,r3 + 25a40: 002dd380 call 2dd38 <__muldf3> + 25a44: 01a2d874 movhi r6,35681 + 25a48: 01cff1f4 movhi r7,16327 + 25a4c: 31b22cc4 addi r6,r6,-14157 + 25a50: 39e28a04 addi r7,r7,-30168 + 25a54: 180b883a mov r5,r3 + 25a58: 1009883a mov r4,r2 + 25a5c: 002c94c0 call 2c94c <__adddf3> + 25a60: 8009883a mov r4,r16 + 25a64: 1029883a mov r20,r2 + 25a68: 1823883a mov r17,r3 + 25a6c: 002edcc0 call 2edcc <__floatsidf> + 25a70: 019427f4 movhi r6,20639 + 25a74: 01cff4f4 movhi r7,16339 + 25a78: 319e7ec4 addi r6,r6,31227 + 25a7c: 39d104c4 addi r7,r7,17427 + 25a80: 1009883a mov r4,r2 + 25a84: 180b883a mov r5,r3 + 25a88: 002dd380 call 2dd38 <__muldf3> + 25a8c: 100d883a mov r6,r2 + 25a90: 180f883a mov r7,r3 + 25a94: a009883a mov r4,r20 + 25a98: 880b883a mov r5,r17 + 25a9c: 002c94c0 call 2c94c <__adddf3> + 25aa0: 1009883a mov r4,r2 + 25aa4: 180b883a mov r5,r3 + 25aa8: 1029883a mov r20,r2 + 25aac: 1823883a mov r17,r3 + 25ab0: 002ed4c0 call 2ed4c <__fixdfsi> + 25ab4: 000d883a mov r6,zero + 25ab8: 000f883a mov r7,zero + 25abc: a009883a mov r4,r20 + 25ac0: 880b883a mov r5,r17 + 25ac4: d8800515 stw r2,20(sp) + 25ac8: 002dc440 call 2dc44 <__ledf2> + 25acc: 10028716 blt r2,zero,264ec <_dtoa_r+0xc88> + 25ad0: d8c00517 ldw r3,20(sp) + 25ad4: 00800584 movi r2,22 + 25ad8: 10c27536 bltu r2,r3,264b0 <_dtoa_r+0xc4c> + 25adc: 180490fa slli r2,r3,3 + 25ae0: 00c000f4 movhi r3,3 + 25ae4: 18c83404 addi r3,r3,8400 + 25ae8: 1885883a add r2,r3,r2 + 25aec: 11000017 ldw r4,0(r2) + 25af0: 11400117 ldw r5,4(r2) + 25af4: 900d883a mov r6,r18 + 25af8: 980f883a mov r7,r19 + 25afc: 002db680 call 2db68 <__gedf2> + 25b00: 00828d0e bge zero,r2,26538 <_dtoa_r+0xcd4> + 25b04: d9000517 ldw r4,20(sp) + 25b08: d8000e15 stw zero,56(sp) + 25b0c: 213fffc4 addi r4,r4,-1 + 25b10: d9000515 stw r4,20(sp) + 25b14: b42dc83a sub r22,r22,r16 + 25b18: b5bfffc4 addi r22,r22,-1 + 25b1c: b0026f16 blt r22,zero,264dc <_dtoa_r+0xc78> + 25b20: d8000815 stw zero,32(sp) + 25b24: d9c00517 ldw r7,20(sp) + 25b28: 38026416 blt r7,zero,264bc <_dtoa_r+0xc58> + 25b2c: b1ed883a add r22,r22,r7 + 25b30: d9c00d15 stw r7,52(sp) + 25b34: d8000a15 stw zero,40(sp) + 25b38: d9800317 ldw r6,12(sp) + 25b3c: 00800244 movi r2,9 + 25b40: 11811436 bltu r2,r6,25f94 <_dtoa_r+0x730> + 25b44: 00800144 movi r2,5 + 25b48: 1184e10e bge r2,r6,26ed0 <_dtoa_r+0x166c> + 25b4c: 31bfff04 addi r6,r6,-4 + 25b50: d9800315 stw r6,12(sp) + 25b54: 0023883a mov r17,zero + 25b58: d9800317 ldw r6,12(sp) + 25b5c: 008000c4 movi r2,3 + 25b60: 30836726 beq r6,r2,26900 <_dtoa_r+0x109c> + 25b64: 1183410e bge r2,r6,2686c <_dtoa_r+0x1008> + 25b68: d9c00317 ldw r7,12(sp) + 25b6c: 00800104 movi r2,4 + 25b70: 38827c26 beq r7,r2,26564 <_dtoa_r+0xd00> + 25b74: 00800144 movi r2,5 + 25b78: 3884c41e bne r7,r2,26e8c <_dtoa_r+0x1628> + 25b7c: 00800044 movi r2,1 + 25b80: d8800b15 stw r2,44(sp) + 25b84: d8c00517 ldw r3,20(sp) + 25b88: d9002217 ldw r4,136(sp) + 25b8c: 1907883a add r3,r3,r4 + 25b90: 19800044 addi r6,r3,1 + 25b94: d8c00c15 stw r3,48(sp) + 25b98: d9800615 stw r6,24(sp) + 25b9c: 0183a40e bge zero,r6,26a30 <_dtoa_r+0x11cc> + 25ba0: d9800617 ldw r6,24(sp) + 25ba4: 3021883a mov r16,r6 + 25ba8: e0001115 stw zero,68(fp) + 25bac: 008005c4 movi r2,23 + 25bb0: 1184c92e bgeu r2,r6,26ed8 <_dtoa_r+0x1674> + 25bb4: 00c00044 movi r3,1 + 25bb8: 00800104 movi r2,4 + 25bbc: 1085883a add r2,r2,r2 + 25bc0: 11000504 addi r4,r2,20 + 25bc4: 180b883a mov r5,r3 + 25bc8: 18c00044 addi r3,r3,1 + 25bcc: 313ffb2e bgeu r6,r4,25bbc <_gp+0xfffe9684> + 25bd0: e1401115 stw r5,68(fp) + 25bd4: e009883a mov r4,fp + 25bd8: 00285cc0 call 285cc <_Balloc> + 25bdc: d8800715 stw r2,28(sp) + 25be0: e0801015 stw r2,64(fp) + 25be4: 00800384 movi r2,14 + 25be8: 1400f736 bltu r2,r16,25fc8 <_dtoa_r+0x764> + 25bec: 8800f626 beq r17,zero,25fc8 <_dtoa_r+0x764> + 25bf0: d9c00517 ldw r7,20(sp) + 25bf4: 01c39a0e bge zero,r7,26a60 <_dtoa_r+0x11fc> + 25bf8: 388003cc andi r2,r7,15 + 25bfc: 100490fa slli r2,r2,3 + 25c00: 382bd13a srai r21,r7,4 + 25c04: 00c000f4 movhi r3,3 + 25c08: 18c83404 addi r3,r3,8400 + 25c0c: 1885883a add r2,r3,r2 + 25c10: a8c0040c andi r3,r21,16 + 25c14: 12400017 ldw r9,0(r2) + 25c18: 12000117 ldw r8,4(r2) + 25c1c: 18037926 beq r3,zero,26a04 <_dtoa_r+0x11a0> + 25c20: 008000f4 movhi r2,3 + 25c24: 10882a04 addi r2,r2,8360 + 25c28: 11800817 ldw r6,32(r2) + 25c2c: 11c00917 ldw r7,36(r2) + 25c30: 9009883a mov r4,r18 + 25c34: 980b883a mov r5,r19 + 25c38: da001715 stw r8,92(sp) + 25c3c: da401615 stw r9,88(sp) + 25c40: 002d1f80 call 2d1f8 <__divdf3> + 25c44: da001717 ldw r8,92(sp) + 25c48: da401617 ldw r9,88(sp) + 25c4c: ad4003cc andi r21,r21,15 + 25c50: 040000c4 movi r16,3 + 25c54: 1023883a mov r17,r2 + 25c58: 1829883a mov r20,r3 + 25c5c: a8001126 beq r21,zero,25ca4 <_dtoa_r+0x440> + 25c60: 05c000f4 movhi r23,3 + 25c64: bdc82a04 addi r23,r23,8360 + 25c68: 4805883a mov r2,r9 + 25c6c: 4007883a mov r3,r8 + 25c70: a980004c andi r6,r21,1 + 25c74: 1009883a mov r4,r2 + 25c78: a82bd07a srai r21,r21,1 + 25c7c: 180b883a mov r5,r3 + 25c80: 30000426 beq r6,zero,25c94 <_dtoa_r+0x430> + 25c84: b9800017 ldw r6,0(r23) + 25c88: b9c00117 ldw r7,4(r23) + 25c8c: 84000044 addi r16,r16,1 + 25c90: 002dd380 call 2dd38 <__muldf3> + 25c94: bdc00204 addi r23,r23,8 + 25c98: a83ff51e bne r21,zero,25c70 <_gp+0xfffe9738> + 25c9c: 1013883a mov r9,r2 + 25ca0: 1811883a mov r8,r3 + 25ca4: 480d883a mov r6,r9 + 25ca8: 400f883a mov r7,r8 + 25cac: 8809883a mov r4,r17 + 25cb0: a00b883a mov r5,r20 + 25cb4: 002d1f80 call 2d1f8 <__divdf3> + 25cb8: d8800f15 stw r2,60(sp) + 25cbc: d8c01015 stw r3,64(sp) + 25cc0: d8c00e17 ldw r3,56(sp) + 25cc4: 18000626 beq r3,zero,25ce0 <_dtoa_r+0x47c> + 25cc8: d9000f17 ldw r4,60(sp) + 25ccc: d9401017 ldw r5,64(sp) + 25cd0: 000d883a mov r6,zero + 25cd4: 01cffc34 movhi r7,16368 + 25cd8: 002dc440 call 2dc44 <__ledf2> + 25cdc: 10040b16 blt r2,zero,26d0c <_dtoa_r+0x14a8> + 25ce0: 8009883a mov r4,r16 + 25ce4: 002edcc0 call 2edcc <__floatsidf> + 25ce8: d9800f17 ldw r6,60(sp) + 25cec: d9c01017 ldw r7,64(sp) + 25cf0: 1009883a mov r4,r2 + 25cf4: 180b883a mov r5,r3 + 25cf8: 002dd380 call 2dd38 <__muldf3> + 25cfc: 000d883a mov r6,zero + 25d00: 01d00734 movhi r7,16412 + 25d04: 1009883a mov r4,r2 + 25d08: 180b883a mov r5,r3 + 25d0c: 002c94c0 call 2c94c <__adddf3> + 25d10: 1021883a mov r16,r2 + 25d14: d8800617 ldw r2,24(sp) + 25d18: 047f3034 movhi r17,64704 + 25d1c: 1c63883a add r17,r3,r17 + 25d20: 10031826 beq r2,zero,26984 <_dtoa_r+0x1120> + 25d24: d8c00517 ldw r3,20(sp) + 25d28: db000617 ldw r12,24(sp) + 25d2c: d8c01315 stw r3,76(sp) + 25d30: d9000b17 ldw r4,44(sp) + 25d34: 20038f26 beq r4,zero,26b74 <_dtoa_r+0x1310> + 25d38: 60bfffc4 addi r2,r12,-1 + 25d3c: 100490fa slli r2,r2,3 + 25d40: 00c000f4 movhi r3,3 + 25d44: 18c83404 addi r3,r3,8400 + 25d48: 1885883a add r2,r3,r2 + 25d4c: 11800017 ldw r6,0(r2) + 25d50: 11c00117 ldw r7,4(r2) + 25d54: d8800717 ldw r2,28(sp) + 25d58: 0009883a mov r4,zero + 25d5c: 014ff834 movhi r5,16352 + 25d60: db001615 stw r12,88(sp) + 25d64: 15c00044 addi r23,r2,1 + 25d68: 002d1f80 call 2d1f8 <__divdf3> + 25d6c: 800d883a mov r6,r16 + 25d70: 880f883a mov r7,r17 + 25d74: 1009883a mov r4,r2 + 25d78: 180b883a mov r5,r3 + 25d7c: 002e4500 call 2e450 <__subdf3> + 25d80: d9401017 ldw r5,64(sp) + 25d84: d9000f17 ldw r4,60(sp) + 25d88: 102b883a mov r21,r2 + 25d8c: d8c01215 stw r3,72(sp) + 25d90: 002ed4c0 call 2ed4c <__fixdfsi> + 25d94: 1009883a mov r4,r2 + 25d98: 1029883a mov r20,r2 + 25d9c: 002edcc0 call 2edcc <__floatsidf> + 25da0: d9000f17 ldw r4,60(sp) + 25da4: d9401017 ldw r5,64(sp) + 25da8: 100d883a mov r6,r2 + 25dac: 180f883a mov r7,r3 + 25db0: 002e4500 call 2e450 <__subdf3> + 25db4: 1823883a mov r17,r3 + 25db8: d8c00717 ldw r3,28(sp) + 25dbc: d9401217 ldw r5,72(sp) + 25dc0: a2000c04 addi r8,r20,48 + 25dc4: 1021883a mov r16,r2 + 25dc8: 1a000005 stb r8,0(r3) + 25dcc: 800d883a mov r6,r16 + 25dd0: 880f883a mov r7,r17 + 25dd4: a809883a mov r4,r21 + 25dd8: 4029883a mov r20,r8 + 25ddc: 002db680 call 2db68 <__gedf2> + 25de0: 00841d16 blt zero,r2,26e58 <_dtoa_r+0x15f4> + 25de4: 800d883a mov r6,r16 + 25de8: 880f883a mov r7,r17 + 25dec: 0009883a mov r4,zero + 25df0: 014ffc34 movhi r5,16368 + 25df4: 002e4500 call 2e450 <__subdf3> + 25df8: d9401217 ldw r5,72(sp) + 25dfc: 100d883a mov r6,r2 + 25e00: 180f883a mov r7,r3 + 25e04: a809883a mov r4,r21 + 25e08: 002db680 call 2db68 <__gedf2> + 25e0c: db001617 ldw r12,88(sp) + 25e10: 00840e16 blt zero,r2,26e4c <_dtoa_r+0x15e8> + 25e14: 00800044 movi r2,1 + 25e18: 13006b0e bge r2,r12,25fc8 <_dtoa_r+0x764> + 25e1c: d9000717 ldw r4,28(sp) + 25e20: dd800f15 stw r22,60(sp) + 25e24: dcc01015 stw r19,64(sp) + 25e28: 2319883a add r12,r4,r12 + 25e2c: dcc01217 ldw r19,72(sp) + 25e30: 602d883a mov r22,r12 + 25e34: dc801215 stw r18,72(sp) + 25e38: b825883a mov r18,r23 + 25e3c: 00000906 br 25e64 <_dtoa_r+0x600> + 25e40: 002e4500 call 2e450 <__subdf3> + 25e44: a80d883a mov r6,r21 + 25e48: 980f883a mov r7,r19 + 25e4c: 1009883a mov r4,r2 + 25e50: 180b883a mov r5,r3 + 25e54: 002dc440 call 2dc44 <__ledf2> + 25e58: 1003e816 blt r2,zero,26dfc <_dtoa_r+0x1598> + 25e5c: b825883a mov r18,r23 + 25e60: bd83e926 beq r23,r22,26e08 <_dtoa_r+0x15a4> + 25e64: a809883a mov r4,r21 + 25e68: 980b883a mov r5,r19 + 25e6c: 000d883a mov r6,zero + 25e70: 01d00934 movhi r7,16420 + 25e74: 002dd380 call 2dd38 <__muldf3> + 25e78: 000d883a mov r6,zero + 25e7c: 01d00934 movhi r7,16420 + 25e80: 8009883a mov r4,r16 + 25e84: 880b883a mov r5,r17 + 25e88: 102b883a mov r21,r2 + 25e8c: 1827883a mov r19,r3 + 25e90: 002dd380 call 2dd38 <__muldf3> + 25e94: 180b883a mov r5,r3 + 25e98: 1009883a mov r4,r2 + 25e9c: 1821883a mov r16,r3 + 25ea0: 1023883a mov r17,r2 + 25ea4: 002ed4c0 call 2ed4c <__fixdfsi> + 25ea8: 1009883a mov r4,r2 + 25eac: 1029883a mov r20,r2 + 25eb0: 002edcc0 call 2edcc <__floatsidf> + 25eb4: 8809883a mov r4,r17 + 25eb8: 800b883a mov r5,r16 + 25ebc: 100d883a mov r6,r2 + 25ec0: 180f883a mov r7,r3 + 25ec4: 002e4500 call 2e450 <__subdf3> + 25ec8: a5000c04 addi r20,r20,48 + 25ecc: a80d883a mov r6,r21 + 25ed0: 980f883a mov r7,r19 + 25ed4: 1009883a mov r4,r2 + 25ed8: 180b883a mov r5,r3 + 25edc: 95000005 stb r20,0(r18) + 25ee0: 1021883a mov r16,r2 + 25ee4: 1823883a mov r17,r3 + 25ee8: 002dc440 call 2dc44 <__ledf2> + 25eec: bdc00044 addi r23,r23,1 + 25ef0: 800d883a mov r6,r16 + 25ef4: 880f883a mov r7,r17 + 25ef8: 0009883a mov r4,zero + 25efc: 014ffc34 movhi r5,16368 + 25f00: 103fcf0e bge r2,zero,25e40 <_gp+0xfffe9908> + 25f04: d8c01317 ldw r3,76(sp) + 25f08: d8c00515 stw r3,20(sp) + 25f0c: d9400917 ldw r5,36(sp) + 25f10: e009883a mov r4,fp + 25f14: 00286740 call 28674 <_Bfree> + 25f18: d9000517 ldw r4,20(sp) + 25f1c: d9802317 ldw r6,140(sp) + 25f20: d9c02517 ldw r7,148(sp) + 25f24: b8000005 stb zero,0(r23) + 25f28: 20800044 addi r2,r4,1 + 25f2c: 30800015 stw r2,0(r6) + 25f30: 3802aa26 beq r7,zero,269dc <_dtoa_r+0x1178> + 25f34: 3dc00015 stw r23,0(r7) + 25f38: d8800717 ldw r2,28(sp) + 25f3c: 003e7906 br 25924 <_gp+0xfffe93ec> + 25f40: 00800434 movhi r2,16 + 25f44: 10bfffc4 addi r2,r2,-1 + 25f48: 88a2703a and r17,r17,r2 + 25f4c: 883e851e bne r17,zero,25964 <_gp+0xfffe942c> + 25f50: 008000f4 movhi r2,3 + 25f54: 10881504 addi r2,r2,8276 + 25f58: 003e8406 br 2596c <_gp+0xfffe9434> + 25f5c: 10c00204 addi r3,r2,8 + 25f60: 003e8706 br 25980 <_gp+0xfffe9448> + 25f64: 01400434 movhi r5,16 + 25f68: 297fffc4 addi r5,r5,-1 + 25f6c: 994a703a and r5,r19,r5 + 25f70: 9009883a mov r4,r18 + 25f74: 843f0044 addi r16,r16,-1023 + 25f78: 294ffc34 orhi r5,r5,16368 + 25f7c: dd800217 ldw r22,8(sp) + 25f80: d8001115 stw zero,68(sp) + 25f84: 003ea506 br 25a1c <_gp+0xfffe94e4> + 25f88: 008000f4 movhi r2,3 + 25f8c: 10880c04 addi r2,r2,8240 + 25f90: 003e6406 br 25924 <_gp+0xfffe93ec> + 25f94: e0001115 stw zero,68(fp) + 25f98: 000b883a mov r5,zero + 25f9c: e009883a mov r4,fp + 25fa0: 00285cc0 call 285cc <_Balloc> + 25fa4: 01bfffc4 movi r6,-1 + 25fa8: 01c00044 movi r7,1 + 25fac: d8800715 stw r2,28(sp) + 25fb0: d9800c15 stw r6,48(sp) + 25fb4: e0801015 stw r2,64(fp) + 25fb8: d8000315 stw zero,12(sp) + 25fbc: d9c00b15 stw r7,44(sp) + 25fc0: d9800615 stw r6,24(sp) + 25fc4: d8002215 stw zero,136(sp) + 25fc8: d8800117 ldw r2,4(sp) + 25fcc: 10008916 blt r2,zero,261f4 <_dtoa_r+0x990> + 25fd0: d9000517 ldw r4,20(sp) + 25fd4: 00c00384 movi r3,14 + 25fd8: 19008616 blt r3,r4,261f4 <_dtoa_r+0x990> + 25fdc: 200490fa slli r2,r4,3 + 25fe0: 00c000f4 movhi r3,3 + 25fe4: d9802217 ldw r6,136(sp) + 25fe8: 18c83404 addi r3,r3,8400 + 25fec: 1885883a add r2,r3,r2 + 25ff0: 14000017 ldw r16,0(r2) + 25ff4: 14400117 ldw r17,4(r2) + 25ff8: 30016316 blt r6,zero,26588 <_dtoa_r+0xd24> + 25ffc: 800d883a mov r6,r16 + 26000: 880f883a mov r7,r17 + 26004: 9009883a mov r4,r18 + 26008: 980b883a mov r5,r19 + 2600c: 002d1f80 call 2d1f8 <__divdf3> + 26010: 180b883a mov r5,r3 + 26014: 1009883a mov r4,r2 + 26018: 002ed4c0 call 2ed4c <__fixdfsi> 2601c: 1009883a mov r4,r2 - 26020: 002d1000 call 2d100 <__adddf3> - 26024: 8009883a mov r4,r16 - 26028: 1029883a mov r20,r2 - 2602c: 1823883a mov r17,r3 - 26030: 002f5800 call 2f580 <__floatsidf> - 26034: 019427f4 movhi r6,20639 - 26038: 01cff4f4 movhi r7,16339 - 2603c: 319e7ec4 addi r6,r6,31227 - 26040: 39d104c4 addi r7,r7,17427 - 26044: 1009883a mov r4,r2 - 26048: 180b883a mov r5,r3 - 2604c: 002e4ec0 call 2e4ec <__muldf3> - 26050: 100d883a mov r6,r2 - 26054: 180f883a mov r7,r3 - 26058: a009883a mov r4,r20 - 2605c: 880b883a mov r5,r17 - 26060: 002d1000 call 2d100 <__adddf3> - 26064: 1009883a mov r4,r2 - 26068: 180b883a mov r5,r3 - 2606c: 1029883a mov r20,r2 - 26070: 1823883a mov r17,r3 - 26074: 002f5000 call 2f500 <__fixdfsi> + 26020: 102b883a mov r21,r2 + 26024: 002edcc0 call 2edcc <__floatsidf> + 26028: 800d883a mov r6,r16 + 2602c: 880f883a mov r7,r17 + 26030: 1009883a mov r4,r2 + 26034: 180b883a mov r5,r3 + 26038: 002dd380 call 2dd38 <__muldf3> + 2603c: 100d883a mov r6,r2 + 26040: 180f883a mov r7,r3 + 26044: 9009883a mov r4,r18 + 26048: 980b883a mov r5,r19 + 2604c: 002e4500 call 2e450 <__subdf3> + 26050: d9c00717 ldw r7,28(sp) + 26054: 1009883a mov r4,r2 + 26058: a8800c04 addi r2,r21,48 + 2605c: 38800005 stb r2,0(r7) + 26060: 3dc00044 addi r23,r7,1 + 26064: d9c00617 ldw r7,24(sp) + 26068: 01800044 movi r6,1 + 2606c: 180b883a mov r5,r3 + 26070: 2005883a mov r2,r4 + 26074: 39803826 beq r7,r6,26158 <_dtoa_r+0x8f4> 26078: 000d883a mov r6,zero - 2607c: 000f883a mov r7,zero - 26080: a009883a mov r4,r20 - 26084: 880b883a mov r5,r17 - 26088: d8800515 stw r2,20(sp) - 2608c: 002e3f80 call 2e3f8 <__ledf2> - 26090: 10028716 blt r2,zero,26ab0 <_dtoa_r+0xc88> - 26094: d8c00517 ldw r3,20(sp) - 26098: 00800584 movi r2,22 - 2609c: 10c27536 bltu r2,r3,26a74 <_dtoa_r+0xc4c> - 260a0: 180490fa slli r2,r3,3 - 260a4: 00c000f4 movhi r3,3 - 260a8: 18ca8104 addi r3,r3,10756 - 260ac: 1885883a add r2,r3,r2 - 260b0: 11000017 ldw r4,0(r2) - 260b4: 11400117 ldw r5,4(r2) - 260b8: 900d883a mov r6,r18 - 260bc: 980f883a mov r7,r19 - 260c0: 002e31c0 call 2e31c <__gedf2> - 260c4: 00828d0e bge zero,r2,26afc <_dtoa_r+0xcd4> - 260c8: d9000517 ldw r4,20(sp) - 260cc: d8000e15 stw zero,56(sp) - 260d0: 213fffc4 addi r4,r4,-1 - 260d4: d9000515 stw r4,20(sp) - 260d8: b42dc83a sub r22,r22,r16 - 260dc: b5bfffc4 addi r22,r22,-1 - 260e0: b0026f16 blt r22,zero,26aa0 <_dtoa_r+0xc78> - 260e4: d8000815 stw zero,32(sp) - 260e8: d9c00517 ldw r7,20(sp) - 260ec: 38026416 blt r7,zero,26a80 <_dtoa_r+0xc58> - 260f0: b1ed883a add r22,r22,r7 - 260f4: d9c00d15 stw r7,52(sp) - 260f8: d8000a15 stw zero,40(sp) - 260fc: d9800317 ldw r6,12(sp) - 26100: 00800244 movi r2,9 - 26104: 11811436 bltu r2,r6,26558 <_dtoa_r+0x730> - 26108: 00800144 movi r2,5 - 2610c: 1184e10e bge r2,r6,27494 <_dtoa_r+0x166c> - 26110: 31bfff04 addi r6,r6,-4 - 26114: d9800315 stw r6,12(sp) - 26118: 0023883a mov r17,zero - 2611c: d9800317 ldw r6,12(sp) - 26120: 008000c4 movi r2,3 - 26124: 30836726 beq r6,r2,26ec4 <_dtoa_r+0x109c> - 26128: 1183410e bge r2,r6,26e30 <_dtoa_r+0x1008> - 2612c: d9c00317 ldw r7,12(sp) - 26130: 00800104 movi r2,4 - 26134: 38827c26 beq r7,r2,26b28 <_dtoa_r+0xd00> - 26138: 00800144 movi r2,5 - 2613c: 3884c41e bne r7,r2,27450 <_dtoa_r+0x1628> - 26140: 00800044 movi r2,1 - 26144: d8800b15 stw r2,44(sp) - 26148: d8c00517 ldw r3,20(sp) - 2614c: d9002217 ldw r4,136(sp) - 26150: 1907883a add r3,r3,r4 - 26154: 19800044 addi r6,r3,1 - 26158: d8c00c15 stw r3,48(sp) - 2615c: d9800615 stw r6,24(sp) - 26160: 0183a40e bge zero,r6,26ff4 <_dtoa_r+0x11cc> - 26164: d9800617 ldw r6,24(sp) - 26168: 3021883a mov r16,r6 - 2616c: e0001115 stw zero,68(fp) - 26170: 008005c4 movi r2,23 - 26174: 1184c92e bgeu r2,r6,2749c <_dtoa_r+0x1674> - 26178: 00c00044 movi r3,1 - 2617c: 00800104 movi r2,4 - 26180: 1085883a add r2,r2,r2 - 26184: 11000504 addi r4,r2,20 - 26188: 180b883a mov r5,r3 - 2618c: 18c00044 addi r3,r3,1 - 26190: 313ffb2e bgeu r6,r4,26180 <_dtoa_r+0x358> - 26194: e1401115 stw r5,68(fp) - 26198: e009883a mov r4,fp - 2619c: 0028b900 call 28b90 <_Balloc> - 261a0: d8800715 stw r2,28(sp) - 261a4: e0801015 stw r2,64(fp) - 261a8: 00800384 movi r2,14 - 261ac: 1400f736 bltu r2,r16,2658c <_dtoa_r+0x764> - 261b0: 8800f626 beq r17,zero,2658c <_dtoa_r+0x764> - 261b4: d9c00517 ldw r7,20(sp) - 261b8: 01c39a0e bge zero,r7,27024 <_dtoa_r+0x11fc> - 261bc: 388003cc andi r2,r7,15 - 261c0: 100490fa slli r2,r2,3 - 261c4: 382bd13a srai r21,r7,4 - 261c8: 00c000f4 movhi r3,3 - 261cc: 18ca8104 addi r3,r3,10756 - 261d0: 1885883a add r2,r3,r2 - 261d4: a8c0040c andi r3,r21,16 - 261d8: 12400017 ldw r9,0(r2) - 261dc: 12000117 ldw r8,4(r2) - 261e0: 18037926 beq r3,zero,26fc8 <_dtoa_r+0x11a0> - 261e4: 008000f4 movhi r2,3 - 261e8: 108a7704 addi r2,r2,10716 - 261ec: 11800817 ldw r6,32(r2) - 261f0: 11c00917 ldw r7,36(r2) - 261f4: 9009883a mov r4,r18 - 261f8: 980b883a mov r5,r19 - 261fc: da001715 stw r8,92(sp) - 26200: da401615 stw r9,88(sp) - 26204: 002d9ac0 call 2d9ac <__divdf3> - 26208: da001717 ldw r8,92(sp) - 2620c: da401617 ldw r9,88(sp) - 26210: ad4003cc andi r21,r21,15 - 26214: 040000c4 movi r16,3 - 26218: 1023883a mov r17,r2 - 2621c: 1829883a mov r20,r3 - 26220: a8001126 beq r21,zero,26268 <_dtoa_r+0x440> - 26224: 05c000f4 movhi r23,3 - 26228: bdca7704 addi r23,r23,10716 - 2622c: 4805883a mov r2,r9 - 26230: 4007883a mov r3,r8 - 26234: a980004c andi r6,r21,1 - 26238: 1009883a mov r4,r2 - 2623c: a82bd07a srai r21,r21,1 - 26240: 180b883a mov r5,r3 - 26244: 30000426 beq r6,zero,26258 <_dtoa_r+0x430> - 26248: b9800017 ldw r6,0(r23) - 2624c: b9c00117 ldw r7,4(r23) - 26250: 84000044 addi r16,r16,1 - 26254: 002e4ec0 call 2e4ec <__muldf3> - 26258: bdc00204 addi r23,r23,8 - 2625c: a83ff51e bne r21,zero,26234 <_dtoa_r+0x40c> - 26260: 1013883a mov r9,r2 - 26264: 1811883a mov r8,r3 - 26268: 480d883a mov r6,r9 - 2626c: 400f883a mov r7,r8 - 26270: 8809883a mov r4,r17 - 26274: a00b883a mov r5,r20 - 26278: 002d9ac0 call 2d9ac <__divdf3> - 2627c: d8800f15 stw r2,60(sp) - 26280: d8c01015 stw r3,64(sp) - 26284: d8c00e17 ldw r3,56(sp) - 26288: 18000626 beq r3,zero,262a4 <_dtoa_r+0x47c> - 2628c: d9000f17 ldw r4,60(sp) - 26290: d9401017 ldw r5,64(sp) - 26294: 000d883a mov r6,zero - 26298: 01cffc34 movhi r7,16368 - 2629c: 002e3f80 call 2e3f8 <__ledf2> - 262a0: 10040b16 blt r2,zero,272d0 <_dtoa_r+0x14a8> - 262a4: 8009883a mov r4,r16 - 262a8: 002f5800 call 2f580 <__floatsidf> - 262ac: d9800f17 ldw r6,60(sp) - 262b0: d9c01017 ldw r7,64(sp) - 262b4: 1009883a mov r4,r2 - 262b8: 180b883a mov r5,r3 - 262bc: 002e4ec0 call 2e4ec <__muldf3> - 262c0: 000d883a mov r6,zero - 262c4: 01d00734 movhi r7,16412 - 262c8: 1009883a mov r4,r2 - 262cc: 180b883a mov r5,r3 - 262d0: 002d1000 call 2d100 <__adddf3> - 262d4: 1021883a mov r16,r2 - 262d8: d8800617 ldw r2,24(sp) - 262dc: 047f3034 movhi r17,64704 - 262e0: 1c63883a add r17,r3,r17 - 262e4: 10031826 beq r2,zero,26f48 <_dtoa_r+0x1120> - 262e8: d8c00517 ldw r3,20(sp) - 262ec: db000617 ldw r12,24(sp) - 262f0: d8c01315 stw r3,76(sp) - 262f4: d9000b17 ldw r4,44(sp) - 262f8: 20038f26 beq r4,zero,27138 <_dtoa_r+0x1310> - 262fc: 60bfffc4 addi r2,r12,-1 - 26300: 100490fa slli r2,r2,3 - 26304: 00c000f4 movhi r3,3 - 26308: 18ca8104 addi r3,r3,10756 - 2630c: 1885883a add r2,r3,r2 - 26310: 11800017 ldw r6,0(r2) - 26314: 11c00117 ldw r7,4(r2) - 26318: d8800717 ldw r2,28(sp) - 2631c: 0009883a mov r4,zero - 26320: 014ff834 movhi r5,16352 - 26324: db001615 stw r12,88(sp) - 26328: 15c00044 addi r23,r2,1 - 2632c: 002d9ac0 call 2d9ac <__divdf3> - 26330: 800d883a mov r6,r16 - 26334: 880f883a mov r7,r17 - 26338: 1009883a mov r4,r2 - 2633c: 180b883a mov r5,r3 - 26340: 002ec040 call 2ec04 <__subdf3> - 26344: d9401017 ldw r5,64(sp) - 26348: d9000f17 ldw r4,60(sp) - 2634c: 102b883a mov r21,r2 - 26350: d8c01215 stw r3,72(sp) - 26354: 002f5000 call 2f500 <__fixdfsi> - 26358: 1009883a mov r4,r2 - 2635c: 1029883a mov r20,r2 - 26360: 002f5800 call 2f580 <__floatsidf> - 26364: d9000f17 ldw r4,60(sp) - 26368: d9401017 ldw r5,64(sp) - 2636c: 100d883a mov r6,r2 - 26370: 180f883a mov r7,r3 - 26374: 002ec040 call 2ec04 <__subdf3> - 26378: 1823883a mov r17,r3 - 2637c: d8c00717 ldw r3,28(sp) - 26380: d9401217 ldw r5,72(sp) - 26384: a2000c04 addi r8,r20,48 - 26388: 1021883a mov r16,r2 - 2638c: 1a000005 stb r8,0(r3) - 26390: 800d883a mov r6,r16 - 26394: 880f883a mov r7,r17 - 26398: a809883a mov r4,r21 - 2639c: 4029883a mov r20,r8 - 263a0: 002e31c0 call 2e31c <__gedf2> - 263a4: 00841d16 blt zero,r2,2741c <_dtoa_r+0x15f4> - 263a8: 800d883a mov r6,r16 - 263ac: 880f883a mov r7,r17 - 263b0: 0009883a mov r4,zero - 263b4: 014ffc34 movhi r5,16368 - 263b8: 002ec040 call 2ec04 <__subdf3> - 263bc: d9401217 ldw r5,72(sp) - 263c0: 100d883a mov r6,r2 - 263c4: 180f883a mov r7,r3 - 263c8: a809883a mov r4,r21 - 263cc: 002e31c0 call 2e31c <__gedf2> - 263d0: db001617 ldw r12,88(sp) - 263d4: 00840e16 blt zero,r2,27410 <_dtoa_r+0x15e8> - 263d8: 00800044 movi r2,1 - 263dc: 13006b0e bge r2,r12,2658c <_dtoa_r+0x764> - 263e0: d9000717 ldw r4,28(sp) - 263e4: dd800f15 stw r22,60(sp) - 263e8: dcc01015 stw r19,64(sp) - 263ec: 2319883a add r12,r4,r12 - 263f0: dcc01217 ldw r19,72(sp) - 263f4: 602d883a mov r22,r12 - 263f8: dc801215 stw r18,72(sp) - 263fc: b825883a mov r18,r23 - 26400: 00000906 br 26428 <_dtoa_r+0x600> - 26404: 002ec040 call 2ec04 <__subdf3> - 26408: a80d883a mov r6,r21 - 2640c: 980f883a mov r7,r19 - 26410: 1009883a mov r4,r2 - 26414: 180b883a mov r5,r3 - 26418: 002e3f80 call 2e3f8 <__ledf2> - 2641c: 1003e816 blt r2,zero,273c0 <_dtoa_r+0x1598> - 26420: b825883a mov r18,r23 - 26424: bd83e926 beq r23,r22,273cc <_dtoa_r+0x15a4> - 26428: a809883a mov r4,r21 - 2642c: 980b883a mov r5,r19 - 26430: 000d883a mov r6,zero - 26434: 01d00934 movhi r7,16420 - 26438: 002e4ec0 call 2e4ec <__muldf3> - 2643c: 000d883a mov r6,zero - 26440: 01d00934 movhi r7,16420 - 26444: 8009883a mov r4,r16 - 26448: 880b883a mov r5,r17 - 2644c: 102b883a mov r21,r2 - 26450: 1827883a mov r19,r3 - 26454: 002e4ec0 call 2e4ec <__muldf3> - 26458: 180b883a mov r5,r3 - 2645c: 1009883a mov r4,r2 - 26460: 1821883a mov r16,r3 - 26464: 1023883a mov r17,r2 - 26468: 002f5000 call 2f500 <__fixdfsi> - 2646c: 1009883a mov r4,r2 - 26470: 1029883a mov r20,r2 - 26474: 002f5800 call 2f580 <__floatsidf> - 26478: 8809883a mov r4,r17 - 2647c: 800b883a mov r5,r16 - 26480: 100d883a mov r6,r2 - 26484: 180f883a mov r7,r3 - 26488: 002ec040 call 2ec04 <__subdf3> - 2648c: a5000c04 addi r20,r20,48 - 26490: a80d883a mov r6,r21 - 26494: 980f883a mov r7,r19 - 26498: 1009883a mov r4,r2 - 2649c: 180b883a mov r5,r3 - 264a0: 95000005 stb r20,0(r18) - 264a4: 1021883a mov r16,r2 - 264a8: 1823883a mov r17,r3 - 264ac: 002e3f80 call 2e3f8 <__ledf2> - 264b0: bdc00044 addi r23,r23,1 - 264b4: 800d883a mov r6,r16 - 264b8: 880f883a mov r7,r17 - 264bc: 0009883a mov r4,zero - 264c0: 014ffc34 movhi r5,16368 - 264c4: 103fcf0e bge r2,zero,26404 <_dtoa_r+0x5dc> - 264c8: d8c01317 ldw r3,76(sp) - 264cc: d8c00515 stw r3,20(sp) - 264d0: d9400917 ldw r5,36(sp) - 264d4: e009883a mov r4,fp - 264d8: 0028c380 call 28c38 <_Bfree> - 264dc: d9000517 ldw r4,20(sp) - 264e0: d9802317 ldw r6,140(sp) - 264e4: d9c02517 ldw r7,148(sp) - 264e8: b8000005 stb zero,0(r23) - 264ec: 20800044 addi r2,r4,1 - 264f0: 30800015 stw r2,0(r6) - 264f4: 3802aa26 beq r7,zero,26fa0 <_dtoa_r+0x1178> - 264f8: 3dc00015 stw r23,0(r7) - 264fc: d8800717 ldw r2,28(sp) - 26500: 003e7906 br 25ee8 <_dtoa_r+0xc0> - 26504: 00800434 movhi r2,16 - 26508: 10bfffc4 addi r2,r2,-1 - 2650c: 88a2703a and r17,r17,r2 - 26510: 883e851e bne r17,zero,25f28 <_dtoa_r+0x100> - 26514: 008000f4 movhi r2,3 - 26518: 108a6304 addi r2,r2,10636 - 2651c: 003e8406 br 25f30 <_dtoa_r+0x108> - 26520: 10c00204 addi r3,r2,8 - 26524: 003e8706 br 25f44 <_dtoa_r+0x11c> - 26528: 01400434 movhi r5,16 - 2652c: 297fffc4 addi r5,r5,-1 - 26530: 994a703a and r5,r19,r5 - 26534: 9009883a mov r4,r18 - 26538: 843f0044 addi r16,r16,-1023 - 2653c: 294ffc34 orhi r5,r5,16368 - 26540: dd800217 ldw r22,8(sp) - 26544: d8001115 stw zero,68(sp) - 26548: 003ea506 br 25fe0 <_dtoa_r+0x1b8> - 2654c: 008000f4 movhi r2,3 - 26550: 108a5a04 addi r2,r2,10600 - 26554: 003e6406 br 25ee8 <_dtoa_r+0xc0> - 26558: e0001115 stw zero,68(fp) - 2655c: 000b883a mov r5,zero - 26560: e009883a mov r4,fp - 26564: 0028b900 call 28b90 <_Balloc> - 26568: 01bfffc4 movi r6,-1 - 2656c: 01c00044 movi r7,1 - 26570: d8800715 stw r2,28(sp) - 26574: d9800c15 stw r6,48(sp) - 26578: e0801015 stw r2,64(fp) - 2657c: d8000315 stw zero,12(sp) - 26580: d9c00b15 stw r7,44(sp) - 26584: d9800615 stw r6,24(sp) - 26588: d8002215 stw zero,136(sp) - 2658c: d8800117 ldw r2,4(sp) - 26590: 10008916 blt r2,zero,267b8 <_dtoa_r+0x990> - 26594: d9000517 ldw r4,20(sp) - 26598: 00c00384 movi r3,14 - 2659c: 19008616 blt r3,r4,267b8 <_dtoa_r+0x990> - 265a0: 200490fa slli r2,r4,3 - 265a4: 00c000f4 movhi r3,3 - 265a8: d9802217 ldw r6,136(sp) - 265ac: 18ca8104 addi r3,r3,10756 - 265b0: 1885883a add r2,r3,r2 - 265b4: 14000017 ldw r16,0(r2) - 265b8: 14400117 ldw r17,4(r2) - 265bc: 30016316 blt r6,zero,26b4c <_dtoa_r+0xd24> - 265c0: 800d883a mov r6,r16 - 265c4: 880f883a mov r7,r17 - 265c8: 9009883a mov r4,r18 - 265cc: 980b883a mov r5,r19 - 265d0: 002d9ac0 call 2d9ac <__divdf3> - 265d4: 180b883a mov r5,r3 - 265d8: 1009883a mov r4,r2 - 265dc: 002f5000 call 2f500 <__fixdfsi> - 265e0: 1009883a mov r4,r2 - 265e4: 102b883a mov r21,r2 - 265e8: 002f5800 call 2f580 <__floatsidf> - 265ec: 800d883a mov r6,r16 - 265f0: 880f883a mov r7,r17 - 265f4: 1009883a mov r4,r2 - 265f8: 180b883a mov r5,r3 - 265fc: 002e4ec0 call 2e4ec <__muldf3> - 26600: 100d883a mov r6,r2 - 26604: 180f883a mov r7,r3 - 26608: 9009883a mov r4,r18 - 2660c: 980b883a mov r5,r19 - 26610: 002ec040 call 2ec04 <__subdf3> - 26614: d9c00717 ldw r7,28(sp) - 26618: 1009883a mov r4,r2 - 2661c: a8800c04 addi r2,r21,48 - 26620: 38800005 stb r2,0(r7) - 26624: 3dc00044 addi r23,r7,1 - 26628: d9c00617 ldw r7,24(sp) - 2662c: 01800044 movi r6,1 - 26630: 180b883a mov r5,r3 - 26634: 2005883a mov r2,r4 - 26638: 39803826 beq r7,r6,2671c <_dtoa_r+0x8f4> - 2663c: 000d883a mov r6,zero - 26640: 01d00934 movhi r7,16420 - 26644: 002e4ec0 call 2e4ec <__muldf3> - 26648: 000d883a mov r6,zero - 2664c: 000f883a mov r7,zero - 26650: 1009883a mov r4,r2 - 26654: 180b883a mov r5,r3 - 26658: 1025883a mov r18,r2 - 2665c: 1827883a mov r19,r3 - 26660: 002e2940 call 2e294 <__eqdf2> - 26664: 103f9a26 beq r2,zero,264d0 <_dtoa_r+0x6a8> - 26668: d9c00617 ldw r7,24(sp) - 2666c: d8c00717 ldw r3,28(sp) - 26670: b829883a mov r20,r23 - 26674: 38bfffc4 addi r2,r7,-1 - 26678: 18ad883a add r22,r3,r2 - 2667c: 00000a06 br 266a8 <_dtoa_r+0x880> - 26680: 002e4ec0 call 2e4ec <__muldf3> - 26684: 000d883a mov r6,zero - 26688: 000f883a mov r7,zero - 2668c: 1009883a mov r4,r2 - 26690: 180b883a mov r5,r3 - 26694: 1025883a mov r18,r2 - 26698: 1827883a mov r19,r3 - 2669c: b829883a mov r20,r23 - 266a0: 002e2940 call 2e294 <__eqdf2> - 266a4: 103f8a26 beq r2,zero,264d0 <_dtoa_r+0x6a8> - 266a8: 800d883a mov r6,r16 - 266ac: 880f883a mov r7,r17 - 266b0: 9009883a mov r4,r18 - 266b4: 980b883a mov r5,r19 - 266b8: 002d9ac0 call 2d9ac <__divdf3> - 266bc: 180b883a mov r5,r3 - 266c0: 1009883a mov r4,r2 - 266c4: 002f5000 call 2f500 <__fixdfsi> - 266c8: 1009883a mov r4,r2 - 266cc: 102b883a mov r21,r2 - 266d0: 002f5800 call 2f580 <__floatsidf> - 266d4: 800d883a mov r6,r16 - 266d8: 880f883a mov r7,r17 - 266dc: 1009883a mov r4,r2 - 266e0: 180b883a mov r5,r3 - 266e4: 002e4ec0 call 2e4ec <__muldf3> - 266e8: 100d883a mov r6,r2 - 266ec: 180f883a mov r7,r3 - 266f0: 9009883a mov r4,r18 - 266f4: 980b883a mov r5,r19 - 266f8: 002ec040 call 2ec04 <__subdf3> - 266fc: aa000c04 addi r8,r21,48 - 26700: a2000005 stb r8,0(r20) - 26704: 000d883a mov r6,zero - 26708: 01d00934 movhi r7,16420 - 2670c: 1009883a mov r4,r2 - 26710: 180b883a mov r5,r3 - 26714: a5c00044 addi r23,r20,1 - 26718: b53fd91e bne r22,r20,26680 <_dtoa_r+0x858> - 2671c: 100d883a mov r6,r2 - 26720: 180f883a mov r7,r3 - 26724: 1009883a mov r4,r2 - 26728: 180b883a mov r5,r3 - 2672c: 002d1000 call 2d100 <__adddf3> - 26730: 100d883a mov r6,r2 - 26734: 180f883a mov r7,r3 - 26738: 8009883a mov r4,r16 - 2673c: 880b883a mov r5,r17 - 26740: 1027883a mov r19,r2 - 26744: 1825883a mov r18,r3 - 26748: 002e3f80 call 2e3f8 <__ledf2> - 2674c: 10000816 blt r2,zero,26770 <_dtoa_r+0x948> - 26750: 980d883a mov r6,r19 - 26754: 900f883a mov r7,r18 - 26758: 8009883a mov r4,r16 - 2675c: 880b883a mov r5,r17 - 26760: 002e2940 call 2e294 <__eqdf2> - 26764: 103f5a1e bne r2,zero,264d0 <_dtoa_r+0x6a8> - 26768: ad40004c andi r21,r21,1 - 2676c: a83f5826 beq r21,zero,264d0 <_dtoa_r+0x6a8> - 26770: bd3fffc3 ldbu r20,-1(r23) - 26774: b8bfffc4 addi r2,r23,-1 - 26778: 1007883a mov r3,r2 - 2677c: 01400e44 movi r5,57 - 26780: d9800717 ldw r6,28(sp) - 26784: 00000506 br 2679c <_dtoa_r+0x974> - 26788: 18ffffc4 addi r3,r3,-1 - 2678c: 11824726 beq r2,r6,270ac <_dtoa_r+0x1284> - 26790: 1d000003 ldbu r20,0(r3) - 26794: 102f883a mov r23,r2 - 26798: 10bfffc4 addi r2,r2,-1 - 2679c: a1003fcc andi r4,r20,255 - 267a0: 2100201c xori r4,r4,128 - 267a4: 213fe004 addi r4,r4,-128 - 267a8: 217ff726 beq r4,r5,26788 <_dtoa_r+0x960> - 267ac: a2000044 addi r8,r20,1 - 267b0: 12000005 stb r8,0(r2) - 267b4: 003f4606 br 264d0 <_dtoa_r+0x6a8> - 267b8: d9000b17 ldw r4,44(sp) - 267bc: 2000c826 beq r4,zero,26ae0 <_dtoa_r+0xcb8> - 267c0: d9800317 ldw r6,12(sp) - 267c4: 00c00044 movi r3,1 - 267c8: 1980f90e bge r3,r6,26bb0 <_dtoa_r+0xd88> - 267cc: d8800617 ldw r2,24(sp) - 267d0: d8c00a17 ldw r3,40(sp) - 267d4: 157fffc4 addi r21,r2,-1 - 267d8: 1d41f316 blt r3,r21,26fa8 <_dtoa_r+0x1180> - 267dc: 1d6bc83a sub r21,r3,r21 - 267e0: d9c00617 ldw r7,24(sp) - 267e4: 3802aa16 blt r7,zero,27290 <_dtoa_r+0x1468> - 267e8: dd000817 ldw r20,32(sp) - 267ec: d8800617 ldw r2,24(sp) - 267f0: d8c00817 ldw r3,32(sp) - 267f4: 01400044 movi r5,1 - 267f8: e009883a mov r4,fp - 267fc: 1887883a add r3,r3,r2 - 26800: d8c00815 stw r3,32(sp) - 26804: b0ad883a add r22,r22,r2 - 26808: 0028f9c0 call 28f9c <__i2b> - 2680c: 1023883a mov r17,r2 - 26810: a0000826 beq r20,zero,26834 <_dtoa_r+0xa0c> - 26814: 0580070e bge zero,r22,26834 <_dtoa_r+0xa0c> - 26818: a005883a mov r2,r20 - 2681c: b500b916 blt r22,r20,26b04 <_dtoa_r+0xcdc> - 26820: d9000817 ldw r4,32(sp) - 26824: a0a9c83a sub r20,r20,r2 - 26828: b0adc83a sub r22,r22,r2 - 2682c: 2089c83a sub r4,r4,r2 - 26830: d9000815 stw r4,32(sp) - 26834: d9800a17 ldw r6,40(sp) - 26838: 0181810e bge zero,r6,26e40 <_dtoa_r+0x1018> - 2683c: d9c00b17 ldw r7,44(sp) - 26840: 3800b326 beq r7,zero,26b10 <_dtoa_r+0xce8> - 26844: a800b226 beq r21,zero,26b10 <_dtoa_r+0xce8> - 26848: 880b883a mov r5,r17 - 2684c: a80d883a mov r6,r21 - 26850: e009883a mov r4,fp - 26854: 00291d00 call 291d0 <__pow5mult> - 26858: d9800917 ldw r6,36(sp) - 2685c: 100b883a mov r5,r2 - 26860: e009883a mov r4,fp - 26864: 1023883a mov r17,r2 - 26868: 0028fd80 call 28fd8 <__multiply> - 2686c: 1021883a mov r16,r2 - 26870: d8800a17 ldw r2,40(sp) - 26874: d9400917 ldw r5,36(sp) - 26878: e009883a mov r4,fp - 2687c: 1545c83a sub r2,r2,r21 - 26880: d8800a15 stw r2,40(sp) - 26884: 0028c380 call 28c38 <_Bfree> - 26888: d8c00a17 ldw r3,40(sp) - 2688c: 18009f1e bne r3,zero,26b0c <_dtoa_r+0xce4> - 26890: 05c00044 movi r23,1 - 26894: e009883a mov r4,fp - 26898: b80b883a mov r5,r23 - 2689c: 0028f9c0 call 28f9c <__i2b> - 268a0: d9000d17 ldw r4,52(sp) - 268a4: 102b883a mov r21,r2 - 268a8: 2000ce26 beq r4,zero,26be4 <_dtoa_r+0xdbc> - 268ac: 200d883a mov r6,r4 - 268b0: 100b883a mov r5,r2 - 268b4: e009883a mov r4,fp - 268b8: 00291d00 call 291d0 <__pow5mult> - 268bc: d9800317 ldw r6,12(sp) - 268c0: 102b883a mov r21,r2 - 268c4: b981810e bge r23,r6,26ecc <_dtoa_r+0x10a4> - 268c8: 0027883a mov r19,zero - 268cc: a8800417 ldw r2,16(r21) - 268d0: 05c00804 movi r23,32 - 268d4: 10800104 addi r2,r2,4 - 268d8: 1085883a add r2,r2,r2 - 268dc: 1085883a add r2,r2,r2 - 268e0: a885883a add r2,r21,r2 - 268e4: 11000017 ldw r4,0(r2) - 268e8: 0028e840 call 28e84 <__hi0bits> - 268ec: b885c83a sub r2,r23,r2 - 268f0: 1585883a add r2,r2,r22 - 268f4: 108007cc andi r2,r2,31 - 268f8: 1000b326 beq r2,zero,26bc8 <_dtoa_r+0xda0> - 268fc: 00c00804 movi r3,32 - 26900: 1887c83a sub r3,r3,r2 - 26904: 01000104 movi r4,4 - 26908: 20c2cd0e bge r4,r3,27440 <_dtoa_r+0x1618> - 2690c: 00c00704 movi r3,28 - 26910: 1885c83a sub r2,r3,r2 - 26914: d8c00817 ldw r3,32(sp) - 26918: a0a9883a add r20,r20,r2 - 2691c: b0ad883a add r22,r22,r2 - 26920: 1887883a add r3,r3,r2 - 26924: d8c00815 stw r3,32(sp) - 26928: d9800817 ldw r6,32(sp) - 2692c: 0180040e bge zero,r6,26940 <_dtoa_r+0xb18> - 26930: 800b883a mov r5,r16 - 26934: e009883a mov r4,fp - 26938: 00293100 call 29310 <__lshift> - 2693c: 1021883a mov r16,r2 - 26940: 0580050e bge zero,r22,26958 <_dtoa_r+0xb30> - 26944: a80b883a mov r5,r21 - 26948: b00d883a mov r6,r22 - 2694c: e009883a mov r4,fp - 26950: 00293100 call 29310 <__lshift> - 26954: 102b883a mov r21,r2 - 26958: d9c00e17 ldw r7,56(sp) - 2695c: 3801211e bne r7,zero,26de4 <_dtoa_r+0xfbc> - 26960: d9800617 ldw r6,24(sp) - 26964: 0181380e bge zero,r6,26e48 <_dtoa_r+0x1020> - 26968: d8c00b17 ldw r3,44(sp) - 2696c: 1800ab1e bne r3,zero,26c1c <_dtoa_r+0xdf4> - 26970: dc800717 ldw r18,28(sp) - 26974: dcc00617 ldw r19,24(sp) - 26978: 9029883a mov r20,r18 - 2697c: 00000206 br 26988 <_dtoa_r+0xb60> - 26980: 0028c600 call 28c60 <__multadd> - 26984: 1021883a mov r16,r2 - 26988: a80b883a mov r5,r21 - 2698c: 8009883a mov r4,r16 - 26990: 0025c280 call 25c28 - 26994: 10800c04 addi r2,r2,48 - 26998: 90800005 stb r2,0(r18) - 2699c: 94800044 addi r18,r18,1 - 269a0: 9507c83a sub r3,r18,r20 - 269a4: 000f883a mov r7,zero - 269a8: 01800284 movi r6,10 - 269ac: 800b883a mov r5,r16 - 269b0: e009883a mov r4,fp - 269b4: 1cfff216 blt r3,r19,26980 <_dtoa_r+0xb58> - 269b8: 1011883a mov r8,r2 - 269bc: d8800617 ldw r2,24(sp) - 269c0: 0082370e bge zero,r2,272a0 <_dtoa_r+0x1478> - 269c4: d9000717 ldw r4,28(sp) - 269c8: 0025883a mov r18,zero - 269cc: 20af883a add r23,r4,r2 - 269d0: 01800044 movi r6,1 - 269d4: 800b883a mov r5,r16 - 269d8: e009883a mov r4,fp - 269dc: da001715 stw r8,92(sp) - 269e0: 00293100 call 29310 <__lshift> - 269e4: a80b883a mov r5,r21 - 269e8: 1009883a mov r4,r2 - 269ec: d8800915 stw r2,36(sp) - 269f0: 00294580 call 29458 <__mcmp> - 269f4: da001717 ldw r8,92(sp) - 269f8: 0081800e bge zero,r2,26ffc <_dtoa_r+0x11d4> - 269fc: b93fffc3 ldbu r4,-1(r23) - 26a00: b8bfffc4 addi r2,r23,-1 - 26a04: 1007883a mov r3,r2 - 26a08: 01800e44 movi r6,57 - 26a0c: d9c00717 ldw r7,28(sp) - 26a10: 00000506 br 26a28 <_dtoa_r+0xc00> - 26a14: 18ffffc4 addi r3,r3,-1 - 26a18: 11c12326 beq r2,r7,26ea8 <_dtoa_r+0x1080> - 26a1c: 19000003 ldbu r4,0(r3) - 26a20: 102f883a mov r23,r2 - 26a24: 10bfffc4 addi r2,r2,-1 - 26a28: 21403fcc andi r5,r4,255 - 26a2c: 2940201c xori r5,r5,128 - 26a30: 297fe004 addi r5,r5,-128 - 26a34: 29bff726 beq r5,r6,26a14 <_dtoa_r+0xbec> - 26a38: 21000044 addi r4,r4,1 - 26a3c: 11000005 stb r4,0(r2) - 26a40: a80b883a mov r5,r21 - 26a44: e009883a mov r4,fp - 26a48: 0028c380 call 28c38 <_Bfree> - 26a4c: 883ea026 beq r17,zero,264d0 <_dtoa_r+0x6a8> - 26a50: 90000426 beq r18,zero,26a64 <_dtoa_r+0xc3c> - 26a54: 94400326 beq r18,r17,26a64 <_dtoa_r+0xc3c> - 26a58: 900b883a mov r5,r18 - 26a5c: e009883a mov r4,fp - 26a60: 0028c380 call 28c38 <_Bfree> - 26a64: 880b883a mov r5,r17 - 26a68: e009883a mov r4,fp - 26a6c: 0028c380 call 28c38 <_Bfree> - 26a70: 003e9706 br 264d0 <_dtoa_r+0x6a8> - 26a74: 01800044 movi r6,1 - 26a78: d9800e15 stw r6,56(sp) - 26a7c: 003d9606 br 260d8 <_dtoa_r+0x2b0> - 26a80: d8800817 ldw r2,32(sp) - 26a84: d8c00517 ldw r3,20(sp) - 26a88: d8000d15 stw zero,52(sp) - 26a8c: 10c5c83a sub r2,r2,r3 - 26a90: 00c9c83a sub r4,zero,r3 - 26a94: d8800815 stw r2,32(sp) - 26a98: d9000a15 stw r4,40(sp) - 26a9c: 003d9706 br 260fc <_dtoa_r+0x2d4> - 26aa0: 05adc83a sub r22,zero,r22 - 26aa4: dd800815 stw r22,32(sp) - 26aa8: 002d883a mov r22,zero - 26aac: 003d8e06 br 260e8 <_dtoa_r+0x2c0> - 26ab0: d9000517 ldw r4,20(sp) - 26ab4: 002f5800 call 2f580 <__floatsidf> - 26ab8: 100d883a mov r6,r2 - 26abc: 180f883a mov r7,r3 - 26ac0: a009883a mov r4,r20 - 26ac4: 880b883a mov r5,r17 - 26ac8: 002e2940 call 2e294 <__eqdf2> - 26acc: 103d7126 beq r2,zero,26094 <_dtoa_r+0x26c> - 26ad0: d9c00517 ldw r7,20(sp) - 26ad4: 39ffffc4 addi r7,r7,-1 - 26ad8: d9c00515 stw r7,20(sp) - 26adc: 003d6d06 br 26094 <_dtoa_r+0x26c> - 26ae0: dd400a17 ldw r21,40(sp) - 26ae4: dd000817 ldw r20,32(sp) - 26ae8: 0023883a mov r17,zero - 26aec: 003f4806 br 26810 <_dtoa_r+0x9e8> - 26af0: 10e3c83a sub r17,r2,r3 - 26af4: 9448983a sll r4,r18,r17 - 26af8: 003d3206 br 25fc4 <_dtoa_r+0x19c> - 26afc: d8000e15 stw zero,56(sp) - 26b00: 003d7506 br 260d8 <_dtoa_r+0x2b0> - 26b04: b005883a mov r2,r22 - 26b08: 003f4506 br 26820 <_dtoa_r+0x9f8> - 26b0c: dc000915 stw r16,36(sp) - 26b10: d9800a17 ldw r6,40(sp) - 26b14: d9400917 ldw r5,36(sp) - 26b18: e009883a mov r4,fp - 26b1c: 00291d00 call 291d0 <__pow5mult> - 26b20: 1021883a mov r16,r2 - 26b24: 003f5a06 br 26890 <_dtoa_r+0xa68> - 26b28: 01c00044 movi r7,1 - 26b2c: d9c00b15 stw r7,44(sp) - 26b30: d8802217 ldw r2,136(sp) - 26b34: 0081280e bge zero,r2,26fd8 <_dtoa_r+0x11b0> - 26b38: 100d883a mov r6,r2 - 26b3c: 1021883a mov r16,r2 - 26b40: d8800c15 stw r2,48(sp) - 26b44: d8800615 stw r2,24(sp) - 26b48: 003d8806 br 2616c <_dtoa_r+0x344> - 26b4c: d8800617 ldw r2,24(sp) - 26b50: 00be9b16 blt zero,r2,265c0 <_dtoa_r+0x798> - 26b54: 10010f1e bne r2,zero,26f94 <_dtoa_r+0x116c> - 26b58: 880b883a mov r5,r17 - 26b5c: 000d883a mov r6,zero - 26b60: 01d00534 movhi r7,16404 - 26b64: 8009883a mov r4,r16 - 26b68: 002e4ec0 call 2e4ec <__muldf3> - 26b6c: 900d883a mov r6,r18 - 26b70: 980f883a mov r7,r19 - 26b74: 1009883a mov r4,r2 - 26b78: 180b883a mov r5,r3 - 26b7c: 002e31c0 call 2e31c <__gedf2> - 26b80: 002b883a mov r21,zero - 26b84: 0023883a mov r17,zero - 26b88: 1000bf16 blt r2,zero,26e88 <_dtoa_r+0x1060> - 26b8c: d9802217 ldw r6,136(sp) - 26b90: ddc00717 ldw r23,28(sp) - 26b94: 018c303a nor r6,zero,r6 - 26b98: d9800515 stw r6,20(sp) - 26b9c: a80b883a mov r5,r21 - 26ba0: e009883a mov r4,fp - 26ba4: 0028c380 call 28c38 <_Bfree> - 26ba8: 883e4926 beq r17,zero,264d0 <_dtoa_r+0x6a8> - 26bac: 003fad06 br 26a64 <_dtoa_r+0xc3c> - 26bb0: d9c01117 ldw r7,68(sp) - 26bb4: 3801bc26 beq r7,zero,272a8 <_dtoa_r+0x1480> - 26bb8: 10810cc4 addi r2,r2,1075 - 26bbc: dd400a17 ldw r21,40(sp) - 26bc0: dd000817 ldw r20,32(sp) - 26bc4: 003f0a06 br 267f0 <_dtoa_r+0x9c8> - 26bc8: 00800704 movi r2,28 - 26bcc: d9000817 ldw r4,32(sp) - 26bd0: a0a9883a add r20,r20,r2 - 26bd4: b0ad883a add r22,r22,r2 - 26bd8: 2089883a add r4,r4,r2 - 26bdc: d9000815 stw r4,32(sp) - 26be0: 003f5106 br 26928 <_dtoa_r+0xb00> - 26be4: d8c00317 ldw r3,12(sp) - 26be8: b8c1fc0e bge r23,r3,273dc <_dtoa_r+0x15b4> - 26bec: 0027883a mov r19,zero - 26bf0: b805883a mov r2,r23 - 26bf4: 003f3e06 br 268f0 <_dtoa_r+0xac8> - 26bf8: 880b883a mov r5,r17 - 26bfc: e009883a mov r4,fp - 26c00: 000f883a mov r7,zero - 26c04: 01800284 movi r6,10 - 26c08: 0028c600 call 28c60 <__multadd> - 26c0c: d9000c17 ldw r4,48(sp) - 26c10: 1023883a mov r17,r2 - 26c14: 0102040e bge zero,r4,27428 <_dtoa_r+0x1600> - 26c18: d9000615 stw r4,24(sp) - 26c1c: 0500050e bge zero,r20,26c34 <_dtoa_r+0xe0c> - 26c20: 880b883a mov r5,r17 - 26c24: a00d883a mov r6,r20 - 26c28: e009883a mov r4,fp - 26c2c: 00293100 call 29310 <__lshift> - 26c30: 1023883a mov r17,r2 - 26c34: 9801241e bne r19,zero,270c8 <_dtoa_r+0x12a0> - 26c38: 8829883a mov r20,r17 - 26c3c: d9000617 ldw r4,24(sp) - 26c40: dcc00717 ldw r19,28(sp) - 26c44: 9480004c andi r18,r18,1 - 26c48: 20bfffc4 addi r2,r4,-1 - 26c4c: 9885883a add r2,r19,r2 - 26c50: d8800415 stw r2,16(sp) - 26c54: dc800615 stw r18,24(sp) - 26c58: a80b883a mov r5,r21 - 26c5c: 8009883a mov r4,r16 - 26c60: 0025c280 call 25c28 - 26c64: 880b883a mov r5,r17 - 26c68: 8009883a mov r4,r16 - 26c6c: 102f883a mov r23,r2 - 26c70: 00294580 call 29458 <__mcmp> - 26c74: a80b883a mov r5,r21 - 26c78: a00d883a mov r6,r20 - 26c7c: e009883a mov r4,fp - 26c80: 102d883a mov r22,r2 - 26c84: 00294b80 call 294b8 <__mdiff> - 26c88: 1007883a mov r3,r2 - 26c8c: 10800317 ldw r2,12(r2) - 26c90: bc800c04 addi r18,r23,48 - 26c94: 180b883a mov r5,r3 - 26c98: 10004e1e bne r2,zero,26dd4 <_dtoa_r+0xfac> - 26c9c: 8009883a mov r4,r16 - 26ca0: d8c01615 stw r3,88(sp) - 26ca4: 00294580 call 29458 <__mcmp> - 26ca8: d8c01617 ldw r3,88(sp) - 26cac: e009883a mov r4,fp - 26cb0: d8801615 stw r2,88(sp) - 26cb4: 180b883a mov r5,r3 - 26cb8: 0028c380 call 28c38 <_Bfree> - 26cbc: d8801617 ldw r2,88(sp) - 26cc0: 1000041e bne r2,zero,26cd4 <_dtoa_r+0xeac> - 26cc4: d9800317 ldw r6,12(sp) - 26cc8: 3000021e bne r6,zero,26cd4 <_dtoa_r+0xeac> - 26ccc: d8c00617 ldw r3,24(sp) - 26cd0: 18003726 beq r3,zero,26db0 <_dtoa_r+0xf88> - 26cd4: b0002016 blt r22,zero,26d58 <_dtoa_r+0xf30> - 26cd8: b000041e bne r22,zero,26cec <_dtoa_r+0xec4> - 26cdc: d9000317 ldw r4,12(sp) - 26ce0: 2000021e bne r4,zero,26cec <_dtoa_r+0xec4> - 26ce4: d8c00617 ldw r3,24(sp) - 26ce8: 18001b26 beq r3,zero,26d58 <_dtoa_r+0xf30> - 26cec: 00810716 blt zero,r2,2710c <_dtoa_r+0x12e4> - 26cf0: d8c00417 ldw r3,16(sp) - 26cf4: 9d800044 addi r22,r19,1 - 26cf8: 9c800005 stb r18,0(r19) - 26cfc: b02f883a mov r23,r22 - 26d00: 98c10626 beq r19,r3,2711c <_dtoa_r+0x12f4> - 26d04: 800b883a mov r5,r16 - 26d08: 000f883a mov r7,zero - 26d0c: 01800284 movi r6,10 - 26d10: e009883a mov r4,fp - 26d14: 0028c600 call 28c60 <__multadd> - 26d18: 1021883a mov r16,r2 - 26d1c: 000f883a mov r7,zero - 26d20: 01800284 movi r6,10 - 26d24: 880b883a mov r5,r17 - 26d28: e009883a mov r4,fp - 26d2c: 8d002526 beq r17,r20,26dc4 <_dtoa_r+0xf9c> - 26d30: 0028c600 call 28c60 <__multadd> - 26d34: a00b883a mov r5,r20 - 26d38: 000f883a mov r7,zero - 26d3c: 01800284 movi r6,10 - 26d40: e009883a mov r4,fp - 26d44: 1023883a mov r17,r2 - 26d48: 0028c600 call 28c60 <__multadd> - 26d4c: 1029883a mov r20,r2 - 26d50: b027883a mov r19,r22 - 26d54: 003fc006 br 26c58 <_dtoa_r+0xe30> - 26d58: 9011883a mov r8,r18 - 26d5c: 00800e0e bge zero,r2,26d98 <_dtoa_r+0xf70> - 26d60: 800b883a mov r5,r16 - 26d64: 01800044 movi r6,1 - 26d68: e009883a mov r4,fp - 26d6c: da001715 stw r8,92(sp) - 26d70: 00293100 call 29310 <__lshift> - 26d74: a80b883a mov r5,r21 - 26d78: 1009883a mov r4,r2 - 26d7c: 1021883a mov r16,r2 - 26d80: 00294580 call 29458 <__mcmp> - 26d84: da001717 ldw r8,92(sp) - 26d88: 0081960e bge zero,r2,273e4 <_dtoa_r+0x15bc> - 26d8c: 00800e44 movi r2,57 - 26d90: 40817026 beq r8,r2,27354 <_dtoa_r+0x152c> - 26d94: ba000c44 addi r8,r23,49 - 26d98: 8825883a mov r18,r17 - 26d9c: 9dc00044 addi r23,r19,1 - 26da0: 9a000005 stb r8,0(r19) - 26da4: a023883a mov r17,r20 - 26da8: dc000915 stw r16,36(sp) - 26dac: 003f2406 br 26a40 <_dtoa_r+0xc18> - 26db0: 00800e44 movi r2,57 - 26db4: 9011883a mov r8,r18 - 26db8: 90816626 beq r18,r2,27354 <_dtoa_r+0x152c> - 26dbc: 05bff516 blt zero,r22,26d94 <_dtoa_r+0xf6c> - 26dc0: 003ff506 br 26d98 <_dtoa_r+0xf70> - 26dc4: 0028c600 call 28c60 <__multadd> - 26dc8: 1023883a mov r17,r2 - 26dcc: 1029883a mov r20,r2 - 26dd0: 003fdf06 br 26d50 <_dtoa_r+0xf28> - 26dd4: e009883a mov r4,fp - 26dd8: 0028c380 call 28c38 <_Bfree> - 26ddc: 00800044 movi r2,1 - 26de0: 003fbc06 br 26cd4 <_dtoa_r+0xeac> - 26de4: a80b883a mov r5,r21 - 26de8: 8009883a mov r4,r16 - 26dec: 00294580 call 29458 <__mcmp> - 26df0: 103edb0e bge r2,zero,26960 <_dtoa_r+0xb38> - 26df4: 800b883a mov r5,r16 - 26df8: 000f883a mov r7,zero - 26dfc: 01800284 movi r6,10 - 26e00: e009883a mov r4,fp - 26e04: 0028c600 call 28c60 <__multadd> - 26e08: 1021883a mov r16,r2 - 26e0c: d8800517 ldw r2,20(sp) - 26e10: d8c00b17 ldw r3,44(sp) - 26e14: 10bfffc4 addi r2,r2,-1 - 26e18: d8800515 stw r2,20(sp) - 26e1c: 183f761e bne r3,zero,26bf8 <_dtoa_r+0xdd0> - 26e20: d9000c17 ldw r4,48(sp) - 26e24: 0101730e bge zero,r4,273f4 <_dtoa_r+0x15cc> - 26e28: d9000615 stw r4,24(sp) - 26e2c: 003ed006 br 26970 <_dtoa_r+0xb48> - 26e30: 00800084 movi r2,2 - 26e34: 3081861e bne r6,r2,27450 <_dtoa_r+0x1628> - 26e38: d8000b15 stw zero,44(sp) - 26e3c: 003f3c06 br 26b30 <_dtoa_r+0xd08> - 26e40: dc000917 ldw r16,36(sp) - 26e44: 003e9206 br 26890 <_dtoa_r+0xa68> - 26e48: d9c00317 ldw r7,12(sp) - 26e4c: 00800084 movi r2,2 - 26e50: 11fec50e bge r2,r7,26968 <_dtoa_r+0xb40> - 26e54: d9000617 ldw r4,24(sp) - 26e58: 20013c1e bne r4,zero,2734c <_dtoa_r+0x1524> - 26e5c: a80b883a mov r5,r21 - 26e60: 000f883a mov r7,zero - 26e64: 01800144 movi r6,5 - 26e68: e009883a mov r4,fp - 26e6c: 0028c600 call 28c60 <__multadd> - 26e70: 100b883a mov r5,r2 - 26e74: 8009883a mov r4,r16 - 26e78: 102b883a mov r21,r2 - 26e7c: 00294580 call 29458 <__mcmp> - 26e80: dc000915 stw r16,36(sp) - 26e84: 00bf410e bge zero,r2,26b8c <_dtoa_r+0xd64> - 26e88: d9c00717 ldw r7,28(sp) - 26e8c: 00800c44 movi r2,49 - 26e90: 38800005 stb r2,0(r7) - 26e94: d8800517 ldw r2,20(sp) - 26e98: 3dc00044 addi r23,r7,1 - 26e9c: 10800044 addi r2,r2,1 - 26ea0: d8800515 stw r2,20(sp) - 26ea4: 003f3d06 br 26b9c <_dtoa_r+0xd74> - 26ea8: d9800517 ldw r6,20(sp) - 26eac: d9c00717 ldw r7,28(sp) - 26eb0: 00800c44 movi r2,49 - 26eb4: 31800044 addi r6,r6,1 - 26eb8: d9800515 stw r6,20(sp) - 26ebc: 38800005 stb r2,0(r7) - 26ec0: 003edf06 br 26a40 <_dtoa_r+0xc18> - 26ec4: d8000b15 stw zero,44(sp) - 26ec8: 003c9f06 br 26148 <_dtoa_r+0x320> - 26ecc: 903e7e1e bne r18,zero,268c8 <_dtoa_r+0xaa0> - 26ed0: 00800434 movhi r2,16 - 26ed4: 10bfffc4 addi r2,r2,-1 - 26ed8: 9884703a and r2,r19,r2 - 26edc: 1000ea1e bne r2,zero,27288 <_dtoa_r+0x1460> - 26ee0: 9cdffc2c andhi r19,r19,32752 - 26ee4: 9800e826 beq r19,zero,27288 <_dtoa_r+0x1460> - 26ee8: d9c00817 ldw r7,32(sp) - 26eec: b5800044 addi r22,r22,1 - 26ef0: 04c00044 movi r19,1 - 26ef4: 39c00044 addi r7,r7,1 - 26ef8: d9c00815 stw r7,32(sp) - 26efc: d8800d17 ldw r2,52(sp) - 26f00: 103e721e bne r2,zero,268cc <_dtoa_r+0xaa4> - 26f04: 00800044 movi r2,1 - 26f08: 003e7906 br 268f0 <_dtoa_r+0xac8> - 26f0c: 8009883a mov r4,r16 - 26f10: 002f5800 call 2f580 <__floatsidf> - 26f14: d9800f17 ldw r6,60(sp) - 26f18: d9c01017 ldw r7,64(sp) - 26f1c: 1009883a mov r4,r2 - 26f20: 180b883a mov r5,r3 - 26f24: 002e4ec0 call 2e4ec <__muldf3> - 26f28: 000d883a mov r6,zero - 26f2c: 01d00734 movhi r7,16412 - 26f30: 1009883a mov r4,r2 - 26f34: 180b883a mov r5,r3 - 26f38: 002d1000 call 2d100 <__adddf3> - 26f3c: 047f3034 movhi r17,64704 - 26f40: 1021883a mov r16,r2 - 26f44: 1c63883a add r17,r3,r17 - 26f48: d9000f17 ldw r4,60(sp) - 26f4c: d9401017 ldw r5,64(sp) - 26f50: 000d883a mov r6,zero - 26f54: 01d00534 movhi r7,16404 - 26f58: 002ec040 call 2ec04 <__subdf3> - 26f5c: 800d883a mov r6,r16 - 26f60: 880f883a mov r7,r17 - 26f64: 1009883a mov r4,r2 - 26f68: 180b883a mov r5,r3 - 26f6c: 102b883a mov r21,r2 - 26f70: 1829883a mov r20,r3 - 26f74: 002e31c0 call 2e31c <__gedf2> - 26f78: 00806c16 blt zero,r2,2712c <_dtoa_r+0x1304> - 26f7c: 89e0003c xorhi r7,r17,32768 - 26f80: 800d883a mov r6,r16 - 26f84: a809883a mov r4,r21 - 26f88: a00b883a mov r5,r20 - 26f8c: 002e3f80 call 2e3f8 <__ledf2> - 26f90: 103d7e0e bge r2,zero,2658c <_dtoa_r+0x764> - 26f94: 002b883a mov r21,zero - 26f98: 0023883a mov r17,zero - 26f9c: 003efb06 br 26b8c <_dtoa_r+0xd64> - 26fa0: d8800717 ldw r2,28(sp) - 26fa4: 003bd006 br 25ee8 <_dtoa_r+0xc0> - 26fa8: d9000a17 ldw r4,40(sp) - 26fac: d9800d17 ldw r6,52(sp) - 26fb0: dd400a15 stw r21,40(sp) - 26fb4: a905c83a sub r2,r21,r4 - 26fb8: 308d883a add r6,r6,r2 - 26fbc: d9800d15 stw r6,52(sp) - 26fc0: 002b883a mov r21,zero - 26fc4: 003e0606 br 267e0 <_dtoa_r+0x9b8> - 26fc8: 9023883a mov r17,r18 - 26fcc: 9829883a mov r20,r19 - 26fd0: 04000084 movi r16,2 - 26fd4: 003c9206 br 26220 <_dtoa_r+0x3f8> - 26fd8: 04000044 movi r16,1 - 26fdc: dc000c15 stw r16,48(sp) - 26fe0: dc000615 stw r16,24(sp) - 26fe4: dc002215 stw r16,136(sp) - 26fe8: e0001115 stw zero,68(fp) - 26fec: 000b883a mov r5,zero - 26ff0: 003c6906 br 26198 <_dtoa_r+0x370> - 26ff4: 3021883a mov r16,r6 - 26ff8: 003ffb06 br 26fe8 <_dtoa_r+0x11c0> - 26ffc: 1000021e bne r2,zero,27008 <_dtoa_r+0x11e0> - 27000: 4200004c andi r8,r8,1 - 27004: 403e7d1e bne r8,zero,269fc <_dtoa_r+0xbd4> - 27008: 01000c04 movi r4,48 - 2700c: 00000106 br 27014 <_dtoa_r+0x11ec> - 27010: 102f883a mov r23,r2 - 27014: b8bfffc4 addi r2,r23,-1 - 27018: 10c00007 ldb r3,0(r2) - 2701c: 193ffc26 beq r3,r4,27010 <_dtoa_r+0x11e8> - 27020: 003e8706 br 26a40 <_dtoa_r+0xc18> - 27024: d8800517 ldw r2,20(sp) - 27028: 00a3c83a sub r17,zero,r2 - 2702c: 8800a426 beq r17,zero,272c0 <_dtoa_r+0x1498> - 27030: 888003cc andi r2,r17,15 - 27034: 100490fa slli r2,r2,3 - 27038: 00c000f4 movhi r3,3 - 2703c: 18ca8104 addi r3,r3,10756 - 27040: 1885883a add r2,r3,r2 - 27044: 11800017 ldw r6,0(r2) - 27048: 11c00117 ldw r7,4(r2) - 2704c: 9009883a mov r4,r18 - 27050: 980b883a mov r5,r19 - 27054: 8823d13a srai r17,r17,4 - 27058: 002e4ec0 call 2e4ec <__muldf3> - 2705c: d8800f15 stw r2,60(sp) - 27060: d8c01015 stw r3,64(sp) - 27064: 8800e826 beq r17,zero,27408 <_dtoa_r+0x15e0> - 27068: 050000f4 movhi r20,3 - 2706c: a50a7704 addi r20,r20,10716 - 27070: 04000084 movi r16,2 - 27074: 8980004c andi r6,r17,1 - 27078: 1009883a mov r4,r2 - 2707c: 8823d07a srai r17,r17,1 - 27080: 180b883a mov r5,r3 - 27084: 30000426 beq r6,zero,27098 <_dtoa_r+0x1270> - 27088: a1800017 ldw r6,0(r20) - 2708c: a1c00117 ldw r7,4(r20) - 27090: 84000044 addi r16,r16,1 - 27094: 002e4ec0 call 2e4ec <__muldf3> - 27098: a5000204 addi r20,r20,8 - 2709c: 883ff51e bne r17,zero,27074 <_dtoa_r+0x124c> - 270a0: d8800f15 stw r2,60(sp) - 270a4: d8c01015 stw r3,64(sp) - 270a8: 003c7606 br 26284 <_dtoa_r+0x45c> - 270ac: 00c00c04 movi r3,48 - 270b0: 10c00005 stb r3,0(r2) - 270b4: d8c00517 ldw r3,20(sp) - 270b8: bd3fffc3 ldbu r20,-1(r23) - 270bc: 18c00044 addi r3,r3,1 - 270c0: d8c00515 stw r3,20(sp) - 270c4: 003db906 br 267ac <_dtoa_r+0x984> - 270c8: 89400117 ldw r5,4(r17) - 270cc: e009883a mov r4,fp - 270d0: 0028b900 call 28b90 <_Balloc> - 270d4: 89800417 ldw r6,16(r17) - 270d8: 89400304 addi r5,r17,12 - 270dc: 11000304 addi r4,r2,12 - 270e0: 31800084 addi r6,r6,2 - 270e4: 318d883a add r6,r6,r6 - 270e8: 318d883a add r6,r6,r6 - 270ec: 1027883a mov r19,r2 - 270f0: 00288ec0 call 288ec - 270f4: 01800044 movi r6,1 - 270f8: 980b883a mov r5,r19 - 270fc: e009883a mov r4,fp - 27100: 00293100 call 29310 <__lshift> - 27104: 1029883a mov r20,r2 - 27108: 003ecc06 br 26c3c <_dtoa_r+0xe14> - 2710c: 00800e44 movi r2,57 - 27110: 90809026 beq r18,r2,27354 <_dtoa_r+0x152c> - 27114: 92000044 addi r8,r18,1 - 27118: 003f1f06 br 26d98 <_dtoa_r+0xf70> - 2711c: 9011883a mov r8,r18 - 27120: 8825883a mov r18,r17 - 27124: a023883a mov r17,r20 - 27128: 003e2906 br 269d0 <_dtoa_r+0xba8> - 2712c: 002b883a mov r21,zero - 27130: 0023883a mov r17,zero - 27134: 003f5406 br 26e88 <_dtoa_r+0x1060> - 27138: 61bfffc4 addi r6,r12,-1 - 2713c: 300490fa slli r2,r6,3 - 27140: 00c000f4 movhi r3,3 - 27144: 18ca8104 addi r3,r3,10756 - 27148: 1885883a add r2,r3,r2 - 2714c: 11000017 ldw r4,0(r2) - 27150: 11400117 ldw r5,4(r2) - 27154: d8800717 ldw r2,28(sp) - 27158: 880f883a mov r7,r17 - 2715c: d9801215 stw r6,72(sp) - 27160: 800d883a mov r6,r16 - 27164: db001615 stw r12,88(sp) - 27168: 15c00044 addi r23,r2,1 - 2716c: 002e4ec0 call 2e4ec <__muldf3> - 27170: d9401017 ldw r5,64(sp) - 27174: d9000f17 ldw r4,60(sp) - 27178: d8c01515 stw r3,84(sp) - 2717c: d8801415 stw r2,80(sp) - 27180: 002f5000 call 2f500 <__fixdfsi> - 27184: 1009883a mov r4,r2 - 27188: 1021883a mov r16,r2 - 2718c: 002f5800 call 2f580 <__floatsidf> - 27190: d9000f17 ldw r4,60(sp) - 27194: d9401017 ldw r5,64(sp) - 27198: 100d883a mov r6,r2 - 2719c: 180f883a mov r7,r3 - 271a0: 002ec040 call 2ec04 <__subdf3> - 271a4: 1829883a mov r20,r3 - 271a8: d8c00717 ldw r3,28(sp) - 271ac: 84000c04 addi r16,r16,48 - 271b0: 1023883a mov r17,r2 - 271b4: 1c000005 stb r16,0(r3) - 271b8: db001617 ldw r12,88(sp) - 271bc: 00800044 movi r2,1 - 271c0: 60802226 beq r12,r2,2724c <_dtoa_r+0x1424> - 271c4: d9c00717 ldw r7,28(sp) - 271c8: 8805883a mov r2,r17 - 271cc: b82b883a mov r21,r23 - 271d0: 3b19883a add r12,r7,r12 - 271d4: 6023883a mov r17,r12 - 271d8: a007883a mov r3,r20 - 271dc: dc800f15 stw r18,60(sp) - 271e0: 000d883a mov r6,zero - 271e4: 01d00934 movhi r7,16420 - 271e8: 1009883a mov r4,r2 - 271ec: 180b883a mov r5,r3 - 271f0: 002e4ec0 call 2e4ec <__muldf3> - 271f4: 180b883a mov r5,r3 - 271f8: 1009883a mov r4,r2 - 271fc: 1829883a mov r20,r3 - 27200: 1025883a mov r18,r2 - 27204: 002f5000 call 2f500 <__fixdfsi> - 27208: 1009883a mov r4,r2 - 2720c: 1021883a mov r16,r2 - 27210: 002f5800 call 2f580 <__floatsidf> - 27214: 100d883a mov r6,r2 - 27218: 180f883a mov r7,r3 - 2721c: 9009883a mov r4,r18 - 27220: a00b883a mov r5,r20 - 27224: 84000c04 addi r16,r16,48 - 27228: 002ec040 call 2ec04 <__subdf3> - 2722c: ad400044 addi r21,r21,1 - 27230: ac3fffc5 stb r16,-1(r21) - 27234: ac7fea1e bne r21,r17,271e0 <_dtoa_r+0x13b8> - 27238: 1023883a mov r17,r2 - 2723c: d8801217 ldw r2,72(sp) - 27240: dc800f17 ldw r18,60(sp) - 27244: 1829883a mov r20,r3 - 27248: b8af883a add r23,r23,r2 - 2724c: d9001417 ldw r4,80(sp) - 27250: d9401517 ldw r5,84(sp) - 27254: 000d883a mov r6,zero - 27258: 01cff834 movhi r7,16352 - 2725c: 002d1000 call 2d100 <__adddf3> - 27260: 880d883a mov r6,r17 - 27264: a00f883a mov r7,r20 - 27268: 1009883a mov r4,r2 - 2726c: 180b883a mov r5,r3 - 27270: 002e3f80 call 2e3f8 <__ledf2> - 27274: 10003e0e bge r2,zero,27370 <_dtoa_r+0x1548> - 27278: d9001317 ldw r4,76(sp) - 2727c: bd3fffc3 ldbu r20,-1(r23) - 27280: d9000515 stw r4,20(sp) - 27284: 003d3b06 br 26774 <_dtoa_r+0x94c> - 27288: 0027883a mov r19,zero - 2728c: 003f1b06 br 26efc <_dtoa_r+0x10d4> - 27290: d8800817 ldw r2,32(sp) - 27294: 11e9c83a sub r20,r2,r7 - 27298: 0005883a mov r2,zero - 2729c: 003d5406 br 267f0 <_dtoa_r+0x9c8> - 272a0: 00800044 movi r2,1 - 272a4: 003dc706 br 269c4 <_dtoa_r+0xb9c> - 272a8: d8c00217 ldw r3,8(sp) - 272ac: 00800d84 movi r2,54 - 272b0: dd400a17 ldw r21,40(sp) - 272b4: 10c5c83a sub r2,r2,r3 - 272b8: dd000817 ldw r20,32(sp) - 272bc: 003d4c06 br 267f0 <_dtoa_r+0x9c8> - 272c0: dc800f15 stw r18,60(sp) - 272c4: dcc01015 stw r19,64(sp) - 272c8: 04000084 movi r16,2 - 272cc: 003bed06 br 26284 <_dtoa_r+0x45c> - 272d0: d9000617 ldw r4,24(sp) - 272d4: 203f0d26 beq r4,zero,26f0c <_dtoa_r+0x10e4> - 272d8: d9800c17 ldw r6,48(sp) - 272dc: 01bcab0e bge zero,r6,2658c <_dtoa_r+0x764> - 272e0: d9401017 ldw r5,64(sp) - 272e4: d9000f17 ldw r4,60(sp) - 272e8: 000d883a mov r6,zero - 272ec: 01d00934 movhi r7,16420 - 272f0: 002e4ec0 call 2e4ec <__muldf3> - 272f4: 81000044 addi r4,r16,1 - 272f8: d8800f15 stw r2,60(sp) - 272fc: d8c01015 stw r3,64(sp) - 27300: 002f5800 call 2f580 <__floatsidf> - 27304: d9800f17 ldw r6,60(sp) - 27308: d9c01017 ldw r7,64(sp) - 2730c: 1009883a mov r4,r2 - 27310: 180b883a mov r5,r3 - 27314: 002e4ec0 call 2e4ec <__muldf3> - 27318: 01d00734 movhi r7,16412 - 2731c: 000d883a mov r6,zero - 27320: 1009883a mov r4,r2 - 27324: 180b883a mov r5,r3 - 27328: 002d1000 call 2d100 <__adddf3> - 2732c: d9c00517 ldw r7,20(sp) - 27330: 047f3034 movhi r17,64704 - 27334: 1021883a mov r16,r2 - 27338: 39ffffc4 addi r7,r7,-1 - 2733c: d9c01315 stw r7,76(sp) - 27340: 1c63883a add r17,r3,r17 - 27344: db000c17 ldw r12,48(sp) - 27348: 003bea06 br 262f4 <_dtoa_r+0x4cc> - 2734c: dc000915 stw r16,36(sp) - 27350: 003e0e06 br 26b8c <_dtoa_r+0xd64> - 27354: 01000e44 movi r4,57 - 27358: 8825883a mov r18,r17 - 2735c: 9dc00044 addi r23,r19,1 - 27360: 99000005 stb r4,0(r19) - 27364: a023883a mov r17,r20 - 27368: dc000915 stw r16,36(sp) - 2736c: 003da406 br 26a00 <_dtoa_r+0xbd8> - 27370: d9801417 ldw r6,80(sp) - 27374: d9c01517 ldw r7,84(sp) - 27378: 0009883a mov r4,zero - 2737c: 014ff834 movhi r5,16352 - 27380: 002ec040 call 2ec04 <__subdf3> - 27384: 880d883a mov r6,r17 - 27388: a00f883a mov r7,r20 - 2738c: 1009883a mov r4,r2 - 27390: 180b883a mov r5,r3 - 27394: 002e31c0 call 2e31c <__gedf2> - 27398: 00bc7c0e bge zero,r2,2658c <_dtoa_r+0x764> - 2739c: 01000c04 movi r4,48 - 273a0: 00000106 br 273a8 <_dtoa_r+0x1580> - 273a4: 102f883a mov r23,r2 - 273a8: b8bfffc4 addi r2,r23,-1 - 273ac: 10c00007 ldb r3,0(r2) - 273b0: 193ffc26 beq r3,r4,273a4 <_dtoa_r+0x157c> - 273b4: d9801317 ldw r6,76(sp) - 273b8: d9800515 stw r6,20(sp) - 273bc: 003c4406 br 264d0 <_dtoa_r+0x6a8> - 273c0: d9801317 ldw r6,76(sp) - 273c4: d9800515 stw r6,20(sp) - 273c8: 003cea06 br 26774 <_dtoa_r+0x94c> - 273cc: dd800f17 ldw r22,60(sp) - 273d0: dcc01017 ldw r19,64(sp) - 273d4: dc801217 ldw r18,72(sp) - 273d8: 003c6c06 br 2658c <_dtoa_r+0x764> - 273dc: 903e031e bne r18,zero,26bec <_dtoa_r+0xdc4> - 273e0: 003ebb06 br 26ed0 <_dtoa_r+0x10a8> - 273e4: 103e6c1e bne r2,zero,26d98 <_dtoa_r+0xf70> - 273e8: 4080004c andi r2,r8,1 - 273ec: 103e6a26 beq r2,zero,26d98 <_dtoa_r+0xf70> - 273f0: 003e6606 br 26d8c <_dtoa_r+0xf64> - 273f4: d8c00317 ldw r3,12(sp) - 273f8: 00800084 movi r2,2 - 273fc: 10c02916 blt r2,r3,274a4 <_dtoa_r+0x167c> - 27400: d9000c17 ldw r4,48(sp) - 27404: 003e8806 br 26e28 <_dtoa_r+0x1000> - 27408: 04000084 movi r16,2 - 2740c: 003b9d06 br 26284 <_dtoa_r+0x45c> - 27410: d9001317 ldw r4,76(sp) - 27414: d9000515 stw r4,20(sp) - 27418: 003cd606 br 26774 <_dtoa_r+0x94c> - 2741c: d8801317 ldw r2,76(sp) - 27420: d8800515 stw r2,20(sp) - 27424: 003c2a06 br 264d0 <_dtoa_r+0x6a8> - 27428: d9800317 ldw r6,12(sp) - 2742c: 00800084 movi r2,2 - 27430: 11801516 blt r2,r6,27488 <_dtoa_r+0x1660> - 27434: d9c00c17 ldw r7,48(sp) - 27438: d9c00615 stw r7,24(sp) - 2743c: 003df706 br 26c1c <_dtoa_r+0xdf4> - 27440: 193d3926 beq r3,r4,26928 <_dtoa_r+0xb00> - 27444: 00c00f04 movi r3,60 - 27448: 1885c83a sub r2,r3,r2 - 2744c: 003ddf06 br 26bcc <_dtoa_r+0xda4> - 27450: e009883a mov r4,fp - 27454: e0001115 stw zero,68(fp) - 27458: 000b883a mov r5,zero - 2745c: 0028b900 call 28b90 <_Balloc> - 27460: d8800715 stw r2,28(sp) - 27464: d8c00717 ldw r3,28(sp) - 27468: 00bfffc4 movi r2,-1 - 2746c: 01000044 movi r4,1 - 27470: d8800c15 stw r2,48(sp) - 27474: e0c01015 stw r3,64(fp) - 27478: d9000b15 stw r4,44(sp) - 2747c: d8800615 stw r2,24(sp) - 27480: d8002215 stw zero,136(sp) - 27484: 003c4106 br 2658c <_dtoa_r+0x764> - 27488: d8c00c17 ldw r3,48(sp) - 2748c: d8c00615 stw r3,24(sp) - 27490: 003e7006 br 26e54 <_dtoa_r+0x102c> - 27494: 04400044 movi r17,1 - 27498: 003b2006 br 2611c <_dtoa_r+0x2f4> - 2749c: 000b883a mov r5,zero - 274a0: 003b3d06 br 26198 <_dtoa_r+0x370> - 274a4: d8800c17 ldw r2,48(sp) - 274a8: d8800615 stw r2,24(sp) - 274ac: 003e6906 br 26e54 <_dtoa_r+0x102c> + 2607c: 01d00934 movhi r7,16420 + 26080: 002dd380 call 2dd38 <__muldf3> + 26084: 000d883a mov r6,zero + 26088: 000f883a mov r7,zero + 2608c: 1009883a mov r4,r2 + 26090: 180b883a mov r5,r3 + 26094: 1025883a mov r18,r2 + 26098: 1827883a mov r19,r3 + 2609c: 002dae00 call 2dae0 <__eqdf2> + 260a0: 103f9a26 beq r2,zero,25f0c <_gp+0xfffe99d4> + 260a4: d9c00617 ldw r7,24(sp) + 260a8: d8c00717 ldw r3,28(sp) + 260ac: b829883a mov r20,r23 + 260b0: 38bfffc4 addi r2,r7,-1 + 260b4: 18ad883a add r22,r3,r2 + 260b8: 00000a06 br 260e4 <_dtoa_r+0x880> + 260bc: 002dd380 call 2dd38 <__muldf3> + 260c0: 000d883a mov r6,zero + 260c4: 000f883a mov r7,zero + 260c8: 1009883a mov r4,r2 + 260cc: 180b883a mov r5,r3 + 260d0: 1025883a mov r18,r2 + 260d4: 1827883a mov r19,r3 + 260d8: b829883a mov r20,r23 + 260dc: 002dae00 call 2dae0 <__eqdf2> + 260e0: 103f8a26 beq r2,zero,25f0c <_gp+0xfffe99d4> + 260e4: 800d883a mov r6,r16 + 260e8: 880f883a mov r7,r17 + 260ec: 9009883a mov r4,r18 + 260f0: 980b883a mov r5,r19 + 260f4: 002d1f80 call 2d1f8 <__divdf3> + 260f8: 180b883a mov r5,r3 + 260fc: 1009883a mov r4,r2 + 26100: 002ed4c0 call 2ed4c <__fixdfsi> + 26104: 1009883a mov r4,r2 + 26108: 102b883a mov r21,r2 + 2610c: 002edcc0 call 2edcc <__floatsidf> + 26110: 800d883a mov r6,r16 + 26114: 880f883a mov r7,r17 + 26118: 1009883a mov r4,r2 + 2611c: 180b883a mov r5,r3 + 26120: 002dd380 call 2dd38 <__muldf3> + 26124: 100d883a mov r6,r2 + 26128: 180f883a mov r7,r3 + 2612c: 9009883a mov r4,r18 + 26130: 980b883a mov r5,r19 + 26134: 002e4500 call 2e450 <__subdf3> + 26138: aa000c04 addi r8,r21,48 + 2613c: a2000005 stb r8,0(r20) + 26140: 000d883a mov r6,zero + 26144: 01d00934 movhi r7,16420 + 26148: 1009883a mov r4,r2 + 2614c: 180b883a mov r5,r3 + 26150: a5c00044 addi r23,r20,1 + 26154: b53fd91e bne r22,r20,260bc <_gp+0xfffe9b84> + 26158: 100d883a mov r6,r2 + 2615c: 180f883a mov r7,r3 + 26160: 1009883a mov r4,r2 + 26164: 180b883a mov r5,r3 + 26168: 002c94c0 call 2c94c <__adddf3> + 2616c: 100d883a mov r6,r2 + 26170: 180f883a mov r7,r3 + 26174: 8009883a mov r4,r16 + 26178: 880b883a mov r5,r17 + 2617c: 1027883a mov r19,r2 + 26180: 1825883a mov r18,r3 + 26184: 002dc440 call 2dc44 <__ledf2> + 26188: 10000816 blt r2,zero,261ac <_dtoa_r+0x948> + 2618c: 980d883a mov r6,r19 + 26190: 900f883a mov r7,r18 + 26194: 8009883a mov r4,r16 + 26198: 880b883a mov r5,r17 + 2619c: 002dae00 call 2dae0 <__eqdf2> + 261a0: 103f5a1e bne r2,zero,25f0c <_gp+0xfffe99d4> + 261a4: ad40004c andi r21,r21,1 + 261a8: a83f5826 beq r21,zero,25f0c <_gp+0xfffe99d4> + 261ac: bd3fffc3 ldbu r20,-1(r23) + 261b0: b8bfffc4 addi r2,r23,-1 + 261b4: 1007883a mov r3,r2 + 261b8: 01400e44 movi r5,57 + 261bc: d9800717 ldw r6,28(sp) + 261c0: 00000506 br 261d8 <_dtoa_r+0x974> + 261c4: 18ffffc4 addi r3,r3,-1 + 261c8: 11824726 beq r2,r6,26ae8 <_dtoa_r+0x1284> + 261cc: 1d000003 ldbu r20,0(r3) + 261d0: 102f883a mov r23,r2 + 261d4: 10bfffc4 addi r2,r2,-1 + 261d8: a1003fcc andi r4,r20,255 + 261dc: 2100201c xori r4,r4,128 + 261e0: 213fe004 addi r4,r4,-128 + 261e4: 217ff726 beq r4,r5,261c4 <_gp+0xfffe9c8c> + 261e8: a2000044 addi r8,r20,1 + 261ec: 12000005 stb r8,0(r2) + 261f0: 003f4606 br 25f0c <_gp+0xfffe99d4> + 261f4: d9000b17 ldw r4,44(sp) + 261f8: 2000c826 beq r4,zero,2651c <_dtoa_r+0xcb8> + 261fc: d9800317 ldw r6,12(sp) + 26200: 00c00044 movi r3,1 + 26204: 1980f90e bge r3,r6,265ec <_dtoa_r+0xd88> + 26208: d8800617 ldw r2,24(sp) + 2620c: d8c00a17 ldw r3,40(sp) + 26210: 157fffc4 addi r21,r2,-1 + 26214: 1d41f316 blt r3,r21,269e4 <_dtoa_r+0x1180> + 26218: 1d6bc83a sub r21,r3,r21 + 2621c: d9c00617 ldw r7,24(sp) + 26220: 3802aa16 blt r7,zero,26ccc <_dtoa_r+0x1468> + 26224: dd000817 ldw r20,32(sp) + 26228: d8800617 ldw r2,24(sp) + 2622c: d8c00817 ldw r3,32(sp) + 26230: 01400044 movi r5,1 + 26234: e009883a mov r4,fp + 26238: 1887883a add r3,r3,r2 + 2623c: d8c00815 stw r3,32(sp) + 26240: b0ad883a add r22,r22,r2 + 26244: 00289d80 call 289d8 <__i2b> + 26248: 1023883a mov r17,r2 + 2624c: a0000826 beq r20,zero,26270 <_dtoa_r+0xa0c> + 26250: 0580070e bge zero,r22,26270 <_dtoa_r+0xa0c> + 26254: a005883a mov r2,r20 + 26258: b500b916 blt r22,r20,26540 <_dtoa_r+0xcdc> + 2625c: d9000817 ldw r4,32(sp) + 26260: a0a9c83a sub r20,r20,r2 + 26264: b0adc83a sub r22,r22,r2 + 26268: 2089c83a sub r4,r4,r2 + 2626c: d9000815 stw r4,32(sp) + 26270: d9800a17 ldw r6,40(sp) + 26274: 0181810e bge zero,r6,2687c <_dtoa_r+0x1018> + 26278: d9c00b17 ldw r7,44(sp) + 2627c: 3800b326 beq r7,zero,2654c <_dtoa_r+0xce8> + 26280: a800b226 beq r21,zero,2654c <_dtoa_r+0xce8> + 26284: 880b883a mov r5,r17 + 26288: a80d883a mov r6,r21 + 2628c: e009883a mov r4,fp + 26290: 0028c0c0 call 28c0c <__pow5mult> + 26294: d9800917 ldw r6,36(sp) + 26298: 100b883a mov r5,r2 + 2629c: e009883a mov r4,fp + 262a0: 1023883a mov r17,r2 + 262a4: 0028a140 call 28a14 <__multiply> + 262a8: 1021883a mov r16,r2 + 262ac: d8800a17 ldw r2,40(sp) + 262b0: d9400917 ldw r5,36(sp) + 262b4: e009883a mov r4,fp + 262b8: 1545c83a sub r2,r2,r21 + 262bc: d8800a15 stw r2,40(sp) + 262c0: 00286740 call 28674 <_Bfree> + 262c4: d8c00a17 ldw r3,40(sp) + 262c8: 18009f1e bne r3,zero,26548 <_dtoa_r+0xce4> + 262cc: 05c00044 movi r23,1 + 262d0: e009883a mov r4,fp + 262d4: b80b883a mov r5,r23 + 262d8: 00289d80 call 289d8 <__i2b> + 262dc: d9000d17 ldw r4,52(sp) + 262e0: 102b883a mov r21,r2 + 262e4: 2000ce26 beq r4,zero,26620 <_dtoa_r+0xdbc> + 262e8: 200d883a mov r6,r4 + 262ec: 100b883a mov r5,r2 + 262f0: e009883a mov r4,fp + 262f4: 0028c0c0 call 28c0c <__pow5mult> + 262f8: d9800317 ldw r6,12(sp) + 262fc: 102b883a mov r21,r2 + 26300: b981810e bge r23,r6,26908 <_dtoa_r+0x10a4> + 26304: 0027883a mov r19,zero + 26308: a8800417 ldw r2,16(r21) + 2630c: 05c00804 movi r23,32 + 26310: 10800104 addi r2,r2,4 + 26314: 1085883a add r2,r2,r2 + 26318: 1085883a add r2,r2,r2 + 2631c: a885883a add r2,r21,r2 + 26320: 11000017 ldw r4,0(r2) + 26324: 00288c00 call 288c0 <__hi0bits> + 26328: b885c83a sub r2,r23,r2 + 2632c: 1585883a add r2,r2,r22 + 26330: 108007cc andi r2,r2,31 + 26334: 1000b326 beq r2,zero,26604 <_dtoa_r+0xda0> + 26338: 00c00804 movi r3,32 + 2633c: 1887c83a sub r3,r3,r2 + 26340: 01000104 movi r4,4 + 26344: 20c2cd0e bge r4,r3,26e7c <_dtoa_r+0x1618> + 26348: 00c00704 movi r3,28 + 2634c: 1885c83a sub r2,r3,r2 + 26350: d8c00817 ldw r3,32(sp) + 26354: a0a9883a add r20,r20,r2 + 26358: b0ad883a add r22,r22,r2 + 2635c: 1887883a add r3,r3,r2 + 26360: d8c00815 stw r3,32(sp) + 26364: d9800817 ldw r6,32(sp) + 26368: 0180040e bge zero,r6,2637c <_dtoa_r+0xb18> + 2636c: 800b883a mov r5,r16 + 26370: e009883a mov r4,fp + 26374: 0028d4c0 call 28d4c <__lshift> + 26378: 1021883a mov r16,r2 + 2637c: 0580050e bge zero,r22,26394 <_dtoa_r+0xb30> + 26380: a80b883a mov r5,r21 + 26384: b00d883a mov r6,r22 + 26388: e009883a mov r4,fp + 2638c: 0028d4c0 call 28d4c <__lshift> + 26390: 102b883a mov r21,r2 + 26394: d9c00e17 ldw r7,56(sp) + 26398: 3801211e bne r7,zero,26820 <_dtoa_r+0xfbc> + 2639c: d9800617 ldw r6,24(sp) + 263a0: 0181380e bge zero,r6,26884 <_dtoa_r+0x1020> + 263a4: d8c00b17 ldw r3,44(sp) + 263a8: 1800ab1e bne r3,zero,26658 <_dtoa_r+0xdf4> + 263ac: dc800717 ldw r18,28(sp) + 263b0: dcc00617 ldw r19,24(sp) + 263b4: 9029883a mov r20,r18 + 263b8: 00000206 br 263c4 <_dtoa_r+0xb60> + 263bc: 002869c0 call 2869c <__multadd> + 263c0: 1021883a mov r16,r2 + 263c4: a80b883a mov r5,r21 + 263c8: 8009883a mov r4,r16 + 263cc: 00256640 call 25664 + 263d0: 10800c04 addi r2,r2,48 + 263d4: 90800005 stb r2,0(r18) + 263d8: 94800044 addi r18,r18,1 + 263dc: 9507c83a sub r3,r18,r20 + 263e0: 000f883a mov r7,zero + 263e4: 01800284 movi r6,10 + 263e8: 800b883a mov r5,r16 + 263ec: e009883a mov r4,fp + 263f0: 1cfff216 blt r3,r19,263bc <_gp+0xfffe9e84> + 263f4: 1011883a mov r8,r2 + 263f8: d8800617 ldw r2,24(sp) + 263fc: 0082370e bge zero,r2,26cdc <_dtoa_r+0x1478> + 26400: d9000717 ldw r4,28(sp) + 26404: 0025883a mov r18,zero + 26408: 20af883a add r23,r4,r2 + 2640c: 01800044 movi r6,1 + 26410: 800b883a mov r5,r16 + 26414: e009883a mov r4,fp + 26418: da001715 stw r8,92(sp) + 2641c: 0028d4c0 call 28d4c <__lshift> + 26420: a80b883a mov r5,r21 + 26424: 1009883a mov r4,r2 + 26428: d8800915 stw r2,36(sp) + 2642c: 0028e940 call 28e94 <__mcmp> + 26430: da001717 ldw r8,92(sp) + 26434: 0081800e bge zero,r2,26a38 <_dtoa_r+0x11d4> + 26438: b93fffc3 ldbu r4,-1(r23) + 2643c: b8bfffc4 addi r2,r23,-1 + 26440: 1007883a mov r3,r2 + 26444: 01800e44 movi r6,57 + 26448: d9c00717 ldw r7,28(sp) + 2644c: 00000506 br 26464 <_dtoa_r+0xc00> + 26450: 18ffffc4 addi r3,r3,-1 + 26454: 11c12326 beq r2,r7,268e4 <_dtoa_r+0x1080> + 26458: 19000003 ldbu r4,0(r3) + 2645c: 102f883a mov r23,r2 + 26460: 10bfffc4 addi r2,r2,-1 + 26464: 21403fcc andi r5,r4,255 + 26468: 2940201c xori r5,r5,128 + 2646c: 297fe004 addi r5,r5,-128 + 26470: 29bff726 beq r5,r6,26450 <_gp+0xfffe9f18> + 26474: 21000044 addi r4,r4,1 + 26478: 11000005 stb r4,0(r2) + 2647c: a80b883a mov r5,r21 + 26480: e009883a mov r4,fp + 26484: 00286740 call 28674 <_Bfree> + 26488: 883ea026 beq r17,zero,25f0c <_gp+0xfffe99d4> + 2648c: 90000426 beq r18,zero,264a0 <_dtoa_r+0xc3c> + 26490: 94400326 beq r18,r17,264a0 <_dtoa_r+0xc3c> + 26494: 900b883a mov r5,r18 + 26498: e009883a mov r4,fp + 2649c: 00286740 call 28674 <_Bfree> + 264a0: 880b883a mov r5,r17 + 264a4: e009883a mov r4,fp + 264a8: 00286740 call 28674 <_Bfree> + 264ac: 003e9706 br 25f0c <_gp+0xfffe99d4> + 264b0: 01800044 movi r6,1 + 264b4: d9800e15 stw r6,56(sp) + 264b8: 003d9606 br 25b14 <_gp+0xfffe95dc> + 264bc: d8800817 ldw r2,32(sp) + 264c0: d8c00517 ldw r3,20(sp) + 264c4: d8000d15 stw zero,52(sp) + 264c8: 10c5c83a sub r2,r2,r3 + 264cc: 00c9c83a sub r4,zero,r3 + 264d0: d8800815 stw r2,32(sp) + 264d4: d9000a15 stw r4,40(sp) + 264d8: 003d9706 br 25b38 <_gp+0xfffe9600> + 264dc: 05adc83a sub r22,zero,r22 + 264e0: dd800815 stw r22,32(sp) + 264e4: 002d883a mov r22,zero + 264e8: 003d8e06 br 25b24 <_gp+0xfffe95ec> + 264ec: d9000517 ldw r4,20(sp) + 264f0: 002edcc0 call 2edcc <__floatsidf> + 264f4: 100d883a mov r6,r2 + 264f8: 180f883a mov r7,r3 + 264fc: a009883a mov r4,r20 + 26500: 880b883a mov r5,r17 + 26504: 002dae00 call 2dae0 <__eqdf2> + 26508: 103d7126 beq r2,zero,25ad0 <_gp+0xfffe9598> + 2650c: d9c00517 ldw r7,20(sp) + 26510: 39ffffc4 addi r7,r7,-1 + 26514: d9c00515 stw r7,20(sp) + 26518: 003d6d06 br 25ad0 <_gp+0xfffe9598> + 2651c: dd400a17 ldw r21,40(sp) + 26520: dd000817 ldw r20,32(sp) + 26524: 0023883a mov r17,zero + 26528: 003f4806 br 2624c <_gp+0xfffe9d14> + 2652c: 10e3c83a sub r17,r2,r3 + 26530: 9448983a sll r4,r18,r17 + 26534: 003d3206 br 25a00 <_gp+0xfffe94c8> + 26538: d8000e15 stw zero,56(sp) + 2653c: 003d7506 br 25b14 <_gp+0xfffe95dc> + 26540: b005883a mov r2,r22 + 26544: 003f4506 br 2625c <_gp+0xfffe9d24> + 26548: dc000915 stw r16,36(sp) + 2654c: d9800a17 ldw r6,40(sp) + 26550: d9400917 ldw r5,36(sp) + 26554: e009883a mov r4,fp + 26558: 0028c0c0 call 28c0c <__pow5mult> + 2655c: 1021883a mov r16,r2 + 26560: 003f5a06 br 262cc <_gp+0xfffe9d94> + 26564: 01c00044 movi r7,1 + 26568: d9c00b15 stw r7,44(sp) + 2656c: d8802217 ldw r2,136(sp) + 26570: 0081280e bge zero,r2,26a14 <_dtoa_r+0x11b0> + 26574: 100d883a mov r6,r2 + 26578: 1021883a mov r16,r2 + 2657c: d8800c15 stw r2,48(sp) + 26580: d8800615 stw r2,24(sp) + 26584: 003d8806 br 25ba8 <_gp+0xfffe9670> + 26588: d8800617 ldw r2,24(sp) + 2658c: 00be9b16 blt zero,r2,25ffc <_gp+0xfffe9ac4> + 26590: 10010f1e bne r2,zero,269d0 <_dtoa_r+0x116c> + 26594: 880b883a mov r5,r17 + 26598: 000d883a mov r6,zero + 2659c: 01d00534 movhi r7,16404 + 265a0: 8009883a mov r4,r16 + 265a4: 002dd380 call 2dd38 <__muldf3> + 265a8: 900d883a mov r6,r18 + 265ac: 980f883a mov r7,r19 + 265b0: 1009883a mov r4,r2 + 265b4: 180b883a mov r5,r3 + 265b8: 002db680 call 2db68 <__gedf2> + 265bc: 002b883a mov r21,zero + 265c0: 0023883a mov r17,zero + 265c4: 1000bf16 blt r2,zero,268c4 <_dtoa_r+0x1060> + 265c8: d9802217 ldw r6,136(sp) + 265cc: ddc00717 ldw r23,28(sp) + 265d0: 018c303a nor r6,zero,r6 + 265d4: d9800515 stw r6,20(sp) + 265d8: a80b883a mov r5,r21 + 265dc: e009883a mov r4,fp + 265e0: 00286740 call 28674 <_Bfree> + 265e4: 883e4926 beq r17,zero,25f0c <_gp+0xfffe99d4> + 265e8: 003fad06 br 264a0 <_gp+0xfffe9f68> + 265ec: d9c01117 ldw r7,68(sp) + 265f0: 3801bc26 beq r7,zero,26ce4 <_dtoa_r+0x1480> + 265f4: 10810cc4 addi r2,r2,1075 + 265f8: dd400a17 ldw r21,40(sp) + 265fc: dd000817 ldw r20,32(sp) + 26600: 003f0a06 br 2622c <_gp+0xfffe9cf4> + 26604: 00800704 movi r2,28 + 26608: d9000817 ldw r4,32(sp) + 2660c: a0a9883a add r20,r20,r2 + 26610: b0ad883a add r22,r22,r2 + 26614: 2089883a add r4,r4,r2 + 26618: d9000815 stw r4,32(sp) + 2661c: 003f5106 br 26364 <_gp+0xfffe9e2c> + 26620: d8c00317 ldw r3,12(sp) + 26624: b8c1fc0e bge r23,r3,26e18 <_dtoa_r+0x15b4> + 26628: 0027883a mov r19,zero + 2662c: b805883a mov r2,r23 + 26630: 003f3e06 br 2632c <_gp+0xfffe9df4> + 26634: 880b883a mov r5,r17 + 26638: e009883a mov r4,fp + 2663c: 000f883a mov r7,zero + 26640: 01800284 movi r6,10 + 26644: 002869c0 call 2869c <__multadd> + 26648: d9000c17 ldw r4,48(sp) + 2664c: 1023883a mov r17,r2 + 26650: 0102040e bge zero,r4,26e64 <_dtoa_r+0x1600> + 26654: d9000615 stw r4,24(sp) + 26658: 0500050e bge zero,r20,26670 <_dtoa_r+0xe0c> + 2665c: 880b883a mov r5,r17 + 26660: a00d883a mov r6,r20 + 26664: e009883a mov r4,fp + 26668: 0028d4c0 call 28d4c <__lshift> + 2666c: 1023883a mov r17,r2 + 26670: 9801241e bne r19,zero,26b04 <_dtoa_r+0x12a0> + 26674: 8829883a mov r20,r17 + 26678: d9000617 ldw r4,24(sp) + 2667c: dcc00717 ldw r19,28(sp) + 26680: 9480004c andi r18,r18,1 + 26684: 20bfffc4 addi r2,r4,-1 + 26688: 9885883a add r2,r19,r2 + 2668c: d8800415 stw r2,16(sp) + 26690: dc800615 stw r18,24(sp) + 26694: a80b883a mov r5,r21 + 26698: 8009883a mov r4,r16 + 2669c: 00256640 call 25664 + 266a0: 880b883a mov r5,r17 + 266a4: 8009883a mov r4,r16 + 266a8: 102f883a mov r23,r2 + 266ac: 0028e940 call 28e94 <__mcmp> + 266b0: a80b883a mov r5,r21 + 266b4: a00d883a mov r6,r20 + 266b8: e009883a mov r4,fp + 266bc: 102d883a mov r22,r2 + 266c0: 0028ef40 call 28ef4 <__mdiff> + 266c4: 1007883a mov r3,r2 + 266c8: 10800317 ldw r2,12(r2) + 266cc: bc800c04 addi r18,r23,48 + 266d0: 180b883a mov r5,r3 + 266d4: 10004e1e bne r2,zero,26810 <_dtoa_r+0xfac> + 266d8: 8009883a mov r4,r16 + 266dc: d8c01615 stw r3,88(sp) + 266e0: 0028e940 call 28e94 <__mcmp> + 266e4: d8c01617 ldw r3,88(sp) + 266e8: e009883a mov r4,fp + 266ec: d8801615 stw r2,88(sp) + 266f0: 180b883a mov r5,r3 + 266f4: 00286740 call 28674 <_Bfree> + 266f8: d8801617 ldw r2,88(sp) + 266fc: 1000041e bne r2,zero,26710 <_dtoa_r+0xeac> + 26700: d9800317 ldw r6,12(sp) + 26704: 3000021e bne r6,zero,26710 <_dtoa_r+0xeac> + 26708: d8c00617 ldw r3,24(sp) + 2670c: 18003726 beq r3,zero,267ec <_dtoa_r+0xf88> + 26710: b0002016 blt r22,zero,26794 <_dtoa_r+0xf30> + 26714: b000041e bne r22,zero,26728 <_dtoa_r+0xec4> + 26718: d9000317 ldw r4,12(sp) + 2671c: 2000021e bne r4,zero,26728 <_dtoa_r+0xec4> + 26720: d8c00617 ldw r3,24(sp) + 26724: 18001b26 beq r3,zero,26794 <_dtoa_r+0xf30> + 26728: 00810716 blt zero,r2,26b48 <_dtoa_r+0x12e4> + 2672c: d8c00417 ldw r3,16(sp) + 26730: 9d800044 addi r22,r19,1 + 26734: 9c800005 stb r18,0(r19) + 26738: b02f883a mov r23,r22 + 2673c: 98c10626 beq r19,r3,26b58 <_dtoa_r+0x12f4> + 26740: 800b883a mov r5,r16 + 26744: 000f883a mov r7,zero + 26748: 01800284 movi r6,10 + 2674c: e009883a mov r4,fp + 26750: 002869c0 call 2869c <__multadd> + 26754: 1021883a mov r16,r2 + 26758: 000f883a mov r7,zero + 2675c: 01800284 movi r6,10 + 26760: 880b883a mov r5,r17 + 26764: e009883a mov r4,fp + 26768: 8d002526 beq r17,r20,26800 <_dtoa_r+0xf9c> + 2676c: 002869c0 call 2869c <__multadd> + 26770: a00b883a mov r5,r20 + 26774: 000f883a mov r7,zero + 26778: 01800284 movi r6,10 + 2677c: e009883a mov r4,fp + 26780: 1023883a mov r17,r2 + 26784: 002869c0 call 2869c <__multadd> + 26788: 1029883a mov r20,r2 + 2678c: b027883a mov r19,r22 + 26790: 003fc006 br 26694 <_gp+0xfffea15c> + 26794: 9011883a mov r8,r18 + 26798: 00800e0e bge zero,r2,267d4 <_dtoa_r+0xf70> + 2679c: 800b883a mov r5,r16 + 267a0: 01800044 movi r6,1 + 267a4: e009883a mov r4,fp + 267a8: da001715 stw r8,92(sp) + 267ac: 0028d4c0 call 28d4c <__lshift> + 267b0: a80b883a mov r5,r21 + 267b4: 1009883a mov r4,r2 + 267b8: 1021883a mov r16,r2 + 267bc: 0028e940 call 28e94 <__mcmp> + 267c0: da001717 ldw r8,92(sp) + 267c4: 0081960e bge zero,r2,26e20 <_dtoa_r+0x15bc> + 267c8: 00800e44 movi r2,57 + 267cc: 40817026 beq r8,r2,26d90 <_dtoa_r+0x152c> + 267d0: ba000c44 addi r8,r23,49 + 267d4: 8825883a mov r18,r17 + 267d8: 9dc00044 addi r23,r19,1 + 267dc: 9a000005 stb r8,0(r19) + 267e0: a023883a mov r17,r20 + 267e4: dc000915 stw r16,36(sp) + 267e8: 003f2406 br 2647c <_gp+0xfffe9f44> + 267ec: 00800e44 movi r2,57 + 267f0: 9011883a mov r8,r18 + 267f4: 90816626 beq r18,r2,26d90 <_dtoa_r+0x152c> + 267f8: 05bff516 blt zero,r22,267d0 <_gp+0xfffea298> + 267fc: 003ff506 br 267d4 <_gp+0xfffea29c> + 26800: 002869c0 call 2869c <__multadd> + 26804: 1023883a mov r17,r2 + 26808: 1029883a mov r20,r2 + 2680c: 003fdf06 br 2678c <_gp+0xfffea254> + 26810: e009883a mov r4,fp + 26814: 00286740 call 28674 <_Bfree> + 26818: 00800044 movi r2,1 + 2681c: 003fbc06 br 26710 <_gp+0xfffea1d8> + 26820: a80b883a mov r5,r21 + 26824: 8009883a mov r4,r16 + 26828: 0028e940 call 28e94 <__mcmp> + 2682c: 103edb0e bge r2,zero,2639c <_gp+0xfffe9e64> + 26830: 800b883a mov r5,r16 + 26834: 000f883a mov r7,zero + 26838: 01800284 movi r6,10 + 2683c: e009883a mov r4,fp + 26840: 002869c0 call 2869c <__multadd> + 26844: 1021883a mov r16,r2 + 26848: d8800517 ldw r2,20(sp) + 2684c: d8c00b17 ldw r3,44(sp) + 26850: 10bfffc4 addi r2,r2,-1 + 26854: d8800515 stw r2,20(sp) + 26858: 183f761e bne r3,zero,26634 <_gp+0xfffea0fc> + 2685c: d9000c17 ldw r4,48(sp) + 26860: 0101730e bge zero,r4,26e30 <_dtoa_r+0x15cc> + 26864: d9000615 stw r4,24(sp) + 26868: 003ed006 br 263ac <_gp+0xfffe9e74> + 2686c: 00800084 movi r2,2 + 26870: 3081861e bne r6,r2,26e8c <_dtoa_r+0x1628> + 26874: d8000b15 stw zero,44(sp) + 26878: 003f3c06 br 2656c <_gp+0xfffea034> + 2687c: dc000917 ldw r16,36(sp) + 26880: 003e9206 br 262cc <_gp+0xfffe9d94> + 26884: d9c00317 ldw r7,12(sp) + 26888: 00800084 movi r2,2 + 2688c: 11fec50e bge r2,r7,263a4 <_gp+0xfffe9e6c> + 26890: d9000617 ldw r4,24(sp) + 26894: 20013c1e bne r4,zero,26d88 <_dtoa_r+0x1524> + 26898: a80b883a mov r5,r21 + 2689c: 000f883a mov r7,zero + 268a0: 01800144 movi r6,5 + 268a4: e009883a mov r4,fp + 268a8: 002869c0 call 2869c <__multadd> + 268ac: 100b883a mov r5,r2 + 268b0: 8009883a mov r4,r16 + 268b4: 102b883a mov r21,r2 + 268b8: 0028e940 call 28e94 <__mcmp> + 268bc: dc000915 stw r16,36(sp) + 268c0: 00bf410e bge zero,r2,265c8 <_gp+0xfffea090> + 268c4: d9c00717 ldw r7,28(sp) + 268c8: 00800c44 movi r2,49 + 268cc: 38800005 stb r2,0(r7) + 268d0: d8800517 ldw r2,20(sp) + 268d4: 3dc00044 addi r23,r7,1 + 268d8: 10800044 addi r2,r2,1 + 268dc: d8800515 stw r2,20(sp) + 268e0: 003f3d06 br 265d8 <_gp+0xfffea0a0> + 268e4: d9800517 ldw r6,20(sp) + 268e8: d9c00717 ldw r7,28(sp) + 268ec: 00800c44 movi r2,49 + 268f0: 31800044 addi r6,r6,1 + 268f4: d9800515 stw r6,20(sp) + 268f8: 38800005 stb r2,0(r7) + 268fc: 003edf06 br 2647c <_gp+0xfffe9f44> + 26900: d8000b15 stw zero,44(sp) + 26904: 003c9f06 br 25b84 <_gp+0xfffe964c> + 26908: 903e7e1e bne r18,zero,26304 <_gp+0xfffe9dcc> + 2690c: 00800434 movhi r2,16 + 26910: 10bfffc4 addi r2,r2,-1 + 26914: 9884703a and r2,r19,r2 + 26918: 1000ea1e bne r2,zero,26cc4 <_dtoa_r+0x1460> + 2691c: 9cdffc2c andhi r19,r19,32752 + 26920: 9800e826 beq r19,zero,26cc4 <_dtoa_r+0x1460> + 26924: d9c00817 ldw r7,32(sp) + 26928: b5800044 addi r22,r22,1 + 2692c: 04c00044 movi r19,1 + 26930: 39c00044 addi r7,r7,1 + 26934: d9c00815 stw r7,32(sp) + 26938: d8800d17 ldw r2,52(sp) + 2693c: 103e721e bne r2,zero,26308 <_gp+0xfffe9dd0> + 26940: 00800044 movi r2,1 + 26944: 003e7906 br 2632c <_gp+0xfffe9df4> + 26948: 8009883a mov r4,r16 + 2694c: 002edcc0 call 2edcc <__floatsidf> + 26950: d9800f17 ldw r6,60(sp) + 26954: d9c01017 ldw r7,64(sp) + 26958: 1009883a mov r4,r2 + 2695c: 180b883a mov r5,r3 + 26960: 002dd380 call 2dd38 <__muldf3> + 26964: 000d883a mov r6,zero + 26968: 01d00734 movhi r7,16412 + 2696c: 1009883a mov r4,r2 + 26970: 180b883a mov r5,r3 + 26974: 002c94c0 call 2c94c <__adddf3> + 26978: 047f3034 movhi r17,64704 + 2697c: 1021883a mov r16,r2 + 26980: 1c63883a add r17,r3,r17 + 26984: d9000f17 ldw r4,60(sp) + 26988: d9401017 ldw r5,64(sp) + 2698c: 000d883a mov r6,zero + 26990: 01d00534 movhi r7,16404 + 26994: 002e4500 call 2e450 <__subdf3> + 26998: 800d883a mov r6,r16 + 2699c: 880f883a mov r7,r17 + 269a0: 1009883a mov r4,r2 + 269a4: 180b883a mov r5,r3 + 269a8: 102b883a mov r21,r2 + 269ac: 1829883a mov r20,r3 + 269b0: 002db680 call 2db68 <__gedf2> + 269b4: 00806c16 blt zero,r2,26b68 <_dtoa_r+0x1304> + 269b8: 89e0003c xorhi r7,r17,32768 + 269bc: 800d883a mov r6,r16 + 269c0: a809883a mov r4,r21 + 269c4: a00b883a mov r5,r20 + 269c8: 002dc440 call 2dc44 <__ledf2> + 269cc: 103d7e0e bge r2,zero,25fc8 <_gp+0xfffe9a90> + 269d0: 002b883a mov r21,zero + 269d4: 0023883a mov r17,zero + 269d8: 003efb06 br 265c8 <_gp+0xfffea090> + 269dc: d8800717 ldw r2,28(sp) + 269e0: 003bd006 br 25924 <_gp+0xfffe93ec> + 269e4: d9000a17 ldw r4,40(sp) + 269e8: d9800d17 ldw r6,52(sp) + 269ec: dd400a15 stw r21,40(sp) + 269f0: a905c83a sub r2,r21,r4 + 269f4: 308d883a add r6,r6,r2 + 269f8: d9800d15 stw r6,52(sp) + 269fc: 002b883a mov r21,zero + 26a00: 003e0606 br 2621c <_gp+0xfffe9ce4> + 26a04: 9023883a mov r17,r18 + 26a08: 9829883a mov r20,r19 + 26a0c: 04000084 movi r16,2 + 26a10: 003c9206 br 25c5c <_gp+0xfffe9724> + 26a14: 04000044 movi r16,1 + 26a18: dc000c15 stw r16,48(sp) + 26a1c: dc000615 stw r16,24(sp) + 26a20: dc002215 stw r16,136(sp) + 26a24: e0001115 stw zero,68(fp) + 26a28: 000b883a mov r5,zero + 26a2c: 003c6906 br 25bd4 <_gp+0xfffe969c> + 26a30: 3021883a mov r16,r6 + 26a34: 003ffb06 br 26a24 <_gp+0xfffea4ec> + 26a38: 1000021e bne r2,zero,26a44 <_dtoa_r+0x11e0> + 26a3c: 4200004c andi r8,r8,1 + 26a40: 403e7d1e bne r8,zero,26438 <_gp+0xfffe9f00> + 26a44: 01000c04 movi r4,48 + 26a48: 00000106 br 26a50 <_dtoa_r+0x11ec> + 26a4c: 102f883a mov r23,r2 + 26a50: b8bfffc4 addi r2,r23,-1 + 26a54: 10c00007 ldb r3,0(r2) + 26a58: 193ffc26 beq r3,r4,26a4c <_gp+0xfffea514> + 26a5c: 003e8706 br 2647c <_gp+0xfffe9f44> + 26a60: d8800517 ldw r2,20(sp) + 26a64: 00a3c83a sub r17,zero,r2 + 26a68: 8800a426 beq r17,zero,26cfc <_dtoa_r+0x1498> + 26a6c: 888003cc andi r2,r17,15 + 26a70: 100490fa slli r2,r2,3 + 26a74: 00c000f4 movhi r3,3 + 26a78: 18c83404 addi r3,r3,8400 + 26a7c: 1885883a add r2,r3,r2 + 26a80: 11800017 ldw r6,0(r2) + 26a84: 11c00117 ldw r7,4(r2) + 26a88: 9009883a mov r4,r18 + 26a8c: 980b883a mov r5,r19 + 26a90: 8823d13a srai r17,r17,4 + 26a94: 002dd380 call 2dd38 <__muldf3> + 26a98: d8800f15 stw r2,60(sp) + 26a9c: d8c01015 stw r3,64(sp) + 26aa0: 8800e826 beq r17,zero,26e44 <_dtoa_r+0x15e0> + 26aa4: 050000f4 movhi r20,3 + 26aa8: a5082a04 addi r20,r20,8360 + 26aac: 04000084 movi r16,2 + 26ab0: 8980004c andi r6,r17,1 + 26ab4: 1009883a mov r4,r2 + 26ab8: 8823d07a srai r17,r17,1 + 26abc: 180b883a mov r5,r3 + 26ac0: 30000426 beq r6,zero,26ad4 <_dtoa_r+0x1270> + 26ac4: a1800017 ldw r6,0(r20) + 26ac8: a1c00117 ldw r7,4(r20) + 26acc: 84000044 addi r16,r16,1 + 26ad0: 002dd380 call 2dd38 <__muldf3> + 26ad4: a5000204 addi r20,r20,8 + 26ad8: 883ff51e bne r17,zero,26ab0 <_gp+0xfffea578> + 26adc: d8800f15 stw r2,60(sp) + 26ae0: d8c01015 stw r3,64(sp) + 26ae4: 003c7606 br 25cc0 <_gp+0xfffe9788> + 26ae8: 00c00c04 movi r3,48 + 26aec: 10c00005 stb r3,0(r2) + 26af0: d8c00517 ldw r3,20(sp) + 26af4: bd3fffc3 ldbu r20,-1(r23) + 26af8: 18c00044 addi r3,r3,1 + 26afc: d8c00515 stw r3,20(sp) + 26b00: 003db906 br 261e8 <_gp+0xfffe9cb0> + 26b04: 89400117 ldw r5,4(r17) + 26b08: e009883a mov r4,fp + 26b0c: 00285cc0 call 285cc <_Balloc> + 26b10: 89800417 ldw r6,16(r17) + 26b14: 89400304 addi r5,r17,12 + 26b18: 11000304 addi r4,r2,12 + 26b1c: 31800084 addi r6,r6,2 + 26b20: 318d883a add r6,r6,r6 + 26b24: 318d883a add r6,r6,r6 + 26b28: 1027883a mov r19,r2 + 26b2c: 00283280 call 28328 + 26b30: 01800044 movi r6,1 + 26b34: 980b883a mov r5,r19 + 26b38: e009883a mov r4,fp + 26b3c: 0028d4c0 call 28d4c <__lshift> + 26b40: 1029883a mov r20,r2 + 26b44: 003ecc06 br 26678 <_gp+0xfffea140> + 26b48: 00800e44 movi r2,57 + 26b4c: 90809026 beq r18,r2,26d90 <_dtoa_r+0x152c> + 26b50: 92000044 addi r8,r18,1 + 26b54: 003f1f06 br 267d4 <_gp+0xfffea29c> + 26b58: 9011883a mov r8,r18 + 26b5c: 8825883a mov r18,r17 + 26b60: a023883a mov r17,r20 + 26b64: 003e2906 br 2640c <_gp+0xfffe9ed4> + 26b68: 002b883a mov r21,zero + 26b6c: 0023883a mov r17,zero + 26b70: 003f5406 br 268c4 <_gp+0xfffea38c> + 26b74: 61bfffc4 addi r6,r12,-1 + 26b78: 300490fa slli r2,r6,3 + 26b7c: 00c000f4 movhi r3,3 + 26b80: 18c83404 addi r3,r3,8400 + 26b84: 1885883a add r2,r3,r2 + 26b88: 11000017 ldw r4,0(r2) + 26b8c: 11400117 ldw r5,4(r2) + 26b90: d8800717 ldw r2,28(sp) + 26b94: 880f883a mov r7,r17 + 26b98: d9801215 stw r6,72(sp) + 26b9c: 800d883a mov r6,r16 + 26ba0: db001615 stw r12,88(sp) + 26ba4: 15c00044 addi r23,r2,1 + 26ba8: 002dd380 call 2dd38 <__muldf3> + 26bac: d9401017 ldw r5,64(sp) + 26bb0: d9000f17 ldw r4,60(sp) + 26bb4: d8c01515 stw r3,84(sp) + 26bb8: d8801415 stw r2,80(sp) + 26bbc: 002ed4c0 call 2ed4c <__fixdfsi> + 26bc0: 1009883a mov r4,r2 + 26bc4: 1021883a mov r16,r2 + 26bc8: 002edcc0 call 2edcc <__floatsidf> + 26bcc: d9000f17 ldw r4,60(sp) + 26bd0: d9401017 ldw r5,64(sp) + 26bd4: 100d883a mov r6,r2 + 26bd8: 180f883a mov r7,r3 + 26bdc: 002e4500 call 2e450 <__subdf3> + 26be0: 1829883a mov r20,r3 + 26be4: d8c00717 ldw r3,28(sp) + 26be8: 84000c04 addi r16,r16,48 + 26bec: 1023883a mov r17,r2 + 26bf0: 1c000005 stb r16,0(r3) + 26bf4: db001617 ldw r12,88(sp) + 26bf8: 00800044 movi r2,1 + 26bfc: 60802226 beq r12,r2,26c88 <_dtoa_r+0x1424> + 26c00: d9c00717 ldw r7,28(sp) + 26c04: 8805883a mov r2,r17 + 26c08: b82b883a mov r21,r23 + 26c0c: 3b19883a add r12,r7,r12 + 26c10: 6023883a mov r17,r12 + 26c14: a007883a mov r3,r20 + 26c18: dc800f15 stw r18,60(sp) + 26c1c: 000d883a mov r6,zero + 26c20: 01d00934 movhi r7,16420 + 26c24: 1009883a mov r4,r2 + 26c28: 180b883a mov r5,r3 + 26c2c: 002dd380 call 2dd38 <__muldf3> + 26c30: 180b883a mov r5,r3 + 26c34: 1009883a mov r4,r2 + 26c38: 1829883a mov r20,r3 + 26c3c: 1025883a mov r18,r2 + 26c40: 002ed4c0 call 2ed4c <__fixdfsi> + 26c44: 1009883a mov r4,r2 + 26c48: 1021883a mov r16,r2 + 26c4c: 002edcc0 call 2edcc <__floatsidf> + 26c50: 100d883a mov r6,r2 + 26c54: 180f883a mov r7,r3 + 26c58: 9009883a mov r4,r18 + 26c5c: a00b883a mov r5,r20 + 26c60: 84000c04 addi r16,r16,48 + 26c64: 002e4500 call 2e450 <__subdf3> + 26c68: ad400044 addi r21,r21,1 + 26c6c: ac3fffc5 stb r16,-1(r21) + 26c70: ac7fea1e bne r21,r17,26c1c <_gp+0xfffea6e4> + 26c74: 1023883a mov r17,r2 + 26c78: d8801217 ldw r2,72(sp) + 26c7c: dc800f17 ldw r18,60(sp) + 26c80: 1829883a mov r20,r3 + 26c84: b8af883a add r23,r23,r2 + 26c88: d9001417 ldw r4,80(sp) + 26c8c: d9401517 ldw r5,84(sp) + 26c90: 000d883a mov r6,zero + 26c94: 01cff834 movhi r7,16352 + 26c98: 002c94c0 call 2c94c <__adddf3> + 26c9c: 880d883a mov r6,r17 + 26ca0: a00f883a mov r7,r20 + 26ca4: 1009883a mov r4,r2 + 26ca8: 180b883a mov r5,r3 + 26cac: 002dc440 call 2dc44 <__ledf2> + 26cb0: 10003e0e bge r2,zero,26dac <_dtoa_r+0x1548> + 26cb4: d9001317 ldw r4,76(sp) + 26cb8: bd3fffc3 ldbu r20,-1(r23) + 26cbc: d9000515 stw r4,20(sp) + 26cc0: 003d3b06 br 261b0 <_gp+0xfffe9c78> + 26cc4: 0027883a mov r19,zero + 26cc8: 003f1b06 br 26938 <_gp+0xfffea400> + 26ccc: d8800817 ldw r2,32(sp) + 26cd0: 11e9c83a sub r20,r2,r7 + 26cd4: 0005883a mov r2,zero + 26cd8: 003d5406 br 2622c <_gp+0xfffe9cf4> + 26cdc: 00800044 movi r2,1 + 26ce0: 003dc706 br 26400 <_gp+0xfffe9ec8> + 26ce4: d8c00217 ldw r3,8(sp) + 26ce8: 00800d84 movi r2,54 + 26cec: dd400a17 ldw r21,40(sp) + 26cf0: 10c5c83a sub r2,r2,r3 + 26cf4: dd000817 ldw r20,32(sp) + 26cf8: 003d4c06 br 2622c <_gp+0xfffe9cf4> + 26cfc: dc800f15 stw r18,60(sp) + 26d00: dcc01015 stw r19,64(sp) + 26d04: 04000084 movi r16,2 + 26d08: 003bed06 br 25cc0 <_gp+0xfffe9788> + 26d0c: d9000617 ldw r4,24(sp) + 26d10: 203f0d26 beq r4,zero,26948 <_gp+0xfffea410> + 26d14: d9800c17 ldw r6,48(sp) + 26d18: 01bcab0e bge zero,r6,25fc8 <_gp+0xfffe9a90> + 26d1c: d9401017 ldw r5,64(sp) + 26d20: d9000f17 ldw r4,60(sp) + 26d24: 000d883a mov r6,zero + 26d28: 01d00934 movhi r7,16420 + 26d2c: 002dd380 call 2dd38 <__muldf3> + 26d30: 81000044 addi r4,r16,1 + 26d34: d8800f15 stw r2,60(sp) + 26d38: d8c01015 stw r3,64(sp) + 26d3c: 002edcc0 call 2edcc <__floatsidf> + 26d40: d9800f17 ldw r6,60(sp) + 26d44: d9c01017 ldw r7,64(sp) + 26d48: 1009883a mov r4,r2 + 26d4c: 180b883a mov r5,r3 + 26d50: 002dd380 call 2dd38 <__muldf3> + 26d54: 01d00734 movhi r7,16412 + 26d58: 000d883a mov r6,zero + 26d5c: 1009883a mov r4,r2 + 26d60: 180b883a mov r5,r3 + 26d64: 002c94c0 call 2c94c <__adddf3> + 26d68: d9c00517 ldw r7,20(sp) + 26d6c: 047f3034 movhi r17,64704 + 26d70: 1021883a mov r16,r2 + 26d74: 39ffffc4 addi r7,r7,-1 + 26d78: d9c01315 stw r7,76(sp) + 26d7c: 1c63883a add r17,r3,r17 + 26d80: db000c17 ldw r12,48(sp) + 26d84: 003bea06 br 25d30 <_gp+0xfffe97f8> + 26d88: dc000915 stw r16,36(sp) + 26d8c: 003e0e06 br 265c8 <_gp+0xfffea090> + 26d90: 01000e44 movi r4,57 + 26d94: 8825883a mov r18,r17 + 26d98: 9dc00044 addi r23,r19,1 + 26d9c: 99000005 stb r4,0(r19) + 26da0: a023883a mov r17,r20 + 26da4: dc000915 stw r16,36(sp) + 26da8: 003da406 br 2643c <_gp+0xfffe9f04> + 26dac: d9801417 ldw r6,80(sp) + 26db0: d9c01517 ldw r7,84(sp) + 26db4: 0009883a mov r4,zero + 26db8: 014ff834 movhi r5,16352 + 26dbc: 002e4500 call 2e450 <__subdf3> + 26dc0: 880d883a mov r6,r17 + 26dc4: a00f883a mov r7,r20 + 26dc8: 1009883a mov r4,r2 + 26dcc: 180b883a mov r5,r3 + 26dd0: 002db680 call 2db68 <__gedf2> + 26dd4: 00bc7c0e bge zero,r2,25fc8 <_gp+0xfffe9a90> + 26dd8: 01000c04 movi r4,48 + 26ddc: 00000106 br 26de4 <_dtoa_r+0x1580> + 26de0: 102f883a mov r23,r2 + 26de4: b8bfffc4 addi r2,r23,-1 + 26de8: 10c00007 ldb r3,0(r2) + 26dec: 193ffc26 beq r3,r4,26de0 <_gp+0xfffea8a8> + 26df0: d9801317 ldw r6,76(sp) + 26df4: d9800515 stw r6,20(sp) + 26df8: 003c4406 br 25f0c <_gp+0xfffe99d4> + 26dfc: d9801317 ldw r6,76(sp) + 26e00: d9800515 stw r6,20(sp) + 26e04: 003cea06 br 261b0 <_gp+0xfffe9c78> + 26e08: dd800f17 ldw r22,60(sp) + 26e0c: dcc01017 ldw r19,64(sp) + 26e10: dc801217 ldw r18,72(sp) + 26e14: 003c6c06 br 25fc8 <_gp+0xfffe9a90> + 26e18: 903e031e bne r18,zero,26628 <_gp+0xfffea0f0> + 26e1c: 003ebb06 br 2690c <_gp+0xfffea3d4> + 26e20: 103e6c1e bne r2,zero,267d4 <_gp+0xfffea29c> + 26e24: 4080004c andi r2,r8,1 + 26e28: 103e6a26 beq r2,zero,267d4 <_gp+0xfffea29c> + 26e2c: 003e6606 br 267c8 <_gp+0xfffea290> + 26e30: d8c00317 ldw r3,12(sp) + 26e34: 00800084 movi r2,2 + 26e38: 10c02916 blt r2,r3,26ee0 <_dtoa_r+0x167c> + 26e3c: d9000c17 ldw r4,48(sp) + 26e40: 003e8806 br 26864 <_gp+0xfffea32c> + 26e44: 04000084 movi r16,2 + 26e48: 003b9d06 br 25cc0 <_gp+0xfffe9788> + 26e4c: d9001317 ldw r4,76(sp) + 26e50: d9000515 stw r4,20(sp) + 26e54: 003cd606 br 261b0 <_gp+0xfffe9c78> + 26e58: d8801317 ldw r2,76(sp) + 26e5c: d8800515 stw r2,20(sp) + 26e60: 003c2a06 br 25f0c <_gp+0xfffe99d4> + 26e64: d9800317 ldw r6,12(sp) + 26e68: 00800084 movi r2,2 + 26e6c: 11801516 blt r2,r6,26ec4 <_dtoa_r+0x1660> + 26e70: d9c00c17 ldw r7,48(sp) + 26e74: d9c00615 stw r7,24(sp) + 26e78: 003df706 br 26658 <_gp+0xfffea120> + 26e7c: 193d3926 beq r3,r4,26364 <_gp+0xfffe9e2c> + 26e80: 00c00f04 movi r3,60 + 26e84: 1885c83a sub r2,r3,r2 + 26e88: 003ddf06 br 26608 <_gp+0xfffea0d0> + 26e8c: e009883a mov r4,fp + 26e90: e0001115 stw zero,68(fp) + 26e94: 000b883a mov r5,zero + 26e98: 00285cc0 call 285cc <_Balloc> + 26e9c: d8800715 stw r2,28(sp) + 26ea0: d8c00717 ldw r3,28(sp) + 26ea4: 00bfffc4 movi r2,-1 + 26ea8: 01000044 movi r4,1 + 26eac: d8800c15 stw r2,48(sp) + 26eb0: e0c01015 stw r3,64(fp) + 26eb4: d9000b15 stw r4,44(sp) + 26eb8: d8800615 stw r2,24(sp) + 26ebc: d8002215 stw zero,136(sp) + 26ec0: 003c4106 br 25fc8 <_gp+0xfffe9a90> + 26ec4: d8c00c17 ldw r3,48(sp) + 26ec8: d8c00615 stw r3,24(sp) + 26ecc: 003e7006 br 26890 <_gp+0xfffea358> + 26ed0: 04400044 movi r17,1 + 26ed4: 003b2006 br 25b58 <_gp+0xfffe9620> + 26ed8: 000b883a mov r5,zero + 26edc: 003b3d06 br 25bd4 <_gp+0xfffe969c> + 26ee0: d8800c17 ldw r2,48(sp) + 26ee4: d8800615 stw r2,24(sp) + 26ee8: 003e6906 br 26890 <_gp+0xfffea358> -000274b0 <__sflush_r>: - 274b0: 2880030b ldhu r2,12(r5) - 274b4: defffb04 addi sp,sp,-20 - 274b8: dcc00315 stw r19,12(sp) - 274bc: dc400115 stw r17,4(sp) - 274c0: dfc00415 stw ra,16(sp) - 274c4: dc800215 stw r18,8(sp) - 274c8: dc000015 stw r16,0(sp) - 274cc: 10c0020c andi r3,r2,8 - 274d0: 2823883a mov r17,r5 - 274d4: 2027883a mov r19,r4 - 274d8: 1800311e bne r3,zero,275a0 <__sflush_r+0xf0> - 274dc: 28c00117 ldw r3,4(r5) - 274e0: 10820014 ori r2,r2,2048 - 274e4: 2880030d sth r2,12(r5) - 274e8: 00c04b0e bge zero,r3,27618 <__sflush_r+0x168> - 274ec: 8a000a17 ldw r8,40(r17) - 274f0: 40002326 beq r8,zero,27580 <__sflush_r+0xd0> - 274f4: 9c000017 ldw r16,0(r19) - 274f8: 10c4000c andi r3,r2,4096 - 274fc: 98000015 stw zero,0(r19) - 27500: 18004826 beq r3,zero,27624 <__sflush_r+0x174> - 27504: 89801417 ldw r6,80(r17) - 27508: 10c0010c andi r3,r2,4 - 2750c: 18000626 beq r3,zero,27528 <__sflush_r+0x78> - 27510: 88c00117 ldw r3,4(r17) - 27514: 88800c17 ldw r2,48(r17) - 27518: 30cdc83a sub r6,r6,r3 - 2751c: 10000226 beq r2,zero,27528 <__sflush_r+0x78> - 27520: 88800f17 ldw r2,60(r17) - 27524: 308dc83a sub r6,r6,r2 - 27528: 89400717 ldw r5,28(r17) - 2752c: 000f883a mov r7,zero - 27530: 9809883a mov r4,r19 - 27534: 403ee83a callr r8 - 27538: 00ffffc4 movi r3,-1 - 2753c: 10c04426 beq r2,r3,27650 <__sflush_r+0x1a0> - 27540: 88c0030b ldhu r3,12(r17) - 27544: 89000417 ldw r4,16(r17) - 27548: 88000115 stw zero,4(r17) - 2754c: 197dffcc andi r5,r3,63487 - 27550: 8940030d sth r5,12(r17) - 27554: 89000015 stw r4,0(r17) - 27558: 18c4000c andi r3,r3,4096 - 2755c: 18002c1e bne r3,zero,27610 <__sflush_r+0x160> - 27560: 89400c17 ldw r5,48(r17) - 27564: 9c000015 stw r16,0(r19) - 27568: 28000526 beq r5,zero,27580 <__sflush_r+0xd0> - 2756c: 88801004 addi r2,r17,64 - 27570: 28800226 beq r5,r2,2757c <__sflush_r+0xcc> - 27574: 9809883a mov r4,r19 - 27578: 0027c1c0 call 27c1c <_free_r> - 2757c: 88000c15 stw zero,48(r17) - 27580: 0005883a mov r2,zero - 27584: dfc00417 ldw ra,16(sp) - 27588: dcc00317 ldw r19,12(sp) - 2758c: dc800217 ldw r18,8(sp) - 27590: dc400117 ldw r17,4(sp) - 27594: dc000017 ldw r16,0(sp) - 27598: dec00504 addi sp,sp,20 - 2759c: f800283a ret - 275a0: 2c800417 ldw r18,16(r5) - 275a4: 903ff626 beq r18,zero,27580 <__sflush_r+0xd0> - 275a8: 2c000017 ldw r16,0(r5) - 275ac: 108000cc andi r2,r2,3 - 275b0: 2c800015 stw r18,0(r5) - 275b4: 84a1c83a sub r16,r16,r18 - 275b8: 1000131e bne r2,zero,27608 <__sflush_r+0x158> - 275bc: 28800517 ldw r2,20(r5) - 275c0: 88800215 stw r2,8(r17) - 275c4: 04000316 blt zero,r16,275d4 <__sflush_r+0x124> - 275c8: 003fed06 br 27580 <__sflush_r+0xd0> - 275cc: 90a5883a add r18,r18,r2 - 275d0: 043feb0e bge zero,r16,27580 <__sflush_r+0xd0> - 275d4: 88800917 ldw r2,36(r17) - 275d8: 89400717 ldw r5,28(r17) - 275dc: 800f883a mov r7,r16 - 275e0: 900d883a mov r6,r18 - 275e4: 9809883a mov r4,r19 - 275e8: 103ee83a callr r2 - 275ec: 80a1c83a sub r16,r16,r2 - 275f0: 00bff616 blt zero,r2,275cc <__sflush_r+0x11c> - 275f4: 88c0030b ldhu r3,12(r17) - 275f8: 00bfffc4 movi r2,-1 - 275fc: 18c01014 ori r3,r3,64 - 27600: 88c0030d sth r3,12(r17) - 27604: 003fdf06 br 27584 <__sflush_r+0xd4> - 27608: 0005883a mov r2,zero - 2760c: 003fec06 br 275c0 <__sflush_r+0x110> - 27610: 88801415 stw r2,80(r17) - 27614: 003fd206 br 27560 <__sflush_r+0xb0> - 27618: 28c00f17 ldw r3,60(r5) - 2761c: 00ffb316 blt zero,r3,274ec <__sflush_r+0x3c> - 27620: 003fd706 br 27580 <__sflush_r+0xd0> - 27624: 89400717 ldw r5,28(r17) - 27628: 000d883a mov r6,zero - 2762c: 01c00044 movi r7,1 - 27630: 9809883a mov r4,r19 - 27634: 403ee83a callr r8 - 27638: 100d883a mov r6,r2 - 2763c: 00bfffc4 movi r2,-1 - 27640: 30801426 beq r6,r2,27694 <__sflush_r+0x1e4> - 27644: 8880030b ldhu r2,12(r17) - 27648: 8a000a17 ldw r8,40(r17) - 2764c: 003fae06 br 27508 <__sflush_r+0x58> - 27650: 98c00017 ldw r3,0(r19) - 27654: 183fba26 beq r3,zero,27540 <__sflush_r+0x90> - 27658: 01000744 movi r4,29 - 2765c: 19000626 beq r3,r4,27678 <__sflush_r+0x1c8> - 27660: 01000584 movi r4,22 - 27664: 19000426 beq r3,r4,27678 <__sflush_r+0x1c8> - 27668: 88c0030b ldhu r3,12(r17) - 2766c: 18c01014 ori r3,r3,64 - 27670: 88c0030d sth r3,12(r17) - 27674: 003fc306 br 27584 <__sflush_r+0xd4> - 27678: 8880030b ldhu r2,12(r17) - 2767c: 88c00417 ldw r3,16(r17) - 27680: 88000115 stw zero,4(r17) - 27684: 10bdffcc andi r2,r2,63487 - 27688: 8880030d sth r2,12(r17) - 2768c: 88c00015 stw r3,0(r17) - 27690: 003fb306 br 27560 <__sflush_r+0xb0> - 27694: 98800017 ldw r2,0(r19) - 27698: 103fea26 beq r2,zero,27644 <__sflush_r+0x194> - 2769c: 00c00744 movi r3,29 - 276a0: 10c00226 beq r2,r3,276ac <__sflush_r+0x1fc> - 276a4: 00c00584 movi r3,22 - 276a8: 10c0031e bne r2,r3,276b8 <__sflush_r+0x208> - 276ac: 9c000015 stw r16,0(r19) - 276b0: 0005883a mov r2,zero - 276b4: 003fb306 br 27584 <__sflush_r+0xd4> - 276b8: 88c0030b ldhu r3,12(r17) - 276bc: 3005883a mov r2,r6 - 276c0: 18c01014 ori r3,r3,64 - 276c4: 88c0030d sth r3,12(r17) - 276c8: 003fae06 br 27584 <__sflush_r+0xd4> +00026eec <__sflush_r>: + 26eec: 2880030b ldhu r2,12(r5) + 26ef0: defffb04 addi sp,sp,-20 + 26ef4: dcc00315 stw r19,12(sp) + 26ef8: dc400115 stw r17,4(sp) + 26efc: dfc00415 stw ra,16(sp) + 26f00: dc800215 stw r18,8(sp) + 26f04: dc000015 stw r16,0(sp) + 26f08: 10c0020c andi r3,r2,8 + 26f0c: 2823883a mov r17,r5 + 26f10: 2027883a mov r19,r4 + 26f14: 1800311e bne r3,zero,26fdc <__sflush_r+0xf0> + 26f18: 28c00117 ldw r3,4(r5) + 26f1c: 10820014 ori r2,r2,2048 + 26f20: 2880030d sth r2,12(r5) + 26f24: 00c04b0e bge zero,r3,27054 <__sflush_r+0x168> + 26f28: 8a000a17 ldw r8,40(r17) + 26f2c: 40002326 beq r8,zero,26fbc <__sflush_r+0xd0> + 26f30: 9c000017 ldw r16,0(r19) + 26f34: 10c4000c andi r3,r2,4096 + 26f38: 98000015 stw zero,0(r19) + 26f3c: 18004826 beq r3,zero,27060 <__sflush_r+0x174> + 26f40: 89801417 ldw r6,80(r17) + 26f44: 10c0010c andi r3,r2,4 + 26f48: 18000626 beq r3,zero,26f64 <__sflush_r+0x78> + 26f4c: 88c00117 ldw r3,4(r17) + 26f50: 88800c17 ldw r2,48(r17) + 26f54: 30cdc83a sub r6,r6,r3 + 26f58: 10000226 beq r2,zero,26f64 <__sflush_r+0x78> + 26f5c: 88800f17 ldw r2,60(r17) + 26f60: 308dc83a sub r6,r6,r2 + 26f64: 89400717 ldw r5,28(r17) + 26f68: 000f883a mov r7,zero + 26f6c: 9809883a mov r4,r19 + 26f70: 403ee83a callr r8 + 26f74: 00ffffc4 movi r3,-1 + 26f78: 10c04426 beq r2,r3,2708c <__sflush_r+0x1a0> + 26f7c: 88c0030b ldhu r3,12(r17) + 26f80: 89000417 ldw r4,16(r17) + 26f84: 88000115 stw zero,4(r17) + 26f88: 197dffcc andi r5,r3,63487 + 26f8c: 8940030d sth r5,12(r17) + 26f90: 89000015 stw r4,0(r17) + 26f94: 18c4000c andi r3,r3,4096 + 26f98: 18002c1e bne r3,zero,2704c <__sflush_r+0x160> + 26f9c: 89400c17 ldw r5,48(r17) + 26fa0: 9c000015 stw r16,0(r19) + 26fa4: 28000526 beq r5,zero,26fbc <__sflush_r+0xd0> + 26fa8: 88801004 addi r2,r17,64 + 26fac: 28800226 beq r5,r2,26fb8 <__sflush_r+0xcc> + 26fb0: 9809883a mov r4,r19 + 26fb4: 00276580 call 27658 <_free_r> + 26fb8: 88000c15 stw zero,48(r17) + 26fbc: 0005883a mov r2,zero + 26fc0: dfc00417 ldw ra,16(sp) + 26fc4: dcc00317 ldw r19,12(sp) + 26fc8: dc800217 ldw r18,8(sp) + 26fcc: dc400117 ldw r17,4(sp) + 26fd0: dc000017 ldw r16,0(sp) + 26fd4: dec00504 addi sp,sp,20 + 26fd8: f800283a ret + 26fdc: 2c800417 ldw r18,16(r5) + 26fe0: 903ff626 beq r18,zero,26fbc <_gp+0xfffeaa84> + 26fe4: 2c000017 ldw r16,0(r5) + 26fe8: 108000cc andi r2,r2,3 + 26fec: 2c800015 stw r18,0(r5) + 26ff0: 84a1c83a sub r16,r16,r18 + 26ff4: 1000131e bne r2,zero,27044 <__sflush_r+0x158> + 26ff8: 28800517 ldw r2,20(r5) + 26ffc: 88800215 stw r2,8(r17) + 27000: 04000316 blt zero,r16,27010 <__sflush_r+0x124> + 27004: 003fed06 br 26fbc <_gp+0xfffeaa84> + 27008: 90a5883a add r18,r18,r2 + 2700c: 043feb0e bge zero,r16,26fbc <_gp+0xfffeaa84> + 27010: 88800917 ldw r2,36(r17) + 27014: 89400717 ldw r5,28(r17) + 27018: 800f883a mov r7,r16 + 2701c: 900d883a mov r6,r18 + 27020: 9809883a mov r4,r19 + 27024: 103ee83a callr r2 + 27028: 80a1c83a sub r16,r16,r2 + 2702c: 00bff616 blt zero,r2,27008 <_gp+0xfffeaad0> + 27030: 88c0030b ldhu r3,12(r17) + 27034: 00bfffc4 movi r2,-1 + 27038: 18c01014 ori r3,r3,64 + 2703c: 88c0030d sth r3,12(r17) + 27040: 003fdf06 br 26fc0 <_gp+0xfffeaa88> + 27044: 0005883a mov r2,zero + 27048: 003fec06 br 26ffc <_gp+0xfffeaac4> + 2704c: 88801415 stw r2,80(r17) + 27050: 003fd206 br 26f9c <_gp+0xfffeaa64> + 27054: 28c00f17 ldw r3,60(r5) + 27058: 00ffb316 blt zero,r3,26f28 <_gp+0xfffea9f0> + 2705c: 003fd706 br 26fbc <_gp+0xfffeaa84> + 27060: 89400717 ldw r5,28(r17) + 27064: 000d883a mov r6,zero + 27068: 01c00044 movi r7,1 + 2706c: 9809883a mov r4,r19 + 27070: 403ee83a callr r8 + 27074: 100d883a mov r6,r2 + 27078: 00bfffc4 movi r2,-1 + 2707c: 30801426 beq r6,r2,270d0 <__sflush_r+0x1e4> + 27080: 8880030b ldhu r2,12(r17) + 27084: 8a000a17 ldw r8,40(r17) + 27088: 003fae06 br 26f44 <_gp+0xfffeaa0c> + 2708c: 98c00017 ldw r3,0(r19) + 27090: 183fba26 beq r3,zero,26f7c <_gp+0xfffeaa44> + 27094: 01000744 movi r4,29 + 27098: 19000626 beq r3,r4,270b4 <__sflush_r+0x1c8> + 2709c: 01000584 movi r4,22 + 270a0: 19000426 beq r3,r4,270b4 <__sflush_r+0x1c8> + 270a4: 88c0030b ldhu r3,12(r17) + 270a8: 18c01014 ori r3,r3,64 + 270ac: 88c0030d sth r3,12(r17) + 270b0: 003fc306 br 26fc0 <_gp+0xfffeaa88> + 270b4: 8880030b ldhu r2,12(r17) + 270b8: 88c00417 ldw r3,16(r17) + 270bc: 88000115 stw zero,4(r17) + 270c0: 10bdffcc andi r2,r2,63487 + 270c4: 8880030d sth r2,12(r17) + 270c8: 88c00015 stw r3,0(r17) + 270cc: 003fb306 br 26f9c <_gp+0xfffeaa64> + 270d0: 98800017 ldw r2,0(r19) + 270d4: 103fea26 beq r2,zero,27080 <_gp+0xfffeab48> + 270d8: 00c00744 movi r3,29 + 270dc: 10c00226 beq r2,r3,270e8 <__sflush_r+0x1fc> + 270e0: 00c00584 movi r3,22 + 270e4: 10c0031e bne r2,r3,270f4 <__sflush_r+0x208> + 270e8: 9c000015 stw r16,0(r19) + 270ec: 0005883a mov r2,zero + 270f0: 003fb306 br 26fc0 <_gp+0xfffeaa88> + 270f4: 88c0030b ldhu r3,12(r17) + 270f8: 3005883a mov r2,r6 + 270fc: 18c01014 ori r3,r3,64 + 27100: 88c0030d sth r3,12(r17) + 27104: 003fae06 br 26fc0 <_gp+0xfffeaa88> -000276cc <_fflush_r>: - 276cc: defffd04 addi sp,sp,-12 - 276d0: dc000115 stw r16,4(sp) - 276d4: dfc00215 stw ra,8(sp) - 276d8: 2021883a mov r16,r4 - 276dc: 20000226 beq r4,zero,276e8 <_fflush_r+0x1c> - 276e0: 20800e17 ldw r2,56(r4) - 276e4: 10000c26 beq r2,zero,27718 <_fflush_r+0x4c> - 276e8: 2880030f ldh r2,12(r5) - 276ec: 1000051e bne r2,zero,27704 <_fflush_r+0x38> - 276f0: 0005883a mov r2,zero - 276f4: dfc00217 ldw ra,8(sp) - 276f8: dc000117 ldw r16,4(sp) - 276fc: dec00304 addi sp,sp,12 - 27700: f800283a ret - 27704: 8009883a mov r4,r16 - 27708: dfc00217 ldw ra,8(sp) - 2770c: dc000117 ldw r16,4(sp) - 27710: dec00304 addi sp,sp,12 - 27714: 00274b01 jmpi 274b0 <__sflush_r> - 27718: d9400015 stw r5,0(sp) - 2771c: 0027aa80 call 27aa8 <__sinit> - 27720: d9400017 ldw r5,0(sp) - 27724: 003ff006 br 276e8 <_fflush_r+0x1c> +00027108 <_fflush_r>: + 27108: defffd04 addi sp,sp,-12 + 2710c: dc000115 stw r16,4(sp) + 27110: dfc00215 stw ra,8(sp) + 27114: 2021883a mov r16,r4 + 27118: 20000226 beq r4,zero,27124 <_fflush_r+0x1c> + 2711c: 20800e17 ldw r2,56(r4) + 27120: 10000c26 beq r2,zero,27154 <_fflush_r+0x4c> + 27124: 2880030f ldh r2,12(r5) + 27128: 1000051e bne r2,zero,27140 <_fflush_r+0x38> + 2712c: 0005883a mov r2,zero + 27130: dfc00217 ldw ra,8(sp) + 27134: dc000117 ldw r16,4(sp) + 27138: dec00304 addi sp,sp,12 + 2713c: f800283a ret + 27140: 8009883a mov r4,r16 + 27144: dfc00217 ldw ra,8(sp) + 27148: dc000117 ldw r16,4(sp) + 2714c: dec00304 addi sp,sp,12 + 27150: 0026eec1 jmpi 26eec <__sflush_r> + 27154: d9400015 stw r5,0(sp) + 27158: 00274e40 call 274e4 <__sinit> + 2715c: d9400017 ldw r5,0(sp) + 27160: 003ff006 br 27124 <_gp+0xfffeabec> -00027728 : - 27728: 20000526 beq r4,zero,27740 - 2772c: 008000f4 movhi r2,3 - 27730: 10939f04 addi r2,r2,20092 - 27734: 200b883a mov r5,r4 - 27738: 11000017 ldw r4,0(r2) - 2773c: 00276cc1 jmpi 276cc <_fflush_r> - 27740: 008000f4 movhi r2,3 - 27744: 10939e04 addi r2,r2,20088 - 27748: 11000017 ldw r4,0(r2) - 2774c: 014000b4 movhi r5,2 - 27750: 295db304 addi r5,r5,30412 - 27754: 00284ac1 jmpi 284ac <_fwalk_reent> +00027164 : + 27164: 20000526 beq r4,zero,2717c + 27168: 008000f4 movhi r2,3 + 2716c: 10915404 addi r2,r2,17744 + 27170: 200b883a mov r5,r4 + 27174: 11000017 ldw r4,0(r2) + 27178: 00271081 jmpi 27108 <_fflush_r> + 2717c: 008000f4 movhi r2,3 + 27180: 10915304 addi r2,r2,17740 + 27184: 11000017 ldw r4,0(r2) + 27188: 014000b4 movhi r5,2 + 2718c: 295c4204 addi r5,r5,28936 + 27190: 0027ee81 jmpi 27ee8 <_fwalk_reent> -00027758 <__fp_unlock>: - 27758: 0005883a mov r2,zero - 2775c: f800283a ret +00027194 <__fp_unlock>: + 27194: 0005883a mov r2,zero + 27198: f800283a ret -00027760 <_cleanup_r>: - 27760: 014000f4 movhi r5,3 - 27764: 296ffb04 addi r5,r5,-16404 - 27768: 00284ac1 jmpi 284ac <_fwalk_reent> +0002719c <_cleanup_r>: + 2719c: 014000f4 movhi r5,3 + 271a0: 296e0e04 addi r5,r5,-18376 + 271a4: 0027ee81 jmpi 27ee8 <_fwalk_reent> -0002776c <__sinit.part.1>: - 2776c: defff704 addi sp,sp,-36 - 27770: 00c000b4 movhi r3,2 - 27774: dfc00815 stw ra,32(sp) - 27778: ddc00715 stw r23,28(sp) - 2777c: dd800615 stw r22,24(sp) - 27780: dd400515 stw r21,20(sp) - 27784: dd000415 stw r20,16(sp) - 27788: dcc00315 stw r19,12(sp) - 2778c: dc800215 stw r18,8(sp) - 27790: dc400115 stw r17,4(sp) - 27794: dc000015 stw r16,0(sp) - 27798: 18ddd804 addi r3,r3,30560 - 2779c: 24000117 ldw r16,4(r4) - 277a0: 20c00f15 stw r3,60(r4) - 277a4: 2080bb04 addi r2,r4,748 - 277a8: 00c000c4 movi r3,3 - 277ac: 20c0b915 stw r3,740(r4) - 277b0: 2080ba15 stw r2,744(r4) - 277b4: 2000b815 stw zero,736(r4) - 277b8: 05c00204 movi r23,8 - 277bc: 00800104 movi r2,4 - 277c0: 2025883a mov r18,r4 - 277c4: b80d883a mov r6,r23 - 277c8: 81001704 addi r4,r16,92 - 277cc: 000b883a mov r5,zero - 277d0: 80000015 stw zero,0(r16) - 277d4: 80000115 stw zero,4(r16) - 277d8: 80000215 stw zero,8(r16) - 277dc: 8080030d sth r2,12(r16) - 277e0: 80001915 stw zero,100(r16) - 277e4: 8000038d sth zero,14(r16) - 277e8: 80000415 stw zero,16(r16) - 277ec: 80000515 stw zero,20(r16) - 277f0: 80000615 stw zero,24(r16) - 277f4: 00234080 call 23408 - 277f8: 058000f4 movhi r22,3 - 277fc: 94400217 ldw r17,8(r18) - 27800: 054000f4 movhi r21,3 - 27804: 050000f4 movhi r20,3 - 27808: 04c000f4 movhi r19,3 - 2780c: b5a92f04 addi r22,r22,-23364 - 27810: ad694604 addi r21,r21,-23272 - 27814: a5296504 addi r20,r20,-23148 - 27818: 9ce97c04 addi r19,r19,-23056 - 2781c: 85800815 stw r22,32(r16) - 27820: 85400915 stw r21,36(r16) - 27824: 85000a15 stw r20,40(r16) - 27828: 84c00b15 stw r19,44(r16) - 2782c: 84000715 stw r16,28(r16) - 27830: 00800284 movi r2,10 - 27834: 8880030d sth r2,12(r17) - 27838: 00800044 movi r2,1 - 2783c: b80d883a mov r6,r23 - 27840: 89001704 addi r4,r17,92 - 27844: 000b883a mov r5,zero - 27848: 88000015 stw zero,0(r17) - 2784c: 88000115 stw zero,4(r17) - 27850: 88000215 stw zero,8(r17) - 27854: 88001915 stw zero,100(r17) - 27858: 8880038d sth r2,14(r17) - 2785c: 88000415 stw zero,16(r17) - 27860: 88000515 stw zero,20(r17) - 27864: 88000615 stw zero,24(r17) - 27868: 00234080 call 23408 - 2786c: 94000317 ldw r16,12(r18) - 27870: 00800484 movi r2,18 - 27874: 8c400715 stw r17,28(r17) - 27878: 8d800815 stw r22,32(r17) - 2787c: 8d400915 stw r21,36(r17) - 27880: 8d000a15 stw r20,40(r17) - 27884: 8cc00b15 stw r19,44(r17) - 27888: 8080030d sth r2,12(r16) - 2788c: 00800084 movi r2,2 - 27890: 80000015 stw zero,0(r16) - 27894: 80000115 stw zero,4(r16) - 27898: 80000215 stw zero,8(r16) - 2789c: 80001915 stw zero,100(r16) - 278a0: 8080038d sth r2,14(r16) - 278a4: 80000415 stw zero,16(r16) - 278a8: 80000515 stw zero,20(r16) - 278ac: 80000615 stw zero,24(r16) - 278b0: b80d883a mov r6,r23 - 278b4: 000b883a mov r5,zero - 278b8: 81001704 addi r4,r16,92 - 278bc: 00234080 call 23408 - 278c0: 00800044 movi r2,1 - 278c4: 84000715 stw r16,28(r16) - 278c8: 85800815 stw r22,32(r16) - 278cc: 85400915 stw r21,36(r16) - 278d0: 85000a15 stw r20,40(r16) - 278d4: 84c00b15 stw r19,44(r16) - 278d8: 90800e15 stw r2,56(r18) - 278dc: dfc00817 ldw ra,32(sp) - 278e0: ddc00717 ldw r23,28(sp) - 278e4: dd800617 ldw r22,24(sp) - 278e8: dd400517 ldw r21,20(sp) - 278ec: dd000417 ldw r20,16(sp) - 278f0: dcc00317 ldw r19,12(sp) - 278f4: dc800217 ldw r18,8(sp) - 278f8: dc400117 ldw r17,4(sp) - 278fc: dc000017 ldw r16,0(sp) - 27900: dec00904 addi sp,sp,36 - 27904: f800283a ret +000271a8 <__sinit.part.1>: + 271a8: defff704 addi sp,sp,-36 + 271ac: 00c000b4 movhi r3,2 + 271b0: dfc00815 stw ra,32(sp) + 271b4: ddc00715 stw r23,28(sp) + 271b8: dd800615 stw r22,24(sp) + 271bc: dd400515 stw r21,20(sp) + 271c0: dd000415 stw r20,16(sp) + 271c4: dcc00315 stw r19,12(sp) + 271c8: dc800215 stw r18,8(sp) + 271cc: dc400115 stw r17,4(sp) + 271d0: dc000015 stw r16,0(sp) + 271d4: 18dc6704 addi r3,r3,29084 + 271d8: 24000117 ldw r16,4(r4) + 271dc: 20c00f15 stw r3,60(r4) + 271e0: 2080bb04 addi r2,r4,748 + 271e4: 00c000c4 movi r3,3 + 271e8: 20c0b915 stw r3,740(r4) + 271ec: 2080ba15 stw r2,744(r4) + 271f0: 2000b815 stw zero,736(r4) + 271f4: 05c00204 movi r23,8 + 271f8: 00800104 movi r2,4 + 271fc: 2025883a mov r18,r4 + 27200: b80d883a mov r6,r23 + 27204: 81001704 addi r4,r16,92 + 27208: 000b883a mov r5,zero + 2720c: 80000015 stw zero,0(r16) + 27210: 80000115 stw zero,4(r16) + 27214: 80000215 stw zero,8(r16) + 27218: 8080030d sth r2,12(r16) + 2721c: 80001915 stw zero,100(r16) + 27220: 8000038d sth zero,14(r16) + 27224: 80000415 stw zero,16(r16) + 27228: 80000515 stw zero,20(r16) + 2722c: 80000615 stw zero,24(r16) + 27230: 0022ecc0 call 22ecc + 27234: 058000f4 movhi r22,3 + 27238: 94400217 ldw r17,8(r18) + 2723c: 054000f4 movhi r21,3 + 27240: 050000f4 movhi r20,3 + 27244: 04c000f4 movhi r19,3 + 27248: b5a74204 addi r22,r22,-25336 + 2724c: ad675904 addi r21,r21,-25244 + 27250: a5277804 addi r20,r20,-25120 + 27254: 9ce78f04 addi r19,r19,-25028 + 27258: 85800815 stw r22,32(r16) + 2725c: 85400915 stw r21,36(r16) + 27260: 85000a15 stw r20,40(r16) + 27264: 84c00b15 stw r19,44(r16) + 27268: 84000715 stw r16,28(r16) + 2726c: 00800284 movi r2,10 + 27270: 8880030d sth r2,12(r17) + 27274: 00800044 movi r2,1 + 27278: b80d883a mov r6,r23 + 2727c: 89001704 addi r4,r17,92 + 27280: 000b883a mov r5,zero + 27284: 88000015 stw zero,0(r17) + 27288: 88000115 stw zero,4(r17) + 2728c: 88000215 stw zero,8(r17) + 27290: 88001915 stw zero,100(r17) + 27294: 8880038d sth r2,14(r17) + 27298: 88000415 stw zero,16(r17) + 2729c: 88000515 stw zero,20(r17) + 272a0: 88000615 stw zero,24(r17) + 272a4: 0022ecc0 call 22ecc + 272a8: 94000317 ldw r16,12(r18) + 272ac: 00800484 movi r2,18 + 272b0: 8c400715 stw r17,28(r17) + 272b4: 8d800815 stw r22,32(r17) + 272b8: 8d400915 stw r21,36(r17) + 272bc: 8d000a15 stw r20,40(r17) + 272c0: 8cc00b15 stw r19,44(r17) + 272c4: 8080030d sth r2,12(r16) + 272c8: 00800084 movi r2,2 + 272cc: 80000015 stw zero,0(r16) + 272d0: 80000115 stw zero,4(r16) + 272d4: 80000215 stw zero,8(r16) + 272d8: 80001915 stw zero,100(r16) + 272dc: 8080038d sth r2,14(r16) + 272e0: 80000415 stw zero,16(r16) + 272e4: 80000515 stw zero,20(r16) + 272e8: 80000615 stw zero,24(r16) + 272ec: b80d883a mov r6,r23 + 272f0: 000b883a mov r5,zero + 272f4: 81001704 addi r4,r16,92 + 272f8: 0022ecc0 call 22ecc + 272fc: 00800044 movi r2,1 + 27300: 84000715 stw r16,28(r16) + 27304: 85800815 stw r22,32(r16) + 27308: 85400915 stw r21,36(r16) + 2730c: 85000a15 stw r20,40(r16) + 27310: 84c00b15 stw r19,44(r16) + 27314: 90800e15 stw r2,56(r18) + 27318: dfc00817 ldw ra,32(sp) + 2731c: ddc00717 ldw r23,28(sp) + 27320: dd800617 ldw r22,24(sp) + 27324: dd400517 ldw r21,20(sp) + 27328: dd000417 ldw r20,16(sp) + 2732c: dcc00317 ldw r19,12(sp) + 27330: dc800217 ldw r18,8(sp) + 27334: dc400117 ldw r17,4(sp) + 27338: dc000017 ldw r16,0(sp) + 2733c: dec00904 addi sp,sp,36 + 27340: f800283a ret -00027908 <__fp_lock>: - 27908: 0005883a mov r2,zero - 2790c: f800283a ret +00027344 <__fp_lock>: + 27344: 0005883a mov r2,zero + 27348: f800283a ret -00027910 <__sfmoreglue>: - 27910: defffc04 addi sp,sp,-16 - 27914: dc400115 stw r17,4(sp) - 27918: 2c7fffc4 addi r17,r5,-1 - 2791c: 8c401a24 muli r17,r17,104 - 27920: dc800215 stw r18,8(sp) - 27924: 2825883a mov r18,r5 - 27928: 89401d04 addi r5,r17,116 - 2792c: dc000015 stw r16,0(sp) - 27930: dfc00315 stw ra,12(sp) - 27934: 0022bfc0 call 22bfc <_malloc_r> - 27938: 1021883a mov r16,r2 - 2793c: 10000726 beq r2,zero,2795c <__sfmoreglue+0x4c> - 27940: 11000304 addi r4,r2,12 - 27944: 10000015 stw zero,0(r2) - 27948: 14800115 stw r18,4(r2) - 2794c: 11000215 stw r4,8(r2) - 27950: 89801a04 addi r6,r17,104 - 27954: 000b883a mov r5,zero - 27958: 00234080 call 23408 - 2795c: 8005883a mov r2,r16 - 27960: dfc00317 ldw ra,12(sp) - 27964: dc800217 ldw r18,8(sp) - 27968: dc400117 ldw r17,4(sp) - 2796c: dc000017 ldw r16,0(sp) - 27970: dec00404 addi sp,sp,16 - 27974: f800283a ret +0002734c <__sfmoreglue>: + 2734c: defffc04 addi sp,sp,-16 + 27350: dc400115 stw r17,4(sp) + 27354: 2c7fffc4 addi r17,r5,-1 + 27358: 8c401a24 muli r17,r17,104 + 2735c: dc800215 stw r18,8(sp) + 27360: 2825883a mov r18,r5 + 27364: 89401d04 addi r5,r17,116 + 27368: dc000015 stw r16,0(sp) + 2736c: dfc00315 stw ra,12(sp) + 27370: 00226c00 call 226c0 <_malloc_r> + 27374: 1021883a mov r16,r2 + 27378: 10000726 beq r2,zero,27398 <__sfmoreglue+0x4c> + 2737c: 11000304 addi r4,r2,12 + 27380: 10000015 stw zero,0(r2) + 27384: 14800115 stw r18,4(r2) + 27388: 11000215 stw r4,8(r2) + 2738c: 89801a04 addi r6,r17,104 + 27390: 000b883a mov r5,zero + 27394: 0022ecc0 call 22ecc + 27398: 8005883a mov r2,r16 + 2739c: dfc00317 ldw ra,12(sp) + 273a0: dc800217 ldw r18,8(sp) + 273a4: dc400117 ldw r17,4(sp) + 273a8: dc000017 ldw r16,0(sp) + 273ac: dec00404 addi sp,sp,16 + 273b0: f800283a ret -00027978 <__sfp>: - 27978: defffb04 addi sp,sp,-20 - 2797c: dc000015 stw r16,0(sp) - 27980: 040000f4 movhi r16,3 - 27984: 84139e04 addi r16,r16,20088 - 27988: dcc00315 stw r19,12(sp) - 2798c: 2027883a mov r19,r4 - 27990: 81000017 ldw r4,0(r16) - 27994: dfc00415 stw ra,16(sp) - 27998: dc800215 stw r18,8(sp) - 2799c: 20800e17 ldw r2,56(r4) - 279a0: dc400115 stw r17,4(sp) - 279a4: 1000021e bne r2,zero,279b0 <__sfp+0x38> - 279a8: 002776c0 call 2776c <__sinit.part.1> - 279ac: 81000017 ldw r4,0(r16) - 279b0: 2480b804 addi r18,r4,736 - 279b4: 047fffc4 movi r17,-1 - 279b8: 91000117 ldw r4,4(r18) - 279bc: 94000217 ldw r16,8(r18) - 279c0: 213fffc4 addi r4,r4,-1 - 279c4: 20000a16 blt r4,zero,279f0 <__sfp+0x78> - 279c8: 8080030f ldh r2,12(r16) - 279cc: 10000c26 beq r2,zero,27a00 <__sfp+0x88> - 279d0: 80c01d04 addi r3,r16,116 - 279d4: 00000206 br 279e0 <__sfp+0x68> - 279d8: 18bfe60f ldh r2,-104(r3) - 279dc: 10000826 beq r2,zero,27a00 <__sfp+0x88> - 279e0: 213fffc4 addi r4,r4,-1 - 279e4: 1c3ffd04 addi r16,r3,-12 - 279e8: 18c01a04 addi r3,r3,104 - 279ec: 247ffa1e bne r4,r17,279d8 <__sfp+0x60> - 279f0: 90800017 ldw r2,0(r18) - 279f4: 10001d26 beq r2,zero,27a6c <__sfp+0xf4> - 279f8: 1025883a mov r18,r2 - 279fc: 003fee06 br 279b8 <__sfp+0x40> - 27a00: 00bfffc4 movi r2,-1 - 27a04: 8080038d sth r2,14(r16) - 27a08: 00800044 movi r2,1 - 27a0c: 8080030d sth r2,12(r16) - 27a10: 80001915 stw zero,100(r16) - 27a14: 80000015 stw zero,0(r16) - 27a18: 80000215 stw zero,8(r16) - 27a1c: 80000115 stw zero,4(r16) - 27a20: 80000415 stw zero,16(r16) - 27a24: 80000515 stw zero,20(r16) - 27a28: 80000615 stw zero,24(r16) - 27a2c: 01800204 movi r6,8 - 27a30: 000b883a mov r5,zero - 27a34: 81001704 addi r4,r16,92 - 27a38: 00234080 call 23408 - 27a3c: 8005883a mov r2,r16 - 27a40: 80000c15 stw zero,48(r16) - 27a44: 80000d15 stw zero,52(r16) - 27a48: 80001115 stw zero,68(r16) - 27a4c: 80001215 stw zero,72(r16) - 27a50: dfc00417 ldw ra,16(sp) - 27a54: dcc00317 ldw r19,12(sp) - 27a58: dc800217 ldw r18,8(sp) - 27a5c: dc400117 ldw r17,4(sp) - 27a60: dc000017 ldw r16,0(sp) - 27a64: dec00504 addi sp,sp,20 - 27a68: f800283a ret - 27a6c: 01400104 movi r5,4 - 27a70: 9809883a mov r4,r19 - 27a74: 00279100 call 27910 <__sfmoreglue> - 27a78: 90800015 stw r2,0(r18) - 27a7c: 103fde1e bne r2,zero,279f8 <__sfp+0x80> - 27a80: 00800304 movi r2,12 - 27a84: 98800015 stw r2,0(r19) - 27a88: 0005883a mov r2,zero - 27a8c: 003ff006 br 27a50 <__sfp+0xd8> +000273b4 <__sfp>: + 273b4: defffb04 addi sp,sp,-20 + 273b8: dc000015 stw r16,0(sp) + 273bc: 040000f4 movhi r16,3 + 273c0: 84115304 addi r16,r16,17740 + 273c4: dcc00315 stw r19,12(sp) + 273c8: 2027883a mov r19,r4 + 273cc: 81000017 ldw r4,0(r16) + 273d0: dfc00415 stw ra,16(sp) + 273d4: dc800215 stw r18,8(sp) + 273d8: 20800e17 ldw r2,56(r4) + 273dc: dc400115 stw r17,4(sp) + 273e0: 1000021e bne r2,zero,273ec <__sfp+0x38> + 273e4: 00271a80 call 271a8 <__sinit.part.1> + 273e8: 81000017 ldw r4,0(r16) + 273ec: 2480b804 addi r18,r4,736 + 273f0: 047fffc4 movi r17,-1 + 273f4: 91000117 ldw r4,4(r18) + 273f8: 94000217 ldw r16,8(r18) + 273fc: 213fffc4 addi r4,r4,-1 + 27400: 20000a16 blt r4,zero,2742c <__sfp+0x78> + 27404: 8080030f ldh r2,12(r16) + 27408: 10000c26 beq r2,zero,2743c <__sfp+0x88> + 2740c: 80c01d04 addi r3,r16,116 + 27410: 00000206 br 2741c <__sfp+0x68> + 27414: 18bfe60f ldh r2,-104(r3) + 27418: 10000826 beq r2,zero,2743c <__sfp+0x88> + 2741c: 213fffc4 addi r4,r4,-1 + 27420: 1c3ffd04 addi r16,r3,-12 + 27424: 18c01a04 addi r3,r3,104 + 27428: 247ffa1e bne r4,r17,27414 <_gp+0xfffeaedc> + 2742c: 90800017 ldw r2,0(r18) + 27430: 10001d26 beq r2,zero,274a8 <__sfp+0xf4> + 27434: 1025883a mov r18,r2 + 27438: 003fee06 br 273f4 <_gp+0xfffeaebc> + 2743c: 00bfffc4 movi r2,-1 + 27440: 8080038d sth r2,14(r16) + 27444: 00800044 movi r2,1 + 27448: 8080030d sth r2,12(r16) + 2744c: 80001915 stw zero,100(r16) + 27450: 80000015 stw zero,0(r16) + 27454: 80000215 stw zero,8(r16) + 27458: 80000115 stw zero,4(r16) + 2745c: 80000415 stw zero,16(r16) + 27460: 80000515 stw zero,20(r16) + 27464: 80000615 stw zero,24(r16) + 27468: 01800204 movi r6,8 + 2746c: 000b883a mov r5,zero + 27470: 81001704 addi r4,r16,92 + 27474: 0022ecc0 call 22ecc + 27478: 8005883a mov r2,r16 + 2747c: 80000c15 stw zero,48(r16) + 27480: 80000d15 stw zero,52(r16) + 27484: 80001115 stw zero,68(r16) + 27488: 80001215 stw zero,72(r16) + 2748c: dfc00417 ldw ra,16(sp) + 27490: dcc00317 ldw r19,12(sp) + 27494: dc800217 ldw r18,8(sp) + 27498: dc400117 ldw r17,4(sp) + 2749c: dc000017 ldw r16,0(sp) + 274a0: dec00504 addi sp,sp,20 + 274a4: f800283a ret + 274a8: 01400104 movi r5,4 + 274ac: 9809883a mov r4,r19 + 274b0: 002734c0 call 2734c <__sfmoreglue> + 274b4: 90800015 stw r2,0(r18) + 274b8: 103fde1e bne r2,zero,27434 <_gp+0xfffeaefc> + 274bc: 00800304 movi r2,12 + 274c0: 98800015 stw r2,0(r19) + 274c4: 0005883a mov r2,zero + 274c8: 003ff006 br 2748c <_gp+0xfffeaf54> -00027a90 <_cleanup>: - 27a90: 008000f4 movhi r2,3 - 27a94: 10939e04 addi r2,r2,20088 - 27a98: 11000017 ldw r4,0(r2) - 27a9c: 014000f4 movhi r5,3 - 27aa0: 296ffb04 addi r5,r5,-16404 - 27aa4: 00284ac1 jmpi 284ac <_fwalk_reent> +000274cc <_cleanup>: + 274cc: 008000f4 movhi r2,3 + 274d0: 10915304 addi r2,r2,17740 + 274d4: 11000017 ldw r4,0(r2) + 274d8: 014000f4 movhi r5,3 + 274dc: 296e0e04 addi r5,r5,-18376 + 274e0: 0027ee81 jmpi 27ee8 <_fwalk_reent> -00027aa8 <__sinit>: - 27aa8: 20800e17 ldw r2,56(r4) - 27aac: 10000126 beq r2,zero,27ab4 <__sinit+0xc> - 27ab0: f800283a ret - 27ab4: 002776c1 jmpi 2776c <__sinit.part.1> +000274e4 <__sinit>: + 274e4: 20800e17 ldw r2,56(r4) + 274e8: 10000126 beq r2,zero,274f0 <__sinit+0xc> + 274ec: f800283a ret + 274f0: 00271a81 jmpi 271a8 <__sinit.part.1> -00027ab8 <__sfp_lock_acquire>: - 27ab8: f800283a ret +000274f4 <__sfp_lock_acquire>: + 274f4: f800283a ret -00027abc <__sfp_lock_release>: - 27abc: f800283a ret +000274f8 <__sfp_lock_release>: + 274f8: f800283a ret -00027ac0 <__sinit_lock_acquire>: - 27ac0: f800283a ret +000274fc <__sinit_lock_acquire>: + 274fc: f800283a ret -00027ac4 <__sinit_lock_release>: - 27ac4: f800283a ret +00027500 <__sinit_lock_release>: + 27500: f800283a ret -00027ac8 <__fp_lock_all>: - 27ac8: 008000f4 movhi r2,3 - 27acc: 10939f04 addi r2,r2,20092 - 27ad0: 11000017 ldw r4,0(r2) - 27ad4: 014000b4 movhi r5,2 - 27ad8: 295e4204 addi r5,r5,30984 - 27adc: 00283e81 jmpi 283e8 <_fwalk> +00027504 <__fp_lock_all>: + 27504: 008000f4 movhi r2,3 + 27508: 10915404 addi r2,r2,17744 + 2750c: 11000017 ldw r4,0(r2) + 27510: 014000b4 movhi r5,2 + 27514: 295cd104 addi r5,r5,29508 + 27518: 0027e241 jmpi 27e24 <_fwalk> -00027ae0 <__fp_unlock_all>: - 27ae0: 008000f4 movhi r2,3 - 27ae4: 10939f04 addi r2,r2,20092 - 27ae8: 11000017 ldw r4,0(r2) - 27aec: 014000b4 movhi r5,2 - 27af0: 295dd604 addi r5,r5,30552 - 27af4: 00283e81 jmpi 283e8 <_fwalk> +0002751c <__fp_unlock_all>: + 2751c: 008000f4 movhi r2,3 + 27520: 10915404 addi r2,r2,17744 + 27524: 11000017 ldw r4,0(r2) + 27528: 014000b4 movhi r5,2 + 2752c: 295c6504 addi r5,r5,29076 + 27530: 0027e241 jmpi 27e24 <_fwalk> -00027af8 <_malloc_trim_r>: - 27af8: defffb04 addi sp,sp,-20 - 27afc: dcc00315 stw r19,12(sp) - 27b00: 04c000f4 movhi r19,3 - 27b04: dc800215 stw r18,8(sp) - 27b08: dc400115 stw r17,4(sp) - 27b0c: dc000015 stw r16,0(sp) - 27b10: dfc00415 stw ra,16(sp) - 27b14: 2821883a mov r16,r5 - 27b18: 9ccdf904 addi r19,r19,14308 - 27b1c: 2025883a mov r18,r4 - 27b20: 002fea40 call 2fea4 <__malloc_lock> - 27b24: 98800217 ldw r2,8(r19) - 27b28: 14400117 ldw r17,4(r2) - 27b2c: 00bfff04 movi r2,-4 - 27b30: 88a2703a and r17,r17,r2 - 27b34: 8c21c83a sub r16,r17,r16 - 27b38: 8403fbc4 addi r16,r16,4079 - 27b3c: 8020d33a srli r16,r16,12 - 27b40: 0083ffc4 movi r2,4095 - 27b44: 843fffc4 addi r16,r16,-1 - 27b48: 8020933a slli r16,r16,12 - 27b4c: 1400060e bge r2,r16,27b68 <_malloc_trim_r+0x70> - 27b50: 000b883a mov r5,zero - 27b54: 9009883a mov r4,r18 - 27b58: 00237180 call 23718 <_sbrk_r> - 27b5c: 98c00217 ldw r3,8(r19) - 27b60: 1c47883a add r3,r3,r17 - 27b64: 10c00a26 beq r2,r3,27b90 <_malloc_trim_r+0x98> - 27b68: 9009883a mov r4,r18 - 27b6c: 002fec80 call 2fec8 <__malloc_unlock> - 27b70: 0005883a mov r2,zero - 27b74: dfc00417 ldw ra,16(sp) - 27b78: dcc00317 ldw r19,12(sp) - 27b7c: dc800217 ldw r18,8(sp) - 27b80: dc400117 ldw r17,4(sp) - 27b84: dc000017 ldw r16,0(sp) - 27b88: dec00504 addi sp,sp,20 - 27b8c: f800283a ret - 27b90: 040bc83a sub r5,zero,r16 - 27b94: 9009883a mov r4,r18 - 27b98: 00237180 call 23718 <_sbrk_r> - 27b9c: 00ffffc4 movi r3,-1 - 27ba0: 10c00d26 beq r2,r3,27bd8 <_malloc_trim_r+0xe0> - 27ba4: 00c000f4 movhi r3,3 - 27ba8: 18dc6404 addi r3,r3,29072 - 27bac: 18800017 ldw r2,0(r3) - 27bb0: 99000217 ldw r4,8(r19) - 27bb4: 8c23c83a sub r17,r17,r16 - 27bb8: 8c400054 ori r17,r17,1 - 27bbc: 1421c83a sub r16,r2,r16 - 27bc0: 24400115 stw r17,4(r4) - 27bc4: 9009883a mov r4,r18 - 27bc8: 1c000015 stw r16,0(r3) - 27bcc: 002fec80 call 2fec8 <__malloc_unlock> - 27bd0: 00800044 movi r2,1 - 27bd4: 003fe706 br 27b74 <_malloc_trim_r+0x7c> - 27bd8: 000b883a mov r5,zero - 27bdc: 9009883a mov r4,r18 - 27be0: 00237180 call 23718 <_sbrk_r> - 27be4: 99000217 ldw r4,8(r19) - 27be8: 014003c4 movi r5,15 - 27bec: 1107c83a sub r3,r2,r4 - 27bf0: 28ffdd0e bge r5,r3,27b68 <_malloc_trim_r+0x70> - 27bf4: 014000f4 movhi r5,3 - 27bf8: 2953a004 addi r5,r5,20096 - 27bfc: 29400017 ldw r5,0(r5) - 27c00: 18c00054 ori r3,r3,1 - 27c04: 20c00115 stw r3,4(r4) - 27c08: 00c000f4 movhi r3,3 - 27c0c: 1145c83a sub r2,r2,r5 - 27c10: 18dc6404 addi r3,r3,29072 - 27c14: 18800015 stw r2,0(r3) - 27c18: 003fd306 br 27b68 <_malloc_trim_r+0x70> +00027534 <_malloc_trim_r>: + 27534: defffb04 addi sp,sp,-20 + 27538: dcc00315 stw r19,12(sp) + 2753c: 04c000f4 movhi r19,3 + 27540: dc800215 stw r18,8(sp) + 27544: dc400115 stw r17,4(sp) + 27548: dc000015 stw r16,0(sp) + 2754c: dfc00415 stw ra,16(sp) + 27550: 2821883a mov r16,r5 + 27554: 9ccaa304 addi r19,r19,10892 + 27558: 2025883a mov r18,r4 + 2755c: 002f5800 call 2f580 <__malloc_lock> + 27560: 98800217 ldw r2,8(r19) + 27564: 14400117 ldw r17,4(r2) + 27568: 00bfff04 movi r2,-4 + 2756c: 88a2703a and r17,r17,r2 + 27570: 8c21c83a sub r16,r17,r16 + 27574: 8403fbc4 addi r16,r16,4079 + 27578: 8020d33a srli r16,r16,12 + 2757c: 0083ffc4 movi r2,4095 + 27580: 843fffc4 addi r16,r16,-1 + 27584: 8020933a slli r16,r16,12 + 27588: 1400060e bge r2,r16,275a4 <_malloc_trim_r+0x70> + 2758c: 000b883a mov r5,zero + 27590: 9009883a mov r4,r18 + 27594: 00231540 call 23154 <_sbrk_r> + 27598: 98c00217 ldw r3,8(r19) + 2759c: 1c47883a add r3,r3,r17 + 275a0: 10c00a26 beq r2,r3,275cc <_malloc_trim_r+0x98> + 275a4: 9009883a mov r4,r18 + 275a8: 002f5a40 call 2f5a4 <__malloc_unlock> + 275ac: 0005883a mov r2,zero + 275b0: dfc00417 ldw ra,16(sp) + 275b4: dcc00317 ldw r19,12(sp) + 275b8: dc800217 ldw r18,8(sp) + 275bc: dc400117 ldw r17,4(sp) + 275c0: dc000017 ldw r16,0(sp) + 275c4: dec00504 addi sp,sp,20 + 275c8: f800283a ret + 275cc: 040bc83a sub r5,zero,r16 + 275d0: 9009883a mov r4,r18 + 275d4: 00231540 call 23154 <_sbrk_r> + 275d8: 00ffffc4 movi r3,-1 + 275dc: 10c00d26 beq r2,r3,27614 <_malloc_trim_r+0xe0> + 275e0: 00c000f4 movhi r3,3 + 275e4: 18da1704 addi r3,r3,26716 + 275e8: 18800017 ldw r2,0(r3) + 275ec: 99000217 ldw r4,8(r19) + 275f0: 8c23c83a sub r17,r17,r16 + 275f4: 8c400054 ori r17,r17,1 + 275f8: 1421c83a sub r16,r2,r16 + 275fc: 24400115 stw r17,4(r4) + 27600: 9009883a mov r4,r18 + 27604: 1c000015 stw r16,0(r3) + 27608: 002f5a40 call 2f5a4 <__malloc_unlock> + 2760c: 00800044 movi r2,1 + 27610: 003fe706 br 275b0 <_gp+0xfffeb078> + 27614: 000b883a mov r5,zero + 27618: 9009883a mov r4,r18 + 2761c: 00231540 call 23154 <_sbrk_r> + 27620: 99000217 ldw r4,8(r19) + 27624: 014003c4 movi r5,15 + 27628: 1107c83a sub r3,r2,r4 + 2762c: 28ffdd0e bge r5,r3,275a4 <_gp+0xfffeb06c> + 27630: 014000f4 movhi r5,3 + 27634: 29515104 addi r5,r5,17732 + 27638: 29400017 ldw r5,0(r5) + 2763c: 18c00054 ori r3,r3,1 + 27640: 20c00115 stw r3,4(r4) + 27644: 00c000f4 movhi r3,3 + 27648: 1145c83a sub r2,r2,r5 + 2764c: 18da1704 addi r3,r3,26716 + 27650: 18800015 stw r2,0(r3) + 27654: 003fd306 br 275a4 <_gp+0xfffeb06c> -00027c1c <_free_r>: - 27c1c: 28004126 beq r5,zero,27d24 <_free_r+0x108> - 27c20: defffd04 addi sp,sp,-12 - 27c24: dc400115 stw r17,4(sp) - 27c28: dc000015 stw r16,0(sp) - 27c2c: 2023883a mov r17,r4 - 27c30: 2821883a mov r16,r5 - 27c34: dfc00215 stw ra,8(sp) - 27c38: 002fea40 call 2fea4 <__malloc_lock> - 27c3c: 81ffff17 ldw r7,-4(r16) - 27c40: 00bfff84 movi r2,-2 - 27c44: 010000f4 movhi r4,3 - 27c48: 81bffe04 addi r6,r16,-8 - 27c4c: 3884703a and r2,r7,r2 - 27c50: 210df904 addi r4,r4,14308 - 27c54: 308b883a add r5,r6,r2 - 27c58: 2a400117 ldw r9,4(r5) - 27c5c: 22000217 ldw r8,8(r4) - 27c60: 00ffff04 movi r3,-4 - 27c64: 48c6703a and r3,r9,r3 - 27c68: 2a005726 beq r5,r8,27dc8 <_free_r+0x1ac> - 27c6c: 28c00115 stw r3,4(r5) - 27c70: 39c0004c andi r7,r7,1 - 27c74: 3800091e bne r7,zero,27c9c <_free_r+0x80> - 27c78: 823ffe17 ldw r8,-8(r16) - 27c7c: 22400204 addi r9,r4,8 - 27c80: 320dc83a sub r6,r6,r8 - 27c84: 31c00217 ldw r7,8(r6) - 27c88: 1205883a add r2,r2,r8 - 27c8c: 3a406526 beq r7,r9,27e24 <_free_r+0x208> - 27c90: 32000317 ldw r8,12(r6) - 27c94: 3a000315 stw r8,12(r7) - 27c98: 41c00215 stw r7,8(r8) - 27c9c: 28cf883a add r7,r5,r3 - 27ca0: 39c00117 ldw r7,4(r7) - 27ca4: 39c0004c andi r7,r7,1 - 27ca8: 38003a26 beq r7,zero,27d94 <_free_r+0x178> - 27cac: 10c00054 ori r3,r2,1 - 27cb0: 30c00115 stw r3,4(r6) - 27cb4: 3087883a add r3,r6,r2 - 27cb8: 18800015 stw r2,0(r3) - 27cbc: 00c07fc4 movi r3,511 - 27cc0: 18801936 bltu r3,r2,27d28 <_free_r+0x10c> - 27cc4: 1004d0fa srli r2,r2,3 - 27cc8: 01c00044 movi r7,1 - 27ccc: 21400117 ldw r5,4(r4) - 27cd0: 10c00044 addi r3,r2,1 - 27cd4: 18c7883a add r3,r3,r3 - 27cd8: 1005d0ba srai r2,r2,2 - 27cdc: 18c7883a add r3,r3,r3 - 27ce0: 18c7883a add r3,r3,r3 - 27ce4: 1907883a add r3,r3,r4 - 27ce8: 3884983a sll r2,r7,r2 - 27cec: 19c00017 ldw r7,0(r3) - 27cf0: 1a3ffe04 addi r8,r3,-8 - 27cf4: 1144b03a or r2,r2,r5 - 27cf8: 32000315 stw r8,12(r6) - 27cfc: 31c00215 stw r7,8(r6) - 27d00: 20800115 stw r2,4(r4) - 27d04: 19800015 stw r6,0(r3) - 27d08: 39800315 stw r6,12(r7) - 27d0c: 8809883a mov r4,r17 - 27d10: dfc00217 ldw ra,8(sp) - 27d14: dc400117 ldw r17,4(sp) - 27d18: dc000017 ldw r16,0(sp) - 27d1c: dec00304 addi sp,sp,12 - 27d20: 002fec81 jmpi 2fec8 <__malloc_unlock> - 27d24: f800283a ret - 27d28: 100ad27a srli r5,r2,9 - 27d2c: 00c00104 movi r3,4 - 27d30: 19404a36 bltu r3,r5,27e5c <_free_r+0x240> - 27d34: 100ad1ba srli r5,r2,6 - 27d38: 28c00e44 addi r3,r5,57 - 27d3c: 18c7883a add r3,r3,r3 - 27d40: 29400e04 addi r5,r5,56 - 27d44: 18c7883a add r3,r3,r3 - 27d48: 18c7883a add r3,r3,r3 - 27d4c: 1909883a add r4,r3,r4 - 27d50: 20c00017 ldw r3,0(r4) - 27d54: 01c000f4 movhi r7,3 - 27d58: 213ffe04 addi r4,r4,-8 - 27d5c: 39cdf904 addi r7,r7,14308 - 27d60: 20c04426 beq r4,r3,27e74 <_free_r+0x258> - 27d64: 01ffff04 movi r7,-4 - 27d68: 19400117 ldw r5,4(r3) - 27d6c: 29ca703a and r5,r5,r7 - 27d70: 1140022e bgeu r2,r5,27d7c <_free_r+0x160> - 27d74: 18c00217 ldw r3,8(r3) - 27d78: 20fffb1e bne r4,r3,27d68 <_free_r+0x14c> - 27d7c: 19000317 ldw r4,12(r3) - 27d80: 31000315 stw r4,12(r6) - 27d84: 30c00215 stw r3,8(r6) - 27d88: 21800215 stw r6,8(r4) - 27d8c: 19800315 stw r6,12(r3) - 27d90: 003fde06 br 27d0c <_free_r+0xf0> - 27d94: 29c00217 ldw r7,8(r5) - 27d98: 10c5883a add r2,r2,r3 - 27d9c: 00c000f4 movhi r3,3 - 27da0: 18cdfb04 addi r3,r3,14316 - 27da4: 38c03b26 beq r7,r3,27e94 <_free_r+0x278> - 27da8: 2a000317 ldw r8,12(r5) - 27dac: 11400054 ori r5,r2,1 - 27db0: 3087883a add r3,r6,r2 - 27db4: 3a000315 stw r8,12(r7) - 27db8: 41c00215 stw r7,8(r8) - 27dbc: 31400115 stw r5,4(r6) - 27dc0: 18800015 stw r2,0(r3) - 27dc4: 003fbd06 br 27cbc <_free_r+0xa0> - 27dc8: 39c0004c andi r7,r7,1 - 27dcc: 10c5883a add r2,r2,r3 - 27dd0: 3800071e bne r7,zero,27df0 <_free_r+0x1d4> - 27dd4: 81fffe17 ldw r7,-8(r16) - 27dd8: 31cdc83a sub r6,r6,r7 - 27ddc: 30c00317 ldw r3,12(r6) - 27de0: 31400217 ldw r5,8(r6) - 27de4: 11c5883a add r2,r2,r7 - 27de8: 28c00315 stw r3,12(r5) - 27dec: 19400215 stw r5,8(r3) - 27df0: 10c00054 ori r3,r2,1 - 27df4: 30c00115 stw r3,4(r6) - 27df8: 00c000f4 movhi r3,3 - 27dfc: 18d3a104 addi r3,r3,20100 - 27e00: 18c00017 ldw r3,0(r3) - 27e04: 21800215 stw r6,8(r4) - 27e08: 10ffc036 bltu r2,r3,27d0c <_free_r+0xf0> - 27e0c: 008000f4 movhi r2,3 - 27e10: 109c5804 addi r2,r2,29024 - 27e14: 11400017 ldw r5,0(r2) - 27e18: 8809883a mov r4,r17 - 27e1c: 0027af80 call 27af8 <_malloc_trim_r> - 27e20: 003fba06 br 27d0c <_free_r+0xf0> - 27e24: 28c9883a add r4,r5,r3 - 27e28: 21000117 ldw r4,4(r4) - 27e2c: 2100004c andi r4,r4,1 - 27e30: 2000391e bne r4,zero,27f18 <_free_r+0x2fc> - 27e34: 29c00217 ldw r7,8(r5) - 27e38: 29000317 ldw r4,12(r5) - 27e3c: 1885883a add r2,r3,r2 - 27e40: 10c00054 ori r3,r2,1 - 27e44: 39000315 stw r4,12(r7) - 27e48: 21c00215 stw r7,8(r4) - 27e4c: 30c00115 stw r3,4(r6) - 27e50: 308d883a add r6,r6,r2 - 27e54: 30800015 stw r2,0(r6) - 27e58: 003fac06 br 27d0c <_free_r+0xf0> - 27e5c: 00c00504 movi r3,20 - 27e60: 19401536 bltu r3,r5,27eb8 <_free_r+0x29c> - 27e64: 28c01704 addi r3,r5,92 - 27e68: 18c7883a add r3,r3,r3 - 27e6c: 294016c4 addi r5,r5,91 - 27e70: 003fb406 br 27d44 <_free_r+0x128> - 27e74: 280bd0ba srai r5,r5,2 - 27e78: 00c00044 movi r3,1 - 27e7c: 38800117 ldw r2,4(r7) - 27e80: 194a983a sll r5,r3,r5 - 27e84: 2007883a mov r3,r4 - 27e88: 2884b03a or r2,r5,r2 - 27e8c: 38800115 stw r2,4(r7) - 27e90: 003fbb06 br 27d80 <_free_r+0x164> - 27e94: 21800515 stw r6,20(r4) - 27e98: 21800415 stw r6,16(r4) - 27e9c: 10c00054 ori r3,r2,1 - 27ea0: 31c00315 stw r7,12(r6) - 27ea4: 31c00215 stw r7,8(r6) - 27ea8: 30c00115 stw r3,4(r6) - 27eac: 308d883a add r6,r6,r2 - 27eb0: 30800015 stw r2,0(r6) - 27eb4: 003f9506 br 27d0c <_free_r+0xf0> - 27eb8: 00c01504 movi r3,84 - 27ebc: 19400536 bltu r3,r5,27ed4 <_free_r+0x2b8> - 27ec0: 100ad33a srli r5,r2,12 - 27ec4: 28c01bc4 addi r3,r5,111 - 27ec8: 18c7883a add r3,r3,r3 - 27ecc: 29401b84 addi r5,r5,110 - 27ed0: 003f9c06 br 27d44 <_free_r+0x128> - 27ed4: 00c05504 movi r3,340 - 27ed8: 19400536 bltu r3,r5,27ef0 <_free_r+0x2d4> - 27edc: 100ad3fa srli r5,r2,15 - 27ee0: 28c01e04 addi r3,r5,120 - 27ee4: 18c7883a add r3,r3,r3 - 27ee8: 29401dc4 addi r5,r5,119 - 27eec: 003f9506 br 27d44 <_free_r+0x128> - 27ef0: 00c15504 movi r3,1364 - 27ef4: 19400536 bltu r3,r5,27f0c <_free_r+0x2f0> - 27ef8: 100ad4ba srli r5,r2,18 - 27efc: 28c01f44 addi r3,r5,125 - 27f00: 18c7883a add r3,r3,r3 - 27f04: 29401f04 addi r5,r5,124 - 27f08: 003f8e06 br 27d44 <_free_r+0x128> - 27f0c: 00c03f84 movi r3,254 - 27f10: 01401f84 movi r5,126 - 27f14: 003f8b06 br 27d44 <_free_r+0x128> - 27f18: 10c00054 ori r3,r2,1 - 27f1c: 30c00115 stw r3,4(r6) - 27f20: 308d883a add r6,r6,r2 - 27f24: 30800015 stw r2,0(r6) - 27f28: 003f7806 br 27d0c <_free_r+0xf0> +00027658 <_free_r>: + 27658: 28004126 beq r5,zero,27760 <_free_r+0x108> + 2765c: defffd04 addi sp,sp,-12 + 27660: dc400115 stw r17,4(sp) + 27664: dc000015 stw r16,0(sp) + 27668: 2023883a mov r17,r4 + 2766c: 2821883a mov r16,r5 + 27670: dfc00215 stw ra,8(sp) + 27674: 002f5800 call 2f580 <__malloc_lock> + 27678: 81ffff17 ldw r7,-4(r16) + 2767c: 00bfff84 movi r2,-2 + 27680: 010000f4 movhi r4,3 + 27684: 81bffe04 addi r6,r16,-8 + 27688: 3884703a and r2,r7,r2 + 2768c: 210aa304 addi r4,r4,10892 + 27690: 308b883a add r5,r6,r2 + 27694: 2a400117 ldw r9,4(r5) + 27698: 22000217 ldw r8,8(r4) + 2769c: 00ffff04 movi r3,-4 + 276a0: 48c6703a and r3,r9,r3 + 276a4: 2a005726 beq r5,r8,27804 <_free_r+0x1ac> + 276a8: 28c00115 stw r3,4(r5) + 276ac: 39c0004c andi r7,r7,1 + 276b0: 3800091e bne r7,zero,276d8 <_free_r+0x80> + 276b4: 823ffe17 ldw r8,-8(r16) + 276b8: 22400204 addi r9,r4,8 + 276bc: 320dc83a sub r6,r6,r8 + 276c0: 31c00217 ldw r7,8(r6) + 276c4: 1205883a add r2,r2,r8 + 276c8: 3a406526 beq r7,r9,27860 <_free_r+0x208> + 276cc: 32000317 ldw r8,12(r6) + 276d0: 3a000315 stw r8,12(r7) + 276d4: 41c00215 stw r7,8(r8) + 276d8: 28cf883a add r7,r5,r3 + 276dc: 39c00117 ldw r7,4(r7) + 276e0: 39c0004c andi r7,r7,1 + 276e4: 38003a26 beq r7,zero,277d0 <_free_r+0x178> + 276e8: 10c00054 ori r3,r2,1 + 276ec: 30c00115 stw r3,4(r6) + 276f0: 3087883a add r3,r6,r2 + 276f4: 18800015 stw r2,0(r3) + 276f8: 00c07fc4 movi r3,511 + 276fc: 18801936 bltu r3,r2,27764 <_free_r+0x10c> + 27700: 1004d0fa srli r2,r2,3 + 27704: 01c00044 movi r7,1 + 27708: 21400117 ldw r5,4(r4) + 2770c: 10c00044 addi r3,r2,1 + 27710: 18c7883a add r3,r3,r3 + 27714: 1005d0ba srai r2,r2,2 + 27718: 18c7883a add r3,r3,r3 + 2771c: 18c7883a add r3,r3,r3 + 27720: 1907883a add r3,r3,r4 + 27724: 3884983a sll r2,r7,r2 + 27728: 19c00017 ldw r7,0(r3) + 2772c: 1a3ffe04 addi r8,r3,-8 + 27730: 1144b03a or r2,r2,r5 + 27734: 32000315 stw r8,12(r6) + 27738: 31c00215 stw r7,8(r6) + 2773c: 20800115 stw r2,4(r4) + 27740: 19800015 stw r6,0(r3) + 27744: 39800315 stw r6,12(r7) + 27748: 8809883a mov r4,r17 + 2774c: dfc00217 ldw ra,8(sp) + 27750: dc400117 ldw r17,4(sp) + 27754: dc000017 ldw r16,0(sp) + 27758: dec00304 addi sp,sp,12 + 2775c: 002f5a41 jmpi 2f5a4 <__malloc_unlock> + 27760: f800283a ret + 27764: 100ad27a srli r5,r2,9 + 27768: 00c00104 movi r3,4 + 2776c: 19404a36 bltu r3,r5,27898 <_free_r+0x240> + 27770: 100ad1ba srli r5,r2,6 + 27774: 28c00e44 addi r3,r5,57 + 27778: 18c7883a add r3,r3,r3 + 2777c: 29400e04 addi r5,r5,56 + 27780: 18c7883a add r3,r3,r3 + 27784: 18c7883a add r3,r3,r3 + 27788: 1909883a add r4,r3,r4 + 2778c: 20c00017 ldw r3,0(r4) + 27790: 01c000f4 movhi r7,3 + 27794: 213ffe04 addi r4,r4,-8 + 27798: 39caa304 addi r7,r7,10892 + 2779c: 20c04426 beq r4,r3,278b0 <_free_r+0x258> + 277a0: 01ffff04 movi r7,-4 + 277a4: 19400117 ldw r5,4(r3) + 277a8: 29ca703a and r5,r5,r7 + 277ac: 1140022e bgeu r2,r5,277b8 <_free_r+0x160> + 277b0: 18c00217 ldw r3,8(r3) + 277b4: 20fffb1e bne r4,r3,277a4 <_gp+0xfffeb26c> + 277b8: 19000317 ldw r4,12(r3) + 277bc: 31000315 stw r4,12(r6) + 277c0: 30c00215 stw r3,8(r6) + 277c4: 21800215 stw r6,8(r4) + 277c8: 19800315 stw r6,12(r3) + 277cc: 003fde06 br 27748 <_gp+0xfffeb210> + 277d0: 29c00217 ldw r7,8(r5) + 277d4: 10c5883a add r2,r2,r3 + 277d8: 00c000f4 movhi r3,3 + 277dc: 18caa504 addi r3,r3,10900 + 277e0: 38c03b26 beq r7,r3,278d0 <_free_r+0x278> + 277e4: 2a000317 ldw r8,12(r5) + 277e8: 11400054 ori r5,r2,1 + 277ec: 3087883a add r3,r6,r2 + 277f0: 3a000315 stw r8,12(r7) + 277f4: 41c00215 stw r7,8(r8) + 277f8: 31400115 stw r5,4(r6) + 277fc: 18800015 stw r2,0(r3) + 27800: 003fbd06 br 276f8 <_gp+0xfffeb1c0> + 27804: 39c0004c andi r7,r7,1 + 27808: 10c5883a add r2,r2,r3 + 2780c: 3800071e bne r7,zero,2782c <_free_r+0x1d4> + 27810: 81fffe17 ldw r7,-8(r16) + 27814: 31cdc83a sub r6,r6,r7 + 27818: 30c00317 ldw r3,12(r6) + 2781c: 31400217 ldw r5,8(r6) + 27820: 11c5883a add r2,r2,r7 + 27824: 28c00315 stw r3,12(r5) + 27828: 19400215 stw r5,8(r3) + 2782c: 10c00054 ori r3,r2,1 + 27830: 30c00115 stw r3,4(r6) + 27834: 00c000f4 movhi r3,3 + 27838: 18d15204 addi r3,r3,17736 + 2783c: 18c00017 ldw r3,0(r3) + 27840: 21800215 stw r6,8(r4) + 27844: 10ffc036 bltu r2,r3,27748 <_gp+0xfffeb210> + 27848: 008000f4 movhi r2,3 + 2784c: 109a0b04 addi r2,r2,26668 + 27850: 11400017 ldw r5,0(r2) + 27854: 8809883a mov r4,r17 + 27858: 00275340 call 27534 <_malloc_trim_r> + 2785c: 003fba06 br 27748 <_gp+0xfffeb210> + 27860: 28c9883a add r4,r5,r3 + 27864: 21000117 ldw r4,4(r4) + 27868: 2100004c andi r4,r4,1 + 2786c: 2000391e bne r4,zero,27954 <_free_r+0x2fc> + 27870: 29c00217 ldw r7,8(r5) + 27874: 29000317 ldw r4,12(r5) + 27878: 1885883a add r2,r3,r2 + 2787c: 10c00054 ori r3,r2,1 + 27880: 39000315 stw r4,12(r7) + 27884: 21c00215 stw r7,8(r4) + 27888: 30c00115 stw r3,4(r6) + 2788c: 308d883a add r6,r6,r2 + 27890: 30800015 stw r2,0(r6) + 27894: 003fac06 br 27748 <_gp+0xfffeb210> + 27898: 00c00504 movi r3,20 + 2789c: 19401536 bltu r3,r5,278f4 <_free_r+0x29c> + 278a0: 28c01704 addi r3,r5,92 + 278a4: 18c7883a add r3,r3,r3 + 278a8: 294016c4 addi r5,r5,91 + 278ac: 003fb406 br 27780 <_gp+0xfffeb248> + 278b0: 280bd0ba srai r5,r5,2 + 278b4: 00c00044 movi r3,1 + 278b8: 38800117 ldw r2,4(r7) + 278bc: 194a983a sll r5,r3,r5 + 278c0: 2007883a mov r3,r4 + 278c4: 2884b03a or r2,r5,r2 + 278c8: 38800115 stw r2,4(r7) + 278cc: 003fbb06 br 277bc <_gp+0xfffeb284> + 278d0: 21800515 stw r6,20(r4) + 278d4: 21800415 stw r6,16(r4) + 278d8: 10c00054 ori r3,r2,1 + 278dc: 31c00315 stw r7,12(r6) + 278e0: 31c00215 stw r7,8(r6) + 278e4: 30c00115 stw r3,4(r6) + 278e8: 308d883a add r6,r6,r2 + 278ec: 30800015 stw r2,0(r6) + 278f0: 003f9506 br 27748 <_gp+0xfffeb210> + 278f4: 00c01504 movi r3,84 + 278f8: 19400536 bltu r3,r5,27910 <_free_r+0x2b8> + 278fc: 100ad33a srli r5,r2,12 + 27900: 28c01bc4 addi r3,r5,111 + 27904: 18c7883a add r3,r3,r3 + 27908: 29401b84 addi r5,r5,110 + 2790c: 003f9c06 br 27780 <_gp+0xfffeb248> + 27910: 00c05504 movi r3,340 + 27914: 19400536 bltu r3,r5,2792c <_free_r+0x2d4> + 27918: 100ad3fa srli r5,r2,15 + 2791c: 28c01e04 addi r3,r5,120 + 27920: 18c7883a add r3,r3,r3 + 27924: 29401dc4 addi r5,r5,119 + 27928: 003f9506 br 27780 <_gp+0xfffeb248> + 2792c: 00c15504 movi r3,1364 + 27930: 19400536 bltu r3,r5,27948 <_free_r+0x2f0> + 27934: 100ad4ba srli r5,r2,18 + 27938: 28c01f44 addi r3,r5,125 + 2793c: 18c7883a add r3,r3,r3 + 27940: 29401f04 addi r5,r5,124 + 27944: 003f8e06 br 27780 <_gp+0xfffeb248> + 27948: 00c03f84 movi r3,254 + 2794c: 01401f84 movi r5,126 + 27950: 003f8b06 br 27780 <_gp+0xfffeb248> + 27954: 10c00054 ori r3,r2,1 + 27958: 30c00115 stw r3,4(r6) + 2795c: 308d883a add r6,r6,r2 + 27960: 30800015 stw r2,0(r6) + 27964: 003f7806 br 27748 <_gp+0xfffeb210> -00027f2c <__sfvwrite_r>: - 27f2c: 30800217 ldw r2,8(r6) - 27f30: 10006726 beq r2,zero,280d0 <__sfvwrite_r+0x1a4> - 27f34: 28c0030b ldhu r3,12(r5) - 27f38: defff404 addi sp,sp,-48 - 27f3c: dd400715 stw r21,28(sp) - 27f40: dd000615 stw r20,24(sp) - 27f44: dc000215 stw r16,8(sp) - 27f48: dfc00b15 stw ra,44(sp) - 27f4c: df000a15 stw fp,40(sp) - 27f50: ddc00915 stw r23,36(sp) - 27f54: dd800815 stw r22,32(sp) - 27f58: dcc00515 stw r19,20(sp) - 27f5c: dc800415 stw r18,16(sp) - 27f60: dc400315 stw r17,12(sp) - 27f64: 1880020c andi r2,r3,8 - 27f68: 2821883a mov r16,r5 - 27f6c: 202b883a mov r21,r4 - 27f70: 3029883a mov r20,r6 - 27f74: 10002726 beq r2,zero,28014 <__sfvwrite_r+0xe8> - 27f78: 28800417 ldw r2,16(r5) - 27f7c: 10002526 beq r2,zero,28014 <__sfvwrite_r+0xe8> - 27f80: 1880008c andi r2,r3,2 - 27f84: a4400017 ldw r17,0(r20) - 27f88: 10002a26 beq r2,zero,28034 <__sfvwrite_r+0x108> - 27f8c: 05a00034 movhi r22,32768 - 27f90: 0027883a mov r19,zero - 27f94: 0025883a mov r18,zero - 27f98: b5bf0004 addi r22,r22,-1024 - 27f9c: 980d883a mov r6,r19 - 27fa0: a809883a mov r4,r21 - 27fa4: 90004626 beq r18,zero,280c0 <__sfvwrite_r+0x194> - 27fa8: 900f883a mov r7,r18 - 27fac: b480022e bgeu r22,r18,27fb8 <__sfvwrite_r+0x8c> - 27fb0: 01e00034 movhi r7,32768 - 27fb4: 39ff0004 addi r7,r7,-1024 - 27fb8: 80800917 ldw r2,36(r16) - 27fbc: 81400717 ldw r5,28(r16) - 27fc0: 103ee83a callr r2 - 27fc4: 0080570e bge zero,r2,28124 <__sfvwrite_r+0x1f8> - 27fc8: a0c00217 ldw r3,8(r20) - 27fcc: 98a7883a add r19,r19,r2 - 27fd0: 90a5c83a sub r18,r18,r2 - 27fd4: 1885c83a sub r2,r3,r2 - 27fd8: a0800215 stw r2,8(r20) - 27fdc: 103fef1e bne r2,zero,27f9c <__sfvwrite_r+0x70> - 27fe0: 0005883a mov r2,zero - 27fe4: dfc00b17 ldw ra,44(sp) - 27fe8: df000a17 ldw fp,40(sp) - 27fec: ddc00917 ldw r23,36(sp) - 27ff0: dd800817 ldw r22,32(sp) - 27ff4: dd400717 ldw r21,28(sp) - 27ff8: dd000617 ldw r20,24(sp) - 27ffc: dcc00517 ldw r19,20(sp) - 28000: dc800417 ldw r18,16(sp) - 28004: dc400317 ldw r17,12(sp) - 28008: dc000217 ldw r16,8(sp) - 2800c: dec00c04 addi sp,sp,48 - 28010: f800283a ret - 28014: 800b883a mov r5,r16 - 28018: a809883a mov r4,r21 - 2801c: 0025ad40 call 25ad4 <__swsetup_r> - 28020: 1000eb1e bne r2,zero,283d0 <__sfvwrite_r+0x4a4> - 28024: 80c0030b ldhu r3,12(r16) - 28028: a4400017 ldw r17,0(r20) - 2802c: 1880008c andi r2,r3,2 - 28030: 103fd61e bne r2,zero,27f8c <__sfvwrite_r+0x60> - 28034: 1880004c andi r2,r3,1 - 28038: 10003f1e bne r2,zero,28138 <__sfvwrite_r+0x20c> - 2803c: 0039883a mov fp,zero - 28040: 0025883a mov r18,zero - 28044: 90001a26 beq r18,zero,280b0 <__sfvwrite_r+0x184> - 28048: 1880800c andi r2,r3,512 - 2804c: 84c00217 ldw r19,8(r16) - 28050: 10002126 beq r2,zero,280d8 <__sfvwrite_r+0x1ac> - 28054: 982f883a mov r23,r19 - 28058: 94c09336 bltu r18,r19,282a8 <__sfvwrite_r+0x37c> - 2805c: 1881200c andi r2,r3,1152 - 28060: 10009e1e bne r2,zero,282dc <__sfvwrite_r+0x3b0> - 28064: 81000017 ldw r4,0(r16) - 28068: b80d883a mov r6,r23 - 2806c: e00b883a mov r5,fp - 28070: 0028a340 call 28a34 - 28074: 80c00217 ldw r3,8(r16) - 28078: 81000017 ldw r4,0(r16) - 2807c: 9005883a mov r2,r18 - 28080: 1ce7c83a sub r19,r3,r19 - 28084: 25cf883a add r7,r4,r23 - 28088: 84c00215 stw r19,8(r16) - 2808c: 81c00015 stw r7,0(r16) - 28090: a0c00217 ldw r3,8(r20) - 28094: e0b9883a add fp,fp,r2 - 28098: 90a5c83a sub r18,r18,r2 - 2809c: 18a7c83a sub r19,r3,r2 - 280a0: a4c00215 stw r19,8(r20) - 280a4: 983fce26 beq r19,zero,27fe0 <__sfvwrite_r+0xb4> - 280a8: 80c0030b ldhu r3,12(r16) - 280ac: 903fe61e bne r18,zero,28048 <__sfvwrite_r+0x11c> - 280b0: 8f000017 ldw fp,0(r17) - 280b4: 8c800117 ldw r18,4(r17) - 280b8: 8c400204 addi r17,r17,8 - 280bc: 003fe106 br 28044 <__sfvwrite_r+0x118> - 280c0: 8cc00017 ldw r19,0(r17) - 280c4: 8c800117 ldw r18,4(r17) - 280c8: 8c400204 addi r17,r17,8 - 280cc: 003fb306 br 27f9c <__sfvwrite_r+0x70> - 280d0: 0005883a mov r2,zero - 280d4: f800283a ret - 280d8: 81000017 ldw r4,0(r16) - 280dc: 80800417 ldw r2,16(r16) - 280e0: 11005736 bltu r2,r4,28240 <__sfvwrite_r+0x314> - 280e4: 85c00517 ldw r23,20(r16) - 280e8: 95c05536 bltu r18,r23,28240 <__sfvwrite_r+0x314> - 280ec: 00a00034 movhi r2,32768 - 280f0: 10bfffc4 addi r2,r2,-1 - 280f4: 9009883a mov r4,r18 - 280f8: 1480012e bgeu r2,r18,28100 <__sfvwrite_r+0x1d4> - 280fc: 1009883a mov r4,r2 - 28100: b80b883a mov r5,r23 - 28104: 00229280 call 22928 <__divsi3> - 28108: 15cf383a mul r7,r2,r23 - 2810c: 81400717 ldw r5,28(r16) - 28110: 80800917 ldw r2,36(r16) - 28114: e00d883a mov r6,fp - 28118: a809883a mov r4,r21 - 2811c: 103ee83a callr r2 - 28120: 00bfdb16 blt zero,r2,28090 <__sfvwrite_r+0x164> - 28124: 8080030b ldhu r2,12(r16) - 28128: 10801014 ori r2,r2,64 - 2812c: 8080030d sth r2,12(r16) - 28130: 00bfffc4 movi r2,-1 - 28134: 003fab06 br 27fe4 <__sfvwrite_r+0xb8> - 28138: 0027883a mov r19,zero - 2813c: 0011883a mov r8,zero - 28140: 0039883a mov fp,zero - 28144: 0025883a mov r18,zero - 28148: 90001f26 beq r18,zero,281c8 <__sfvwrite_r+0x29c> - 2814c: 40005a26 beq r8,zero,282b8 <__sfvwrite_r+0x38c> - 28150: 982d883a mov r22,r19 - 28154: 94c0012e bgeu r18,r19,2815c <__sfvwrite_r+0x230> - 28158: 902d883a mov r22,r18 - 2815c: 81000017 ldw r4,0(r16) - 28160: 80800417 ldw r2,16(r16) - 28164: b02f883a mov r23,r22 - 28168: 81c00517 ldw r7,20(r16) - 2816c: 1100032e bgeu r2,r4,2817c <__sfvwrite_r+0x250> - 28170: 80c00217 ldw r3,8(r16) - 28174: 38c7883a add r3,r7,r3 - 28178: 1d801816 blt r3,r22,281dc <__sfvwrite_r+0x2b0> - 2817c: b1c03e16 blt r22,r7,28278 <__sfvwrite_r+0x34c> - 28180: 80800917 ldw r2,36(r16) - 28184: 81400717 ldw r5,28(r16) - 28188: e00d883a mov r6,fp - 2818c: da000115 stw r8,4(sp) - 28190: a809883a mov r4,r21 - 28194: 103ee83a callr r2 - 28198: 102f883a mov r23,r2 - 2819c: da000117 ldw r8,4(sp) - 281a0: 00bfe00e bge zero,r2,28124 <__sfvwrite_r+0x1f8> - 281a4: 9de7c83a sub r19,r19,r23 - 281a8: 98001f26 beq r19,zero,28228 <__sfvwrite_r+0x2fc> - 281ac: a0800217 ldw r2,8(r20) - 281b0: e5f9883a add fp,fp,r23 - 281b4: 95e5c83a sub r18,r18,r23 - 281b8: 15efc83a sub r23,r2,r23 - 281bc: a5c00215 stw r23,8(r20) - 281c0: b83f8726 beq r23,zero,27fe0 <__sfvwrite_r+0xb4> - 281c4: 903fe11e bne r18,zero,2814c <__sfvwrite_r+0x220> - 281c8: 8f000017 ldw fp,0(r17) - 281cc: 8c800117 ldw r18,4(r17) - 281d0: 0011883a mov r8,zero - 281d4: 8c400204 addi r17,r17,8 - 281d8: 003fdb06 br 28148 <__sfvwrite_r+0x21c> - 281dc: 180d883a mov r6,r3 - 281e0: e00b883a mov r5,fp - 281e4: da000115 stw r8,4(sp) - 281e8: d8c00015 stw r3,0(sp) - 281ec: 0028a340 call 28a34 - 281f0: d8c00017 ldw r3,0(sp) - 281f4: 80800017 ldw r2,0(r16) - 281f8: 800b883a mov r5,r16 - 281fc: a809883a mov r4,r21 - 28200: 10c5883a add r2,r2,r3 - 28204: 80800015 stw r2,0(r16) - 28208: d8c00015 stw r3,0(sp) - 2820c: 00276cc0 call 276cc <_fflush_r> - 28210: d8c00017 ldw r3,0(sp) - 28214: da000117 ldw r8,4(sp) - 28218: 103fc21e bne r2,zero,28124 <__sfvwrite_r+0x1f8> - 2821c: 182f883a mov r23,r3 - 28220: 9de7c83a sub r19,r19,r23 - 28224: 983fe11e bne r19,zero,281ac <__sfvwrite_r+0x280> - 28228: 800b883a mov r5,r16 - 2822c: a809883a mov r4,r21 - 28230: 00276cc0 call 276cc <_fflush_r> - 28234: 103fbb1e bne r2,zero,28124 <__sfvwrite_r+0x1f8> - 28238: 0011883a mov r8,zero - 2823c: 003fdb06 br 281ac <__sfvwrite_r+0x280> - 28240: 94c0012e bgeu r18,r19,28248 <__sfvwrite_r+0x31c> - 28244: 9027883a mov r19,r18 - 28248: 980d883a mov r6,r19 - 2824c: e00b883a mov r5,fp - 28250: 0028a340 call 28a34 - 28254: 80800217 ldw r2,8(r16) - 28258: 80c00017 ldw r3,0(r16) - 2825c: 14c5c83a sub r2,r2,r19 - 28260: 1cc7883a add r3,r3,r19 - 28264: 80800215 stw r2,8(r16) - 28268: 80c00015 stw r3,0(r16) - 2826c: 10004326 beq r2,zero,2837c <__sfvwrite_r+0x450> - 28270: 9805883a mov r2,r19 - 28274: 003f8606 br 28090 <__sfvwrite_r+0x164> - 28278: b00d883a mov r6,r22 - 2827c: e00b883a mov r5,fp - 28280: da000115 stw r8,4(sp) - 28284: 0028a340 call 28a34 - 28288: 80800217 ldw r2,8(r16) - 2828c: 80c00017 ldw r3,0(r16) - 28290: da000117 ldw r8,4(sp) - 28294: 1585c83a sub r2,r2,r22 - 28298: 1dad883a add r22,r3,r22 - 2829c: 80800215 stw r2,8(r16) - 282a0: 85800015 stw r22,0(r16) - 282a4: 003fbf06 br 281a4 <__sfvwrite_r+0x278> - 282a8: 81000017 ldw r4,0(r16) - 282ac: 9027883a mov r19,r18 - 282b0: 902f883a mov r23,r18 - 282b4: 003f6c06 br 28068 <__sfvwrite_r+0x13c> - 282b8: 900d883a mov r6,r18 - 282bc: 01400284 movi r5,10 - 282c0: e009883a mov r4,fp - 282c4: 00288080 call 28808 - 282c8: 10003e26 beq r2,zero,283c4 <__sfvwrite_r+0x498> - 282cc: 10800044 addi r2,r2,1 - 282d0: 1727c83a sub r19,r2,fp - 282d4: 02000044 movi r8,1 - 282d8: 003f9d06 br 28150 <__sfvwrite_r+0x224> - 282dc: 80800517 ldw r2,20(r16) - 282e0: 81400417 ldw r5,16(r16) - 282e4: 81c00017 ldw r7,0(r16) - 282e8: 10a7883a add r19,r2,r2 - 282ec: 9885883a add r2,r19,r2 - 282f0: 1026d7fa srli r19,r2,31 - 282f4: 396dc83a sub r22,r7,r5 - 282f8: b1000044 addi r4,r22,1 - 282fc: 9885883a add r2,r19,r2 - 28300: 1027d07a srai r19,r2,1 - 28304: 2485883a add r2,r4,r18 - 28308: 980d883a mov r6,r19 - 2830c: 9880022e bgeu r19,r2,28318 <__sfvwrite_r+0x3ec> - 28310: 1027883a mov r19,r2 - 28314: 100d883a mov r6,r2 - 28318: 18c1000c andi r3,r3,1024 - 2831c: 18001c26 beq r3,zero,28390 <__sfvwrite_r+0x464> - 28320: 300b883a mov r5,r6 - 28324: a809883a mov r4,r21 - 28328: 0022bfc0 call 22bfc <_malloc_r> - 2832c: 102f883a mov r23,r2 - 28330: 10002926 beq r2,zero,283d8 <__sfvwrite_r+0x4ac> - 28334: 81400417 ldw r5,16(r16) - 28338: b00d883a mov r6,r22 - 2833c: 1009883a mov r4,r2 - 28340: 00288ec0 call 288ec - 28344: 8080030b ldhu r2,12(r16) - 28348: 00fedfc4 movi r3,-1153 - 2834c: 10c4703a and r2,r2,r3 - 28350: 10802014 ori r2,r2,128 - 28354: 8080030d sth r2,12(r16) - 28358: bd89883a add r4,r23,r22 - 2835c: 9d8fc83a sub r7,r19,r22 - 28360: 85c00415 stw r23,16(r16) - 28364: 84c00515 stw r19,20(r16) - 28368: 81000015 stw r4,0(r16) - 2836c: 9027883a mov r19,r18 - 28370: 81c00215 stw r7,8(r16) - 28374: 902f883a mov r23,r18 - 28378: 003f3b06 br 28068 <__sfvwrite_r+0x13c> - 2837c: 800b883a mov r5,r16 - 28380: a809883a mov r4,r21 - 28384: 00276cc0 call 276cc <_fflush_r> - 28388: 103fb926 beq r2,zero,28270 <__sfvwrite_r+0x344> - 2838c: 003f6506 br 28124 <__sfvwrite_r+0x1f8> - 28390: a809883a mov r4,r21 - 28394: 0029cf40 call 29cf4 <_realloc_r> - 28398: 102f883a mov r23,r2 - 2839c: 103fee1e bne r2,zero,28358 <__sfvwrite_r+0x42c> - 283a0: 81400417 ldw r5,16(r16) - 283a4: a809883a mov r4,r21 - 283a8: 0027c1c0 call 27c1c <_free_r> - 283ac: 8080030b ldhu r2,12(r16) - 283b0: 00ffdfc4 movi r3,-129 - 283b4: 1884703a and r2,r3,r2 - 283b8: 00c00304 movi r3,12 - 283bc: a8c00015 stw r3,0(r21) - 283c0: 003f5906 br 28128 <__sfvwrite_r+0x1fc> - 283c4: 94c00044 addi r19,r18,1 - 283c8: 02000044 movi r8,1 - 283cc: 003f6006 br 28150 <__sfvwrite_r+0x224> - 283d0: 00bfffc4 movi r2,-1 - 283d4: 003f0306 br 27fe4 <__sfvwrite_r+0xb8> - 283d8: 00800304 movi r2,12 - 283dc: a8800015 stw r2,0(r21) - 283e0: 8080030b ldhu r2,12(r16) - 283e4: 003f5006 br 28128 <__sfvwrite_r+0x1fc> +00027968 <__sfvwrite_r>: + 27968: 30800217 ldw r2,8(r6) + 2796c: 10006726 beq r2,zero,27b0c <__sfvwrite_r+0x1a4> + 27970: 28c0030b ldhu r3,12(r5) + 27974: defff404 addi sp,sp,-48 + 27978: dd400715 stw r21,28(sp) + 2797c: dd000615 stw r20,24(sp) + 27980: dc000215 stw r16,8(sp) + 27984: dfc00b15 stw ra,44(sp) + 27988: df000a15 stw fp,40(sp) + 2798c: ddc00915 stw r23,36(sp) + 27990: dd800815 stw r22,32(sp) + 27994: dcc00515 stw r19,20(sp) + 27998: dc800415 stw r18,16(sp) + 2799c: dc400315 stw r17,12(sp) + 279a0: 1880020c andi r2,r3,8 + 279a4: 2821883a mov r16,r5 + 279a8: 202b883a mov r21,r4 + 279ac: 3029883a mov r20,r6 + 279b0: 10002726 beq r2,zero,27a50 <__sfvwrite_r+0xe8> + 279b4: 28800417 ldw r2,16(r5) + 279b8: 10002526 beq r2,zero,27a50 <__sfvwrite_r+0xe8> + 279bc: 1880008c andi r2,r3,2 + 279c0: a4400017 ldw r17,0(r20) + 279c4: 10002a26 beq r2,zero,27a70 <__sfvwrite_r+0x108> + 279c8: 05a00034 movhi r22,32768 + 279cc: 0027883a mov r19,zero + 279d0: 0025883a mov r18,zero + 279d4: b5bf0004 addi r22,r22,-1024 + 279d8: 980d883a mov r6,r19 + 279dc: a809883a mov r4,r21 + 279e0: 90004626 beq r18,zero,27afc <__sfvwrite_r+0x194> + 279e4: 900f883a mov r7,r18 + 279e8: b480022e bgeu r22,r18,279f4 <__sfvwrite_r+0x8c> + 279ec: 01e00034 movhi r7,32768 + 279f0: 39ff0004 addi r7,r7,-1024 + 279f4: 80800917 ldw r2,36(r16) + 279f8: 81400717 ldw r5,28(r16) + 279fc: 103ee83a callr r2 + 27a00: 0080570e bge zero,r2,27b60 <__sfvwrite_r+0x1f8> + 27a04: a0c00217 ldw r3,8(r20) + 27a08: 98a7883a add r19,r19,r2 + 27a0c: 90a5c83a sub r18,r18,r2 + 27a10: 1885c83a sub r2,r3,r2 + 27a14: a0800215 stw r2,8(r20) + 27a18: 103fef1e bne r2,zero,279d8 <_gp+0xfffeb4a0> + 27a1c: 0005883a mov r2,zero + 27a20: dfc00b17 ldw ra,44(sp) + 27a24: df000a17 ldw fp,40(sp) + 27a28: ddc00917 ldw r23,36(sp) + 27a2c: dd800817 ldw r22,32(sp) + 27a30: dd400717 ldw r21,28(sp) + 27a34: dd000617 ldw r20,24(sp) + 27a38: dcc00517 ldw r19,20(sp) + 27a3c: dc800417 ldw r18,16(sp) + 27a40: dc400317 ldw r17,12(sp) + 27a44: dc000217 ldw r16,8(sp) + 27a48: dec00c04 addi sp,sp,48 + 27a4c: f800283a ret + 27a50: 800b883a mov r5,r16 + 27a54: a809883a mov r4,r21 + 27a58: 00255100 call 25510 <__swsetup_r> + 27a5c: 1000eb1e bne r2,zero,27e0c <__sfvwrite_r+0x4a4> + 27a60: 80c0030b ldhu r3,12(r16) + 27a64: a4400017 ldw r17,0(r20) + 27a68: 1880008c andi r2,r3,2 + 27a6c: 103fd61e bne r2,zero,279c8 <_gp+0xfffeb490> + 27a70: 1880004c andi r2,r3,1 + 27a74: 10003f1e bne r2,zero,27b74 <__sfvwrite_r+0x20c> + 27a78: 0039883a mov fp,zero + 27a7c: 0025883a mov r18,zero + 27a80: 90001a26 beq r18,zero,27aec <__sfvwrite_r+0x184> + 27a84: 1880800c andi r2,r3,512 + 27a88: 84c00217 ldw r19,8(r16) + 27a8c: 10002126 beq r2,zero,27b14 <__sfvwrite_r+0x1ac> + 27a90: 982f883a mov r23,r19 + 27a94: 94c09336 bltu r18,r19,27ce4 <__sfvwrite_r+0x37c> + 27a98: 1881200c andi r2,r3,1152 + 27a9c: 10009e1e bne r2,zero,27d18 <__sfvwrite_r+0x3b0> + 27aa0: 81000017 ldw r4,0(r16) + 27aa4: b80d883a mov r6,r23 + 27aa8: e00b883a mov r5,fp + 27aac: 00284700 call 28470 + 27ab0: 80c00217 ldw r3,8(r16) + 27ab4: 81000017 ldw r4,0(r16) + 27ab8: 9005883a mov r2,r18 + 27abc: 1ce7c83a sub r19,r3,r19 + 27ac0: 25cf883a add r7,r4,r23 + 27ac4: 84c00215 stw r19,8(r16) + 27ac8: 81c00015 stw r7,0(r16) + 27acc: a0c00217 ldw r3,8(r20) + 27ad0: e0b9883a add fp,fp,r2 + 27ad4: 90a5c83a sub r18,r18,r2 + 27ad8: 18a7c83a sub r19,r3,r2 + 27adc: a4c00215 stw r19,8(r20) + 27ae0: 983fce26 beq r19,zero,27a1c <_gp+0xfffeb4e4> + 27ae4: 80c0030b ldhu r3,12(r16) + 27ae8: 903fe61e bne r18,zero,27a84 <_gp+0xfffeb54c> + 27aec: 8f000017 ldw fp,0(r17) + 27af0: 8c800117 ldw r18,4(r17) + 27af4: 8c400204 addi r17,r17,8 + 27af8: 003fe106 br 27a80 <_gp+0xfffeb548> + 27afc: 8cc00017 ldw r19,0(r17) + 27b00: 8c800117 ldw r18,4(r17) + 27b04: 8c400204 addi r17,r17,8 + 27b08: 003fb306 br 279d8 <_gp+0xfffeb4a0> + 27b0c: 0005883a mov r2,zero + 27b10: f800283a ret + 27b14: 81000017 ldw r4,0(r16) + 27b18: 80800417 ldw r2,16(r16) + 27b1c: 11005736 bltu r2,r4,27c7c <__sfvwrite_r+0x314> + 27b20: 85c00517 ldw r23,20(r16) + 27b24: 95c05536 bltu r18,r23,27c7c <__sfvwrite_r+0x314> + 27b28: 00a00034 movhi r2,32768 + 27b2c: 10bfffc4 addi r2,r2,-1 + 27b30: 9009883a mov r4,r18 + 27b34: 1480012e bgeu r2,r18,27b3c <__sfvwrite_r+0x1d4> + 27b38: 1009883a mov r4,r2 + 27b3c: b80b883a mov r5,r23 + 27b40: 00224e40 call 224e4 <__divsi3> + 27b44: 15cf383a mul r7,r2,r23 + 27b48: 81400717 ldw r5,28(r16) + 27b4c: 80800917 ldw r2,36(r16) + 27b50: e00d883a mov r6,fp + 27b54: a809883a mov r4,r21 + 27b58: 103ee83a callr r2 + 27b5c: 00bfdb16 blt zero,r2,27acc <_gp+0xfffeb594> + 27b60: 8080030b ldhu r2,12(r16) + 27b64: 10801014 ori r2,r2,64 + 27b68: 8080030d sth r2,12(r16) + 27b6c: 00bfffc4 movi r2,-1 + 27b70: 003fab06 br 27a20 <_gp+0xfffeb4e8> + 27b74: 0027883a mov r19,zero + 27b78: 0011883a mov r8,zero + 27b7c: 0039883a mov fp,zero + 27b80: 0025883a mov r18,zero + 27b84: 90001f26 beq r18,zero,27c04 <__sfvwrite_r+0x29c> + 27b88: 40005a26 beq r8,zero,27cf4 <__sfvwrite_r+0x38c> + 27b8c: 982d883a mov r22,r19 + 27b90: 94c0012e bgeu r18,r19,27b98 <__sfvwrite_r+0x230> + 27b94: 902d883a mov r22,r18 + 27b98: 81000017 ldw r4,0(r16) + 27b9c: 80800417 ldw r2,16(r16) + 27ba0: b02f883a mov r23,r22 + 27ba4: 81c00517 ldw r7,20(r16) + 27ba8: 1100032e bgeu r2,r4,27bb8 <__sfvwrite_r+0x250> + 27bac: 80c00217 ldw r3,8(r16) + 27bb0: 38c7883a add r3,r7,r3 + 27bb4: 1d801816 blt r3,r22,27c18 <__sfvwrite_r+0x2b0> + 27bb8: b1c03e16 blt r22,r7,27cb4 <__sfvwrite_r+0x34c> + 27bbc: 80800917 ldw r2,36(r16) + 27bc0: 81400717 ldw r5,28(r16) + 27bc4: e00d883a mov r6,fp + 27bc8: da000115 stw r8,4(sp) + 27bcc: a809883a mov r4,r21 + 27bd0: 103ee83a callr r2 + 27bd4: 102f883a mov r23,r2 + 27bd8: da000117 ldw r8,4(sp) + 27bdc: 00bfe00e bge zero,r2,27b60 <_gp+0xfffeb628> + 27be0: 9de7c83a sub r19,r19,r23 + 27be4: 98001f26 beq r19,zero,27c64 <__sfvwrite_r+0x2fc> + 27be8: a0800217 ldw r2,8(r20) + 27bec: e5f9883a add fp,fp,r23 + 27bf0: 95e5c83a sub r18,r18,r23 + 27bf4: 15efc83a sub r23,r2,r23 + 27bf8: a5c00215 stw r23,8(r20) + 27bfc: b83f8726 beq r23,zero,27a1c <_gp+0xfffeb4e4> + 27c00: 903fe11e bne r18,zero,27b88 <_gp+0xfffeb650> + 27c04: 8f000017 ldw fp,0(r17) + 27c08: 8c800117 ldw r18,4(r17) + 27c0c: 0011883a mov r8,zero + 27c10: 8c400204 addi r17,r17,8 + 27c14: 003fdb06 br 27b84 <_gp+0xfffeb64c> + 27c18: 180d883a mov r6,r3 + 27c1c: e00b883a mov r5,fp + 27c20: da000115 stw r8,4(sp) + 27c24: d8c00015 stw r3,0(sp) + 27c28: 00284700 call 28470 + 27c2c: d8c00017 ldw r3,0(sp) + 27c30: 80800017 ldw r2,0(r16) + 27c34: 800b883a mov r5,r16 + 27c38: a809883a mov r4,r21 + 27c3c: 10c5883a add r2,r2,r3 + 27c40: 80800015 stw r2,0(r16) + 27c44: d8c00015 stw r3,0(sp) + 27c48: 00271080 call 27108 <_fflush_r> + 27c4c: d8c00017 ldw r3,0(sp) + 27c50: da000117 ldw r8,4(sp) + 27c54: 103fc21e bne r2,zero,27b60 <_gp+0xfffeb628> + 27c58: 182f883a mov r23,r3 + 27c5c: 9de7c83a sub r19,r19,r23 + 27c60: 983fe11e bne r19,zero,27be8 <_gp+0xfffeb6b0> + 27c64: 800b883a mov r5,r16 + 27c68: a809883a mov r4,r21 + 27c6c: 00271080 call 27108 <_fflush_r> + 27c70: 103fbb1e bne r2,zero,27b60 <_gp+0xfffeb628> + 27c74: 0011883a mov r8,zero + 27c78: 003fdb06 br 27be8 <_gp+0xfffeb6b0> + 27c7c: 94c0012e bgeu r18,r19,27c84 <__sfvwrite_r+0x31c> + 27c80: 9027883a mov r19,r18 + 27c84: 980d883a mov r6,r19 + 27c88: e00b883a mov r5,fp + 27c8c: 00284700 call 28470 + 27c90: 80800217 ldw r2,8(r16) + 27c94: 80c00017 ldw r3,0(r16) + 27c98: 14c5c83a sub r2,r2,r19 + 27c9c: 1cc7883a add r3,r3,r19 + 27ca0: 80800215 stw r2,8(r16) + 27ca4: 80c00015 stw r3,0(r16) + 27ca8: 10004326 beq r2,zero,27db8 <__sfvwrite_r+0x450> + 27cac: 9805883a mov r2,r19 + 27cb0: 003f8606 br 27acc <_gp+0xfffeb594> + 27cb4: b00d883a mov r6,r22 + 27cb8: e00b883a mov r5,fp + 27cbc: da000115 stw r8,4(sp) + 27cc0: 00284700 call 28470 + 27cc4: 80800217 ldw r2,8(r16) + 27cc8: 80c00017 ldw r3,0(r16) + 27ccc: da000117 ldw r8,4(sp) + 27cd0: 1585c83a sub r2,r2,r22 + 27cd4: 1dad883a add r22,r3,r22 + 27cd8: 80800215 stw r2,8(r16) + 27cdc: 85800015 stw r22,0(r16) + 27ce0: 003fbf06 br 27be0 <_gp+0xfffeb6a8> + 27ce4: 81000017 ldw r4,0(r16) + 27ce8: 9027883a mov r19,r18 + 27cec: 902f883a mov r23,r18 + 27cf0: 003f6c06 br 27aa4 <_gp+0xfffeb56c> + 27cf4: 900d883a mov r6,r18 + 27cf8: 01400284 movi r5,10 + 27cfc: e009883a mov r4,fp + 27d00: 00282440 call 28244 + 27d04: 10003e26 beq r2,zero,27e00 <__sfvwrite_r+0x498> + 27d08: 10800044 addi r2,r2,1 + 27d0c: 1727c83a sub r19,r2,fp + 27d10: 02000044 movi r8,1 + 27d14: 003f9d06 br 27b8c <_gp+0xfffeb654> + 27d18: 80800517 ldw r2,20(r16) + 27d1c: 81400417 ldw r5,16(r16) + 27d20: 81c00017 ldw r7,0(r16) + 27d24: 10a7883a add r19,r2,r2 + 27d28: 9885883a add r2,r19,r2 + 27d2c: 1026d7fa srli r19,r2,31 + 27d30: 396dc83a sub r22,r7,r5 + 27d34: b1000044 addi r4,r22,1 + 27d38: 9885883a add r2,r19,r2 + 27d3c: 1027d07a srai r19,r2,1 + 27d40: 2485883a add r2,r4,r18 + 27d44: 980d883a mov r6,r19 + 27d48: 9880022e bgeu r19,r2,27d54 <__sfvwrite_r+0x3ec> + 27d4c: 1027883a mov r19,r2 + 27d50: 100d883a mov r6,r2 + 27d54: 18c1000c andi r3,r3,1024 + 27d58: 18001c26 beq r3,zero,27dcc <__sfvwrite_r+0x464> + 27d5c: 300b883a mov r5,r6 + 27d60: a809883a mov r4,r21 + 27d64: 00226c00 call 226c0 <_malloc_r> + 27d68: 102f883a mov r23,r2 + 27d6c: 10002926 beq r2,zero,27e14 <__sfvwrite_r+0x4ac> + 27d70: 81400417 ldw r5,16(r16) + 27d74: b00d883a mov r6,r22 + 27d78: 1009883a mov r4,r2 + 27d7c: 00283280 call 28328 + 27d80: 8080030b ldhu r2,12(r16) + 27d84: 00fedfc4 movi r3,-1153 + 27d88: 10c4703a and r2,r2,r3 + 27d8c: 10802014 ori r2,r2,128 + 27d90: 8080030d sth r2,12(r16) + 27d94: bd89883a add r4,r23,r22 + 27d98: 9d8fc83a sub r7,r19,r22 + 27d9c: 85c00415 stw r23,16(r16) + 27da0: 84c00515 stw r19,20(r16) + 27da4: 81000015 stw r4,0(r16) + 27da8: 9027883a mov r19,r18 + 27dac: 81c00215 stw r7,8(r16) + 27db0: 902f883a mov r23,r18 + 27db4: 003f3b06 br 27aa4 <_gp+0xfffeb56c> + 27db8: 800b883a mov r5,r16 + 27dbc: a809883a mov r4,r21 + 27dc0: 00271080 call 27108 <_fflush_r> + 27dc4: 103fb926 beq r2,zero,27cac <_gp+0xfffeb774> + 27dc8: 003f6506 br 27b60 <_gp+0xfffeb628> + 27dcc: a809883a mov r4,r21 + 27dd0: 00297300 call 29730 <_realloc_r> + 27dd4: 102f883a mov r23,r2 + 27dd8: 103fee1e bne r2,zero,27d94 <_gp+0xfffeb85c> + 27ddc: 81400417 ldw r5,16(r16) + 27de0: a809883a mov r4,r21 + 27de4: 00276580 call 27658 <_free_r> + 27de8: 8080030b ldhu r2,12(r16) + 27dec: 00ffdfc4 movi r3,-129 + 27df0: 1884703a and r2,r3,r2 + 27df4: 00c00304 movi r3,12 + 27df8: a8c00015 stw r3,0(r21) + 27dfc: 003f5906 br 27b64 <_gp+0xfffeb62c> + 27e00: 94c00044 addi r19,r18,1 + 27e04: 02000044 movi r8,1 + 27e08: 003f6006 br 27b8c <_gp+0xfffeb654> + 27e0c: 00bfffc4 movi r2,-1 + 27e10: 003f0306 br 27a20 <_gp+0xfffeb4e8> + 27e14: 00800304 movi r2,12 + 27e18: a8800015 stw r2,0(r21) + 27e1c: 8080030b ldhu r2,12(r16) + 27e20: 003f5006 br 27b64 <_gp+0xfffeb62c> -000283e8 <_fwalk>: - 283e8: defff704 addi sp,sp,-36 - 283ec: dd000415 stw r20,16(sp) - 283f0: dfc00815 stw ra,32(sp) - 283f4: ddc00715 stw r23,28(sp) - 283f8: dd800615 stw r22,24(sp) - 283fc: dd400515 stw r21,20(sp) - 28400: dcc00315 stw r19,12(sp) - 28404: dc800215 stw r18,8(sp) - 28408: dc400115 stw r17,4(sp) - 2840c: dc000015 stw r16,0(sp) - 28410: 2500b804 addi r20,r4,736 - 28414: a0002326 beq r20,zero,284a4 <_fwalk+0xbc> - 28418: 282b883a mov r21,r5 - 2841c: 002f883a mov r23,zero - 28420: 05800044 movi r22,1 - 28424: 04ffffc4 movi r19,-1 - 28428: a4400117 ldw r17,4(r20) - 2842c: a4800217 ldw r18,8(r20) - 28430: 8c7fffc4 addi r17,r17,-1 - 28434: 88000d16 blt r17,zero,2846c <_fwalk+0x84> - 28438: 94000304 addi r16,r18,12 - 2843c: 94800384 addi r18,r18,14 - 28440: 8080000b ldhu r2,0(r16) - 28444: 8c7fffc4 addi r17,r17,-1 - 28448: 813ffd04 addi r4,r16,-12 - 2844c: b080042e bgeu r22,r2,28460 <_fwalk+0x78> - 28450: 9080000f ldh r2,0(r18) - 28454: 14c00226 beq r2,r19,28460 <_fwalk+0x78> - 28458: a83ee83a callr r21 - 2845c: b8aeb03a or r23,r23,r2 - 28460: 84001a04 addi r16,r16,104 - 28464: 94801a04 addi r18,r18,104 - 28468: 8cfff51e bne r17,r19,28440 <_fwalk+0x58> - 2846c: a5000017 ldw r20,0(r20) - 28470: a03fed1e bne r20,zero,28428 <_fwalk+0x40> - 28474: b805883a mov r2,r23 - 28478: dfc00817 ldw ra,32(sp) - 2847c: ddc00717 ldw r23,28(sp) - 28480: dd800617 ldw r22,24(sp) - 28484: dd400517 ldw r21,20(sp) - 28488: dd000417 ldw r20,16(sp) - 2848c: dcc00317 ldw r19,12(sp) - 28490: dc800217 ldw r18,8(sp) - 28494: dc400117 ldw r17,4(sp) - 28498: dc000017 ldw r16,0(sp) - 2849c: dec00904 addi sp,sp,36 +00027e24 <_fwalk>: + 27e24: defff704 addi sp,sp,-36 + 27e28: dd000415 stw r20,16(sp) + 27e2c: dfc00815 stw ra,32(sp) + 27e30: ddc00715 stw r23,28(sp) + 27e34: dd800615 stw r22,24(sp) + 27e38: dd400515 stw r21,20(sp) + 27e3c: dcc00315 stw r19,12(sp) + 27e40: dc800215 stw r18,8(sp) + 27e44: dc400115 stw r17,4(sp) + 27e48: dc000015 stw r16,0(sp) + 27e4c: 2500b804 addi r20,r4,736 + 27e50: a0002326 beq r20,zero,27ee0 <_fwalk+0xbc> + 27e54: 282b883a mov r21,r5 + 27e58: 002f883a mov r23,zero + 27e5c: 05800044 movi r22,1 + 27e60: 04ffffc4 movi r19,-1 + 27e64: a4400117 ldw r17,4(r20) + 27e68: a4800217 ldw r18,8(r20) + 27e6c: 8c7fffc4 addi r17,r17,-1 + 27e70: 88000d16 blt r17,zero,27ea8 <_fwalk+0x84> + 27e74: 94000304 addi r16,r18,12 + 27e78: 94800384 addi r18,r18,14 + 27e7c: 8080000b ldhu r2,0(r16) + 27e80: 8c7fffc4 addi r17,r17,-1 + 27e84: 813ffd04 addi r4,r16,-12 + 27e88: b080042e bgeu r22,r2,27e9c <_fwalk+0x78> + 27e8c: 9080000f ldh r2,0(r18) + 27e90: 14c00226 beq r2,r19,27e9c <_fwalk+0x78> + 27e94: a83ee83a callr r21 + 27e98: b8aeb03a or r23,r23,r2 + 27e9c: 84001a04 addi r16,r16,104 + 27ea0: 94801a04 addi r18,r18,104 + 27ea4: 8cfff51e bne r17,r19,27e7c <_gp+0xfffeb944> + 27ea8: a5000017 ldw r20,0(r20) + 27eac: a03fed1e bne r20,zero,27e64 <_gp+0xfffeb92c> + 27eb0: b805883a mov r2,r23 + 27eb4: dfc00817 ldw ra,32(sp) + 27eb8: ddc00717 ldw r23,28(sp) + 27ebc: dd800617 ldw r22,24(sp) + 27ec0: dd400517 ldw r21,20(sp) + 27ec4: dd000417 ldw r20,16(sp) + 27ec8: dcc00317 ldw r19,12(sp) + 27ecc: dc800217 ldw r18,8(sp) + 27ed0: dc400117 ldw r17,4(sp) + 27ed4: dc000017 ldw r16,0(sp) + 27ed8: dec00904 addi sp,sp,36 + 27edc: f800283a ret + 27ee0: 002f883a mov r23,zero + 27ee4: 003ff206 br 27eb0 <_gp+0xfffeb978> + +00027ee8 <_fwalk_reent>: + 27ee8: defff704 addi sp,sp,-36 + 27eec: dd000415 stw r20,16(sp) + 27ef0: dfc00815 stw ra,32(sp) + 27ef4: ddc00715 stw r23,28(sp) + 27ef8: dd800615 stw r22,24(sp) + 27efc: dd400515 stw r21,20(sp) + 27f00: dcc00315 stw r19,12(sp) + 27f04: dc800215 stw r18,8(sp) + 27f08: dc400115 stw r17,4(sp) + 27f0c: dc000015 stw r16,0(sp) + 27f10: 2500b804 addi r20,r4,736 + 27f14: a0002326 beq r20,zero,27fa4 <_fwalk_reent+0xbc> + 27f18: 282b883a mov r21,r5 + 27f1c: 2027883a mov r19,r4 + 27f20: 002f883a mov r23,zero + 27f24: 05800044 movi r22,1 + 27f28: 04bfffc4 movi r18,-1 + 27f2c: a4400117 ldw r17,4(r20) + 27f30: a4000217 ldw r16,8(r20) + 27f34: 8c7fffc4 addi r17,r17,-1 + 27f38: 88000c16 blt r17,zero,27f6c <_fwalk_reent+0x84> + 27f3c: 84000304 addi r16,r16,12 + 27f40: 8080000b ldhu r2,0(r16) + 27f44: 8c7fffc4 addi r17,r17,-1 + 27f48: 817ffd04 addi r5,r16,-12 + 27f4c: b080052e bgeu r22,r2,27f64 <_fwalk_reent+0x7c> + 27f50: 8080008f ldh r2,2(r16) + 27f54: 9809883a mov r4,r19 + 27f58: 14800226 beq r2,r18,27f64 <_fwalk_reent+0x7c> + 27f5c: a83ee83a callr r21 + 27f60: b8aeb03a or r23,r23,r2 + 27f64: 84001a04 addi r16,r16,104 + 27f68: 8cbff51e bne r17,r18,27f40 <_gp+0xfffeba08> + 27f6c: a5000017 ldw r20,0(r20) + 27f70: a03fee1e bne r20,zero,27f2c <_gp+0xfffeb9f4> + 27f74: b805883a mov r2,r23 + 27f78: dfc00817 ldw ra,32(sp) + 27f7c: ddc00717 ldw r23,28(sp) + 27f80: dd800617 ldw r22,24(sp) + 27f84: dd400517 ldw r21,20(sp) + 27f88: dd000417 ldw r20,16(sp) + 27f8c: dcc00317 ldw r19,12(sp) + 27f90: dc800217 ldw r18,8(sp) + 27f94: dc400117 ldw r17,4(sp) + 27f98: dc000017 ldw r16,0(sp) + 27f9c: dec00904 addi sp,sp,36 + 27fa0: f800283a ret + 27fa4: 002f883a mov r23,zero + 27fa8: 003ff206 br 27f74 <_gp+0xfffeba3c> + +00027fac <_setlocale_r>: + 27fac: 30001b26 beq r6,zero,2801c <_setlocale_r+0x70> + 27fb0: 014000f4 movhi r5,3 + 27fb4: defffe04 addi sp,sp,-8 + 27fb8: 29481a04 addi r5,r5,8296 + 27fbc: 3009883a mov r4,r6 + 27fc0: dc000015 stw r16,0(sp) + 27fc4: dfc00115 stw ra,4(sp) + 27fc8: 3021883a mov r16,r6 + 27fcc: 0029e440 call 29e44 + 27fd0: 1000061e bne r2,zero,27fec <_setlocale_r+0x40> + 27fd4: 008000f4 movhi r2,3 + 27fd8: 10881904 addi r2,r2,8292 + 27fdc: dfc00117 ldw ra,4(sp) + 27fe0: dc000017 ldw r16,0(sp) + 27fe4: dec00204 addi sp,sp,8 + 27fe8: f800283a ret + 27fec: 014000f4 movhi r5,3 + 27ff0: 29481904 addi r5,r5,8292 + 27ff4: 8009883a mov r4,r16 + 27ff8: 0029e440 call 29e44 + 27ffc: 103ff526 beq r2,zero,27fd4 <_gp+0xfffeba9c> + 28000: 014000f4 movhi r5,3 + 28004: 29480404 addi r5,r5,8208 + 28008: 8009883a mov r4,r16 + 2800c: 0029e440 call 29e44 + 28010: 103ff026 beq r2,zero,27fd4 <_gp+0xfffeba9c> + 28014: 0005883a mov r2,zero + 28018: 003ff006 br 27fdc <_gp+0xfffebaa4> + 2801c: 008000f4 movhi r2,3 + 28020: 10881904 addi r2,r2,8292 + 28024: f800283a ret + +00028028 <__locale_charset>: + 28028: 008000f4 movhi r2,3 + 2802c: 108cb604 addi r2,r2,13016 + 28030: f800283a ret + +00028034 <__locale_mb_cur_max>: + 28034: 008000f4 movhi r2,3 + 28038: 10915504 addi r2,r2,17748 + 2803c: 10800017 ldw r2,0(r2) + 28040: f800283a ret + +00028044 <__locale_msgcharset>: + 28044: 008000f4 movhi r2,3 + 28048: 108cae04 addi r2,r2,12984 + 2804c: f800283a ret + +00028050 <__locale_cjk_lang>: + 28050: 0005883a mov r2,zero + 28054: f800283a ret + +00028058 <_localeconv_r>: + 28058: 008000f4 movhi r2,3 + 2805c: 108cbe04 addi r2,r2,13048 + 28060: f800283a ret + +00028064 : + 28064: 008000f4 movhi r2,3 + 28068: 10915404 addi r2,r2,17744 + 2806c: 280d883a mov r6,r5 + 28070: 200b883a mov r5,r4 + 28074: 11000017 ldw r4,0(r2) + 28078: 0027fac1 jmpi 27fac <_setlocale_r> + +0002807c : + 2807c: 008000f4 movhi r2,3 + 28080: 108cbe04 addi r2,r2,13048 + 28084: f800283a ret + +00028088 <__smakebuf_r>: + 28088: 2880030b ldhu r2,12(r5) + 2808c: 10c0008c andi r3,r2,2 + 28090: 1800411e bne r3,zero,28198 <__smakebuf_r+0x110> + 28094: deffec04 addi sp,sp,-80 + 28098: dc000f15 stw r16,60(sp) + 2809c: 2821883a mov r16,r5 + 280a0: 2940038f ldh r5,14(r5) + 280a4: dc401015 stw r17,64(sp) + 280a8: dfc01315 stw ra,76(sp) + 280ac: dcc01215 stw r19,72(sp) + 280b0: dc801115 stw r18,68(sp) + 280b4: 2023883a mov r17,r4 + 280b8: 28001c16 blt r5,zero,2812c <__smakebuf_r+0xa4> + 280bc: d80d883a mov r6,sp + 280c0: 002bb440 call 2bb44 <_fstat_r> + 280c4: 10001816 blt r2,zero,28128 <__smakebuf_r+0xa0> + 280c8: d8800117 ldw r2,4(sp) + 280cc: 00e00014 movui r3,32768 + 280d0: 10bc000c andi r2,r2,61440 + 280d4: 14c80020 cmpeqi r19,r2,8192 + 280d8: 10c03726 beq r2,r3,281b8 <__smakebuf_r+0x130> + 280dc: 80c0030b ldhu r3,12(r16) + 280e0: 18c20014 ori r3,r3,2048 + 280e4: 80c0030d sth r3,12(r16) + 280e8: 00c80004 movi r3,8192 + 280ec: 10c0521e bne r2,r3,28238 <__smakebuf_r+0x1b0> + 280f0: 8140038f ldh r5,14(r16) + 280f4: 8809883a mov r4,r17 + 280f8: 002bba00 call 2bba0 <_isatty_r> + 280fc: 10004c26 beq r2,zero,28230 <__smakebuf_r+0x1a8> + 28100: 8080030b ldhu r2,12(r16) + 28104: 80c010c4 addi r3,r16,67 + 28108: 80c00015 stw r3,0(r16) + 2810c: 10800054 ori r2,r2,1 + 28110: 8080030d sth r2,12(r16) + 28114: 00800044 movi r2,1 + 28118: 80c00415 stw r3,16(r16) + 2811c: 80800515 stw r2,20(r16) + 28120: 04810004 movi r18,1024 + 28124: 00000706 br 28144 <__smakebuf_r+0xbc> + 28128: 8080030b ldhu r2,12(r16) + 2812c: 10c0200c andi r3,r2,128 + 28130: 18001f1e bne r3,zero,281b0 <__smakebuf_r+0x128> + 28134: 04810004 movi r18,1024 + 28138: 10820014 ori r2,r2,2048 + 2813c: 8080030d sth r2,12(r16) + 28140: 0027883a mov r19,zero + 28144: 900b883a mov r5,r18 + 28148: 8809883a mov r4,r17 + 2814c: 00226c00 call 226c0 <_malloc_r> + 28150: 10002c26 beq r2,zero,28204 <__smakebuf_r+0x17c> + 28154: 80c0030b ldhu r3,12(r16) + 28158: 010000b4 movhi r4,2 + 2815c: 211c6704 addi r4,r4,29084 + 28160: 89000f15 stw r4,60(r17) + 28164: 18c02014 ori r3,r3,128 + 28168: 80c0030d sth r3,12(r16) + 2816c: 80800015 stw r2,0(r16) + 28170: 80800415 stw r2,16(r16) + 28174: 84800515 stw r18,20(r16) + 28178: 98001a1e bne r19,zero,281e4 <__smakebuf_r+0x15c> + 2817c: dfc01317 ldw ra,76(sp) + 28180: dcc01217 ldw r19,72(sp) + 28184: dc801117 ldw r18,68(sp) + 28188: dc401017 ldw r17,64(sp) + 2818c: dc000f17 ldw r16,60(sp) + 28190: dec01404 addi sp,sp,80 + 28194: f800283a ret + 28198: 288010c4 addi r2,r5,67 + 2819c: 28800015 stw r2,0(r5) + 281a0: 28800415 stw r2,16(r5) + 281a4: 00800044 movi r2,1 + 281a8: 28800515 stw r2,20(r5) + 281ac: f800283a ret + 281b0: 04801004 movi r18,64 + 281b4: 003fe006 br 28138 <_gp+0xfffebc00> + 281b8: 81000a17 ldw r4,40(r16) + 281bc: 00c000f4 movhi r3,3 + 281c0: 18e77804 addi r3,r3,-25120 + 281c4: 20ffc51e bne r4,r3,280dc <_gp+0xfffebba4> + 281c8: 8080030b ldhu r2,12(r16) + 281cc: 04810004 movi r18,1024 + 281d0: 84801315 stw r18,76(r16) + 281d4: 1484b03a or r2,r2,r18 + 281d8: 8080030d sth r2,12(r16) + 281dc: 0027883a mov r19,zero + 281e0: 003fd806 br 28144 <_gp+0xfffebc0c> + 281e4: 8140038f ldh r5,14(r16) + 281e8: 8809883a mov r4,r17 + 281ec: 002bba00 call 2bba0 <_isatty_r> + 281f0: 103fe226 beq r2,zero,2817c <_gp+0xfffebc44> + 281f4: 8080030b ldhu r2,12(r16) + 281f8: 10800054 ori r2,r2,1 + 281fc: 8080030d sth r2,12(r16) + 28200: 003fde06 br 2817c <_gp+0xfffebc44> + 28204: 8080030b ldhu r2,12(r16) + 28208: 10c0800c andi r3,r2,512 + 2820c: 183fdb1e bne r3,zero,2817c <_gp+0xfffebc44> + 28210: 10800094 ori r2,r2,2 + 28214: 80c010c4 addi r3,r16,67 + 28218: 8080030d sth r2,12(r16) + 2821c: 00800044 movi r2,1 + 28220: 80c00015 stw r3,0(r16) + 28224: 80c00415 stw r3,16(r16) + 28228: 80800515 stw r2,20(r16) + 2822c: 003fd306 br 2817c <_gp+0xfffebc44> + 28230: 04810004 movi r18,1024 + 28234: 003fc306 br 28144 <_gp+0xfffebc0c> + 28238: 0027883a mov r19,zero + 2823c: 04810004 movi r18,1024 + 28240: 003fc006 br 28144 <_gp+0xfffebc0c> + +00028244 : + 28244: 208000cc andi r2,r4,3 + 28248: 280f883a mov r7,r5 + 2824c: 10003426 beq r2,zero,28320 + 28250: 30bfffc4 addi r2,r6,-1 + 28254: 30001a26 beq r6,zero,282c0 + 28258: 20c00003 ldbu r3,0(r4) + 2825c: 29803fcc andi r6,r5,255 + 28260: 30c0051e bne r6,r3,28278 + 28264: 00001806 br 282c8 + 28268: 10001526 beq r2,zero,282c0 + 2826c: 20c00003 ldbu r3,0(r4) + 28270: 10bfffc4 addi r2,r2,-1 + 28274: 30c01426 beq r6,r3,282c8 + 28278: 21000044 addi r4,r4,1 + 2827c: 20c000cc andi r3,r4,3 + 28280: 183ff91e bne r3,zero,28268 <_gp+0xfffebd30> + 28284: 020000c4 movi r8,3 + 28288: 40801136 bltu r8,r2,282d0 + 2828c: 10000c26 beq r2,zero,282c0 + 28290: 20c00003 ldbu r3,0(r4) + 28294: 29403fcc andi r5,r5,255 + 28298: 28c00b26 beq r5,r3,282c8 + 2829c: 20c00044 addi r3,r4,1 + 282a0: 39803fcc andi r6,r7,255 + 282a4: 2089883a add r4,r4,r2 + 282a8: 00000306 br 282b8 + 282ac: 18c00044 addi r3,r3,1 + 282b0: 197fffc3 ldbu r5,-1(r3) + 282b4: 31400526 beq r6,r5,282cc + 282b8: 1805883a mov r2,r3 + 282bc: 20fffb1e bne r4,r3,282ac <_gp+0xfffebd74> + 282c0: 0005883a mov r2,zero + 282c4: f800283a ret + 282c8: 2005883a mov r2,r4 + 282cc: f800283a ret + 282d0: 28c03fcc andi r3,r5,255 + 282d4: 1812923a slli r9,r3,8 + 282d8: 02ffbff4 movhi r11,65279 + 282dc: 02a02074 movhi r10,32897 + 282e0: 48d2b03a or r9,r9,r3 + 282e4: 4806943a slli r3,r9,16 + 282e8: 5affbfc4 addi r11,r11,-257 + 282ec: 52a02004 addi r10,r10,-32640 + 282f0: 48d2b03a or r9,r9,r3 + 282f4: 20c00017 ldw r3,0(r4) + 282f8: 48c6f03a xor r3,r9,r3 + 282fc: 1acd883a add r6,r3,r11 + 28300: 00c6303a nor r3,zero,r3 + 28304: 30c6703a and r3,r6,r3 + 28308: 1a86703a and r3,r3,r10 + 2830c: 183fe01e bne r3,zero,28290 <_gp+0xfffebd58> + 28310: 10bfff04 addi r2,r2,-4 + 28314: 21000104 addi r4,r4,4 + 28318: 40bff636 bltu r8,r2,282f4 <_gp+0xfffebdbc> + 2831c: 003fdb06 br 2828c <_gp+0xfffebd54> + 28320: 3005883a mov r2,r6 + 28324: 003fd706 br 28284 <_gp+0xfffebd4c> + +00028328 : + 28328: defffd04 addi sp,sp,-12 + 2832c: dfc00215 stw ra,8(sp) + 28330: dc400115 stw r17,4(sp) + 28334: dc000015 stw r16,0(sp) + 28338: 00c003c4 movi r3,15 + 2833c: 2005883a mov r2,r4 + 28340: 1980452e bgeu r3,r6,28458 + 28344: 2906b03a or r3,r5,r4 + 28348: 18c000cc andi r3,r3,3 + 2834c: 1800441e bne r3,zero,28460 + 28350: 347ffc04 addi r17,r6,-16 + 28354: 8822d13a srli r17,r17,4 + 28358: 28c00104 addi r3,r5,4 + 2835c: 23400104 addi r13,r4,4 + 28360: 8820913a slli r16,r17,4 + 28364: 2b000204 addi r12,r5,8 + 28368: 22c00204 addi r11,r4,8 + 2836c: 84000504 addi r16,r16,20 + 28370: 2a800304 addi r10,r5,12 + 28374: 22400304 addi r9,r4,12 + 28378: 2c21883a add r16,r5,r16 + 2837c: 2811883a mov r8,r5 + 28380: 200f883a mov r7,r4 + 28384: 41000017 ldw r4,0(r8) + 28388: 1fc00017 ldw ra,0(r3) + 2838c: 63c00017 ldw r15,0(r12) + 28390: 39000015 stw r4,0(r7) + 28394: 53800017 ldw r14,0(r10) + 28398: 6fc00015 stw ra,0(r13) + 2839c: 5bc00015 stw r15,0(r11) + 283a0: 4b800015 stw r14,0(r9) + 283a4: 18c00404 addi r3,r3,16 + 283a8: 39c00404 addi r7,r7,16 + 283ac: 42000404 addi r8,r8,16 + 283b0: 6b400404 addi r13,r13,16 + 283b4: 63000404 addi r12,r12,16 + 283b8: 5ac00404 addi r11,r11,16 + 283bc: 52800404 addi r10,r10,16 + 283c0: 4a400404 addi r9,r9,16 + 283c4: 1c3fef1e bne r3,r16,28384 <_gp+0xfffebe4c> + 283c8: 89c00044 addi r7,r17,1 + 283cc: 380e913a slli r7,r7,4 + 283d0: 310003cc andi r4,r6,15 + 283d4: 02c000c4 movi r11,3 + 283d8: 11c7883a add r3,r2,r7 + 283dc: 29cb883a add r5,r5,r7 + 283e0: 5900212e bgeu r11,r4,28468 + 283e4: 1813883a mov r9,r3 + 283e8: 2811883a mov r8,r5 + 283ec: 200f883a mov r7,r4 + 283f0: 42800017 ldw r10,0(r8) + 283f4: 4a400104 addi r9,r9,4 + 283f8: 39ffff04 addi r7,r7,-4 + 283fc: 4abfff15 stw r10,-4(r9) + 28400: 42000104 addi r8,r8,4 + 28404: 59fffa36 bltu r11,r7,283f0 <_gp+0xfffebeb8> + 28408: 213fff04 addi r4,r4,-4 + 2840c: 2008d0ba srli r4,r4,2 + 28410: 318000cc andi r6,r6,3 + 28414: 21000044 addi r4,r4,1 + 28418: 2109883a add r4,r4,r4 + 2841c: 2109883a add r4,r4,r4 + 28420: 1907883a add r3,r3,r4 + 28424: 290b883a add r5,r5,r4 + 28428: 30000626 beq r6,zero,28444 + 2842c: 198d883a add r6,r3,r6 + 28430: 29c00003 ldbu r7,0(r5) + 28434: 18c00044 addi r3,r3,1 + 28438: 29400044 addi r5,r5,1 + 2843c: 19ffffc5 stb r7,-1(r3) + 28440: 19bffb1e bne r3,r6,28430 <_gp+0xfffebef8> + 28444: dfc00217 ldw ra,8(sp) + 28448: dc400117 ldw r17,4(sp) + 2844c: dc000017 ldw r16,0(sp) + 28450: dec00304 addi sp,sp,12 + 28454: f800283a ret + 28458: 2007883a mov r3,r4 + 2845c: 003ff206 br 28428 <_gp+0xfffebef0> + 28460: 2007883a mov r3,r4 + 28464: 003ff106 br 2842c <_gp+0xfffebef4> + 28468: 200d883a mov r6,r4 + 2846c: 003fee06 br 28428 <_gp+0xfffebef0> + +00028470 : + 28470: 2005883a mov r2,r4 + 28474: 29000b2e bgeu r5,r4,284a4 + 28478: 298f883a add r7,r5,r6 + 2847c: 21c0092e bgeu r4,r7,284a4 + 28480: 2187883a add r3,r4,r6 + 28484: 198bc83a sub r5,r3,r6 + 28488: 30004826 beq r6,zero,285ac + 2848c: 39ffffc4 addi r7,r7,-1 + 28490: 39000003 ldbu r4,0(r7) + 28494: 18ffffc4 addi r3,r3,-1 + 28498: 19000005 stb r4,0(r3) + 2849c: 28fffb1e bne r5,r3,2848c <_gp+0xfffebf54> 284a0: f800283a ret - 284a4: 002f883a mov r23,zero - 284a8: 003ff206 br 28474 <_fwalk+0x8c> - -000284ac <_fwalk_reent>: - 284ac: defff704 addi sp,sp,-36 - 284b0: dd000415 stw r20,16(sp) - 284b4: dfc00815 stw ra,32(sp) - 284b8: ddc00715 stw r23,28(sp) - 284bc: dd800615 stw r22,24(sp) - 284c0: dd400515 stw r21,20(sp) - 284c4: dcc00315 stw r19,12(sp) - 284c8: dc800215 stw r18,8(sp) - 284cc: dc400115 stw r17,4(sp) - 284d0: dc000015 stw r16,0(sp) - 284d4: 2500b804 addi r20,r4,736 - 284d8: a0002326 beq r20,zero,28568 <_fwalk_reent+0xbc> - 284dc: 282b883a mov r21,r5 - 284e0: 2027883a mov r19,r4 - 284e4: 002f883a mov r23,zero - 284e8: 05800044 movi r22,1 - 284ec: 04bfffc4 movi r18,-1 - 284f0: a4400117 ldw r17,4(r20) - 284f4: a4000217 ldw r16,8(r20) - 284f8: 8c7fffc4 addi r17,r17,-1 - 284fc: 88000c16 blt r17,zero,28530 <_fwalk_reent+0x84> - 28500: 84000304 addi r16,r16,12 - 28504: 8080000b ldhu r2,0(r16) - 28508: 8c7fffc4 addi r17,r17,-1 - 2850c: 817ffd04 addi r5,r16,-12 - 28510: b080052e bgeu r22,r2,28528 <_fwalk_reent+0x7c> - 28514: 8080008f ldh r2,2(r16) - 28518: 9809883a mov r4,r19 - 2851c: 14800226 beq r2,r18,28528 <_fwalk_reent+0x7c> - 28520: a83ee83a callr r21 - 28524: b8aeb03a or r23,r23,r2 - 28528: 84001a04 addi r16,r16,104 - 2852c: 8cbff51e bne r17,r18,28504 <_fwalk_reent+0x58> - 28530: a5000017 ldw r20,0(r20) - 28534: a03fee1e bne r20,zero,284f0 <_fwalk_reent+0x44> - 28538: b805883a mov r2,r23 - 2853c: dfc00817 ldw ra,32(sp) - 28540: ddc00717 ldw r23,28(sp) - 28544: dd800617 ldw r22,24(sp) - 28548: dd400517 ldw r21,20(sp) - 2854c: dd000417 ldw r20,16(sp) - 28550: dcc00317 ldw r19,12(sp) - 28554: dc800217 ldw r18,8(sp) - 28558: dc400117 ldw r17,4(sp) - 2855c: dc000017 ldw r16,0(sp) - 28560: dec00904 addi sp,sp,36 - 28564: f800283a ret - 28568: 002f883a mov r23,zero - 2856c: 003ff206 br 28538 <_fwalk_reent+0x8c> - -00028570 <_setlocale_r>: - 28570: 30001b26 beq r6,zero,285e0 <_setlocale_r+0x70> - 28574: 014000f4 movhi r5,3 - 28578: defffe04 addi sp,sp,-8 - 2857c: 294a6704 addi r5,r5,10652 - 28580: 3009883a mov r4,r6 - 28584: dc000015 stw r16,0(sp) - 28588: dfc00115 stw ra,4(sp) - 2858c: 3021883a mov r16,r6 - 28590: 002a5f80 call 2a5f8 - 28594: 1000061e bne r2,zero,285b0 <_setlocale_r+0x40> - 28598: 008000f4 movhi r2,3 - 2859c: 108a4804 addi r2,r2,10528 - 285a0: dfc00117 ldw ra,4(sp) - 285a4: dc000017 ldw r16,0(sp) - 285a8: dec00204 addi sp,sp,8 + 284a4: 00c003c4 movi r3,15 + 284a8: 1980412e bgeu r3,r6,285b0 + 284ac: 2886b03a or r3,r5,r2 + 284b0: 18c000cc andi r3,r3,3 + 284b4: 1800401e bne r3,zero,285b8 + 284b8: 33fffc04 addi r15,r6,-16 + 284bc: 781ed13a srli r15,r15,4 + 284c0: 28c00104 addi r3,r5,4 + 284c4: 13400104 addi r13,r2,4 + 284c8: 781c913a slli r14,r15,4 + 284cc: 2b000204 addi r12,r5,8 + 284d0: 12c00204 addi r11,r2,8 + 284d4: 73800504 addi r14,r14,20 + 284d8: 2a800304 addi r10,r5,12 + 284dc: 12400304 addi r9,r2,12 + 284e0: 2b9d883a add r14,r5,r14 + 284e4: 2811883a mov r8,r5 + 284e8: 100f883a mov r7,r2 + 284ec: 41000017 ldw r4,0(r8) + 284f0: 39c00404 addi r7,r7,16 + 284f4: 18c00404 addi r3,r3,16 + 284f8: 393ffc15 stw r4,-16(r7) + 284fc: 193ffc17 ldw r4,-16(r3) + 28500: 6b400404 addi r13,r13,16 + 28504: 5ac00404 addi r11,r11,16 + 28508: 693ffc15 stw r4,-16(r13) + 2850c: 61000017 ldw r4,0(r12) + 28510: 4a400404 addi r9,r9,16 + 28514: 42000404 addi r8,r8,16 + 28518: 593ffc15 stw r4,-16(r11) + 2851c: 51000017 ldw r4,0(r10) + 28520: 63000404 addi r12,r12,16 + 28524: 52800404 addi r10,r10,16 + 28528: 493ffc15 stw r4,-16(r9) + 2852c: 1bbfef1e bne r3,r14,284ec <_gp+0xfffebfb4> + 28530: 79000044 addi r4,r15,1 + 28534: 2008913a slli r4,r4,4 + 28538: 328003cc andi r10,r6,15 + 2853c: 02c000c4 movi r11,3 + 28540: 1107883a add r3,r2,r4 + 28544: 290b883a add r5,r5,r4 + 28548: 5a801e2e bgeu r11,r10,285c4 + 2854c: 1813883a mov r9,r3 + 28550: 2811883a mov r8,r5 + 28554: 500f883a mov r7,r10 + 28558: 41000017 ldw r4,0(r8) + 2855c: 4a400104 addi r9,r9,4 + 28560: 39ffff04 addi r7,r7,-4 + 28564: 493fff15 stw r4,-4(r9) + 28568: 42000104 addi r8,r8,4 + 2856c: 59fffa36 bltu r11,r7,28558 <_gp+0xfffec020> + 28570: 513fff04 addi r4,r10,-4 + 28574: 2008d0ba srli r4,r4,2 + 28578: 318000cc andi r6,r6,3 + 2857c: 21000044 addi r4,r4,1 + 28580: 2109883a add r4,r4,r4 + 28584: 2109883a add r4,r4,r4 + 28588: 1907883a add r3,r3,r4 + 2858c: 290b883a add r5,r5,r4 + 28590: 30000b26 beq r6,zero,285c0 + 28594: 198d883a add r6,r3,r6 + 28598: 29c00003 ldbu r7,0(r5) + 2859c: 18c00044 addi r3,r3,1 + 285a0: 29400044 addi r5,r5,1 + 285a4: 19ffffc5 stb r7,-1(r3) + 285a8: 19bffb1e bne r3,r6,28598 <_gp+0xfffec060> 285ac: f800283a ret - 285b0: 014000f4 movhi r5,3 - 285b4: 294a4804 addi r5,r5,10528 - 285b8: 8009883a mov r4,r16 - 285bc: 002a5f80 call 2a5f8 - 285c0: 103ff526 beq r2,zero,28598 <_setlocale_r+0x28> - 285c4: 014000f4 movhi r5,3 - 285c8: 294a5204 addi r5,r5,10568 - 285cc: 8009883a mov r4,r16 - 285d0: 002a5f80 call 2a5f8 - 285d4: 103ff026 beq r2,zero,28598 <_setlocale_r+0x28> - 285d8: 0005883a mov r2,zero - 285dc: 003ff006 br 285a0 <_setlocale_r+0x30> - 285e0: 008000f4 movhi r2,3 - 285e4: 108a4804 addi r2,r2,10528 - 285e8: f800283a ret + 285b0: 1007883a mov r3,r2 + 285b4: 003ff606 br 28590 <_gp+0xfffec058> + 285b8: 1007883a mov r3,r2 + 285bc: 003ff506 br 28594 <_gp+0xfffec05c> + 285c0: f800283a ret + 285c4: 500d883a mov r6,r10 + 285c8: 003ff106 br 28590 <_gp+0xfffec058> -000285ec <__locale_charset>: - 285ec: 008000f4 movhi r2,3 - 285f0: 108f0304 addi r2,r2,15372 - 285f4: f800283a ret +000285cc <_Balloc>: + 285cc: 20801317 ldw r2,76(r4) + 285d0: defffc04 addi sp,sp,-16 + 285d4: dc400115 stw r17,4(sp) + 285d8: dc000015 stw r16,0(sp) + 285dc: dfc00315 stw ra,12(sp) + 285e0: dc800215 stw r18,8(sp) + 285e4: 2023883a mov r17,r4 + 285e8: 2821883a mov r16,r5 + 285ec: 10000f26 beq r2,zero,2862c <_Balloc+0x60> + 285f0: 8407883a add r3,r16,r16 + 285f4: 18c7883a add r3,r3,r3 + 285f8: 10c7883a add r3,r2,r3 + 285fc: 18800017 ldw r2,0(r3) + 28600: 10001126 beq r2,zero,28648 <_Balloc+0x7c> + 28604: 11000017 ldw r4,0(r2) + 28608: 19000015 stw r4,0(r3) + 2860c: 10000415 stw zero,16(r2) + 28610: 10000315 stw zero,12(r2) + 28614: dfc00317 ldw ra,12(sp) + 28618: dc800217 ldw r18,8(sp) + 2861c: dc400117 ldw r17,4(sp) + 28620: dc000017 ldw r16,0(sp) + 28624: dec00404 addi sp,sp,16 + 28628: f800283a ret + 2862c: 01800844 movi r6,33 + 28630: 01400104 movi r5,4 + 28634: 002b7740 call 2b774 <_calloc_r> + 28638: 88801315 stw r2,76(r17) + 2863c: 103fec1e bne r2,zero,285f0 <_gp+0xfffec0b8> + 28640: 0005883a mov r2,zero + 28644: 003ff306 br 28614 <_gp+0xfffec0dc> + 28648: 01400044 movi r5,1 + 2864c: 2c24983a sll r18,r5,r16 + 28650: 8809883a mov r4,r17 + 28654: 91800144 addi r6,r18,5 + 28658: 318d883a add r6,r6,r6 + 2865c: 318d883a add r6,r6,r6 + 28660: 002b7740 call 2b774 <_calloc_r> + 28664: 103ff626 beq r2,zero,28640 <_gp+0xfffec108> + 28668: 14000115 stw r16,4(r2) + 2866c: 14800215 stw r18,8(r2) + 28670: 003fe606 br 2860c <_gp+0xfffec0d4> -000285f8 <__locale_mb_cur_max>: - 285f8: 008000f4 movhi r2,3 - 285fc: 1093a204 addi r2,r2,20104 - 28600: 10800017 ldw r2,0(r2) - 28604: f800283a ret +00028674 <_Bfree>: + 28674: 28000826 beq r5,zero,28698 <_Bfree+0x24> + 28678: 28c00117 ldw r3,4(r5) + 2867c: 20801317 ldw r2,76(r4) + 28680: 18c7883a add r3,r3,r3 + 28684: 18c7883a add r3,r3,r3 + 28688: 10c5883a add r2,r2,r3 + 2868c: 10c00017 ldw r3,0(r2) + 28690: 28c00015 stw r3,0(r5) + 28694: 11400015 stw r5,0(r2) + 28698: f800283a ret -00028608 <__locale_msgcharset>: - 28608: 008000f4 movhi r2,3 - 2860c: 108efb04 addi r2,r2,15340 - 28610: f800283a ret +0002869c <__multadd>: + 2869c: defffa04 addi sp,sp,-24 + 286a0: dc800315 stw r18,12(sp) + 286a4: dc400215 stw r17,8(sp) + 286a8: dc000115 stw r16,4(sp) + 286ac: 2823883a mov r17,r5 + 286b0: 2c000417 ldw r16,16(r5) + 286b4: dfc00515 stw ra,20(sp) + 286b8: dcc00415 stw r19,16(sp) + 286bc: 2025883a mov r18,r4 + 286c0: 29400504 addi r5,r5,20 + 286c4: 0011883a mov r8,zero + 286c8: 28c00017 ldw r3,0(r5) + 286cc: 29400104 addi r5,r5,4 + 286d0: 42000044 addi r8,r8,1 + 286d4: 18bfffcc andi r2,r3,65535 + 286d8: 1185383a mul r2,r2,r6 + 286dc: 1806d43a srli r3,r3,16 + 286e0: 11cf883a add r7,r2,r7 + 286e4: 3808d43a srli r4,r7,16 + 286e8: 1987383a mul r3,r3,r6 + 286ec: 38bfffcc andi r2,r7,65535 + 286f0: 1907883a add r3,r3,r4 + 286f4: 1808943a slli r4,r3,16 + 286f8: 180ed43a srli r7,r3,16 + 286fc: 2085883a add r2,r4,r2 + 28700: 28bfff15 stw r2,-4(r5) + 28704: 443ff016 blt r8,r16,286c8 <_gp+0xfffec190> + 28708: 38000926 beq r7,zero,28730 <__multadd+0x94> + 2870c: 88800217 ldw r2,8(r17) + 28710: 80800f0e bge r16,r2,28750 <__multadd+0xb4> + 28714: 80800144 addi r2,r16,5 + 28718: 1085883a add r2,r2,r2 + 2871c: 1085883a add r2,r2,r2 + 28720: 8885883a add r2,r17,r2 + 28724: 11c00015 stw r7,0(r2) + 28728: 84000044 addi r16,r16,1 + 2872c: 8c000415 stw r16,16(r17) + 28730: 8805883a mov r2,r17 + 28734: dfc00517 ldw ra,20(sp) + 28738: dcc00417 ldw r19,16(sp) + 2873c: dc800317 ldw r18,12(sp) + 28740: dc400217 ldw r17,8(sp) + 28744: dc000117 ldw r16,4(sp) + 28748: dec00604 addi sp,sp,24 + 2874c: f800283a ret + 28750: 89400117 ldw r5,4(r17) + 28754: 9009883a mov r4,r18 + 28758: d9c00015 stw r7,0(sp) + 2875c: 29400044 addi r5,r5,1 + 28760: 00285cc0 call 285cc <_Balloc> + 28764: 89800417 ldw r6,16(r17) + 28768: 89400304 addi r5,r17,12 + 2876c: 11000304 addi r4,r2,12 + 28770: 31800084 addi r6,r6,2 + 28774: 318d883a add r6,r6,r6 + 28778: 318d883a add r6,r6,r6 + 2877c: 1027883a mov r19,r2 + 28780: 00283280 call 28328 + 28784: d9c00017 ldw r7,0(sp) + 28788: 88000a26 beq r17,zero,287b4 <__multadd+0x118> + 2878c: 88c00117 ldw r3,4(r17) + 28790: 90801317 ldw r2,76(r18) + 28794: 18c7883a add r3,r3,r3 + 28798: 18c7883a add r3,r3,r3 + 2879c: 10c5883a add r2,r2,r3 + 287a0: 10c00017 ldw r3,0(r2) + 287a4: 88c00015 stw r3,0(r17) + 287a8: 14400015 stw r17,0(r2) + 287ac: 9823883a mov r17,r19 + 287b0: 003fd806 br 28714 <_gp+0xfffec1dc> + 287b4: 9823883a mov r17,r19 + 287b8: 003fd606 br 28714 <_gp+0xfffec1dc> -00028614 <__locale_cjk_lang>: - 28614: 0005883a mov r2,zero - 28618: f800283a ret +000287bc <__s2b>: + 287bc: defff904 addi sp,sp,-28 + 287c0: dc400115 stw r17,4(sp) + 287c4: dc000015 stw r16,0(sp) + 287c8: 2023883a mov r17,r4 + 287cc: 2821883a mov r16,r5 + 287d0: 39000204 addi r4,r7,8 + 287d4: 01400244 movi r5,9 + 287d8: dcc00315 stw r19,12(sp) + 287dc: dc800215 stw r18,8(sp) + 287e0: dfc00615 stw ra,24(sp) + 287e4: dd400515 stw r21,20(sp) + 287e8: dd000415 stw r20,16(sp) + 287ec: 3825883a mov r18,r7 + 287f0: 3027883a mov r19,r6 + 287f4: 00224e40 call 224e4 <__divsi3> + 287f8: 00c00044 movi r3,1 + 287fc: 000b883a mov r5,zero + 28800: 1880030e bge r3,r2,28810 <__s2b+0x54> + 28804: 18c7883a add r3,r3,r3 + 28808: 29400044 addi r5,r5,1 + 2880c: 18bffd16 blt r3,r2,28804 <_gp+0xfffec2cc> + 28810: 8809883a mov r4,r17 + 28814: 00285cc0 call 285cc <_Balloc> + 28818: d8c00717 ldw r3,28(sp) + 2881c: 10c00515 stw r3,20(r2) + 28820: 00c00044 movi r3,1 + 28824: 10c00415 stw r3,16(r2) + 28828: 00c00244 movi r3,9 + 2882c: 1cc0210e bge r3,r19,288b4 <__s2b+0xf8> + 28830: 80eb883a add r21,r16,r3 + 28834: a829883a mov r20,r21 + 28838: 84e1883a add r16,r16,r19 + 2883c: a1c00007 ldb r7,0(r20) + 28840: 01800284 movi r6,10 + 28844: a5000044 addi r20,r20,1 + 28848: 100b883a mov r5,r2 + 2884c: 39fff404 addi r7,r7,-48 + 28850: 8809883a mov r4,r17 + 28854: 002869c0 call 2869c <__multadd> + 28858: a43ff81e bne r20,r16,2883c <_gp+0xfffec304> + 2885c: ace1883a add r16,r21,r19 + 28860: 843ffe04 addi r16,r16,-8 + 28864: 9c800a0e bge r19,r18,28890 <__s2b+0xd4> + 28868: 94e5c83a sub r18,r18,r19 + 2886c: 84a5883a add r18,r16,r18 + 28870: 81c00007 ldb r7,0(r16) + 28874: 01800284 movi r6,10 + 28878: 84000044 addi r16,r16,1 + 2887c: 100b883a mov r5,r2 + 28880: 39fff404 addi r7,r7,-48 + 28884: 8809883a mov r4,r17 + 28888: 002869c0 call 2869c <__multadd> + 2888c: 84bff81e bne r16,r18,28870 <_gp+0xfffec338> + 28890: dfc00617 ldw ra,24(sp) + 28894: dd400517 ldw r21,20(sp) + 28898: dd000417 ldw r20,16(sp) + 2889c: dcc00317 ldw r19,12(sp) + 288a0: dc800217 ldw r18,8(sp) + 288a4: dc400117 ldw r17,4(sp) + 288a8: dc000017 ldw r16,0(sp) + 288ac: dec00704 addi sp,sp,28 + 288b0: f800283a ret + 288b4: 84000284 addi r16,r16,10 + 288b8: 1827883a mov r19,r3 + 288bc: 003fe906 br 28864 <_gp+0xfffec32c> -0002861c <_localeconv_r>: - 2861c: 008000f4 movhi r2,3 - 28620: 108f0b04 addi r2,r2,15404 - 28624: f800283a ret +000288c0 <__hi0bits>: + 288c0: 20bfffec andhi r2,r4,65535 + 288c4: 1000141e bne r2,zero,28918 <__hi0bits+0x58> + 288c8: 2008943a slli r4,r4,16 + 288cc: 00800404 movi r2,16 + 288d0: 20ffc02c andhi r3,r4,65280 + 288d4: 1800021e bne r3,zero,288e0 <__hi0bits+0x20> + 288d8: 2008923a slli r4,r4,8 + 288dc: 10800204 addi r2,r2,8 + 288e0: 20fc002c andhi r3,r4,61440 + 288e4: 1800021e bne r3,zero,288f0 <__hi0bits+0x30> + 288e8: 2008913a slli r4,r4,4 + 288ec: 10800104 addi r2,r2,4 + 288f0: 20f0002c andhi r3,r4,49152 + 288f4: 1800031e bne r3,zero,28904 <__hi0bits+0x44> + 288f8: 2109883a add r4,r4,r4 + 288fc: 10800084 addi r2,r2,2 + 28900: 2109883a add r4,r4,r4 + 28904: 20000316 blt r4,zero,28914 <__hi0bits+0x54> + 28908: 2110002c andhi r4,r4,16384 + 2890c: 2000041e bne r4,zero,28920 <__hi0bits+0x60> + 28910: 00800804 movi r2,32 + 28914: f800283a ret + 28918: 0005883a mov r2,zero + 2891c: 003fec06 br 288d0 <_gp+0xfffec398> + 28920: 10800044 addi r2,r2,1 + 28924: f800283a ret -00028628 : - 28628: 008000f4 movhi r2,3 - 2862c: 10939f04 addi r2,r2,20092 - 28630: 280d883a mov r6,r5 - 28634: 200b883a mov r5,r4 - 28638: 11000017 ldw r4,0(r2) - 2863c: 00285701 jmpi 28570 <_setlocale_r> +00028928 <__lo0bits>: + 28928: 20c00017 ldw r3,0(r4) + 2892c: 188001cc andi r2,r3,7 + 28930: 10000826 beq r2,zero,28954 <__lo0bits+0x2c> + 28934: 1880004c andi r2,r3,1 + 28938: 1000211e bne r2,zero,289c0 <__lo0bits+0x98> + 2893c: 1880008c andi r2,r3,2 + 28940: 1000211e bne r2,zero,289c8 <__lo0bits+0xa0> + 28944: 1806d0ba srli r3,r3,2 + 28948: 00800084 movi r2,2 + 2894c: 20c00015 stw r3,0(r4) + 28950: f800283a ret + 28954: 18bfffcc andi r2,r3,65535 + 28958: 10001326 beq r2,zero,289a8 <__lo0bits+0x80> + 2895c: 0005883a mov r2,zero + 28960: 19403fcc andi r5,r3,255 + 28964: 2800021e bne r5,zero,28970 <__lo0bits+0x48> + 28968: 1806d23a srli r3,r3,8 + 2896c: 10800204 addi r2,r2,8 + 28970: 194003cc andi r5,r3,15 + 28974: 2800021e bne r5,zero,28980 <__lo0bits+0x58> + 28978: 1806d13a srli r3,r3,4 + 2897c: 10800104 addi r2,r2,4 + 28980: 194000cc andi r5,r3,3 + 28984: 2800021e bne r5,zero,28990 <__lo0bits+0x68> + 28988: 1806d0ba srli r3,r3,2 + 2898c: 10800084 addi r2,r2,2 + 28990: 1940004c andi r5,r3,1 + 28994: 2800081e bne r5,zero,289b8 <__lo0bits+0x90> + 28998: 1806d07a srli r3,r3,1 + 2899c: 1800051e bne r3,zero,289b4 <__lo0bits+0x8c> + 289a0: 00800804 movi r2,32 + 289a4: f800283a ret + 289a8: 1806d43a srli r3,r3,16 + 289ac: 00800404 movi r2,16 + 289b0: 003feb06 br 28960 <_gp+0xfffec428> + 289b4: 10800044 addi r2,r2,1 + 289b8: 20c00015 stw r3,0(r4) + 289bc: f800283a ret + 289c0: 0005883a mov r2,zero + 289c4: f800283a ret + 289c8: 1806d07a srli r3,r3,1 + 289cc: 00800044 movi r2,1 + 289d0: 20c00015 stw r3,0(r4) + 289d4: f800283a ret -00028640 : - 28640: 008000f4 movhi r2,3 - 28644: 108f0b04 addi r2,r2,15404 - 28648: f800283a ret +000289d8 <__i2b>: + 289d8: defffd04 addi sp,sp,-12 + 289dc: dc000015 stw r16,0(sp) + 289e0: 04000044 movi r16,1 + 289e4: dc400115 stw r17,4(sp) + 289e8: 2823883a mov r17,r5 + 289ec: 800b883a mov r5,r16 + 289f0: dfc00215 stw ra,8(sp) + 289f4: 00285cc0 call 285cc <_Balloc> + 289f8: 14400515 stw r17,20(r2) + 289fc: 14000415 stw r16,16(r2) + 28a00: dfc00217 ldw ra,8(sp) + 28a04: dc400117 ldw r17,4(sp) + 28a08: dc000017 ldw r16,0(sp) + 28a0c: dec00304 addi sp,sp,12 + 28a10: f800283a ret -0002864c <__smakebuf_r>: - 2864c: 2880030b ldhu r2,12(r5) - 28650: 10c0008c andi r3,r2,2 - 28654: 1800411e bne r3,zero,2875c <__smakebuf_r+0x110> - 28658: deffec04 addi sp,sp,-80 - 2865c: dc000f15 stw r16,60(sp) - 28660: 2821883a mov r16,r5 - 28664: 2940038f ldh r5,14(r5) - 28668: dc401015 stw r17,64(sp) - 2866c: dfc01315 stw ra,76(sp) - 28670: dcc01215 stw r19,72(sp) - 28674: dc801115 stw r18,68(sp) - 28678: 2023883a mov r17,r4 - 2867c: 28001c16 blt r5,zero,286f0 <__smakebuf_r+0xa4> - 28680: d80d883a mov r6,sp - 28684: 002c2f80 call 2c2f8 <_fstat_r> - 28688: 10001816 blt r2,zero,286ec <__smakebuf_r+0xa0> - 2868c: d8800117 ldw r2,4(sp) - 28690: 00e00014 movui r3,32768 - 28694: 10bc000c andi r2,r2,61440 - 28698: 14c80020 cmpeqi r19,r2,8192 - 2869c: 10c03726 beq r2,r3,2877c <__smakebuf_r+0x130> - 286a0: 80c0030b ldhu r3,12(r16) - 286a4: 18c20014 ori r3,r3,2048 - 286a8: 80c0030d sth r3,12(r16) - 286ac: 00c80004 movi r3,8192 - 286b0: 10c0521e bne r2,r3,287fc <__smakebuf_r+0x1b0> - 286b4: 8140038f ldh r5,14(r16) - 286b8: 8809883a mov r4,r17 - 286bc: 002c3540 call 2c354 <_isatty_r> - 286c0: 10004c26 beq r2,zero,287f4 <__smakebuf_r+0x1a8> - 286c4: 8080030b ldhu r2,12(r16) - 286c8: 80c010c4 addi r3,r16,67 - 286cc: 80c00015 stw r3,0(r16) - 286d0: 10800054 ori r2,r2,1 - 286d4: 8080030d sth r2,12(r16) - 286d8: 00800044 movi r2,1 - 286dc: 80c00415 stw r3,16(r16) - 286e0: 80800515 stw r2,20(r16) - 286e4: 04810004 movi r18,1024 - 286e8: 00000706 br 28708 <__smakebuf_r+0xbc> - 286ec: 8080030b ldhu r2,12(r16) - 286f0: 10c0200c andi r3,r2,128 - 286f4: 18001f1e bne r3,zero,28774 <__smakebuf_r+0x128> - 286f8: 04810004 movi r18,1024 - 286fc: 10820014 ori r2,r2,2048 - 28700: 8080030d sth r2,12(r16) - 28704: 0027883a mov r19,zero - 28708: 900b883a mov r5,r18 - 2870c: 8809883a mov r4,r17 - 28710: 0022bfc0 call 22bfc <_malloc_r> - 28714: 10002c26 beq r2,zero,287c8 <__smakebuf_r+0x17c> - 28718: 80c0030b ldhu r3,12(r16) - 2871c: 010000b4 movhi r4,2 - 28720: 211dd804 addi r4,r4,30560 - 28724: 89000f15 stw r4,60(r17) - 28728: 18c02014 ori r3,r3,128 - 2872c: 80c0030d sth r3,12(r16) - 28730: 80800015 stw r2,0(r16) - 28734: 80800415 stw r2,16(r16) - 28738: 84800515 stw r18,20(r16) - 2873c: 98001a1e bne r19,zero,287a8 <__smakebuf_r+0x15c> - 28740: dfc01317 ldw ra,76(sp) - 28744: dcc01217 ldw r19,72(sp) - 28748: dc801117 ldw r18,68(sp) - 2874c: dc401017 ldw r17,64(sp) - 28750: dc000f17 ldw r16,60(sp) - 28754: dec01404 addi sp,sp,80 - 28758: f800283a ret - 2875c: 288010c4 addi r2,r5,67 - 28760: 28800015 stw r2,0(r5) - 28764: 28800415 stw r2,16(r5) - 28768: 00800044 movi r2,1 - 2876c: 28800515 stw r2,20(r5) - 28770: f800283a ret - 28774: 04801004 movi r18,64 - 28778: 003fe006 br 286fc <__smakebuf_r+0xb0> - 2877c: 81000a17 ldw r4,40(r16) - 28780: 00c000f4 movhi r3,3 - 28784: 18e96504 addi r3,r3,-23148 - 28788: 20ffc51e bne r4,r3,286a0 <__smakebuf_r+0x54> - 2878c: 8080030b ldhu r2,12(r16) - 28790: 04810004 movi r18,1024 - 28794: 84801315 stw r18,76(r16) - 28798: 1484b03a or r2,r2,r18 - 2879c: 8080030d sth r2,12(r16) - 287a0: 0027883a mov r19,zero - 287a4: 003fd806 br 28708 <__smakebuf_r+0xbc> - 287a8: 8140038f ldh r5,14(r16) - 287ac: 8809883a mov r4,r17 - 287b0: 002c3540 call 2c354 <_isatty_r> - 287b4: 103fe226 beq r2,zero,28740 <__smakebuf_r+0xf4> - 287b8: 8080030b ldhu r2,12(r16) - 287bc: 10800054 ori r2,r2,1 - 287c0: 8080030d sth r2,12(r16) - 287c4: 003fde06 br 28740 <__smakebuf_r+0xf4> - 287c8: 8080030b ldhu r2,12(r16) - 287cc: 10c0800c andi r3,r2,512 - 287d0: 183fdb1e bne r3,zero,28740 <__smakebuf_r+0xf4> - 287d4: 10800094 ori r2,r2,2 - 287d8: 80c010c4 addi r3,r16,67 - 287dc: 8080030d sth r2,12(r16) - 287e0: 00800044 movi r2,1 - 287e4: 80c00015 stw r3,0(r16) - 287e8: 80c00415 stw r3,16(r16) - 287ec: 80800515 stw r2,20(r16) - 287f0: 003fd306 br 28740 <__smakebuf_r+0xf4> - 287f4: 04810004 movi r18,1024 - 287f8: 003fc306 br 28708 <__smakebuf_r+0xbc> - 287fc: 0027883a mov r19,zero - 28800: 04810004 movi r18,1024 - 28804: 003fc006 br 28708 <__smakebuf_r+0xbc> +00028a14 <__multiply>: + 28a14: defffa04 addi sp,sp,-24 + 28a18: dcc00315 stw r19,12(sp) + 28a1c: dc800215 stw r18,8(sp) + 28a20: 34c00417 ldw r19,16(r6) + 28a24: 2c800417 ldw r18,16(r5) + 28a28: dd000415 stw r20,16(sp) + 28a2c: dc400115 stw r17,4(sp) + 28a30: dfc00515 stw ra,20(sp) + 28a34: dc000015 stw r16,0(sp) + 28a38: 2829883a mov r20,r5 + 28a3c: 3023883a mov r17,r6 + 28a40: 94c0050e bge r18,r19,28a58 <__multiply+0x44> + 28a44: 9007883a mov r3,r18 + 28a48: 3029883a mov r20,r6 + 28a4c: 9825883a mov r18,r19 + 28a50: 2823883a mov r17,r5 + 28a54: 1827883a mov r19,r3 + 28a58: a0800217 ldw r2,8(r20) + 28a5c: 94e1883a add r16,r18,r19 + 28a60: a1400117 ldw r5,4(r20) + 28a64: 1400010e bge r2,r16,28a6c <__multiply+0x58> + 28a68: 29400044 addi r5,r5,1 + 28a6c: 00285cc0 call 285cc <_Balloc> + 28a70: 8415883a add r10,r16,r16 + 28a74: 12c00504 addi r11,r2,20 + 28a78: 5295883a add r10,r10,r10 + 28a7c: 5a95883a add r10,r11,r10 + 28a80: 5807883a mov r3,r11 + 28a84: 5a80032e bgeu r11,r10,28a94 <__multiply+0x80> + 28a88: 18000015 stw zero,0(r3) + 28a8c: 18c00104 addi r3,r3,4 + 28a90: 1abffd36 bltu r3,r10,28a88 <_gp+0xfffec550> + 28a94: 9ce7883a add r19,r19,r19 + 28a98: 94a5883a add r18,r18,r18 + 28a9c: 89800504 addi r6,r17,20 + 28aa0: 9ce7883a add r19,r19,r19 + 28aa4: a3400504 addi r13,r20,20 + 28aa8: 94a5883a add r18,r18,r18 + 28aac: 34d9883a add r12,r6,r19 + 28ab0: 6c93883a add r9,r13,r18 + 28ab4: 3300422e bgeu r6,r12,28bc0 <__multiply+0x1ac> + 28ab8: 37c00017 ldw ra,0(r6) + 28abc: fbffffcc andi r15,ra,65535 + 28ac0: 78001b26 beq r15,zero,28b30 <__multiply+0x11c> + 28ac4: 5811883a mov r8,r11 + 28ac8: 681d883a mov r14,r13 + 28acc: 000f883a mov r7,zero + 28ad0: 71000017 ldw r4,0(r14) + 28ad4: 40c00017 ldw r3,0(r8) + 28ad8: 73800104 addi r14,r14,4 + 28adc: 217fffcc andi r5,r4,65535 + 28ae0: 2bcb383a mul r5,r5,r15 + 28ae4: 2008d43a srli r4,r4,16 + 28ae8: 1c7fffcc andi r17,r3,65535 + 28aec: 2c4b883a add r5,r5,r17 + 28af0: 29cb883a add r5,r5,r7 + 28af4: 23c9383a mul r4,r4,r15 + 28af8: 1806d43a srli r3,r3,16 + 28afc: 280ed43a srli r7,r5,16 + 28b00: 297fffcc andi r5,r5,65535 + 28b04: 20c7883a add r3,r4,r3 + 28b08: 19c7883a add r3,r3,r7 + 28b0c: 1808943a slli r4,r3,16 + 28b10: 4023883a mov r17,r8 + 28b14: 180ed43a srli r7,r3,16 + 28b18: 214ab03a or r5,r4,r5 + 28b1c: 41400015 stw r5,0(r8) + 28b20: 42000104 addi r8,r8,4 + 28b24: 727fea36 bltu r14,r9,28ad0 <_gp+0xfffec598> + 28b28: 89c00115 stw r7,4(r17) + 28b2c: 37c00017 ldw ra,0(r6) + 28b30: f83ed43a srli ra,ra,16 + 28b34: f8001f26 beq ra,zero,28bb4 <__multiply+0x1a0> + 28b38: 58c00017 ldw r3,0(r11) + 28b3c: 681d883a mov r14,r13 + 28b40: 581f883a mov r15,r11 + 28b44: 1811883a mov r8,r3 + 28b48: 5825883a mov r18,r11 + 28b4c: 000f883a mov r7,zero + 28b50: 00000106 br 28b58 <__multiply+0x144> + 28b54: 8825883a mov r18,r17 + 28b58: 7140000b ldhu r5,0(r14) + 28b5c: 4010d43a srli r8,r8,16 + 28b60: 193fffcc andi r4,r3,65535 + 28b64: 2fcb383a mul r5,r5,ra + 28b68: 7bc00104 addi r15,r15,4 + 28b6c: 73800104 addi r14,r14,4 + 28b70: 2a0b883a add r5,r5,r8 + 28b74: 29cb883a add r5,r5,r7 + 28b78: 2806943a slli r3,r5,16 + 28b7c: 94400104 addi r17,r18,4 + 28b80: 280ad43a srli r5,r5,16 + 28b84: 1908b03a or r4,r3,r4 + 28b88: 793fff15 stw r4,-4(r15) + 28b8c: 70ffff17 ldw r3,-4(r14) + 28b90: 8a000017 ldw r8,0(r17) + 28b94: 1806d43a srli r3,r3,16 + 28b98: 413fffcc andi r4,r8,65535 + 28b9c: 1fc7383a mul r3,r3,ra + 28ba0: 1907883a add r3,r3,r4 + 28ba4: 1947883a add r3,r3,r5 + 28ba8: 180ed43a srli r7,r3,16 + 28bac: 727fe936 bltu r14,r9,28b54 <_gp+0xfffec61c> + 28bb0: 90c00115 stw r3,4(r18) + 28bb4: 31800104 addi r6,r6,4 + 28bb8: 5ac00104 addi r11,r11,4 + 28bbc: 333fbe36 bltu r6,r12,28ab8 <_gp+0xfffec580> + 28bc0: 0400090e bge zero,r16,28be8 <__multiply+0x1d4> + 28bc4: 50ffff17 ldw r3,-4(r10) + 28bc8: 52bfff04 addi r10,r10,-4 + 28bcc: 18000326 beq r3,zero,28bdc <__multiply+0x1c8> + 28bd0: 00000506 br 28be8 <__multiply+0x1d4> + 28bd4: 50c00017 ldw r3,0(r10) + 28bd8: 1800031e bne r3,zero,28be8 <__multiply+0x1d4> + 28bdc: 843fffc4 addi r16,r16,-1 + 28be0: 52bfff04 addi r10,r10,-4 + 28be4: 803ffb1e bne r16,zero,28bd4 <_gp+0xfffec69c> + 28be8: 14000415 stw r16,16(r2) + 28bec: dfc00517 ldw ra,20(sp) + 28bf0: dd000417 ldw r20,16(sp) + 28bf4: dcc00317 ldw r19,12(sp) + 28bf8: dc800217 ldw r18,8(sp) + 28bfc: dc400117 ldw r17,4(sp) + 28c00: dc000017 ldw r16,0(sp) + 28c04: dec00604 addi sp,sp,24 + 28c08: f800283a ret -00028808 : - 28808: 208000cc andi r2,r4,3 - 2880c: 280f883a mov r7,r5 - 28810: 10003426 beq r2,zero,288e4 - 28814: 30bfffc4 addi r2,r6,-1 - 28818: 30001a26 beq r6,zero,28884 - 2881c: 20c00003 ldbu r3,0(r4) - 28820: 29803fcc andi r6,r5,255 - 28824: 30c0051e bne r6,r3,2883c - 28828: 00001806 br 2888c - 2882c: 10001526 beq r2,zero,28884 - 28830: 20c00003 ldbu r3,0(r4) - 28834: 10bfffc4 addi r2,r2,-1 - 28838: 30c01426 beq r6,r3,2888c - 2883c: 21000044 addi r4,r4,1 - 28840: 20c000cc andi r3,r4,3 - 28844: 183ff91e bne r3,zero,2882c - 28848: 020000c4 movi r8,3 - 2884c: 40801136 bltu r8,r2,28894 - 28850: 10000c26 beq r2,zero,28884 - 28854: 20c00003 ldbu r3,0(r4) - 28858: 29403fcc andi r5,r5,255 - 2885c: 28c00b26 beq r5,r3,2888c - 28860: 20c00044 addi r3,r4,1 - 28864: 39803fcc andi r6,r7,255 - 28868: 2089883a add r4,r4,r2 - 2886c: 00000306 br 2887c - 28870: 18c00044 addi r3,r3,1 - 28874: 197fffc3 ldbu r5,-1(r3) - 28878: 31400526 beq r6,r5,28890 - 2887c: 1805883a mov r2,r3 - 28880: 20fffb1e bne r4,r3,28870 - 28884: 0005883a mov r2,zero - 28888: f800283a ret - 2888c: 2005883a mov r2,r4 - 28890: f800283a ret - 28894: 28c03fcc andi r3,r5,255 - 28898: 1812923a slli r9,r3,8 - 2889c: 02ffbff4 movhi r11,65279 - 288a0: 02a02074 movhi r10,32897 - 288a4: 48d2b03a or r9,r9,r3 - 288a8: 4806943a slli r3,r9,16 - 288ac: 5affbfc4 addi r11,r11,-257 - 288b0: 52a02004 addi r10,r10,-32640 - 288b4: 48d2b03a or r9,r9,r3 - 288b8: 20c00017 ldw r3,0(r4) - 288bc: 48c6f03a xor r3,r9,r3 - 288c0: 1acd883a add r6,r3,r11 - 288c4: 00c6303a nor r3,zero,r3 - 288c8: 30c6703a and r3,r6,r3 - 288cc: 1a86703a and r3,r3,r10 - 288d0: 183fe01e bne r3,zero,28854 - 288d4: 10bfff04 addi r2,r2,-4 - 288d8: 21000104 addi r4,r4,4 - 288dc: 40bff636 bltu r8,r2,288b8 - 288e0: 003fdb06 br 28850 - 288e4: 3005883a mov r2,r6 - 288e8: 003fd706 br 28848 +00028c0c <__pow5mult>: + 28c0c: defffa04 addi sp,sp,-24 + 28c10: dcc00315 stw r19,12(sp) + 28c14: dc000015 stw r16,0(sp) + 28c18: dfc00515 stw ra,20(sp) + 28c1c: dd000415 stw r20,16(sp) + 28c20: dc800215 stw r18,8(sp) + 28c24: dc400115 stw r17,4(sp) + 28c28: 308000cc andi r2,r6,3 + 28c2c: 3021883a mov r16,r6 + 28c30: 2027883a mov r19,r4 + 28c34: 10002f1e bne r2,zero,28cf4 <__pow5mult+0xe8> + 28c38: 2825883a mov r18,r5 + 28c3c: 8021d0ba srai r16,r16,2 + 28c40: 80001a26 beq r16,zero,28cac <__pow5mult+0xa0> + 28c44: 9c401217 ldw r17,72(r19) + 28c48: 8800061e bne r17,zero,28c64 <__pow5mult+0x58> + 28c4c: 00003406 br 28d20 <__pow5mult+0x114> + 28c50: 8021d07a srai r16,r16,1 + 28c54: 80001526 beq r16,zero,28cac <__pow5mult+0xa0> + 28c58: 88800017 ldw r2,0(r17) + 28c5c: 10001c26 beq r2,zero,28cd0 <__pow5mult+0xc4> + 28c60: 1023883a mov r17,r2 + 28c64: 8080004c andi r2,r16,1 + 28c68: 103ff926 beq r2,zero,28c50 <_gp+0xfffec718> + 28c6c: 880d883a mov r6,r17 + 28c70: 900b883a mov r5,r18 + 28c74: 9809883a mov r4,r19 + 28c78: 0028a140 call 28a14 <__multiply> + 28c7c: 90001b26 beq r18,zero,28cec <__pow5mult+0xe0> + 28c80: 91000117 ldw r4,4(r18) + 28c84: 98c01317 ldw r3,76(r19) + 28c88: 8021d07a srai r16,r16,1 + 28c8c: 2109883a add r4,r4,r4 + 28c90: 2109883a add r4,r4,r4 + 28c94: 1907883a add r3,r3,r4 + 28c98: 19000017 ldw r4,0(r3) + 28c9c: 91000015 stw r4,0(r18) + 28ca0: 1c800015 stw r18,0(r3) + 28ca4: 1025883a mov r18,r2 + 28ca8: 803feb1e bne r16,zero,28c58 <_gp+0xfffec720> + 28cac: 9005883a mov r2,r18 + 28cb0: dfc00517 ldw ra,20(sp) + 28cb4: dd000417 ldw r20,16(sp) + 28cb8: dcc00317 ldw r19,12(sp) + 28cbc: dc800217 ldw r18,8(sp) + 28cc0: dc400117 ldw r17,4(sp) + 28cc4: dc000017 ldw r16,0(sp) + 28cc8: dec00604 addi sp,sp,24 + 28ccc: f800283a ret + 28cd0: 880d883a mov r6,r17 + 28cd4: 880b883a mov r5,r17 + 28cd8: 9809883a mov r4,r19 + 28cdc: 0028a140 call 28a14 <__multiply> + 28ce0: 88800015 stw r2,0(r17) + 28ce4: 10000015 stw zero,0(r2) + 28ce8: 003fdd06 br 28c60 <_gp+0xfffec728> + 28cec: 1025883a mov r18,r2 + 28cf0: 003fd706 br 28c50 <_gp+0xfffec718> + 28cf4: 10bfffc4 addi r2,r2,-1 + 28cf8: 1085883a add r2,r2,r2 + 28cfc: 00c000f4 movhi r3,3 + 28d00: 18c81d04 addi r3,r3,8308 + 28d04: 1085883a add r2,r2,r2 + 28d08: 1885883a add r2,r3,r2 + 28d0c: 11800017 ldw r6,0(r2) + 28d10: 000f883a mov r7,zero + 28d14: 002869c0 call 2869c <__multadd> + 28d18: 1025883a mov r18,r2 + 28d1c: 003fc706 br 28c3c <_gp+0xfffec704> + 28d20: 05000044 movi r20,1 + 28d24: a00b883a mov r5,r20 + 28d28: 9809883a mov r4,r19 + 28d2c: 00285cc0 call 285cc <_Balloc> + 28d30: 1023883a mov r17,r2 + 28d34: 00809c44 movi r2,625 + 28d38: 88800515 stw r2,20(r17) + 28d3c: 8d000415 stw r20,16(r17) + 28d40: 9c401215 stw r17,72(r19) + 28d44: 88000015 stw zero,0(r17) + 28d48: 003fc606 br 28c64 <_gp+0xfffec72c> -000288ec : - 288ec: defffd04 addi sp,sp,-12 - 288f0: dfc00215 stw ra,8(sp) - 288f4: dc400115 stw r17,4(sp) - 288f8: dc000015 stw r16,0(sp) - 288fc: 00c003c4 movi r3,15 - 28900: 2005883a mov r2,r4 - 28904: 1980452e bgeu r3,r6,28a1c - 28908: 2906b03a or r3,r5,r4 - 2890c: 18c000cc andi r3,r3,3 - 28910: 1800441e bne r3,zero,28a24 - 28914: 347ffc04 addi r17,r6,-16 - 28918: 8822d13a srli r17,r17,4 - 2891c: 28c00104 addi r3,r5,4 - 28920: 23400104 addi r13,r4,4 - 28924: 8820913a slli r16,r17,4 - 28928: 2b000204 addi r12,r5,8 - 2892c: 22c00204 addi r11,r4,8 - 28930: 84000504 addi r16,r16,20 - 28934: 2a800304 addi r10,r5,12 - 28938: 22400304 addi r9,r4,12 - 2893c: 2c21883a add r16,r5,r16 - 28940: 2811883a mov r8,r5 - 28944: 200f883a mov r7,r4 - 28948: 41000017 ldw r4,0(r8) - 2894c: 1fc00017 ldw ra,0(r3) - 28950: 63c00017 ldw r15,0(r12) - 28954: 39000015 stw r4,0(r7) - 28958: 53800017 ldw r14,0(r10) - 2895c: 6fc00015 stw ra,0(r13) - 28960: 5bc00015 stw r15,0(r11) - 28964: 4b800015 stw r14,0(r9) - 28968: 18c00404 addi r3,r3,16 - 2896c: 39c00404 addi r7,r7,16 - 28970: 42000404 addi r8,r8,16 - 28974: 6b400404 addi r13,r13,16 - 28978: 63000404 addi r12,r12,16 - 2897c: 5ac00404 addi r11,r11,16 - 28980: 52800404 addi r10,r10,16 - 28984: 4a400404 addi r9,r9,16 - 28988: 1c3fef1e bne r3,r16,28948 - 2898c: 89c00044 addi r7,r17,1 - 28990: 380e913a slli r7,r7,4 - 28994: 310003cc andi r4,r6,15 - 28998: 02c000c4 movi r11,3 - 2899c: 11c7883a add r3,r2,r7 - 289a0: 29cb883a add r5,r5,r7 - 289a4: 5900212e bgeu r11,r4,28a2c - 289a8: 1813883a mov r9,r3 - 289ac: 2811883a mov r8,r5 - 289b0: 200f883a mov r7,r4 - 289b4: 42800017 ldw r10,0(r8) - 289b8: 4a400104 addi r9,r9,4 - 289bc: 39ffff04 addi r7,r7,-4 - 289c0: 4abfff15 stw r10,-4(r9) - 289c4: 42000104 addi r8,r8,4 - 289c8: 59fffa36 bltu r11,r7,289b4 - 289cc: 213fff04 addi r4,r4,-4 - 289d0: 2008d0ba srli r4,r4,2 - 289d4: 318000cc andi r6,r6,3 - 289d8: 21000044 addi r4,r4,1 - 289dc: 2109883a add r4,r4,r4 - 289e0: 2109883a add r4,r4,r4 - 289e4: 1907883a add r3,r3,r4 - 289e8: 290b883a add r5,r5,r4 - 289ec: 30000626 beq r6,zero,28a08 - 289f0: 198d883a add r6,r3,r6 - 289f4: 29c00003 ldbu r7,0(r5) - 289f8: 18c00044 addi r3,r3,1 - 289fc: 29400044 addi r5,r5,1 - 28a00: 19ffffc5 stb r7,-1(r3) - 28a04: 19bffb1e bne r3,r6,289f4 - 28a08: dfc00217 ldw ra,8(sp) - 28a0c: dc400117 ldw r17,4(sp) - 28a10: dc000017 ldw r16,0(sp) - 28a14: dec00304 addi sp,sp,12 - 28a18: f800283a ret - 28a1c: 2007883a mov r3,r4 - 28a20: 003ff206 br 289ec - 28a24: 2007883a mov r3,r4 - 28a28: 003ff106 br 289f0 - 28a2c: 200d883a mov r6,r4 - 28a30: 003fee06 br 289ec +00028d4c <__lshift>: + 28d4c: defff904 addi sp,sp,-28 + 28d50: dd400515 stw r21,20(sp) + 28d54: dcc00315 stw r19,12(sp) + 28d58: 302bd17a srai r21,r6,5 + 28d5c: 2cc00417 ldw r19,16(r5) + 28d60: 28800217 ldw r2,8(r5) + 28d64: dd000415 stw r20,16(sp) + 28d68: ace7883a add r19,r21,r19 + 28d6c: dc800215 stw r18,8(sp) + 28d70: dc400115 stw r17,4(sp) + 28d74: dc000015 stw r16,0(sp) + 28d78: dfc00615 stw ra,24(sp) + 28d7c: 9c000044 addi r16,r19,1 + 28d80: 2823883a mov r17,r5 + 28d84: 3029883a mov r20,r6 + 28d88: 2025883a mov r18,r4 + 28d8c: 29400117 ldw r5,4(r5) + 28d90: 1400030e bge r2,r16,28da0 <__lshift+0x54> + 28d94: 1085883a add r2,r2,r2 + 28d98: 29400044 addi r5,r5,1 + 28d9c: 143ffd16 blt r2,r16,28d94 <_gp+0xfffec85c> + 28da0: 9009883a mov r4,r18 + 28da4: 00285cc0 call 285cc <_Balloc> + 28da8: 10c00504 addi r3,r2,20 + 28dac: 0540070e bge zero,r21,28dcc <__lshift+0x80> + 28db0: ad6b883a add r21,r21,r21 + 28db4: ad6b883a add r21,r21,r21 + 28db8: 1809883a mov r4,r3 + 28dbc: 1d47883a add r3,r3,r21 + 28dc0: 20000015 stw zero,0(r4) + 28dc4: 21000104 addi r4,r4,4 + 28dc8: 193ffd1e bne r3,r4,28dc0 <_gp+0xfffec888> + 28dcc: 8a000417 ldw r8,16(r17) + 28dd0: 89000504 addi r4,r17,20 + 28dd4: a18007cc andi r6,r20,31 + 28dd8: 4211883a add r8,r8,r8 + 28ddc: 4211883a add r8,r8,r8 + 28de0: 2211883a add r8,r4,r8 + 28de4: 30002326 beq r6,zero,28e74 <__lshift+0x128> + 28de8: 02400804 movi r9,32 + 28dec: 4993c83a sub r9,r9,r6 + 28df0: 000b883a mov r5,zero + 28df4: 21c00017 ldw r7,0(r4) + 28df8: 1815883a mov r10,r3 + 28dfc: 18c00104 addi r3,r3,4 + 28e00: 398e983a sll r7,r7,r6 + 28e04: 21000104 addi r4,r4,4 + 28e08: 394ab03a or r5,r7,r5 + 28e0c: 197fff15 stw r5,-4(r3) + 28e10: 217fff17 ldw r5,-4(r4) + 28e14: 2a4ad83a srl r5,r5,r9 + 28e18: 223ff636 bltu r4,r8,28df4 <_gp+0xfffec8bc> + 28e1c: 51400115 stw r5,4(r10) + 28e20: 28001a1e bne r5,zero,28e8c <__lshift+0x140> + 28e24: 843fffc4 addi r16,r16,-1 + 28e28: 14000415 stw r16,16(r2) + 28e2c: 88000826 beq r17,zero,28e50 <__lshift+0x104> + 28e30: 89000117 ldw r4,4(r17) + 28e34: 90c01317 ldw r3,76(r18) + 28e38: 2109883a add r4,r4,r4 + 28e3c: 2109883a add r4,r4,r4 + 28e40: 1907883a add r3,r3,r4 + 28e44: 19000017 ldw r4,0(r3) + 28e48: 89000015 stw r4,0(r17) + 28e4c: 1c400015 stw r17,0(r3) + 28e50: dfc00617 ldw ra,24(sp) + 28e54: dd400517 ldw r21,20(sp) + 28e58: dd000417 ldw r20,16(sp) + 28e5c: dcc00317 ldw r19,12(sp) + 28e60: dc800217 ldw r18,8(sp) + 28e64: dc400117 ldw r17,4(sp) + 28e68: dc000017 ldw r16,0(sp) + 28e6c: dec00704 addi sp,sp,28 + 28e70: f800283a ret + 28e74: 21400017 ldw r5,0(r4) + 28e78: 18c00104 addi r3,r3,4 + 28e7c: 21000104 addi r4,r4,4 + 28e80: 197fff15 stw r5,-4(r3) + 28e84: 223ffb36 bltu r4,r8,28e74 <_gp+0xfffec93c> + 28e88: 003fe606 br 28e24 <_gp+0xfffec8ec> + 28e8c: 9c000084 addi r16,r19,2 + 28e90: 003fe406 br 28e24 <_gp+0xfffec8ec> -00028a34 : - 28a34: 2005883a mov r2,r4 - 28a38: 29000b2e bgeu r5,r4,28a68 - 28a3c: 298f883a add r7,r5,r6 - 28a40: 21c0092e bgeu r4,r7,28a68 - 28a44: 2187883a add r3,r4,r6 - 28a48: 198bc83a sub r5,r3,r6 - 28a4c: 30004826 beq r6,zero,28b70 - 28a50: 39ffffc4 addi r7,r7,-1 - 28a54: 39000003 ldbu r4,0(r7) - 28a58: 18ffffc4 addi r3,r3,-1 - 28a5c: 19000005 stb r4,0(r3) - 28a60: 28fffb1e bne r5,r3,28a50 - 28a64: f800283a ret - 28a68: 00c003c4 movi r3,15 - 28a6c: 1980412e bgeu r3,r6,28b74 - 28a70: 2886b03a or r3,r5,r2 - 28a74: 18c000cc andi r3,r3,3 - 28a78: 1800401e bne r3,zero,28b7c - 28a7c: 33fffc04 addi r15,r6,-16 - 28a80: 781ed13a srli r15,r15,4 - 28a84: 28c00104 addi r3,r5,4 - 28a88: 13400104 addi r13,r2,4 - 28a8c: 781c913a slli r14,r15,4 - 28a90: 2b000204 addi r12,r5,8 - 28a94: 12c00204 addi r11,r2,8 - 28a98: 73800504 addi r14,r14,20 - 28a9c: 2a800304 addi r10,r5,12 - 28aa0: 12400304 addi r9,r2,12 - 28aa4: 2b9d883a add r14,r5,r14 - 28aa8: 2811883a mov r8,r5 - 28aac: 100f883a mov r7,r2 - 28ab0: 41000017 ldw r4,0(r8) - 28ab4: 39c00404 addi r7,r7,16 - 28ab8: 18c00404 addi r3,r3,16 - 28abc: 393ffc15 stw r4,-16(r7) - 28ac0: 193ffc17 ldw r4,-16(r3) - 28ac4: 6b400404 addi r13,r13,16 - 28ac8: 5ac00404 addi r11,r11,16 - 28acc: 693ffc15 stw r4,-16(r13) - 28ad0: 61000017 ldw r4,0(r12) - 28ad4: 4a400404 addi r9,r9,16 - 28ad8: 42000404 addi r8,r8,16 - 28adc: 593ffc15 stw r4,-16(r11) - 28ae0: 51000017 ldw r4,0(r10) - 28ae4: 63000404 addi r12,r12,16 - 28ae8: 52800404 addi r10,r10,16 - 28aec: 493ffc15 stw r4,-16(r9) - 28af0: 1bbfef1e bne r3,r14,28ab0 - 28af4: 79000044 addi r4,r15,1 - 28af8: 2008913a slli r4,r4,4 - 28afc: 328003cc andi r10,r6,15 - 28b00: 02c000c4 movi r11,3 - 28b04: 1107883a add r3,r2,r4 - 28b08: 290b883a add r5,r5,r4 - 28b0c: 5a801e2e bgeu r11,r10,28b88 - 28b10: 1813883a mov r9,r3 - 28b14: 2811883a mov r8,r5 - 28b18: 500f883a mov r7,r10 - 28b1c: 41000017 ldw r4,0(r8) - 28b20: 4a400104 addi r9,r9,4 - 28b24: 39ffff04 addi r7,r7,-4 - 28b28: 493fff15 stw r4,-4(r9) - 28b2c: 42000104 addi r8,r8,4 - 28b30: 59fffa36 bltu r11,r7,28b1c - 28b34: 513fff04 addi r4,r10,-4 - 28b38: 2008d0ba srli r4,r4,2 - 28b3c: 318000cc andi r6,r6,3 - 28b40: 21000044 addi r4,r4,1 - 28b44: 2109883a add r4,r4,r4 - 28b48: 2109883a add r4,r4,r4 - 28b4c: 1907883a add r3,r3,r4 - 28b50: 290b883a add r5,r5,r4 - 28b54: 30000b26 beq r6,zero,28b84 - 28b58: 198d883a add r6,r3,r6 - 28b5c: 29c00003 ldbu r7,0(r5) - 28b60: 18c00044 addi r3,r3,1 - 28b64: 29400044 addi r5,r5,1 - 28b68: 19ffffc5 stb r7,-1(r3) - 28b6c: 19bffb1e bne r3,r6,28b5c - 28b70: f800283a ret - 28b74: 1007883a mov r3,r2 - 28b78: 003ff606 br 28b54 - 28b7c: 1007883a mov r3,r2 - 28b80: 003ff506 br 28b58 - 28b84: f800283a ret - 28b88: 500d883a mov r6,r10 - 28b8c: 003ff106 br 28b54 - -00028b90 <_Balloc>: - 28b90: 20801317 ldw r2,76(r4) - 28b94: defffc04 addi sp,sp,-16 - 28b98: dc400115 stw r17,4(sp) - 28b9c: dc000015 stw r16,0(sp) - 28ba0: dfc00315 stw ra,12(sp) - 28ba4: dc800215 stw r18,8(sp) - 28ba8: 2023883a mov r17,r4 - 28bac: 2821883a mov r16,r5 - 28bb0: 10000f26 beq r2,zero,28bf0 <_Balloc+0x60> - 28bb4: 8407883a add r3,r16,r16 - 28bb8: 18c7883a add r3,r3,r3 - 28bbc: 10c7883a add r3,r2,r3 - 28bc0: 18800017 ldw r2,0(r3) - 28bc4: 10001126 beq r2,zero,28c0c <_Balloc+0x7c> - 28bc8: 11000017 ldw r4,0(r2) - 28bcc: 19000015 stw r4,0(r3) - 28bd0: 10000415 stw zero,16(r2) - 28bd4: 10000315 stw zero,12(r2) - 28bd8: dfc00317 ldw ra,12(sp) - 28bdc: dc800217 ldw r18,8(sp) - 28be0: dc400117 ldw r17,4(sp) - 28be4: dc000017 ldw r16,0(sp) - 28be8: dec00404 addi sp,sp,16 - 28bec: f800283a ret - 28bf0: 01800844 movi r6,33 - 28bf4: 01400104 movi r5,4 - 28bf8: 002bf280 call 2bf28 <_calloc_r> - 28bfc: 88801315 stw r2,76(r17) - 28c00: 103fec1e bne r2,zero,28bb4 <_Balloc+0x24> - 28c04: 0005883a mov r2,zero - 28c08: 003ff306 br 28bd8 <_Balloc+0x48> - 28c0c: 01400044 movi r5,1 - 28c10: 2c24983a sll r18,r5,r16 - 28c14: 8809883a mov r4,r17 - 28c18: 91800144 addi r6,r18,5 - 28c1c: 318d883a add r6,r6,r6 - 28c20: 318d883a add r6,r6,r6 - 28c24: 002bf280 call 2bf28 <_calloc_r> - 28c28: 103ff626 beq r2,zero,28c04 <_Balloc+0x74> - 28c2c: 14000115 stw r16,4(r2) - 28c30: 14800215 stw r18,8(r2) - 28c34: 003fe606 br 28bd0 <_Balloc+0x40> - -00028c38 <_Bfree>: - 28c38: 28000826 beq r5,zero,28c5c <_Bfree+0x24> - 28c3c: 28c00117 ldw r3,4(r5) - 28c40: 20801317 ldw r2,76(r4) - 28c44: 18c7883a add r3,r3,r3 - 28c48: 18c7883a add r3,r3,r3 - 28c4c: 10c5883a add r2,r2,r3 - 28c50: 10c00017 ldw r3,0(r2) - 28c54: 28c00015 stw r3,0(r5) - 28c58: 11400015 stw r5,0(r2) - 28c5c: f800283a ret - -00028c60 <__multadd>: - 28c60: defffa04 addi sp,sp,-24 - 28c64: dc800315 stw r18,12(sp) - 28c68: dc400215 stw r17,8(sp) - 28c6c: dc000115 stw r16,4(sp) - 28c70: 2823883a mov r17,r5 - 28c74: 2c000417 ldw r16,16(r5) - 28c78: dfc00515 stw ra,20(sp) - 28c7c: dcc00415 stw r19,16(sp) - 28c80: 2025883a mov r18,r4 - 28c84: 29400504 addi r5,r5,20 - 28c88: 0011883a mov r8,zero - 28c8c: 28c00017 ldw r3,0(r5) - 28c90: 29400104 addi r5,r5,4 - 28c94: 42000044 addi r8,r8,1 - 28c98: 18bfffcc andi r2,r3,65535 - 28c9c: 1185383a mul r2,r2,r6 - 28ca0: 1806d43a srli r3,r3,16 - 28ca4: 11cf883a add r7,r2,r7 - 28ca8: 3808d43a srli r4,r7,16 - 28cac: 1987383a mul r3,r3,r6 - 28cb0: 38bfffcc andi r2,r7,65535 - 28cb4: 1907883a add r3,r3,r4 - 28cb8: 1808943a slli r4,r3,16 - 28cbc: 180ed43a srli r7,r3,16 - 28cc0: 2085883a add r2,r4,r2 - 28cc4: 28bfff15 stw r2,-4(r5) - 28cc8: 443ff016 blt r8,r16,28c8c <__multadd+0x2c> - 28ccc: 38000926 beq r7,zero,28cf4 <__multadd+0x94> - 28cd0: 88800217 ldw r2,8(r17) - 28cd4: 80800f0e bge r16,r2,28d14 <__multadd+0xb4> - 28cd8: 80800144 addi r2,r16,5 - 28cdc: 1085883a add r2,r2,r2 - 28ce0: 1085883a add r2,r2,r2 - 28ce4: 8885883a add r2,r17,r2 - 28ce8: 11c00015 stw r7,0(r2) - 28cec: 84000044 addi r16,r16,1 - 28cf0: 8c000415 stw r16,16(r17) - 28cf4: 8805883a mov r2,r17 - 28cf8: dfc00517 ldw ra,20(sp) - 28cfc: dcc00417 ldw r19,16(sp) - 28d00: dc800317 ldw r18,12(sp) - 28d04: dc400217 ldw r17,8(sp) - 28d08: dc000117 ldw r16,4(sp) - 28d0c: dec00604 addi sp,sp,24 - 28d10: f800283a ret - 28d14: 89400117 ldw r5,4(r17) - 28d18: 9009883a mov r4,r18 - 28d1c: d9c00015 stw r7,0(sp) - 28d20: 29400044 addi r5,r5,1 - 28d24: 0028b900 call 28b90 <_Balloc> - 28d28: 89800417 ldw r6,16(r17) - 28d2c: 89400304 addi r5,r17,12 - 28d30: 11000304 addi r4,r2,12 - 28d34: 31800084 addi r6,r6,2 - 28d38: 318d883a add r6,r6,r6 - 28d3c: 318d883a add r6,r6,r6 - 28d40: 1027883a mov r19,r2 - 28d44: 00288ec0 call 288ec - 28d48: d9c00017 ldw r7,0(sp) - 28d4c: 88000a26 beq r17,zero,28d78 <__multadd+0x118> - 28d50: 88c00117 ldw r3,4(r17) - 28d54: 90801317 ldw r2,76(r18) - 28d58: 18c7883a add r3,r3,r3 - 28d5c: 18c7883a add r3,r3,r3 - 28d60: 10c5883a add r2,r2,r3 - 28d64: 10c00017 ldw r3,0(r2) - 28d68: 88c00015 stw r3,0(r17) - 28d6c: 14400015 stw r17,0(r2) - 28d70: 9823883a mov r17,r19 - 28d74: 003fd806 br 28cd8 <__multadd+0x78> - 28d78: 9823883a mov r17,r19 - 28d7c: 003fd606 br 28cd8 <__multadd+0x78> - -00028d80 <__s2b>: - 28d80: defff904 addi sp,sp,-28 - 28d84: dc400115 stw r17,4(sp) - 28d88: dc000015 stw r16,0(sp) - 28d8c: 2023883a mov r17,r4 - 28d90: 2821883a mov r16,r5 - 28d94: 39000204 addi r4,r7,8 - 28d98: 01400244 movi r5,9 - 28d9c: dcc00315 stw r19,12(sp) - 28da0: dc800215 stw r18,8(sp) - 28da4: dfc00615 stw ra,24(sp) - 28da8: dd400515 stw r21,20(sp) - 28dac: dd000415 stw r20,16(sp) - 28db0: 3825883a mov r18,r7 - 28db4: 3027883a mov r19,r6 - 28db8: 00229280 call 22928 <__divsi3> - 28dbc: 00c00044 movi r3,1 - 28dc0: 000b883a mov r5,zero - 28dc4: 1880030e bge r3,r2,28dd4 <__s2b+0x54> - 28dc8: 18c7883a add r3,r3,r3 - 28dcc: 29400044 addi r5,r5,1 - 28dd0: 18bffd16 blt r3,r2,28dc8 <__s2b+0x48> - 28dd4: 8809883a mov r4,r17 - 28dd8: 0028b900 call 28b90 <_Balloc> - 28ddc: d8c00717 ldw r3,28(sp) - 28de0: 10c00515 stw r3,20(r2) - 28de4: 00c00044 movi r3,1 - 28de8: 10c00415 stw r3,16(r2) - 28dec: 00c00244 movi r3,9 - 28df0: 1cc0210e bge r3,r19,28e78 <__s2b+0xf8> - 28df4: 80eb883a add r21,r16,r3 - 28df8: a829883a mov r20,r21 - 28dfc: 84e1883a add r16,r16,r19 - 28e00: a1c00007 ldb r7,0(r20) - 28e04: 01800284 movi r6,10 - 28e08: a5000044 addi r20,r20,1 - 28e0c: 100b883a mov r5,r2 - 28e10: 39fff404 addi r7,r7,-48 - 28e14: 8809883a mov r4,r17 - 28e18: 0028c600 call 28c60 <__multadd> - 28e1c: a43ff81e bne r20,r16,28e00 <__s2b+0x80> - 28e20: ace1883a add r16,r21,r19 - 28e24: 843ffe04 addi r16,r16,-8 - 28e28: 9c800a0e bge r19,r18,28e54 <__s2b+0xd4> - 28e2c: 94e5c83a sub r18,r18,r19 - 28e30: 84a5883a add r18,r16,r18 - 28e34: 81c00007 ldb r7,0(r16) - 28e38: 01800284 movi r6,10 - 28e3c: 84000044 addi r16,r16,1 - 28e40: 100b883a mov r5,r2 - 28e44: 39fff404 addi r7,r7,-48 - 28e48: 8809883a mov r4,r17 - 28e4c: 0028c600 call 28c60 <__multadd> - 28e50: 84bff81e bne r16,r18,28e34 <__s2b+0xb4> - 28e54: dfc00617 ldw ra,24(sp) - 28e58: dd400517 ldw r21,20(sp) - 28e5c: dd000417 ldw r20,16(sp) - 28e60: dcc00317 ldw r19,12(sp) - 28e64: dc800217 ldw r18,8(sp) - 28e68: dc400117 ldw r17,4(sp) - 28e6c: dc000017 ldw r16,0(sp) - 28e70: dec00704 addi sp,sp,28 - 28e74: f800283a ret - 28e78: 84000284 addi r16,r16,10 - 28e7c: 1827883a mov r19,r3 - 28e80: 003fe906 br 28e28 <__s2b+0xa8> - -00028e84 <__hi0bits>: - 28e84: 20bfffec andhi r2,r4,65535 - 28e88: 1000141e bne r2,zero,28edc <__hi0bits+0x58> - 28e8c: 2008943a slli r4,r4,16 - 28e90: 00800404 movi r2,16 - 28e94: 20ffc02c andhi r3,r4,65280 - 28e98: 1800021e bne r3,zero,28ea4 <__hi0bits+0x20> - 28e9c: 2008923a slli r4,r4,8 - 28ea0: 10800204 addi r2,r2,8 - 28ea4: 20fc002c andhi r3,r4,61440 - 28ea8: 1800021e bne r3,zero,28eb4 <__hi0bits+0x30> - 28eac: 2008913a slli r4,r4,4 - 28eb0: 10800104 addi r2,r2,4 - 28eb4: 20f0002c andhi r3,r4,49152 - 28eb8: 1800031e bne r3,zero,28ec8 <__hi0bits+0x44> - 28ebc: 2109883a add r4,r4,r4 - 28ec0: 10800084 addi r2,r2,2 - 28ec4: 2109883a add r4,r4,r4 - 28ec8: 20000316 blt r4,zero,28ed8 <__hi0bits+0x54> - 28ecc: 2110002c andhi r4,r4,16384 - 28ed0: 2000041e bne r4,zero,28ee4 <__hi0bits+0x60> - 28ed4: 00800804 movi r2,32 - 28ed8: f800283a ret - 28edc: 0005883a mov r2,zero - 28ee0: 003fec06 br 28e94 <__hi0bits+0x10> - 28ee4: 10800044 addi r2,r2,1 +00028e94 <__mcmp>: + 28e94: 20800417 ldw r2,16(r4) + 28e98: 28c00417 ldw r3,16(r5) + 28e9c: 10c5c83a sub r2,r2,r3 + 28ea0: 1000111e bne r2,zero,28ee8 <__mcmp+0x54> + 28ea4: 18c7883a add r3,r3,r3 + 28ea8: 18c7883a add r3,r3,r3 + 28eac: 21000504 addi r4,r4,20 + 28eb0: 29400504 addi r5,r5,20 + 28eb4: 20c5883a add r2,r4,r3 + 28eb8: 28cb883a add r5,r5,r3 + 28ebc: 00000106 br 28ec4 <__mcmp+0x30> + 28ec0: 20800a2e bgeu r4,r2,28eec <__mcmp+0x58> + 28ec4: 10bfff04 addi r2,r2,-4 + 28ec8: 297fff04 addi r5,r5,-4 + 28ecc: 11800017 ldw r6,0(r2) + 28ed0: 28c00017 ldw r3,0(r5) + 28ed4: 30fffa26 beq r6,r3,28ec0 <_gp+0xfffec988> + 28ed8: 30c00236 bltu r6,r3,28ee4 <__mcmp+0x50> + 28edc: 00800044 movi r2,1 + 28ee0: f800283a ret + 28ee4: 00bfffc4 movi r2,-1 28ee8: f800283a ret + 28eec: 0005883a mov r2,zero + 28ef0: f800283a ret -00028eec <__lo0bits>: - 28eec: 20c00017 ldw r3,0(r4) - 28ef0: 188001cc andi r2,r3,7 - 28ef4: 10000826 beq r2,zero,28f18 <__lo0bits+0x2c> - 28ef8: 1880004c andi r2,r3,1 - 28efc: 1000211e bne r2,zero,28f84 <__lo0bits+0x98> - 28f00: 1880008c andi r2,r3,2 - 28f04: 1000211e bne r2,zero,28f8c <__lo0bits+0xa0> - 28f08: 1806d0ba srli r3,r3,2 - 28f0c: 00800084 movi r2,2 - 28f10: 20c00015 stw r3,0(r4) - 28f14: f800283a ret - 28f18: 18bfffcc andi r2,r3,65535 - 28f1c: 10001326 beq r2,zero,28f6c <__lo0bits+0x80> - 28f20: 0005883a mov r2,zero - 28f24: 19403fcc andi r5,r3,255 - 28f28: 2800021e bne r5,zero,28f34 <__lo0bits+0x48> - 28f2c: 1806d23a srli r3,r3,8 - 28f30: 10800204 addi r2,r2,8 - 28f34: 194003cc andi r5,r3,15 - 28f38: 2800021e bne r5,zero,28f44 <__lo0bits+0x58> - 28f3c: 1806d13a srli r3,r3,4 - 28f40: 10800104 addi r2,r2,4 - 28f44: 194000cc andi r5,r3,3 - 28f48: 2800021e bne r5,zero,28f54 <__lo0bits+0x68> - 28f4c: 1806d0ba srli r3,r3,2 - 28f50: 10800084 addi r2,r2,2 - 28f54: 1940004c andi r5,r3,1 - 28f58: 2800081e bne r5,zero,28f7c <__lo0bits+0x90> - 28f5c: 1806d07a srli r3,r3,1 - 28f60: 1800051e bne r3,zero,28f78 <__lo0bits+0x8c> - 28f64: 00800804 movi r2,32 - 28f68: f800283a ret - 28f6c: 1806d43a srli r3,r3,16 - 28f70: 00800404 movi r2,16 - 28f74: 003feb06 br 28f24 <__lo0bits+0x38> - 28f78: 10800044 addi r2,r2,1 - 28f7c: 20c00015 stw r3,0(r4) - 28f80: f800283a ret - 28f84: 0005883a mov r2,zero - 28f88: f800283a ret - 28f8c: 1806d07a srli r3,r3,1 - 28f90: 00800044 movi r2,1 - 28f94: 20c00015 stw r3,0(r4) - 28f98: f800283a ret +00028ef4 <__mdiff>: + 28ef4: 28c00417 ldw r3,16(r5) + 28ef8: 30800417 ldw r2,16(r6) + 28efc: defffa04 addi sp,sp,-24 + 28f00: dcc00315 stw r19,12(sp) + 28f04: dc800215 stw r18,8(sp) + 28f08: dfc00515 stw ra,20(sp) + 28f0c: dd000415 stw r20,16(sp) + 28f10: dc400115 stw r17,4(sp) + 28f14: dc000015 stw r16,0(sp) + 28f18: 1887c83a sub r3,r3,r2 + 28f1c: 2825883a mov r18,r5 + 28f20: 3027883a mov r19,r6 + 28f24: 1800141e bne r3,zero,28f78 <__mdiff+0x84> + 28f28: 1085883a add r2,r2,r2 + 28f2c: 1085883a add r2,r2,r2 + 28f30: 2a000504 addi r8,r5,20 + 28f34: 34000504 addi r16,r6,20 + 28f38: 4087883a add r3,r8,r2 + 28f3c: 8085883a add r2,r16,r2 + 28f40: 00000106 br 28f48 <__mdiff+0x54> + 28f44: 40c0592e bgeu r8,r3,290ac <__mdiff+0x1b8> + 28f48: 18ffff04 addi r3,r3,-4 + 28f4c: 10bfff04 addi r2,r2,-4 + 28f50: 19c00017 ldw r7,0(r3) + 28f54: 11400017 ldw r5,0(r2) + 28f58: 397ffa26 beq r7,r5,28f44 <_gp+0xfffeca0c> + 28f5c: 3940592e bgeu r7,r5,290c4 <__mdiff+0x1d0> + 28f60: 9005883a mov r2,r18 + 28f64: 4023883a mov r17,r8 + 28f68: 9825883a mov r18,r19 + 28f6c: 05000044 movi r20,1 + 28f70: 1027883a mov r19,r2 + 28f74: 00000406 br 28f88 <__mdiff+0x94> + 28f78: 18005616 blt r3,zero,290d4 <__mdiff+0x1e0> + 28f7c: 34400504 addi r17,r6,20 + 28f80: 2c000504 addi r16,r5,20 + 28f84: 0029883a mov r20,zero + 28f88: 91400117 ldw r5,4(r18) + 28f8c: 00285cc0 call 285cc <_Balloc> + 28f90: 92400417 ldw r9,16(r18) + 28f94: 9b000417 ldw r12,16(r19) + 28f98: 12c00504 addi r11,r2,20 + 28f9c: 4a51883a add r8,r9,r9 + 28fa0: 6319883a add r12,r12,r12 + 28fa4: 4211883a add r8,r8,r8 + 28fa8: 6319883a add r12,r12,r12 + 28fac: 15000315 stw r20,12(r2) + 28fb0: 8211883a add r8,r16,r8 + 28fb4: 8b19883a add r12,r17,r12 + 28fb8: 0007883a mov r3,zero + 28fbc: 81400017 ldw r5,0(r16) + 28fc0: 89c00017 ldw r7,0(r17) + 28fc4: 59800104 addi r6,r11,4 + 28fc8: 293fffcc andi r4,r5,65535 + 28fcc: 20c7883a add r3,r4,r3 + 28fd0: 393fffcc andi r4,r7,65535 + 28fd4: 1909c83a sub r4,r3,r4 + 28fd8: 280ad43a srli r5,r5,16 + 28fdc: 380ed43a srli r7,r7,16 + 28fe0: 2007d43a srai r3,r4,16 + 28fe4: 213fffcc andi r4,r4,65535 + 28fe8: 29cbc83a sub r5,r5,r7 + 28fec: 28c7883a add r3,r5,r3 + 28ff0: 180a943a slli r5,r3,16 + 28ff4: 8c400104 addi r17,r17,4 + 28ff8: 84000104 addi r16,r16,4 + 28ffc: 2908b03a or r4,r5,r4 + 29000: 59000015 stw r4,0(r11) + 29004: 1807d43a srai r3,r3,16 + 29008: 3015883a mov r10,r6 + 2900c: 3017883a mov r11,r6 + 29010: 8b3fea36 bltu r17,r12,28fbc <_gp+0xfffeca84> + 29014: 8200162e bgeu r16,r8,29070 <__mdiff+0x17c> + 29018: 8017883a mov r11,r16 + 2901c: 59400017 ldw r5,0(r11) + 29020: 31800104 addi r6,r6,4 + 29024: 5ac00104 addi r11,r11,4 + 29028: 293fffcc andi r4,r5,65535 + 2902c: 20c7883a add r3,r4,r3 + 29030: 280ed43a srli r7,r5,16 + 29034: 180bd43a srai r5,r3,16 + 29038: 193fffcc andi r4,r3,65535 + 2903c: 3947883a add r3,r7,r5 + 29040: 180a943a slli r5,r3,16 + 29044: 1807d43a srai r3,r3,16 + 29048: 2908b03a or r4,r5,r4 + 2904c: 313fff15 stw r4,-4(r6) + 29050: 5a3ff236 bltu r11,r8,2901c <_gp+0xfffecae4> + 29054: 0406303a nor r3,zero,r16 + 29058: 1a07883a add r3,r3,r8 + 2905c: 1806d0ba srli r3,r3,2 + 29060: 18c00044 addi r3,r3,1 + 29064: 18c7883a add r3,r3,r3 + 29068: 18c7883a add r3,r3,r3 + 2906c: 50d5883a add r10,r10,r3 + 29070: 50ffff04 addi r3,r10,-4 + 29074: 2000041e bne r4,zero,29088 <__mdiff+0x194> + 29078: 18ffff04 addi r3,r3,-4 + 2907c: 19000017 ldw r4,0(r3) + 29080: 4a7fffc4 addi r9,r9,-1 + 29084: 203ffc26 beq r4,zero,29078 <_gp+0xfffecb40> + 29088: 12400415 stw r9,16(r2) + 2908c: dfc00517 ldw ra,20(sp) + 29090: dd000417 ldw r20,16(sp) + 29094: dcc00317 ldw r19,12(sp) + 29098: dc800217 ldw r18,8(sp) + 2909c: dc400117 ldw r17,4(sp) + 290a0: dc000017 ldw r16,0(sp) + 290a4: dec00604 addi sp,sp,24 + 290a8: f800283a ret + 290ac: 000b883a mov r5,zero + 290b0: 00285cc0 call 285cc <_Balloc> + 290b4: 00c00044 movi r3,1 + 290b8: 10c00415 stw r3,16(r2) + 290bc: 10000515 stw zero,20(r2) + 290c0: 003ff206 br 2908c <_gp+0xfffecb54> + 290c4: 8023883a mov r17,r16 + 290c8: 0029883a mov r20,zero + 290cc: 4021883a mov r16,r8 + 290d0: 003fad06 br 28f88 <_gp+0xfffeca50> + 290d4: 9005883a mov r2,r18 + 290d8: 94400504 addi r17,r18,20 + 290dc: 9c000504 addi r16,r19,20 + 290e0: 9825883a mov r18,r19 + 290e4: 05000044 movi r20,1 + 290e8: 1027883a mov r19,r2 + 290ec: 003fa606 br 28f88 <_gp+0xfffeca50> -00028f9c <__i2b>: - 28f9c: defffd04 addi sp,sp,-12 - 28fa0: dc000015 stw r16,0(sp) - 28fa4: 04000044 movi r16,1 - 28fa8: dc400115 stw r17,4(sp) - 28fac: 2823883a mov r17,r5 - 28fb0: 800b883a mov r5,r16 - 28fb4: dfc00215 stw ra,8(sp) - 28fb8: 0028b900 call 28b90 <_Balloc> - 28fbc: 14400515 stw r17,20(r2) - 28fc0: 14000415 stw r16,16(r2) - 28fc4: dfc00217 ldw ra,8(sp) - 28fc8: dc400117 ldw r17,4(sp) - 28fcc: dc000017 ldw r16,0(sp) - 28fd0: dec00304 addi sp,sp,12 - 28fd4: f800283a ret +000290f0 <__ulp>: + 290f0: 295ffc2c andhi r5,r5,32752 + 290f4: 00bf3034 movhi r2,64704 + 290f8: 2887883a add r3,r5,r2 + 290fc: 00c0020e bge zero,r3,29108 <__ulp+0x18> + 29100: 0005883a mov r2,zero + 29104: f800283a ret + 29108: 00c7c83a sub r3,zero,r3 + 2910c: 1807d53a srai r3,r3,20 + 29110: 008004c4 movi r2,19 + 29114: 10c00b0e bge r2,r3,29144 <__ulp+0x54> + 29118: 18bffb04 addi r2,r3,-20 + 2911c: 01000784 movi r4,30 + 29120: 0007883a mov r3,zero + 29124: 20800516 blt r4,r2,2913c <__ulp+0x4c> + 29128: 010007c4 movi r4,31 + 2912c: 2089c83a sub r4,r4,r2 + 29130: 00800044 movi r2,1 + 29134: 1104983a sll r2,r2,r4 + 29138: f800283a ret + 2913c: 00800044 movi r2,1 + 29140: f800283a ret + 29144: 01400234 movhi r5,8 + 29148: 28c7d83a sra r3,r5,r3 + 2914c: 0005883a mov r2,zero + 29150: f800283a ret -00028fd8 <__multiply>: - 28fd8: defffa04 addi sp,sp,-24 - 28fdc: dcc00315 stw r19,12(sp) - 28fe0: dc800215 stw r18,8(sp) - 28fe4: 34c00417 ldw r19,16(r6) - 28fe8: 2c800417 ldw r18,16(r5) - 28fec: dd000415 stw r20,16(sp) - 28ff0: dc400115 stw r17,4(sp) - 28ff4: dfc00515 stw ra,20(sp) - 28ff8: dc000015 stw r16,0(sp) - 28ffc: 2829883a mov r20,r5 - 29000: 3023883a mov r17,r6 - 29004: 94c0050e bge r18,r19,2901c <__multiply+0x44> - 29008: 9007883a mov r3,r18 - 2900c: 3029883a mov r20,r6 - 29010: 9825883a mov r18,r19 - 29014: 2823883a mov r17,r5 - 29018: 1827883a mov r19,r3 - 2901c: a0800217 ldw r2,8(r20) - 29020: 94e1883a add r16,r18,r19 - 29024: a1400117 ldw r5,4(r20) - 29028: 1400010e bge r2,r16,29030 <__multiply+0x58> - 2902c: 29400044 addi r5,r5,1 - 29030: 0028b900 call 28b90 <_Balloc> - 29034: 8415883a add r10,r16,r16 - 29038: 12c00504 addi r11,r2,20 - 2903c: 5295883a add r10,r10,r10 - 29040: 5a95883a add r10,r11,r10 - 29044: 5807883a mov r3,r11 - 29048: 5a80032e bgeu r11,r10,29058 <__multiply+0x80> - 2904c: 18000015 stw zero,0(r3) - 29050: 18c00104 addi r3,r3,4 - 29054: 1abffd36 bltu r3,r10,2904c <__multiply+0x74> - 29058: 9ce7883a add r19,r19,r19 - 2905c: 94a5883a add r18,r18,r18 - 29060: 89800504 addi r6,r17,20 - 29064: 9ce7883a add r19,r19,r19 - 29068: a3400504 addi r13,r20,20 - 2906c: 94a5883a add r18,r18,r18 - 29070: 34d9883a add r12,r6,r19 - 29074: 6c93883a add r9,r13,r18 - 29078: 3300422e bgeu r6,r12,29184 <__multiply+0x1ac> - 2907c: 37c00017 ldw ra,0(r6) - 29080: fbffffcc andi r15,ra,65535 - 29084: 78001b26 beq r15,zero,290f4 <__multiply+0x11c> - 29088: 5811883a mov r8,r11 - 2908c: 681d883a mov r14,r13 - 29090: 000f883a mov r7,zero - 29094: 71000017 ldw r4,0(r14) - 29098: 40c00017 ldw r3,0(r8) - 2909c: 73800104 addi r14,r14,4 - 290a0: 217fffcc andi r5,r4,65535 - 290a4: 2bcb383a mul r5,r5,r15 - 290a8: 2008d43a srli r4,r4,16 - 290ac: 1c7fffcc andi r17,r3,65535 - 290b0: 2c4b883a add r5,r5,r17 - 290b4: 29cb883a add r5,r5,r7 - 290b8: 23c9383a mul r4,r4,r15 - 290bc: 1806d43a srli r3,r3,16 - 290c0: 280ed43a srli r7,r5,16 - 290c4: 297fffcc andi r5,r5,65535 - 290c8: 20c7883a add r3,r4,r3 - 290cc: 19c7883a add r3,r3,r7 - 290d0: 1808943a slli r4,r3,16 - 290d4: 4023883a mov r17,r8 - 290d8: 180ed43a srli r7,r3,16 - 290dc: 214ab03a or r5,r4,r5 - 290e0: 41400015 stw r5,0(r8) - 290e4: 42000104 addi r8,r8,4 - 290e8: 727fea36 bltu r14,r9,29094 <__multiply+0xbc> - 290ec: 89c00115 stw r7,4(r17) - 290f0: 37c00017 ldw ra,0(r6) - 290f4: f83ed43a srli ra,ra,16 - 290f8: f8001f26 beq ra,zero,29178 <__multiply+0x1a0> - 290fc: 58c00017 ldw r3,0(r11) - 29100: 681d883a mov r14,r13 - 29104: 581f883a mov r15,r11 - 29108: 1811883a mov r8,r3 - 2910c: 5825883a mov r18,r11 - 29110: 000f883a mov r7,zero - 29114: 00000106 br 2911c <__multiply+0x144> - 29118: 8825883a mov r18,r17 - 2911c: 7140000b ldhu r5,0(r14) - 29120: 4010d43a srli r8,r8,16 - 29124: 193fffcc andi r4,r3,65535 - 29128: 2fcb383a mul r5,r5,ra - 2912c: 7bc00104 addi r15,r15,4 - 29130: 73800104 addi r14,r14,4 - 29134: 2a0b883a add r5,r5,r8 - 29138: 29cb883a add r5,r5,r7 - 2913c: 2806943a slli r3,r5,16 - 29140: 94400104 addi r17,r18,4 - 29144: 280ad43a srli r5,r5,16 - 29148: 1908b03a or r4,r3,r4 - 2914c: 793fff15 stw r4,-4(r15) - 29150: 70ffff17 ldw r3,-4(r14) - 29154: 8a000017 ldw r8,0(r17) - 29158: 1806d43a srli r3,r3,16 - 2915c: 413fffcc andi r4,r8,65535 - 29160: 1fc7383a mul r3,r3,ra - 29164: 1907883a add r3,r3,r4 - 29168: 1947883a add r3,r3,r5 - 2916c: 180ed43a srli r7,r3,16 - 29170: 727fe936 bltu r14,r9,29118 <__multiply+0x140> - 29174: 90c00115 stw r3,4(r18) - 29178: 31800104 addi r6,r6,4 - 2917c: 5ac00104 addi r11,r11,4 - 29180: 333fbe36 bltu r6,r12,2907c <__multiply+0xa4> - 29184: 0400090e bge zero,r16,291ac <__multiply+0x1d4> - 29188: 50ffff17 ldw r3,-4(r10) - 2918c: 52bfff04 addi r10,r10,-4 - 29190: 18000326 beq r3,zero,291a0 <__multiply+0x1c8> - 29194: 00000506 br 291ac <__multiply+0x1d4> - 29198: 50c00017 ldw r3,0(r10) - 2919c: 1800031e bne r3,zero,291ac <__multiply+0x1d4> - 291a0: 843fffc4 addi r16,r16,-1 - 291a4: 52bfff04 addi r10,r10,-4 - 291a8: 803ffb1e bne r16,zero,29198 <__multiply+0x1c0> - 291ac: 14000415 stw r16,16(r2) - 291b0: dfc00517 ldw ra,20(sp) - 291b4: dd000417 ldw r20,16(sp) - 291b8: dcc00317 ldw r19,12(sp) - 291bc: dc800217 ldw r18,8(sp) - 291c0: dc400117 ldw r17,4(sp) - 291c4: dc000017 ldw r16,0(sp) - 291c8: dec00604 addi sp,sp,24 - 291cc: f800283a ret +00029154 <__b2d>: + 29154: defffa04 addi sp,sp,-24 + 29158: dc000015 stw r16,0(sp) + 2915c: 24000417 ldw r16,16(r4) + 29160: dc400115 stw r17,4(sp) + 29164: 24400504 addi r17,r4,20 + 29168: 8421883a add r16,r16,r16 + 2916c: 8421883a add r16,r16,r16 + 29170: 8c21883a add r16,r17,r16 + 29174: dc800215 stw r18,8(sp) + 29178: 84bfff17 ldw r18,-4(r16) + 2917c: dd000415 stw r20,16(sp) + 29180: dcc00315 stw r19,12(sp) + 29184: 9009883a mov r4,r18 + 29188: 2829883a mov r20,r5 + 2918c: dfc00515 stw ra,20(sp) + 29190: 00288c00 call 288c0 <__hi0bits> + 29194: 00c00804 movi r3,32 + 29198: 1889c83a sub r4,r3,r2 + 2919c: a1000015 stw r4,0(r20) + 291a0: 01000284 movi r4,10 + 291a4: 84ffff04 addi r19,r16,-4 + 291a8: 20801216 blt r4,r2,291f4 <__b2d+0xa0> + 291ac: 018002c4 movi r6,11 + 291b0: 308dc83a sub r6,r6,r2 + 291b4: 9186d83a srl r3,r18,r6 + 291b8: 18cffc34 orhi r3,r3,16368 + 291bc: 8cc0212e bgeu r17,r19,29244 <__b2d+0xf0> + 291c0: 813ffe17 ldw r4,-8(r16) + 291c4: 218cd83a srl r6,r4,r6 + 291c8: 10800544 addi r2,r2,21 + 291cc: 9084983a sll r2,r18,r2 + 291d0: 1184b03a or r2,r2,r6 + 291d4: dfc00517 ldw ra,20(sp) + 291d8: dd000417 ldw r20,16(sp) + 291dc: dcc00317 ldw r19,12(sp) + 291e0: dc800217 ldw r18,8(sp) + 291e4: dc400117 ldw r17,4(sp) + 291e8: dc000017 ldw r16,0(sp) + 291ec: dec00604 addi sp,sp,24 + 291f0: f800283a ret + 291f4: 8cc00f2e bgeu r17,r19,29234 <__b2d+0xe0> + 291f8: 117ffd44 addi r5,r2,-11 + 291fc: 80bffe17 ldw r2,-8(r16) + 29200: 28000e26 beq r5,zero,2923c <__b2d+0xe8> + 29204: 1949c83a sub r4,r3,r5 + 29208: 9164983a sll r18,r18,r5 + 2920c: 1106d83a srl r3,r2,r4 + 29210: 81bffe04 addi r6,r16,-8 + 29214: 948ffc34 orhi r18,r18,16368 + 29218: 90c6b03a or r3,r18,r3 + 2921c: 89800e2e bgeu r17,r6,29258 <__b2d+0x104> + 29220: 81bffd17 ldw r6,-12(r16) + 29224: 1144983a sll r2,r2,r5 + 29228: 310ad83a srl r5,r6,r4 + 2922c: 2884b03a or r2,r5,r2 + 29230: 003fe806 br 291d4 <_gp+0xfffecc9c> + 29234: 10bffd44 addi r2,r2,-11 + 29238: 1000041e bne r2,zero,2924c <__b2d+0xf8> + 2923c: 90cffc34 orhi r3,r18,16368 + 29240: 003fe406 br 291d4 <_gp+0xfffecc9c> + 29244: 000d883a mov r6,zero + 29248: 003fdf06 br 291c8 <_gp+0xfffecc90> + 2924c: 90a4983a sll r18,r18,r2 + 29250: 0005883a mov r2,zero + 29254: 003ff906 br 2923c <_gp+0xfffecd04> + 29258: 1144983a sll r2,r2,r5 + 2925c: 003fdd06 br 291d4 <_gp+0xfffecc9c> -000291d0 <__pow5mult>: - 291d0: defffa04 addi sp,sp,-24 - 291d4: dcc00315 stw r19,12(sp) - 291d8: dc000015 stw r16,0(sp) - 291dc: dfc00515 stw ra,20(sp) - 291e0: dd000415 stw r20,16(sp) - 291e4: dc800215 stw r18,8(sp) - 291e8: dc400115 stw r17,4(sp) - 291ec: 308000cc andi r2,r6,3 - 291f0: 3021883a mov r16,r6 - 291f4: 2027883a mov r19,r4 - 291f8: 10002f1e bne r2,zero,292b8 <__pow5mult+0xe8> - 291fc: 2825883a mov r18,r5 - 29200: 8021d0ba srai r16,r16,2 - 29204: 80001a26 beq r16,zero,29270 <__pow5mult+0xa0> - 29208: 9c401217 ldw r17,72(r19) - 2920c: 8800061e bne r17,zero,29228 <__pow5mult+0x58> - 29210: 00003406 br 292e4 <__pow5mult+0x114> - 29214: 8021d07a srai r16,r16,1 - 29218: 80001526 beq r16,zero,29270 <__pow5mult+0xa0> - 2921c: 88800017 ldw r2,0(r17) - 29220: 10001c26 beq r2,zero,29294 <__pow5mult+0xc4> - 29224: 1023883a mov r17,r2 - 29228: 8080004c andi r2,r16,1 - 2922c: 103ff926 beq r2,zero,29214 <__pow5mult+0x44> - 29230: 880d883a mov r6,r17 - 29234: 900b883a mov r5,r18 - 29238: 9809883a mov r4,r19 - 2923c: 0028fd80 call 28fd8 <__multiply> - 29240: 90001b26 beq r18,zero,292b0 <__pow5mult+0xe0> - 29244: 91000117 ldw r4,4(r18) - 29248: 98c01317 ldw r3,76(r19) - 2924c: 8021d07a srai r16,r16,1 - 29250: 2109883a add r4,r4,r4 - 29254: 2109883a add r4,r4,r4 - 29258: 1907883a add r3,r3,r4 - 2925c: 19000017 ldw r4,0(r3) - 29260: 91000015 stw r4,0(r18) - 29264: 1c800015 stw r18,0(r3) - 29268: 1025883a mov r18,r2 - 2926c: 803feb1e bne r16,zero,2921c <__pow5mult+0x4c> - 29270: 9005883a mov r2,r18 - 29274: dfc00517 ldw ra,20(sp) - 29278: dd000417 ldw r20,16(sp) - 2927c: dcc00317 ldw r19,12(sp) - 29280: dc800217 ldw r18,8(sp) - 29284: dc400117 ldw r17,4(sp) - 29288: dc000017 ldw r16,0(sp) - 2928c: dec00604 addi sp,sp,24 - 29290: f800283a ret - 29294: 880d883a mov r6,r17 - 29298: 880b883a mov r5,r17 - 2929c: 9809883a mov r4,r19 - 292a0: 0028fd80 call 28fd8 <__multiply> - 292a4: 88800015 stw r2,0(r17) - 292a8: 10000015 stw zero,0(r2) - 292ac: 003fdd06 br 29224 <__pow5mult+0x54> - 292b0: 1025883a mov r18,r2 - 292b4: 003fd706 br 29214 <__pow5mult+0x44> - 292b8: 10bfffc4 addi r2,r2,-1 - 292bc: 1085883a add r2,r2,r2 - 292c0: 00c000f4 movhi r3,3 - 292c4: 18ca6a04 addi r3,r3,10664 - 292c8: 1085883a add r2,r2,r2 - 292cc: 1885883a add r2,r3,r2 - 292d0: 11800017 ldw r6,0(r2) - 292d4: 000f883a mov r7,zero - 292d8: 0028c600 call 28c60 <__multadd> - 292dc: 1025883a mov r18,r2 - 292e0: 003fc706 br 29200 <__pow5mult+0x30> - 292e4: 05000044 movi r20,1 - 292e8: a00b883a mov r5,r20 - 292ec: 9809883a mov r4,r19 - 292f0: 0028b900 call 28b90 <_Balloc> - 292f4: 1023883a mov r17,r2 - 292f8: 00809c44 movi r2,625 - 292fc: 88800515 stw r2,20(r17) - 29300: 8d000415 stw r20,16(r17) - 29304: 9c401215 stw r17,72(r19) - 29308: 88000015 stw zero,0(r17) - 2930c: 003fc606 br 29228 <__pow5mult+0x58> +00029260 <__d2b>: + 29260: defff804 addi sp,sp,-32 + 29264: dc000215 stw r16,8(sp) + 29268: 3021883a mov r16,r6 + 2926c: dc400315 stw r17,12(sp) + 29270: 8022907a slli r17,r16,1 + 29274: dd000615 stw r20,24(sp) + 29278: 2829883a mov r20,r5 + 2927c: 01400044 movi r5,1 + 29280: dcc00515 stw r19,20(sp) + 29284: dc800415 stw r18,16(sp) + 29288: dfc00715 stw ra,28(sp) + 2928c: 3825883a mov r18,r7 + 29290: 8822d57a srli r17,r17,21 + 29294: 00285cc0 call 285cc <_Balloc> + 29298: 1027883a mov r19,r2 + 2929c: 00800434 movhi r2,16 + 292a0: 10bfffc4 addi r2,r2,-1 + 292a4: 808c703a and r6,r16,r2 + 292a8: 88000126 beq r17,zero,292b0 <__d2b+0x50> + 292ac: 31800434 orhi r6,r6,16 + 292b0: d9800015 stw r6,0(sp) + 292b4: a0002426 beq r20,zero,29348 <__d2b+0xe8> + 292b8: d9000104 addi r4,sp,4 + 292bc: dd000115 stw r20,4(sp) + 292c0: 00289280 call 28928 <__lo0bits> + 292c4: d8c00017 ldw r3,0(sp) + 292c8: 10002f1e bne r2,zero,29388 <__d2b+0x128> + 292cc: d9000117 ldw r4,4(sp) + 292d0: 99000515 stw r4,20(r19) + 292d4: 1821003a cmpeq r16,r3,zero + 292d8: 01000084 movi r4,2 + 292dc: 2421c83a sub r16,r4,r16 + 292e0: 98c00615 stw r3,24(r19) + 292e4: 9c000415 stw r16,16(r19) + 292e8: 88001f1e bne r17,zero,29368 <__d2b+0x108> + 292ec: 10bef384 addi r2,r2,-1074 + 292f0: 90800015 stw r2,0(r18) + 292f4: 00900034 movhi r2,16384 + 292f8: 10bfffc4 addi r2,r2,-1 + 292fc: 8085883a add r2,r16,r2 + 29300: 1085883a add r2,r2,r2 + 29304: 1085883a add r2,r2,r2 + 29308: 9885883a add r2,r19,r2 + 2930c: 11000517 ldw r4,20(r2) + 29310: 8020917a slli r16,r16,5 + 29314: 00288c00 call 288c0 <__hi0bits> + 29318: d8c00817 ldw r3,32(sp) + 2931c: 8085c83a sub r2,r16,r2 + 29320: 18800015 stw r2,0(r3) + 29324: 9805883a mov r2,r19 + 29328: dfc00717 ldw ra,28(sp) + 2932c: dd000617 ldw r20,24(sp) + 29330: dcc00517 ldw r19,20(sp) + 29334: dc800417 ldw r18,16(sp) + 29338: dc400317 ldw r17,12(sp) + 2933c: dc000217 ldw r16,8(sp) + 29340: dec00804 addi sp,sp,32 + 29344: f800283a ret + 29348: d809883a mov r4,sp + 2934c: 00289280 call 28928 <__lo0bits> + 29350: d8c00017 ldw r3,0(sp) + 29354: 04000044 movi r16,1 + 29358: 9c000415 stw r16,16(r19) + 2935c: 98c00515 stw r3,20(r19) + 29360: 10800804 addi r2,r2,32 + 29364: 883fe126 beq r17,zero,292ec <_gp+0xfffecdb4> + 29368: 00c00d44 movi r3,53 + 2936c: 8c7ef344 addi r17,r17,-1075 + 29370: 88a3883a add r17,r17,r2 + 29374: 1885c83a sub r2,r3,r2 + 29378: d8c00817 ldw r3,32(sp) + 2937c: 94400015 stw r17,0(r18) + 29380: 18800015 stw r2,0(r3) + 29384: 003fe706 br 29324 <_gp+0xfffecdec> + 29388: 01000804 movi r4,32 + 2938c: 2089c83a sub r4,r4,r2 + 29390: 1908983a sll r4,r3,r4 + 29394: d9400117 ldw r5,4(sp) + 29398: 1886d83a srl r3,r3,r2 + 2939c: 2148b03a or r4,r4,r5 + 293a0: 99000515 stw r4,20(r19) + 293a4: d8c00015 stw r3,0(sp) + 293a8: 003fca06 br 292d4 <_gp+0xfffecd9c> -00029310 <__lshift>: - 29310: defff904 addi sp,sp,-28 - 29314: dd400515 stw r21,20(sp) - 29318: dcc00315 stw r19,12(sp) - 2931c: 302bd17a srai r21,r6,5 - 29320: 2cc00417 ldw r19,16(r5) - 29324: 28800217 ldw r2,8(r5) - 29328: dd000415 stw r20,16(sp) - 2932c: ace7883a add r19,r21,r19 - 29330: dc800215 stw r18,8(sp) - 29334: dc400115 stw r17,4(sp) - 29338: dc000015 stw r16,0(sp) - 2933c: dfc00615 stw ra,24(sp) - 29340: 9c000044 addi r16,r19,1 - 29344: 2823883a mov r17,r5 - 29348: 3029883a mov r20,r6 - 2934c: 2025883a mov r18,r4 - 29350: 29400117 ldw r5,4(r5) - 29354: 1400030e bge r2,r16,29364 <__lshift+0x54> - 29358: 1085883a add r2,r2,r2 - 2935c: 29400044 addi r5,r5,1 - 29360: 143ffd16 blt r2,r16,29358 <__lshift+0x48> - 29364: 9009883a mov r4,r18 - 29368: 0028b900 call 28b90 <_Balloc> - 2936c: 10c00504 addi r3,r2,20 - 29370: 0540070e bge zero,r21,29390 <__lshift+0x80> - 29374: ad6b883a add r21,r21,r21 - 29378: ad6b883a add r21,r21,r21 - 2937c: 1809883a mov r4,r3 - 29380: 1d47883a add r3,r3,r21 - 29384: 20000015 stw zero,0(r4) - 29388: 21000104 addi r4,r4,4 - 2938c: 193ffd1e bne r3,r4,29384 <__lshift+0x74> - 29390: 8a000417 ldw r8,16(r17) - 29394: 89000504 addi r4,r17,20 - 29398: a18007cc andi r6,r20,31 - 2939c: 4211883a add r8,r8,r8 - 293a0: 4211883a add r8,r8,r8 - 293a4: 2211883a add r8,r4,r8 - 293a8: 30002326 beq r6,zero,29438 <__lshift+0x128> - 293ac: 02400804 movi r9,32 - 293b0: 4993c83a sub r9,r9,r6 - 293b4: 000b883a mov r5,zero - 293b8: 21c00017 ldw r7,0(r4) - 293bc: 1815883a mov r10,r3 - 293c0: 18c00104 addi r3,r3,4 - 293c4: 398e983a sll r7,r7,r6 - 293c8: 21000104 addi r4,r4,4 - 293cc: 394ab03a or r5,r7,r5 - 293d0: 197fff15 stw r5,-4(r3) - 293d4: 217fff17 ldw r5,-4(r4) - 293d8: 2a4ad83a srl r5,r5,r9 - 293dc: 223ff636 bltu r4,r8,293b8 <__lshift+0xa8> - 293e0: 51400115 stw r5,4(r10) - 293e4: 28001a1e bne r5,zero,29450 <__lshift+0x140> - 293e8: 843fffc4 addi r16,r16,-1 - 293ec: 14000415 stw r16,16(r2) - 293f0: 88000826 beq r17,zero,29414 <__lshift+0x104> - 293f4: 89000117 ldw r4,4(r17) - 293f8: 90c01317 ldw r3,76(r18) - 293fc: 2109883a add r4,r4,r4 - 29400: 2109883a add r4,r4,r4 - 29404: 1907883a add r3,r3,r4 - 29408: 19000017 ldw r4,0(r3) - 2940c: 89000015 stw r4,0(r17) - 29410: 1c400015 stw r17,0(r3) - 29414: dfc00617 ldw ra,24(sp) - 29418: dd400517 ldw r21,20(sp) - 2941c: dd000417 ldw r20,16(sp) - 29420: dcc00317 ldw r19,12(sp) - 29424: dc800217 ldw r18,8(sp) - 29428: dc400117 ldw r17,4(sp) - 2942c: dc000017 ldw r16,0(sp) - 29430: dec00704 addi sp,sp,28 - 29434: f800283a ret - 29438: 21400017 ldw r5,0(r4) - 2943c: 18c00104 addi r3,r3,4 - 29440: 21000104 addi r4,r4,4 - 29444: 197fff15 stw r5,-4(r3) - 29448: 223ffb36 bltu r4,r8,29438 <__lshift+0x128> - 2944c: 003fe606 br 293e8 <__lshift+0xd8> - 29450: 9c000084 addi r16,r19,2 - 29454: 003fe406 br 293e8 <__lshift+0xd8> +000293ac <__ratio>: + 293ac: defff904 addi sp,sp,-28 + 293b0: dc400315 stw r17,12(sp) + 293b4: 2823883a mov r17,r5 + 293b8: d9400104 addi r5,sp,4 + 293bc: dfc00615 stw ra,24(sp) + 293c0: dcc00515 stw r19,20(sp) + 293c4: dc800415 stw r18,16(sp) + 293c8: 2027883a mov r19,r4 + 293cc: dc000215 stw r16,8(sp) + 293d0: 00291540 call 29154 <__b2d> + 293d4: d80b883a mov r5,sp + 293d8: 8809883a mov r4,r17 + 293dc: 1025883a mov r18,r2 + 293e0: 1821883a mov r16,r3 + 293e4: 00291540 call 29154 <__b2d> + 293e8: 8a000417 ldw r8,16(r17) + 293ec: 99000417 ldw r4,16(r19) + 293f0: d9400117 ldw r5,4(sp) + 293f4: 2209c83a sub r4,r4,r8 + 293f8: 2010917a slli r8,r4,5 + 293fc: d9000017 ldw r4,0(sp) + 29400: 2909c83a sub r4,r5,r4 + 29404: 4109883a add r4,r8,r4 + 29408: 01000e0e bge zero,r4,29444 <__ratio+0x98> + 2940c: 2008953a slli r4,r4,20 + 29410: 2421883a add r16,r4,r16 + 29414: 100d883a mov r6,r2 + 29418: 180f883a mov r7,r3 + 2941c: 9009883a mov r4,r18 + 29420: 800b883a mov r5,r16 + 29424: 002d1f80 call 2d1f8 <__divdf3> + 29428: dfc00617 ldw ra,24(sp) + 2942c: dcc00517 ldw r19,20(sp) + 29430: dc800417 ldw r18,16(sp) + 29434: dc400317 ldw r17,12(sp) + 29438: dc000217 ldw r16,8(sp) + 2943c: dec00704 addi sp,sp,28 + 29440: f800283a ret + 29444: 2008953a slli r4,r4,20 + 29448: 1907c83a sub r3,r3,r4 + 2944c: 003ff106 br 29414 <_gp+0xfffecedc> -00029458 <__mcmp>: - 29458: 20800417 ldw r2,16(r4) - 2945c: 28c00417 ldw r3,16(r5) - 29460: 10c5c83a sub r2,r2,r3 - 29464: 1000111e bne r2,zero,294ac <__mcmp+0x54> - 29468: 18c7883a add r3,r3,r3 - 2946c: 18c7883a add r3,r3,r3 - 29470: 21000504 addi r4,r4,20 - 29474: 29400504 addi r5,r5,20 - 29478: 20c5883a add r2,r4,r3 - 2947c: 28cb883a add r5,r5,r3 - 29480: 00000106 br 29488 <__mcmp+0x30> - 29484: 20800a2e bgeu r4,r2,294b0 <__mcmp+0x58> - 29488: 10bfff04 addi r2,r2,-4 - 2948c: 297fff04 addi r5,r5,-4 - 29490: 11800017 ldw r6,0(r2) - 29494: 28c00017 ldw r3,0(r5) - 29498: 30fffa26 beq r6,r3,29484 <__mcmp+0x2c> - 2949c: 30c00236 bltu r6,r3,294a8 <__mcmp+0x50> - 294a0: 00800044 movi r2,1 - 294a4: f800283a ret - 294a8: 00bfffc4 movi r2,-1 - 294ac: f800283a ret - 294b0: 0005883a mov r2,zero - 294b4: f800283a ret +00029450 <_mprec_log10>: + 29450: defffe04 addi sp,sp,-8 + 29454: dc000015 stw r16,0(sp) + 29458: dfc00115 stw ra,4(sp) + 2945c: 008005c4 movi r2,23 + 29460: 2021883a mov r16,r4 + 29464: 11000d0e bge r2,r4,2949c <_mprec_log10+0x4c> + 29468: 0005883a mov r2,zero + 2946c: 00cffc34 movhi r3,16368 + 29470: 843fffc4 addi r16,r16,-1 + 29474: 000d883a mov r6,zero + 29478: 01d00934 movhi r7,16420 + 2947c: 1009883a mov r4,r2 + 29480: 180b883a mov r5,r3 + 29484: 002dd380 call 2dd38 <__muldf3> + 29488: 803ff91e bne r16,zero,29470 <_gp+0xfffecf38> + 2948c: dfc00117 ldw ra,4(sp) + 29490: dc000017 ldw r16,0(sp) + 29494: dec00204 addi sp,sp,8 + 29498: f800283a ret + 2949c: 202090fa slli r16,r4,3 + 294a0: 008000f4 movhi r2,3 + 294a4: 10883404 addi r2,r2,8400 + 294a8: 1421883a add r16,r2,r16 + 294ac: 80800017 ldw r2,0(r16) + 294b0: 80c00117 ldw r3,4(r16) + 294b4: dfc00117 ldw ra,4(sp) + 294b8: dc000017 ldw r16,0(sp) + 294bc: dec00204 addi sp,sp,8 + 294c0: f800283a ret -000294b8 <__mdiff>: - 294b8: 28c00417 ldw r3,16(r5) - 294bc: 30800417 ldw r2,16(r6) - 294c0: defffa04 addi sp,sp,-24 - 294c4: dcc00315 stw r19,12(sp) - 294c8: dc800215 stw r18,8(sp) - 294cc: dfc00515 stw ra,20(sp) - 294d0: dd000415 stw r20,16(sp) - 294d4: dc400115 stw r17,4(sp) - 294d8: dc000015 stw r16,0(sp) - 294dc: 1887c83a sub r3,r3,r2 - 294e0: 2825883a mov r18,r5 - 294e4: 3027883a mov r19,r6 - 294e8: 1800141e bne r3,zero,2953c <__mdiff+0x84> - 294ec: 1085883a add r2,r2,r2 - 294f0: 1085883a add r2,r2,r2 - 294f4: 2a000504 addi r8,r5,20 - 294f8: 34000504 addi r16,r6,20 - 294fc: 4087883a add r3,r8,r2 - 29500: 8085883a add r2,r16,r2 - 29504: 00000106 br 2950c <__mdiff+0x54> - 29508: 40c0592e bgeu r8,r3,29670 <__mdiff+0x1b8> - 2950c: 18ffff04 addi r3,r3,-4 - 29510: 10bfff04 addi r2,r2,-4 - 29514: 19c00017 ldw r7,0(r3) - 29518: 11400017 ldw r5,0(r2) - 2951c: 397ffa26 beq r7,r5,29508 <__mdiff+0x50> - 29520: 3940592e bgeu r7,r5,29688 <__mdiff+0x1d0> - 29524: 9005883a mov r2,r18 - 29528: 4023883a mov r17,r8 - 2952c: 9825883a mov r18,r19 - 29530: 05000044 movi r20,1 - 29534: 1027883a mov r19,r2 - 29538: 00000406 br 2954c <__mdiff+0x94> - 2953c: 18005616 blt r3,zero,29698 <__mdiff+0x1e0> - 29540: 34400504 addi r17,r6,20 - 29544: 2c000504 addi r16,r5,20 - 29548: 0029883a mov r20,zero - 2954c: 91400117 ldw r5,4(r18) - 29550: 0028b900 call 28b90 <_Balloc> - 29554: 92400417 ldw r9,16(r18) - 29558: 9b000417 ldw r12,16(r19) - 2955c: 12c00504 addi r11,r2,20 - 29560: 4a51883a add r8,r9,r9 - 29564: 6319883a add r12,r12,r12 - 29568: 4211883a add r8,r8,r8 - 2956c: 6319883a add r12,r12,r12 - 29570: 15000315 stw r20,12(r2) - 29574: 8211883a add r8,r16,r8 - 29578: 8b19883a add r12,r17,r12 - 2957c: 0007883a mov r3,zero - 29580: 81400017 ldw r5,0(r16) - 29584: 89c00017 ldw r7,0(r17) - 29588: 59800104 addi r6,r11,4 - 2958c: 293fffcc andi r4,r5,65535 - 29590: 20c7883a add r3,r4,r3 - 29594: 393fffcc andi r4,r7,65535 - 29598: 1909c83a sub r4,r3,r4 - 2959c: 280ad43a srli r5,r5,16 - 295a0: 380ed43a srli r7,r7,16 - 295a4: 2007d43a srai r3,r4,16 - 295a8: 213fffcc andi r4,r4,65535 - 295ac: 29cbc83a sub r5,r5,r7 - 295b0: 28c7883a add r3,r5,r3 - 295b4: 180a943a slli r5,r3,16 - 295b8: 8c400104 addi r17,r17,4 - 295bc: 84000104 addi r16,r16,4 - 295c0: 2908b03a or r4,r5,r4 - 295c4: 59000015 stw r4,0(r11) - 295c8: 1807d43a srai r3,r3,16 - 295cc: 3015883a mov r10,r6 - 295d0: 3017883a mov r11,r6 - 295d4: 8b3fea36 bltu r17,r12,29580 <__mdiff+0xc8> - 295d8: 8200162e bgeu r16,r8,29634 <__mdiff+0x17c> - 295dc: 8017883a mov r11,r16 - 295e0: 59400017 ldw r5,0(r11) - 295e4: 31800104 addi r6,r6,4 - 295e8: 5ac00104 addi r11,r11,4 - 295ec: 293fffcc andi r4,r5,65535 - 295f0: 20c7883a add r3,r4,r3 - 295f4: 280ed43a srli r7,r5,16 - 295f8: 180bd43a srai r5,r3,16 - 295fc: 193fffcc andi r4,r3,65535 - 29600: 3947883a add r3,r7,r5 - 29604: 180a943a slli r5,r3,16 - 29608: 1807d43a srai r3,r3,16 - 2960c: 2908b03a or r4,r5,r4 - 29610: 313fff15 stw r4,-4(r6) - 29614: 5a3ff236 bltu r11,r8,295e0 <__mdiff+0x128> - 29618: 0406303a nor r3,zero,r16 - 2961c: 1a07883a add r3,r3,r8 - 29620: 1806d0ba srli r3,r3,2 - 29624: 18c00044 addi r3,r3,1 - 29628: 18c7883a add r3,r3,r3 - 2962c: 18c7883a add r3,r3,r3 - 29630: 50d5883a add r10,r10,r3 - 29634: 50ffff04 addi r3,r10,-4 - 29638: 2000041e bne r4,zero,2964c <__mdiff+0x194> - 2963c: 18ffff04 addi r3,r3,-4 - 29640: 19000017 ldw r4,0(r3) - 29644: 4a7fffc4 addi r9,r9,-1 - 29648: 203ffc26 beq r4,zero,2963c <__mdiff+0x184> - 2964c: 12400415 stw r9,16(r2) - 29650: dfc00517 ldw ra,20(sp) - 29654: dd000417 ldw r20,16(sp) - 29658: dcc00317 ldw r19,12(sp) - 2965c: dc800217 ldw r18,8(sp) - 29660: dc400117 ldw r17,4(sp) - 29664: dc000017 ldw r16,0(sp) - 29668: dec00604 addi sp,sp,24 - 2966c: f800283a ret - 29670: 000b883a mov r5,zero - 29674: 0028b900 call 28b90 <_Balloc> - 29678: 00c00044 movi r3,1 - 2967c: 10c00415 stw r3,16(r2) - 29680: 10000515 stw zero,20(r2) - 29684: 003ff206 br 29650 <__mdiff+0x198> - 29688: 8023883a mov r17,r16 - 2968c: 0029883a mov r20,zero - 29690: 4021883a mov r16,r8 - 29694: 003fad06 br 2954c <__mdiff+0x94> - 29698: 9005883a mov r2,r18 - 2969c: 94400504 addi r17,r18,20 - 296a0: 9c000504 addi r16,r19,20 - 296a4: 9825883a mov r18,r19 - 296a8: 05000044 movi r20,1 - 296ac: 1027883a mov r19,r2 - 296b0: 003fa606 br 2954c <__mdiff+0x94> +000294c4 <__copybits>: + 294c4: 297fffc4 addi r5,r5,-1 + 294c8: 280fd17a srai r7,r5,5 + 294cc: 30c00417 ldw r3,16(r6) + 294d0: 30800504 addi r2,r6,20 + 294d4: 39c00044 addi r7,r7,1 + 294d8: 18c7883a add r3,r3,r3 + 294dc: 39cf883a add r7,r7,r7 + 294e0: 18c7883a add r3,r3,r3 + 294e4: 39cf883a add r7,r7,r7 + 294e8: 10c7883a add r3,r2,r3 + 294ec: 21cf883a add r7,r4,r7 + 294f0: 10c00d2e bgeu r2,r3,29528 <__copybits+0x64> + 294f4: 200b883a mov r5,r4 + 294f8: 12000017 ldw r8,0(r2) + 294fc: 29400104 addi r5,r5,4 + 29500: 10800104 addi r2,r2,4 + 29504: 2a3fff15 stw r8,-4(r5) + 29508: 10fffb36 bltu r2,r3,294f8 <_gp+0xfffecfc0> + 2950c: 1985c83a sub r2,r3,r6 + 29510: 10bffac4 addi r2,r2,-21 + 29514: 1004d0ba srli r2,r2,2 + 29518: 10800044 addi r2,r2,1 + 2951c: 1085883a add r2,r2,r2 + 29520: 1085883a add r2,r2,r2 + 29524: 2089883a add r4,r4,r2 + 29528: 21c0032e bgeu r4,r7,29538 <__copybits+0x74> + 2952c: 20000015 stw zero,0(r4) + 29530: 21000104 addi r4,r4,4 + 29534: 21fffd36 bltu r4,r7,2952c <_gp+0xfffecff4> + 29538: f800283a ret -000296b4 <__ulp>: - 296b4: 295ffc2c andhi r5,r5,32752 - 296b8: 00bf3034 movhi r2,64704 - 296bc: 2887883a add r3,r5,r2 - 296c0: 00c0020e bge zero,r3,296cc <__ulp+0x18> - 296c4: 0005883a mov r2,zero - 296c8: f800283a ret - 296cc: 00c7c83a sub r3,zero,r3 - 296d0: 1807d53a srai r3,r3,20 - 296d4: 008004c4 movi r2,19 - 296d8: 10c00b0e bge r2,r3,29708 <__ulp+0x54> - 296dc: 18bffb04 addi r2,r3,-20 - 296e0: 01000784 movi r4,30 - 296e4: 0007883a mov r3,zero - 296e8: 20800516 blt r4,r2,29700 <__ulp+0x4c> - 296ec: 010007c4 movi r4,31 - 296f0: 2089c83a sub r4,r4,r2 - 296f4: 00800044 movi r2,1 - 296f8: 1104983a sll r2,r2,r4 - 296fc: f800283a ret - 29700: 00800044 movi r2,1 - 29704: f800283a ret - 29708: 01400234 movhi r5,8 - 2970c: 28c7d83a sra r3,r5,r3 - 29710: 0005883a mov r2,zero - 29714: f800283a ret +0002953c <__any_on>: + 2953c: 20c00417 ldw r3,16(r4) + 29540: 2805d17a srai r2,r5,5 + 29544: 21000504 addi r4,r4,20 + 29548: 18800d0e bge r3,r2,29580 <__any_on+0x44> + 2954c: 18c7883a add r3,r3,r3 + 29550: 18c7883a add r3,r3,r3 + 29554: 20c7883a add r3,r4,r3 + 29558: 20c0192e bgeu r4,r3,295c0 <__any_on+0x84> + 2955c: 18bfff17 ldw r2,-4(r3) + 29560: 18ffff04 addi r3,r3,-4 + 29564: 1000041e bne r2,zero,29578 <__any_on+0x3c> + 29568: 20c0142e bgeu r4,r3,295bc <__any_on+0x80> + 2956c: 18ffff04 addi r3,r3,-4 + 29570: 19400017 ldw r5,0(r3) + 29574: 283ffc26 beq r5,zero,29568 <_gp+0xfffed030> + 29578: 00800044 movi r2,1 + 2957c: f800283a ret + 29580: 10c00a0e bge r2,r3,295ac <__any_on+0x70> + 29584: 1085883a add r2,r2,r2 + 29588: 1085883a add r2,r2,r2 + 2958c: 294007cc andi r5,r5,31 + 29590: 2087883a add r3,r4,r2 + 29594: 283ff026 beq r5,zero,29558 <_gp+0xfffed020> + 29598: 19800017 ldw r6,0(r3) + 2959c: 3144d83a srl r2,r6,r5 + 295a0: 114a983a sll r5,r2,r5 + 295a4: 317ff41e bne r6,r5,29578 <_gp+0xfffed040> + 295a8: 003feb06 br 29558 <_gp+0xfffed020> + 295ac: 1085883a add r2,r2,r2 + 295b0: 1085883a add r2,r2,r2 + 295b4: 2087883a add r3,r4,r2 + 295b8: 003fe706 br 29558 <_gp+0xfffed020> + 295bc: f800283a ret + 295c0: 0005883a mov r2,zero + 295c4: f800283a ret -00029718 <__b2d>: - 29718: defffa04 addi sp,sp,-24 - 2971c: dc000015 stw r16,0(sp) - 29720: 24000417 ldw r16,16(r4) - 29724: dc400115 stw r17,4(sp) - 29728: 24400504 addi r17,r4,20 - 2972c: 8421883a add r16,r16,r16 - 29730: 8421883a add r16,r16,r16 - 29734: 8c21883a add r16,r17,r16 - 29738: dc800215 stw r18,8(sp) - 2973c: 84bfff17 ldw r18,-4(r16) - 29740: dd000415 stw r20,16(sp) - 29744: dcc00315 stw r19,12(sp) - 29748: 9009883a mov r4,r18 - 2974c: 2829883a mov r20,r5 - 29750: dfc00515 stw ra,20(sp) - 29754: 0028e840 call 28e84 <__hi0bits> - 29758: 00c00804 movi r3,32 - 2975c: 1889c83a sub r4,r3,r2 - 29760: a1000015 stw r4,0(r20) - 29764: 01000284 movi r4,10 - 29768: 84ffff04 addi r19,r16,-4 - 2976c: 20801216 blt r4,r2,297b8 <__b2d+0xa0> - 29770: 018002c4 movi r6,11 - 29774: 308dc83a sub r6,r6,r2 - 29778: 9186d83a srl r3,r18,r6 - 2977c: 18cffc34 orhi r3,r3,16368 - 29780: 8cc0212e bgeu r17,r19,29808 <__b2d+0xf0> - 29784: 813ffe17 ldw r4,-8(r16) - 29788: 218cd83a srl r6,r4,r6 - 2978c: 10800544 addi r2,r2,21 - 29790: 9084983a sll r2,r18,r2 - 29794: 1184b03a or r2,r2,r6 - 29798: dfc00517 ldw ra,20(sp) - 2979c: dd000417 ldw r20,16(sp) - 297a0: dcc00317 ldw r19,12(sp) - 297a4: dc800217 ldw r18,8(sp) - 297a8: dc400117 ldw r17,4(sp) - 297ac: dc000017 ldw r16,0(sp) - 297b0: dec00604 addi sp,sp,24 - 297b4: f800283a ret - 297b8: 8cc00f2e bgeu r17,r19,297f8 <__b2d+0xe0> - 297bc: 117ffd44 addi r5,r2,-11 - 297c0: 80bffe17 ldw r2,-8(r16) - 297c4: 28000e26 beq r5,zero,29800 <__b2d+0xe8> - 297c8: 1949c83a sub r4,r3,r5 - 297cc: 9164983a sll r18,r18,r5 - 297d0: 1106d83a srl r3,r2,r4 - 297d4: 81bffe04 addi r6,r16,-8 - 297d8: 948ffc34 orhi r18,r18,16368 - 297dc: 90c6b03a or r3,r18,r3 - 297e0: 89800e2e bgeu r17,r6,2981c <__b2d+0x104> - 297e4: 81bffd17 ldw r6,-12(r16) - 297e8: 1144983a sll r2,r2,r5 - 297ec: 310ad83a srl r5,r6,r4 - 297f0: 2884b03a or r2,r5,r2 - 297f4: 003fe806 br 29798 <__b2d+0x80> - 297f8: 10bffd44 addi r2,r2,-11 - 297fc: 1000041e bne r2,zero,29810 <__b2d+0xf8> - 29800: 90cffc34 orhi r3,r18,16368 - 29804: 003fe406 br 29798 <__b2d+0x80> - 29808: 000d883a mov r6,zero - 2980c: 003fdf06 br 2978c <__b2d+0x74> - 29810: 90a4983a sll r18,r18,r2 - 29814: 0005883a mov r2,zero - 29818: 003ff906 br 29800 <__b2d+0xe8> - 2981c: 1144983a sll r2,r2,r5 - 29820: 003fdd06 br 29798 <__b2d+0x80> +000295c8 <_putc_r>: + 295c8: defffc04 addi sp,sp,-16 + 295cc: dc000215 stw r16,8(sp) + 295d0: dfc00315 stw ra,12(sp) + 295d4: 2021883a mov r16,r4 + 295d8: 20000226 beq r4,zero,295e4 <_putc_r+0x1c> + 295dc: 20800e17 ldw r2,56(r4) + 295e0: 10001b26 beq r2,zero,29650 <_putc_r+0x88> + 295e4: 30800217 ldw r2,8(r6) + 295e8: 10bfffc4 addi r2,r2,-1 + 295ec: 30800215 stw r2,8(r6) + 295f0: 10000a16 blt r2,zero,2961c <_putc_r+0x54> + 295f4: 30800017 ldw r2,0(r6) + 295f8: 11400005 stb r5,0(r2) + 295fc: 30800017 ldw r2,0(r6) + 29600: 10c00044 addi r3,r2,1 + 29604: 30c00015 stw r3,0(r6) + 29608: 10800003 ldbu r2,0(r2) + 2960c: dfc00317 ldw ra,12(sp) + 29610: dc000217 ldw r16,8(sp) + 29614: dec00404 addi sp,sp,16 + 29618: f800283a ret + 2961c: 30c00617 ldw r3,24(r6) + 29620: 10c00616 blt r2,r3,2963c <_putc_r+0x74> + 29624: 30800017 ldw r2,0(r6) + 29628: 00c00284 movi r3,10 + 2962c: 11400005 stb r5,0(r2) + 29630: 30800017 ldw r2,0(r6) + 29634: 11400003 ldbu r5,0(r2) + 29638: 28fff11e bne r5,r3,29600 <_gp+0xfffed0c8> + 2963c: 8009883a mov r4,r16 + 29640: dfc00317 ldw ra,12(sp) + 29644: dc000217 ldw r16,8(sp) + 29648: dec00404 addi sp,sp,16 + 2964c: 002b5681 jmpi 2b568 <__swbuf_r> + 29650: d9400015 stw r5,0(sp) + 29654: d9800115 stw r6,4(sp) + 29658: 00274e40 call 274e4 <__sinit> + 2965c: d9800117 ldw r6,4(sp) + 29660: d9400017 ldw r5,0(sp) + 29664: 003fdf06 br 295e4 <_gp+0xfffed0ac> -00029824 <__d2b>: - 29824: defff804 addi sp,sp,-32 - 29828: dc000215 stw r16,8(sp) - 2982c: 3021883a mov r16,r6 - 29830: dc400315 stw r17,12(sp) - 29834: 8022907a slli r17,r16,1 - 29838: dd000615 stw r20,24(sp) - 2983c: 2829883a mov r20,r5 - 29840: 01400044 movi r5,1 - 29844: dcc00515 stw r19,20(sp) - 29848: dc800415 stw r18,16(sp) - 2984c: dfc00715 stw ra,28(sp) - 29850: 3825883a mov r18,r7 - 29854: 8822d57a srli r17,r17,21 - 29858: 0028b900 call 28b90 <_Balloc> - 2985c: 1027883a mov r19,r2 - 29860: 00800434 movhi r2,16 - 29864: 10bfffc4 addi r2,r2,-1 - 29868: 808c703a and r6,r16,r2 - 2986c: 88000126 beq r17,zero,29874 <__d2b+0x50> - 29870: 31800434 orhi r6,r6,16 - 29874: d9800015 stw r6,0(sp) - 29878: a0002426 beq r20,zero,2990c <__d2b+0xe8> - 2987c: d9000104 addi r4,sp,4 - 29880: dd000115 stw r20,4(sp) - 29884: 0028eec0 call 28eec <__lo0bits> - 29888: d8c00017 ldw r3,0(sp) - 2988c: 10002f1e bne r2,zero,2994c <__d2b+0x128> - 29890: d9000117 ldw r4,4(sp) - 29894: 99000515 stw r4,20(r19) - 29898: 1821003a cmpeq r16,r3,zero - 2989c: 01000084 movi r4,2 - 298a0: 2421c83a sub r16,r4,r16 - 298a4: 98c00615 stw r3,24(r19) - 298a8: 9c000415 stw r16,16(r19) - 298ac: 88001f1e bne r17,zero,2992c <__d2b+0x108> - 298b0: 10bef384 addi r2,r2,-1074 - 298b4: 90800015 stw r2,0(r18) - 298b8: 00900034 movhi r2,16384 - 298bc: 10bfffc4 addi r2,r2,-1 - 298c0: 8085883a add r2,r16,r2 - 298c4: 1085883a add r2,r2,r2 - 298c8: 1085883a add r2,r2,r2 - 298cc: 9885883a add r2,r19,r2 - 298d0: 11000517 ldw r4,20(r2) - 298d4: 8020917a slli r16,r16,5 - 298d8: 0028e840 call 28e84 <__hi0bits> - 298dc: d8c00817 ldw r3,32(sp) - 298e0: 8085c83a sub r2,r16,r2 - 298e4: 18800015 stw r2,0(r3) - 298e8: 9805883a mov r2,r19 - 298ec: dfc00717 ldw ra,28(sp) - 298f0: dd000617 ldw r20,24(sp) - 298f4: dcc00517 ldw r19,20(sp) - 298f8: dc800417 ldw r18,16(sp) - 298fc: dc400317 ldw r17,12(sp) - 29900: dc000217 ldw r16,8(sp) - 29904: dec00804 addi sp,sp,32 - 29908: f800283a ret - 2990c: d809883a mov r4,sp - 29910: 0028eec0 call 28eec <__lo0bits> - 29914: d8c00017 ldw r3,0(sp) - 29918: 04000044 movi r16,1 - 2991c: 9c000415 stw r16,16(r19) - 29920: 98c00515 stw r3,20(r19) - 29924: 10800804 addi r2,r2,32 - 29928: 883fe126 beq r17,zero,298b0 <__d2b+0x8c> - 2992c: 00c00d44 movi r3,53 - 29930: 8c7ef344 addi r17,r17,-1075 - 29934: 88a3883a add r17,r17,r2 - 29938: 1885c83a sub r2,r3,r2 - 2993c: d8c00817 ldw r3,32(sp) - 29940: 94400015 stw r17,0(r18) - 29944: 18800015 stw r2,0(r3) - 29948: 003fe706 br 298e8 <__d2b+0xc4> - 2994c: 01000804 movi r4,32 - 29950: 2089c83a sub r4,r4,r2 - 29954: 1908983a sll r4,r3,r4 - 29958: d9400117 ldw r5,4(sp) - 2995c: 1886d83a srl r3,r3,r2 - 29960: 2148b03a or r4,r4,r5 - 29964: 99000515 stw r4,20(r19) - 29968: d8c00015 stw r3,0(sp) - 2996c: 003fca06 br 29898 <__d2b+0x74> +00029668 : + 29668: 008000f4 movhi r2,3 + 2966c: defffc04 addi sp,sp,-16 + 29670: 10915404 addi r2,r2,17744 + 29674: dc000115 stw r16,4(sp) + 29678: 14000017 ldw r16,0(r2) + 2967c: dc400215 stw r17,8(sp) + 29680: dfc00315 stw ra,12(sp) + 29684: 2023883a mov r17,r4 + 29688: 80000226 beq r16,zero,29694 + 2968c: 80800e17 ldw r2,56(r16) + 29690: 10001a26 beq r2,zero,296fc + 29694: 28800217 ldw r2,8(r5) + 29698: 10bfffc4 addi r2,r2,-1 + 2969c: 28800215 stw r2,8(r5) + 296a0: 10000b16 blt r2,zero,296d0 + 296a4: 28800017 ldw r2,0(r5) + 296a8: 14400005 stb r17,0(r2) + 296ac: 28800017 ldw r2,0(r5) + 296b0: 10c00044 addi r3,r2,1 + 296b4: 28c00015 stw r3,0(r5) + 296b8: 10800003 ldbu r2,0(r2) + 296bc: dfc00317 ldw ra,12(sp) + 296c0: dc400217 ldw r17,8(sp) + 296c4: dc000117 ldw r16,4(sp) + 296c8: dec00404 addi sp,sp,16 + 296cc: f800283a ret + 296d0: 28c00617 ldw r3,24(r5) + 296d4: 10c00e16 blt r2,r3,29710 + 296d8: 28800017 ldw r2,0(r5) + 296dc: 01000284 movi r4,10 + 296e0: 14400005 stb r17,0(r2) + 296e4: 28800017 ldw r2,0(r5) + 296e8: 10c00003 ldbu r3,0(r2) + 296ec: 193ff01e bne r3,r4,296b0 <_gp+0xfffed178> + 296f0: 280d883a mov r6,r5 + 296f4: 180b883a mov r5,r3 + 296f8: 00000706 br 29718 + 296fc: 8009883a mov r4,r16 + 29700: d9400015 stw r5,0(sp) + 29704: 00274e40 call 274e4 <__sinit> + 29708: d9400017 ldw r5,0(sp) + 2970c: 003fe106 br 29694 <_gp+0xfffed15c> + 29710: 280d883a mov r6,r5 + 29714: 880b883a mov r5,r17 + 29718: 8009883a mov r4,r16 + 2971c: dfc00317 ldw ra,12(sp) + 29720: dc400217 ldw r17,8(sp) + 29724: dc000117 ldw r16,4(sp) + 29728: dec00404 addi sp,sp,16 + 2972c: 002b5681 jmpi 2b568 <__swbuf_r> -00029970 <__ratio>: - 29970: defff904 addi sp,sp,-28 - 29974: dc400315 stw r17,12(sp) - 29978: 2823883a mov r17,r5 - 2997c: d9400104 addi r5,sp,4 - 29980: dfc00615 stw ra,24(sp) - 29984: dcc00515 stw r19,20(sp) - 29988: dc800415 stw r18,16(sp) - 2998c: 2027883a mov r19,r4 - 29990: dc000215 stw r16,8(sp) - 29994: 00297180 call 29718 <__b2d> - 29998: d80b883a mov r5,sp - 2999c: 8809883a mov r4,r17 - 299a0: 1025883a mov r18,r2 - 299a4: 1821883a mov r16,r3 - 299a8: 00297180 call 29718 <__b2d> - 299ac: 8a000417 ldw r8,16(r17) - 299b0: 99000417 ldw r4,16(r19) - 299b4: d9400117 ldw r5,4(sp) - 299b8: 2209c83a sub r4,r4,r8 - 299bc: 2010917a slli r8,r4,5 - 299c0: d9000017 ldw r4,0(sp) - 299c4: 2909c83a sub r4,r5,r4 - 299c8: 4109883a add r4,r8,r4 - 299cc: 01000e0e bge zero,r4,29a08 <__ratio+0x98> - 299d0: 2008953a slli r4,r4,20 - 299d4: 2421883a add r16,r4,r16 - 299d8: 100d883a mov r6,r2 - 299dc: 180f883a mov r7,r3 - 299e0: 9009883a mov r4,r18 - 299e4: 800b883a mov r5,r16 - 299e8: 002d9ac0 call 2d9ac <__divdf3> - 299ec: dfc00617 ldw ra,24(sp) - 299f0: dcc00517 ldw r19,20(sp) - 299f4: dc800417 ldw r18,16(sp) - 299f8: dc400317 ldw r17,12(sp) - 299fc: dc000217 ldw r16,8(sp) - 29a00: dec00704 addi sp,sp,28 - 29a04: f800283a ret - 29a08: 2008953a slli r4,r4,20 - 29a0c: 1907c83a sub r3,r3,r4 - 29a10: 003ff106 br 299d8 <__ratio+0x68> +00029730 <_realloc_r>: + 29730: defff604 addi sp,sp,-40 + 29734: dc800215 stw r18,8(sp) + 29738: dfc00915 stw ra,36(sp) + 2973c: df000815 stw fp,32(sp) + 29740: ddc00715 stw r23,28(sp) + 29744: dd800615 stw r22,24(sp) + 29748: dd400515 stw r21,20(sp) + 2974c: dd000415 stw r20,16(sp) + 29750: dcc00315 stw r19,12(sp) + 29754: dc400115 stw r17,4(sp) + 29758: dc000015 stw r16,0(sp) + 2975c: 3025883a mov r18,r6 + 29760: 2800b726 beq r5,zero,29a40 <_realloc_r+0x310> + 29764: 282b883a mov r21,r5 + 29768: 2029883a mov r20,r4 + 2976c: 002f5800 call 2f580 <__malloc_lock> + 29770: a8bfff17 ldw r2,-4(r21) + 29774: 043fff04 movi r16,-4 + 29778: 90c002c4 addi r3,r18,11 + 2977c: 01000584 movi r4,22 + 29780: acfffe04 addi r19,r21,-8 + 29784: 1420703a and r16,r2,r16 + 29788: 20c0332e bgeu r4,r3,29858 <_realloc_r+0x128> + 2978c: 047ffe04 movi r17,-8 + 29790: 1c62703a and r17,r3,r17 + 29794: 8807883a mov r3,r17 + 29798: 88005816 blt r17,zero,298fc <_realloc_r+0x1cc> + 2979c: 8c805736 bltu r17,r18,298fc <_realloc_r+0x1cc> + 297a0: 80c0300e bge r16,r3,29864 <_realloc_r+0x134> + 297a4: 070000f4 movhi fp,3 + 297a8: e70aa304 addi fp,fp,10892 + 297ac: e1c00217 ldw r7,8(fp) + 297b0: 9c09883a add r4,r19,r16 + 297b4: 22000117 ldw r8,4(r4) + 297b8: 21c06326 beq r4,r7,29948 <_realloc_r+0x218> + 297bc: 017fff84 movi r5,-2 + 297c0: 414a703a and r5,r8,r5 + 297c4: 214b883a add r5,r4,r5 + 297c8: 29800117 ldw r6,4(r5) + 297cc: 3180004c andi r6,r6,1 + 297d0: 30003f26 beq r6,zero,298d0 <_realloc_r+0x1a0> + 297d4: 1080004c andi r2,r2,1 + 297d8: 10008326 beq r2,zero,299e8 <_realloc_r+0x2b8> + 297dc: 900b883a mov r5,r18 + 297e0: a009883a mov r4,r20 + 297e4: 00226c00 call 226c0 <_malloc_r> + 297e8: 1025883a mov r18,r2 + 297ec: 10011e26 beq r2,zero,29c68 <_realloc_r+0x538> + 297f0: a93fff17 ldw r4,-4(r21) + 297f4: 10fffe04 addi r3,r2,-8 + 297f8: 00bfff84 movi r2,-2 + 297fc: 2084703a and r2,r4,r2 + 29800: 9885883a add r2,r19,r2 + 29804: 1880ee26 beq r3,r2,29bc0 <_realloc_r+0x490> + 29808: 81bfff04 addi r6,r16,-4 + 2980c: 00800904 movi r2,36 + 29810: 1180b836 bltu r2,r6,29af4 <_realloc_r+0x3c4> + 29814: 00c004c4 movi r3,19 + 29818: 19809636 bltu r3,r6,29a74 <_realloc_r+0x344> + 2981c: 9005883a mov r2,r18 + 29820: a807883a mov r3,r21 + 29824: 19000017 ldw r4,0(r3) + 29828: 11000015 stw r4,0(r2) + 2982c: 19000117 ldw r4,4(r3) + 29830: 11000115 stw r4,4(r2) + 29834: 18c00217 ldw r3,8(r3) + 29838: 10c00215 stw r3,8(r2) + 2983c: a80b883a mov r5,r21 + 29840: a009883a mov r4,r20 + 29844: 00276580 call 27658 <_free_r> + 29848: a009883a mov r4,r20 + 2984c: 002f5a40 call 2f5a4 <__malloc_unlock> + 29850: 9005883a mov r2,r18 + 29854: 00001206 br 298a0 <_realloc_r+0x170> + 29858: 00c00404 movi r3,16 + 2985c: 1823883a mov r17,r3 + 29860: 003fce06 br 2979c <_gp+0xfffed264> + 29864: a825883a mov r18,r21 + 29868: 8445c83a sub r2,r16,r17 + 2986c: 00c003c4 movi r3,15 + 29870: 18802636 bltu r3,r2,2990c <_realloc_r+0x1dc> + 29874: 99800117 ldw r6,4(r19) + 29878: 9c07883a add r3,r19,r16 + 2987c: 3180004c andi r6,r6,1 + 29880: 3420b03a or r16,r6,r16 + 29884: 9c000115 stw r16,4(r19) + 29888: 18800117 ldw r2,4(r3) + 2988c: 10800054 ori r2,r2,1 + 29890: 18800115 stw r2,4(r3) + 29894: a009883a mov r4,r20 + 29898: 002f5a40 call 2f5a4 <__malloc_unlock> + 2989c: 9005883a mov r2,r18 + 298a0: dfc00917 ldw ra,36(sp) + 298a4: df000817 ldw fp,32(sp) + 298a8: ddc00717 ldw r23,28(sp) + 298ac: dd800617 ldw r22,24(sp) + 298b0: dd400517 ldw r21,20(sp) + 298b4: dd000417 ldw r20,16(sp) + 298b8: dcc00317 ldw r19,12(sp) + 298bc: dc800217 ldw r18,8(sp) + 298c0: dc400117 ldw r17,4(sp) + 298c4: dc000017 ldw r16,0(sp) + 298c8: dec00a04 addi sp,sp,40 + 298cc: f800283a ret + 298d0: 017fff04 movi r5,-4 + 298d4: 414a703a and r5,r8,r5 + 298d8: 814d883a add r6,r16,r5 + 298dc: 30c01f16 blt r6,r3,2995c <_realloc_r+0x22c> + 298e0: 20800317 ldw r2,12(r4) + 298e4: 20c00217 ldw r3,8(r4) + 298e8: a825883a mov r18,r21 + 298ec: 3021883a mov r16,r6 + 298f0: 18800315 stw r2,12(r3) + 298f4: 10c00215 stw r3,8(r2) + 298f8: 003fdb06 br 29868 <_gp+0xfffed330> + 298fc: 00800304 movi r2,12 + 29900: a0800015 stw r2,0(r20) + 29904: 0005883a mov r2,zero + 29908: 003fe506 br 298a0 <_gp+0xfffed368> + 2990c: 98c00117 ldw r3,4(r19) + 29910: 9c4b883a add r5,r19,r17 + 29914: 11000054 ori r4,r2,1 + 29918: 18c0004c andi r3,r3,1 + 2991c: 1c62b03a or r17,r3,r17 + 29920: 9c400115 stw r17,4(r19) + 29924: 29000115 stw r4,4(r5) + 29928: 2885883a add r2,r5,r2 + 2992c: 10c00117 ldw r3,4(r2) + 29930: 29400204 addi r5,r5,8 + 29934: a009883a mov r4,r20 + 29938: 18c00054 ori r3,r3,1 + 2993c: 10c00115 stw r3,4(r2) + 29940: 00276580 call 27658 <_free_r> + 29944: 003fd306 br 29894 <_gp+0xfffed35c> + 29948: 017fff04 movi r5,-4 + 2994c: 414a703a and r5,r8,r5 + 29950: 89800404 addi r6,r17,16 + 29954: 8151883a add r8,r16,r5 + 29958: 4180590e bge r8,r6,29ac0 <_realloc_r+0x390> + 2995c: 1080004c andi r2,r2,1 + 29960: 103f9e1e bne r2,zero,297dc <_gp+0xfffed2a4> + 29964: adbffe17 ldw r22,-8(r21) + 29968: 00bfff04 movi r2,-4 + 2996c: 9dadc83a sub r22,r19,r22 + 29970: b1800117 ldw r6,4(r22) + 29974: 3084703a and r2,r6,r2 + 29978: 20002026 beq r4,zero,299fc <_realloc_r+0x2cc> + 2997c: 80af883a add r23,r16,r2 + 29980: b96f883a add r23,r23,r5 + 29984: 21c05f26 beq r4,r7,29b04 <_realloc_r+0x3d4> + 29988: b8c01c16 blt r23,r3,299fc <_realloc_r+0x2cc> + 2998c: 20800317 ldw r2,12(r4) + 29990: 20c00217 ldw r3,8(r4) + 29994: 81bfff04 addi r6,r16,-4 + 29998: 01000904 movi r4,36 + 2999c: 18800315 stw r2,12(r3) + 299a0: 10c00215 stw r3,8(r2) + 299a4: b0c00217 ldw r3,8(r22) + 299a8: b0800317 ldw r2,12(r22) + 299ac: b4800204 addi r18,r22,8 + 299b0: 18800315 stw r2,12(r3) + 299b4: 10c00215 stw r3,8(r2) + 299b8: 21801b36 bltu r4,r6,29a28 <_realloc_r+0x2f8> + 299bc: 008004c4 movi r2,19 + 299c0: 1180352e bgeu r2,r6,29a98 <_realloc_r+0x368> + 299c4: a8800017 ldw r2,0(r21) + 299c8: b0800215 stw r2,8(r22) + 299cc: a8800117 ldw r2,4(r21) + 299d0: b0800315 stw r2,12(r22) + 299d4: 008006c4 movi r2,27 + 299d8: 11807f36 bltu r2,r6,29bd8 <_realloc_r+0x4a8> + 299dc: b0800404 addi r2,r22,16 + 299e0: ad400204 addi r21,r21,8 + 299e4: 00002d06 br 29a9c <_realloc_r+0x36c> + 299e8: adbffe17 ldw r22,-8(r21) + 299ec: 00bfff04 movi r2,-4 + 299f0: 9dadc83a sub r22,r19,r22 + 299f4: b1000117 ldw r4,4(r22) + 299f8: 2084703a and r2,r4,r2 + 299fc: b03f7726 beq r22,zero,297dc <_gp+0xfffed2a4> + 29a00: 80af883a add r23,r16,r2 + 29a04: b8ff7516 blt r23,r3,297dc <_gp+0xfffed2a4> + 29a08: b0800317 ldw r2,12(r22) + 29a0c: b0c00217 ldw r3,8(r22) + 29a10: 81bfff04 addi r6,r16,-4 + 29a14: 01000904 movi r4,36 + 29a18: 18800315 stw r2,12(r3) + 29a1c: 10c00215 stw r3,8(r2) + 29a20: b4800204 addi r18,r22,8 + 29a24: 21bfe52e bgeu r4,r6,299bc <_gp+0xfffed484> + 29a28: a80b883a mov r5,r21 + 29a2c: 9009883a mov r4,r18 + 29a30: 00284700 call 28470 + 29a34: b821883a mov r16,r23 + 29a38: b027883a mov r19,r22 + 29a3c: 003f8a06 br 29868 <_gp+0xfffed330> + 29a40: 300b883a mov r5,r6 + 29a44: dfc00917 ldw ra,36(sp) + 29a48: df000817 ldw fp,32(sp) + 29a4c: ddc00717 ldw r23,28(sp) + 29a50: dd800617 ldw r22,24(sp) + 29a54: dd400517 ldw r21,20(sp) + 29a58: dd000417 ldw r20,16(sp) + 29a5c: dcc00317 ldw r19,12(sp) + 29a60: dc800217 ldw r18,8(sp) + 29a64: dc400117 ldw r17,4(sp) + 29a68: dc000017 ldw r16,0(sp) + 29a6c: dec00a04 addi sp,sp,40 + 29a70: 00226c01 jmpi 226c0 <_malloc_r> + 29a74: a8c00017 ldw r3,0(r21) + 29a78: 90c00015 stw r3,0(r18) + 29a7c: a8c00117 ldw r3,4(r21) + 29a80: 90c00115 stw r3,4(r18) + 29a84: 00c006c4 movi r3,27 + 29a88: 19804536 bltu r3,r6,29ba0 <_realloc_r+0x470> + 29a8c: 90800204 addi r2,r18,8 + 29a90: a8c00204 addi r3,r21,8 + 29a94: 003f6306 br 29824 <_gp+0xfffed2ec> + 29a98: 9005883a mov r2,r18 + 29a9c: a8c00017 ldw r3,0(r21) + 29aa0: b821883a mov r16,r23 + 29aa4: b027883a mov r19,r22 + 29aa8: 10c00015 stw r3,0(r2) + 29aac: a8c00117 ldw r3,4(r21) + 29ab0: 10c00115 stw r3,4(r2) + 29ab4: a8c00217 ldw r3,8(r21) + 29ab8: 10c00215 stw r3,8(r2) + 29abc: 003f6a06 br 29868 <_gp+0xfffed330> + 29ac0: 9c67883a add r19,r19,r17 + 29ac4: 4445c83a sub r2,r8,r17 + 29ac8: e4c00215 stw r19,8(fp) + 29acc: 10800054 ori r2,r2,1 + 29ad0: 98800115 stw r2,4(r19) + 29ad4: a8bfff17 ldw r2,-4(r21) + 29ad8: a009883a mov r4,r20 + 29adc: 1080004c andi r2,r2,1 + 29ae0: 1462b03a or r17,r2,r17 + 29ae4: ac7fff15 stw r17,-4(r21) + 29ae8: 002f5a40 call 2f5a4 <__malloc_unlock> + 29aec: a805883a mov r2,r21 + 29af0: 003f6b06 br 298a0 <_gp+0xfffed368> + 29af4: a80b883a mov r5,r21 + 29af8: 9009883a mov r4,r18 + 29afc: 00284700 call 28470 + 29b00: 003f4e06 br 2983c <_gp+0xfffed304> + 29b04: 89000404 addi r4,r17,16 + 29b08: b93fbc16 blt r23,r4,299fc <_gp+0xfffed4c4> + 29b0c: b0800317 ldw r2,12(r22) + 29b10: b0c00217 ldw r3,8(r22) + 29b14: 81bfff04 addi r6,r16,-4 + 29b18: 01000904 movi r4,36 + 29b1c: 18800315 stw r2,12(r3) + 29b20: 10c00215 stw r3,8(r2) + 29b24: b4800204 addi r18,r22,8 + 29b28: 21804336 bltu r4,r6,29c38 <_realloc_r+0x508> + 29b2c: 008004c4 movi r2,19 + 29b30: 11803f2e bgeu r2,r6,29c30 <_realloc_r+0x500> + 29b34: a8800017 ldw r2,0(r21) + 29b38: b0800215 stw r2,8(r22) + 29b3c: a8800117 ldw r2,4(r21) + 29b40: b0800315 stw r2,12(r22) + 29b44: 008006c4 movi r2,27 + 29b48: 11803f36 bltu r2,r6,29c48 <_realloc_r+0x518> + 29b4c: b0800404 addi r2,r22,16 + 29b50: ad400204 addi r21,r21,8 + 29b54: a8c00017 ldw r3,0(r21) + 29b58: 10c00015 stw r3,0(r2) + 29b5c: a8c00117 ldw r3,4(r21) + 29b60: 10c00115 stw r3,4(r2) + 29b64: a8c00217 ldw r3,8(r21) + 29b68: 10c00215 stw r3,8(r2) + 29b6c: b447883a add r3,r22,r17 + 29b70: bc45c83a sub r2,r23,r17 + 29b74: e0c00215 stw r3,8(fp) + 29b78: 10800054 ori r2,r2,1 + 29b7c: 18800115 stw r2,4(r3) + 29b80: b0800117 ldw r2,4(r22) + 29b84: a009883a mov r4,r20 + 29b88: 1080004c andi r2,r2,1 + 29b8c: 1462b03a or r17,r2,r17 + 29b90: b4400115 stw r17,4(r22) + 29b94: 002f5a40 call 2f5a4 <__malloc_unlock> + 29b98: 9005883a mov r2,r18 + 29b9c: 003f4006 br 298a0 <_gp+0xfffed368> + 29ba0: a8c00217 ldw r3,8(r21) + 29ba4: 90c00215 stw r3,8(r18) + 29ba8: a8c00317 ldw r3,12(r21) + 29bac: 90c00315 stw r3,12(r18) + 29bb0: 30801126 beq r6,r2,29bf8 <_realloc_r+0x4c8> + 29bb4: 90800404 addi r2,r18,16 + 29bb8: a8c00404 addi r3,r21,16 + 29bbc: 003f1906 br 29824 <_gp+0xfffed2ec> + 29bc0: 90ffff17 ldw r3,-4(r18) + 29bc4: 00bfff04 movi r2,-4 + 29bc8: a825883a mov r18,r21 + 29bcc: 1884703a and r2,r3,r2 + 29bd0: 80a1883a add r16,r16,r2 + 29bd4: 003f2406 br 29868 <_gp+0xfffed330> + 29bd8: a8800217 ldw r2,8(r21) + 29bdc: b0800415 stw r2,16(r22) + 29be0: a8800317 ldw r2,12(r21) + 29be4: b0800515 stw r2,20(r22) + 29be8: 31000a26 beq r6,r4,29c14 <_realloc_r+0x4e4> + 29bec: b0800604 addi r2,r22,24 + 29bf0: ad400404 addi r21,r21,16 + 29bf4: 003fa906 br 29a9c <_gp+0xfffed564> + 29bf8: a9000417 ldw r4,16(r21) + 29bfc: 90800604 addi r2,r18,24 + 29c00: a8c00604 addi r3,r21,24 + 29c04: 91000415 stw r4,16(r18) + 29c08: a9000517 ldw r4,20(r21) + 29c0c: 91000515 stw r4,20(r18) + 29c10: 003f0406 br 29824 <_gp+0xfffed2ec> + 29c14: a8c00417 ldw r3,16(r21) + 29c18: ad400604 addi r21,r21,24 + 29c1c: b0800804 addi r2,r22,32 + 29c20: b0c00615 stw r3,24(r22) + 29c24: a8ffff17 ldw r3,-4(r21) + 29c28: b0c00715 stw r3,28(r22) + 29c2c: 003f9b06 br 29a9c <_gp+0xfffed564> + 29c30: 9005883a mov r2,r18 + 29c34: 003fc706 br 29b54 <_gp+0xfffed61c> + 29c38: a80b883a mov r5,r21 + 29c3c: 9009883a mov r4,r18 + 29c40: 00284700 call 28470 + 29c44: 003fc906 br 29b6c <_gp+0xfffed634> + 29c48: a8800217 ldw r2,8(r21) + 29c4c: b0800415 stw r2,16(r22) + 29c50: a8800317 ldw r2,12(r21) + 29c54: b0800515 stw r2,20(r22) + 29c58: 31000726 beq r6,r4,29c78 <_realloc_r+0x548> + 29c5c: b0800604 addi r2,r22,24 + 29c60: ad400404 addi r21,r21,16 + 29c64: 003fbb06 br 29b54 <_gp+0xfffed61c> + 29c68: a009883a mov r4,r20 + 29c6c: 002f5a40 call 2f5a4 <__malloc_unlock> + 29c70: 0005883a mov r2,zero + 29c74: 003f0a06 br 298a0 <_gp+0xfffed368> + 29c78: a8c00417 ldw r3,16(r21) + 29c7c: ad400604 addi r21,r21,24 + 29c80: b0800804 addi r2,r22,32 + 29c84: b0c00615 stw r3,24(r22) + 29c88: a8ffff17 ldw r3,-4(r21) + 29c8c: b0c00715 stw r3,28(r22) + 29c90: 003fb006 br 29b54 <_gp+0xfffed61c> -00029a14 <_mprec_log10>: - 29a14: defffe04 addi sp,sp,-8 - 29a18: dc000015 stw r16,0(sp) - 29a1c: dfc00115 stw ra,4(sp) - 29a20: 008005c4 movi r2,23 - 29a24: 2021883a mov r16,r4 - 29a28: 11000d0e bge r2,r4,29a60 <_mprec_log10+0x4c> - 29a2c: 0005883a mov r2,zero - 29a30: 00cffc34 movhi r3,16368 - 29a34: 843fffc4 addi r16,r16,-1 - 29a38: 000d883a mov r6,zero - 29a3c: 01d00934 movhi r7,16420 - 29a40: 1009883a mov r4,r2 - 29a44: 180b883a mov r5,r3 - 29a48: 002e4ec0 call 2e4ec <__muldf3> - 29a4c: 803ff91e bne r16,zero,29a34 <_mprec_log10+0x20> - 29a50: dfc00117 ldw ra,4(sp) - 29a54: dc000017 ldw r16,0(sp) - 29a58: dec00204 addi sp,sp,8 - 29a5c: f800283a ret - 29a60: 202090fa slli r16,r4,3 - 29a64: 008000f4 movhi r2,3 - 29a68: 108a8104 addi r2,r2,10756 - 29a6c: 1421883a add r16,r2,r16 - 29a70: 80800017 ldw r2,0(r16) - 29a74: 80c00117 ldw r3,4(r16) - 29a78: dfc00117 ldw ra,4(sp) - 29a7c: dc000017 ldw r16,0(sp) - 29a80: dec00204 addi sp,sp,8 - 29a84: f800283a ret +00029c94 <__fpclassifyd>: + 29c94: 00a00034 movhi r2,32768 + 29c98: 10bfffc4 addi r2,r2,-1 + 29c9c: 2884703a and r2,r5,r2 + 29ca0: 10000726 beq r2,zero,29cc0 <__fpclassifyd+0x2c> + 29ca4: 00fffc34 movhi r3,65520 + 29ca8: 019ff834 movhi r6,32736 + 29cac: 28c7883a add r3,r5,r3 + 29cb0: 31bfffc4 addi r6,r6,-1 + 29cb4: 30c00536 bltu r6,r3,29ccc <__fpclassifyd+0x38> + 29cb8: 00800104 movi r2,4 + 29cbc: f800283a ret + 29cc0: 2000021e bne r4,zero,29ccc <__fpclassifyd+0x38> + 29cc4: 00800084 movi r2,2 + 29cc8: f800283a ret + 29ccc: 00dffc34 movhi r3,32752 + 29cd0: 019ff834 movhi r6,32736 + 29cd4: 28cb883a add r5,r5,r3 + 29cd8: 31bfffc4 addi r6,r6,-1 + 29cdc: 317ff62e bgeu r6,r5,29cb8 <_gp+0xfffed780> + 29ce0: 01400434 movhi r5,16 + 29ce4: 297fffc4 addi r5,r5,-1 + 29ce8: 28800236 bltu r5,r2,29cf4 <__fpclassifyd+0x60> + 29cec: 008000c4 movi r2,3 + 29cf0: f800283a ret + 29cf4: 10c00226 beq r2,r3,29d00 <__fpclassifyd+0x6c> + 29cf8: 0005883a mov r2,zero + 29cfc: f800283a ret + 29d00: 2005003a cmpeq r2,r4,zero + 29d04: f800283a ret -00029a88 <__copybits>: - 29a88: 297fffc4 addi r5,r5,-1 - 29a8c: 280fd17a srai r7,r5,5 - 29a90: 30c00417 ldw r3,16(r6) - 29a94: 30800504 addi r2,r6,20 - 29a98: 39c00044 addi r7,r7,1 - 29a9c: 18c7883a add r3,r3,r3 - 29aa0: 39cf883a add r7,r7,r7 - 29aa4: 18c7883a add r3,r3,r3 - 29aa8: 39cf883a add r7,r7,r7 - 29aac: 10c7883a add r3,r2,r3 - 29ab0: 21cf883a add r7,r4,r7 - 29ab4: 10c00d2e bgeu r2,r3,29aec <__copybits+0x64> - 29ab8: 200b883a mov r5,r4 - 29abc: 12000017 ldw r8,0(r2) - 29ac0: 29400104 addi r5,r5,4 - 29ac4: 10800104 addi r2,r2,4 - 29ac8: 2a3fff15 stw r8,-4(r5) - 29acc: 10fffb36 bltu r2,r3,29abc <__copybits+0x34> - 29ad0: 1985c83a sub r2,r3,r6 - 29ad4: 10bffac4 addi r2,r2,-21 - 29ad8: 1004d0ba srli r2,r2,2 - 29adc: 10800044 addi r2,r2,1 - 29ae0: 1085883a add r2,r2,r2 - 29ae4: 1085883a add r2,r2,r2 - 29ae8: 2089883a add r4,r4,r2 - 29aec: 21c0032e bgeu r4,r7,29afc <__copybits+0x74> - 29af0: 20000015 stw zero,0(r4) - 29af4: 21000104 addi r4,r4,4 - 29af8: 21fffd36 bltu r4,r7,29af0 <__copybits+0x68> - 29afc: f800283a ret +00029d08 <__sread>: + 29d08: defffe04 addi sp,sp,-8 + 29d0c: dc000015 stw r16,0(sp) + 29d10: 2821883a mov r16,r5 + 29d14: 2940038f ldh r5,14(r5) + 29d18: dfc00115 stw ra,4(sp) + 29d1c: 002bc540 call 2bc54 <_read_r> + 29d20: 10000716 blt r2,zero,29d40 <__sread+0x38> + 29d24: 80c01417 ldw r3,80(r16) + 29d28: 1887883a add r3,r3,r2 + 29d2c: 80c01415 stw r3,80(r16) + 29d30: dfc00117 ldw ra,4(sp) + 29d34: dc000017 ldw r16,0(sp) + 29d38: dec00204 addi sp,sp,8 + 29d3c: f800283a ret + 29d40: 80c0030b ldhu r3,12(r16) + 29d44: 18fbffcc andi r3,r3,61439 + 29d48: 80c0030d sth r3,12(r16) + 29d4c: dfc00117 ldw ra,4(sp) + 29d50: dc000017 ldw r16,0(sp) + 29d54: dec00204 addi sp,sp,8 + 29d58: f800283a ret -00029b00 <__any_on>: - 29b00: 20c00417 ldw r3,16(r4) - 29b04: 2805d17a srai r2,r5,5 - 29b08: 21000504 addi r4,r4,20 - 29b0c: 18800d0e bge r3,r2,29b44 <__any_on+0x44> - 29b10: 18c7883a add r3,r3,r3 - 29b14: 18c7883a add r3,r3,r3 - 29b18: 20c7883a add r3,r4,r3 - 29b1c: 20c0192e bgeu r4,r3,29b84 <__any_on+0x84> - 29b20: 18bfff17 ldw r2,-4(r3) - 29b24: 18ffff04 addi r3,r3,-4 - 29b28: 1000041e bne r2,zero,29b3c <__any_on+0x3c> - 29b2c: 20c0142e bgeu r4,r3,29b80 <__any_on+0x80> - 29b30: 18ffff04 addi r3,r3,-4 - 29b34: 19400017 ldw r5,0(r3) - 29b38: 283ffc26 beq r5,zero,29b2c <__any_on+0x2c> - 29b3c: 00800044 movi r2,1 - 29b40: f800283a ret - 29b44: 10c00a0e bge r2,r3,29b70 <__any_on+0x70> - 29b48: 1085883a add r2,r2,r2 - 29b4c: 1085883a add r2,r2,r2 - 29b50: 294007cc andi r5,r5,31 - 29b54: 2087883a add r3,r4,r2 - 29b58: 283ff026 beq r5,zero,29b1c <__any_on+0x1c> - 29b5c: 19800017 ldw r6,0(r3) - 29b60: 3144d83a srl r2,r6,r5 - 29b64: 114a983a sll r5,r2,r5 - 29b68: 317ff41e bne r6,r5,29b3c <__any_on+0x3c> - 29b6c: 003feb06 br 29b1c <__any_on+0x1c> - 29b70: 1085883a add r2,r2,r2 - 29b74: 1085883a add r2,r2,r2 - 29b78: 2087883a add r3,r4,r2 - 29b7c: 003fe706 br 29b1c <__any_on+0x1c> - 29b80: f800283a ret - 29b84: 0005883a mov r2,zero - 29b88: f800283a ret +00029d5c <__seofread>: + 29d5c: 0005883a mov r2,zero + 29d60: f800283a ret -00029b8c <_putc_r>: - 29b8c: defffc04 addi sp,sp,-16 - 29b90: dc000215 stw r16,8(sp) - 29b94: dfc00315 stw ra,12(sp) - 29b98: 2021883a mov r16,r4 - 29b9c: 20000226 beq r4,zero,29ba8 <_putc_r+0x1c> - 29ba0: 20800e17 ldw r2,56(r4) - 29ba4: 10001b26 beq r2,zero,29c14 <_putc_r+0x88> - 29ba8: 30800217 ldw r2,8(r6) - 29bac: 10bfffc4 addi r2,r2,-1 - 29bb0: 30800215 stw r2,8(r6) - 29bb4: 10000a16 blt r2,zero,29be0 <_putc_r+0x54> - 29bb8: 30800017 ldw r2,0(r6) - 29bbc: 11400005 stb r5,0(r2) - 29bc0: 30800017 ldw r2,0(r6) - 29bc4: 10c00044 addi r3,r2,1 - 29bc8: 30c00015 stw r3,0(r6) - 29bcc: 10800003 ldbu r2,0(r2) - 29bd0: dfc00317 ldw ra,12(sp) - 29bd4: dc000217 ldw r16,8(sp) - 29bd8: dec00404 addi sp,sp,16 - 29bdc: f800283a ret - 29be0: 30c00617 ldw r3,24(r6) - 29be4: 10c00616 blt r2,r3,29c00 <_putc_r+0x74> - 29be8: 30800017 ldw r2,0(r6) - 29bec: 00c00284 movi r3,10 - 29bf0: 11400005 stb r5,0(r2) - 29bf4: 30800017 ldw r2,0(r6) - 29bf8: 11400003 ldbu r5,0(r2) - 29bfc: 28fff11e bne r5,r3,29bc4 <_putc_r+0x38> - 29c00: 8009883a mov r4,r16 - 29c04: dfc00317 ldw ra,12(sp) - 29c08: dc000217 ldw r16,8(sp) - 29c0c: dec00404 addi sp,sp,16 - 29c10: 002bd1c1 jmpi 2bd1c <__swbuf_r> - 29c14: d9400015 stw r5,0(sp) - 29c18: d9800115 stw r6,4(sp) - 29c1c: 0027aa80 call 27aa8 <__sinit> - 29c20: d9800117 ldw r6,4(sp) - 29c24: d9400017 ldw r5,0(sp) - 29c28: 003fdf06 br 29ba8 <_putc_r+0x1c> +00029d64 <__swrite>: + 29d64: 2880030b ldhu r2,12(r5) + 29d68: defffb04 addi sp,sp,-20 + 29d6c: dcc00315 stw r19,12(sp) + 29d70: dc800215 stw r18,8(sp) + 29d74: dc400115 stw r17,4(sp) + 29d78: dc000015 stw r16,0(sp) + 29d7c: dfc00415 stw ra,16(sp) + 29d80: 10c0400c andi r3,r2,256 + 29d84: 2821883a mov r16,r5 + 29d88: 2023883a mov r17,r4 + 29d8c: 3025883a mov r18,r6 + 29d90: 3827883a mov r19,r7 + 29d94: 18000526 beq r3,zero,29dac <__swrite+0x48> + 29d98: 2940038f ldh r5,14(r5) + 29d9c: 01c00084 movi r7,2 + 29da0: 000d883a mov r6,zero + 29da4: 002bbf40 call 2bbf4 <_lseek_r> + 29da8: 8080030b ldhu r2,12(r16) + 29dac: 8140038f ldh r5,14(r16) + 29db0: 10bbffcc andi r2,r2,61439 + 29db4: 980f883a mov r7,r19 + 29db8: 900d883a mov r6,r18 + 29dbc: 8809883a mov r4,r17 + 29dc0: 8080030d sth r2,12(r16) + 29dc4: dfc00417 ldw ra,16(sp) + 29dc8: dcc00317 ldw r19,12(sp) + 29dcc: dc800217 ldw r18,8(sp) + 29dd0: dc400117 ldw r17,4(sp) + 29dd4: dc000017 ldw r16,0(sp) + 29dd8: dec00504 addi sp,sp,20 + 29ddc: 002b6c01 jmpi 2b6c0 <_write_r> -00029c2c : - 29c2c: 008000f4 movhi r2,3 - 29c30: defffc04 addi sp,sp,-16 - 29c34: 10939f04 addi r2,r2,20092 - 29c38: dc000115 stw r16,4(sp) - 29c3c: 14000017 ldw r16,0(r2) - 29c40: dc400215 stw r17,8(sp) - 29c44: dfc00315 stw ra,12(sp) - 29c48: 2023883a mov r17,r4 - 29c4c: 80000226 beq r16,zero,29c58 - 29c50: 80800e17 ldw r2,56(r16) - 29c54: 10001a26 beq r2,zero,29cc0 - 29c58: 28800217 ldw r2,8(r5) - 29c5c: 10bfffc4 addi r2,r2,-1 - 29c60: 28800215 stw r2,8(r5) - 29c64: 10000b16 blt r2,zero,29c94 - 29c68: 28800017 ldw r2,0(r5) - 29c6c: 14400005 stb r17,0(r2) - 29c70: 28800017 ldw r2,0(r5) - 29c74: 10c00044 addi r3,r2,1 - 29c78: 28c00015 stw r3,0(r5) - 29c7c: 10800003 ldbu r2,0(r2) - 29c80: dfc00317 ldw ra,12(sp) - 29c84: dc400217 ldw r17,8(sp) - 29c88: dc000117 ldw r16,4(sp) - 29c8c: dec00404 addi sp,sp,16 - 29c90: f800283a ret - 29c94: 28c00617 ldw r3,24(r5) - 29c98: 10c00e16 blt r2,r3,29cd4 - 29c9c: 28800017 ldw r2,0(r5) - 29ca0: 01000284 movi r4,10 - 29ca4: 14400005 stb r17,0(r2) - 29ca8: 28800017 ldw r2,0(r5) - 29cac: 10c00003 ldbu r3,0(r2) - 29cb0: 193ff01e bne r3,r4,29c74 - 29cb4: 280d883a mov r6,r5 - 29cb8: 180b883a mov r5,r3 - 29cbc: 00000706 br 29cdc - 29cc0: 8009883a mov r4,r16 - 29cc4: d9400015 stw r5,0(sp) - 29cc8: 0027aa80 call 27aa8 <__sinit> - 29ccc: d9400017 ldw r5,0(sp) - 29cd0: 003fe106 br 29c58 - 29cd4: 280d883a mov r6,r5 - 29cd8: 880b883a mov r5,r17 - 29cdc: 8009883a mov r4,r16 - 29ce0: dfc00317 ldw ra,12(sp) - 29ce4: dc400217 ldw r17,8(sp) - 29ce8: dc000117 ldw r16,4(sp) - 29cec: dec00404 addi sp,sp,16 - 29cf0: 002bd1c1 jmpi 2bd1c <__swbuf_r> +00029de0 <__sseek>: + 29de0: defffe04 addi sp,sp,-8 + 29de4: dc000015 stw r16,0(sp) + 29de8: 2821883a mov r16,r5 + 29dec: 2940038f ldh r5,14(r5) + 29df0: dfc00115 stw ra,4(sp) + 29df4: 002bbf40 call 2bbf4 <_lseek_r> + 29df8: 00ffffc4 movi r3,-1 + 29dfc: 10c00826 beq r2,r3,29e20 <__sseek+0x40> + 29e00: 80c0030b ldhu r3,12(r16) + 29e04: 80801415 stw r2,80(r16) + 29e08: 18c40014 ori r3,r3,4096 + 29e0c: 80c0030d sth r3,12(r16) + 29e10: dfc00117 ldw ra,4(sp) + 29e14: dc000017 ldw r16,0(sp) + 29e18: dec00204 addi sp,sp,8 + 29e1c: f800283a ret + 29e20: 80c0030b ldhu r3,12(r16) + 29e24: 18fbffcc andi r3,r3,61439 + 29e28: 80c0030d sth r3,12(r16) + 29e2c: dfc00117 ldw ra,4(sp) + 29e30: dc000017 ldw r16,0(sp) + 29e34: dec00204 addi sp,sp,8 + 29e38: f800283a ret -00029cf4 <_realloc_r>: - 29cf4: defff604 addi sp,sp,-40 - 29cf8: dc400115 stw r17,4(sp) - 29cfc: dfc00915 stw ra,36(sp) - 29d00: df000815 stw fp,32(sp) - 29d04: ddc00715 stw r23,28(sp) - 29d08: dd800615 stw r22,24(sp) - 29d0c: dd400515 stw r21,20(sp) - 29d10: dd000415 stw r20,16(sp) - 29d14: dcc00315 stw r19,12(sp) - 29d18: dc800215 stw r18,8(sp) - 29d1c: dc000015 stw r16,0(sp) - 29d20: 3023883a mov r17,r6 - 29d24: 2800b626 beq r5,zero,2a000 <_realloc_r+0x30c> - 29d28: 2829883a mov r20,r5 - 29d2c: 2025883a mov r18,r4 - 29d30: 002fea40 call 2fea4 <__malloc_lock> - 29d34: a0ffff17 ldw r3,-4(r20) - 29d38: 043fff04 movi r16,-4 - 29d3c: 888002c4 addi r2,r17,11 - 29d40: 01000584 movi r4,22 - 29d44: a57ffe04 addi r21,r20,-8 - 29d48: 1c20703a and r16,r3,r16 - 29d4c: 2080332e bgeu r4,r2,29e1c <_realloc_r+0x128> - 29d50: 05bffe04 movi r22,-8 - 29d54: 15ac703a and r22,r2,r22 - 29d58: b005883a mov r2,r22 - 29d5c: b0005716 blt r22,zero,29ebc <_realloc_r+0x1c8> - 29d60: b4405636 bltu r22,r17,29ebc <_realloc_r+0x1c8> - 29d64: 8080300e bge r16,r2,29e28 <_realloc_r+0x134> - 29d68: 070000f4 movhi fp,3 - 29d6c: e70df904 addi fp,fp,14308 - 29d70: e2000217 ldw r8,8(fp) - 29d74: ac09883a add r4,r21,r16 - 29d78: 22006126 beq r4,r8,29f00 <_realloc_r+0x20c> - 29d7c: 22400117 ldw r9,4(r4) - 29d80: 01ffff84 movi r7,-2 - 29d84: 49ce703a and r7,r9,r7 - 29d88: 21cf883a add r7,r4,r7 - 29d8c: 39800117 ldw r6,4(r7) - 29d90: 3180004c andi r6,r6,1 - 29d94: 30003e26 beq r6,zero,29e90 <_realloc_r+0x19c> - 29d98: 18c0004c andi r3,r3,1 - 29d9c: 18008126 beq r3,zero,29fa4 <_realloc_r+0x2b0> - 29da0: 880b883a mov r5,r17 - 29da4: 9009883a mov r4,r18 - 29da8: 0022bfc0 call 22bfc <_malloc_r> - 29dac: 1023883a mov r17,r2 - 29db0: 10011e26 beq r2,zero,2a22c <_realloc_r+0x538> - 29db4: a0ffff17 ldw r3,-4(r20) - 29db8: 113ffe04 addi r4,r2,-8 - 29dbc: 00bfff84 movi r2,-2 - 29dc0: 1884703a and r2,r3,r2 - 29dc4: a885883a add r2,r21,r2 - 29dc8: 2080ee26 beq r4,r2,2a184 <_realloc_r+0x490> - 29dcc: 81bfff04 addi r6,r16,-4 - 29dd0: 00800904 movi r2,36 - 29dd4: 1180b836 bltu r2,r6,2a0b8 <_realloc_r+0x3c4> - 29dd8: 00c004c4 movi r3,19 - 29ddc: 19809536 bltu r3,r6,2a034 <_realloc_r+0x340> - 29de0: 8805883a mov r2,r17 - 29de4: a007883a mov r3,r20 - 29de8: 19000017 ldw r4,0(r3) - 29dec: 11000015 stw r4,0(r2) - 29df0: 19000117 ldw r4,4(r3) - 29df4: 11000115 stw r4,4(r2) - 29df8: 18c00217 ldw r3,8(r3) - 29dfc: 10c00215 stw r3,8(r2) - 29e00: a00b883a mov r5,r20 - 29e04: 9009883a mov r4,r18 - 29e08: 0027c1c0 call 27c1c <_free_r> - 29e0c: 9009883a mov r4,r18 - 29e10: 002fec80 call 2fec8 <__malloc_unlock> - 29e14: 8805883a mov r2,r17 - 29e18: 00001106 br 29e60 <_realloc_r+0x16c> - 29e1c: 00800404 movi r2,16 - 29e20: 102d883a mov r22,r2 - 29e24: 003fce06 br 29d60 <_realloc_r+0x6c> - 29e28: a023883a mov r17,r20 - 29e2c: 858fc83a sub r7,r16,r22 - 29e30: 008003c4 movi r2,15 - 29e34: 18c0004c andi r3,r3,1 - 29e38: 11c02436 bltu r2,r7,29ecc <_realloc_r+0x1d8> - 29e3c: 1c06b03a or r3,r3,r16 - 29e40: a8c00115 stw r3,4(r21) - 29e44: ac21883a add r16,r21,r16 - 29e48: 80800117 ldw r2,4(r16) - 29e4c: 10800054 ori r2,r2,1 - 29e50: 80800115 stw r2,4(r16) - 29e54: 9009883a mov r4,r18 - 29e58: 002fec80 call 2fec8 <__malloc_unlock> - 29e5c: 8805883a mov r2,r17 - 29e60: dfc00917 ldw ra,36(sp) - 29e64: df000817 ldw fp,32(sp) - 29e68: ddc00717 ldw r23,28(sp) - 29e6c: dd800617 ldw r22,24(sp) - 29e70: dd400517 ldw r21,20(sp) - 29e74: dd000417 ldw r20,16(sp) - 29e78: dcc00317 ldw r19,12(sp) - 29e7c: dc800217 ldw r18,8(sp) - 29e80: dc400117 ldw r17,4(sp) - 29e84: dc000017 ldw r16,0(sp) - 29e88: dec00a04 addi sp,sp,40 - 29e8c: f800283a ret - 29e90: 017fff04 movi r5,-4 - 29e94: 494a703a and r5,r9,r5 - 29e98: 814d883a add r6,r16,r5 - 29e9c: 30801e16 blt r6,r2,29f18 <_realloc_r+0x224> - 29ea0: 20800317 ldw r2,12(r4) - 29ea4: 21000217 ldw r4,8(r4) - 29ea8: a023883a mov r17,r20 - 29eac: 3021883a mov r16,r6 - 29eb0: 20800315 stw r2,12(r4) - 29eb4: 11000215 stw r4,8(r2) - 29eb8: 003fdc06 br 29e2c <_realloc_r+0x138> - 29ebc: 00800304 movi r2,12 - 29ec0: 90800015 stw r2,0(r18) - 29ec4: 0005883a mov r2,zero - 29ec8: 003fe506 br 29e60 <_realloc_r+0x16c> - 29ecc: 1d86b03a or r3,r3,r22 - 29ed0: a8c00115 stw r3,4(r21) - 29ed4: ad8b883a add r5,r21,r22 - 29ed8: 38c00054 ori r3,r7,1 - 29edc: 28c00115 stw r3,4(r5) - 29ee0: 29cf883a add r7,r5,r7 - 29ee4: 38c00117 ldw r3,4(r7) - 29ee8: 29400204 addi r5,r5,8 - 29eec: 9009883a mov r4,r18 - 29ef0: 18800054 ori r2,r3,1 - 29ef4: 38800115 stw r2,4(r7) - 29ef8: 0027c1c0 call 27c1c <_free_r> - 29efc: 003fd506 br 29e54 <_realloc_r+0x160> - 29f00: 21c00117 ldw r7,4(r4) - 29f04: 017fff04 movi r5,-4 - 29f08: b1800404 addi r6,r22,16 - 29f0c: 394a703a and r5,r7,r5 - 29f10: 814f883a add r7,r16,r5 - 29f14: 39805b0e bge r7,r6,2a084 <_realloc_r+0x390> - 29f18: 18c0004c andi r3,r3,1 - 29f1c: 183fa01e bne r3,zero,29da0 <_realloc_r+0xac> - 29f20: a4fffe17 ldw r19,-8(r20) - 29f24: 00ffff04 movi r3,-4 - 29f28: ace7c83a sub r19,r21,r19 - 29f2c: 99800117 ldw r6,4(r19) - 29f30: 30c6703a and r3,r6,r3 - 29f34: 20002026 beq r4,zero,29fb8 <_realloc_r+0x2c4> - 29f38: 80ef883a add r23,r16,r3 - 29f3c: b96f883a add r23,r23,r5 - 29f40: 22006126 beq r4,r8,2a0c8 <_realloc_r+0x3d4> - 29f44: b8801c16 blt r23,r2,29fb8 <_realloc_r+0x2c4> - 29f48: 20800317 ldw r2,12(r4) - 29f4c: 20c00217 ldw r3,8(r4) - 29f50: 81bfff04 addi r6,r16,-4 - 29f54: 01000904 movi r4,36 - 29f58: 18800315 stw r2,12(r3) - 29f5c: 10c00215 stw r3,8(r2) - 29f60: 98c00217 ldw r3,8(r19) - 29f64: 98800317 ldw r2,12(r19) - 29f68: 9c400204 addi r17,r19,8 - 29f6c: 18800315 stw r2,12(r3) - 29f70: 10c00215 stw r3,8(r2) - 29f74: 21801b36 bltu r4,r6,29fe4 <_realloc_r+0x2f0> - 29f78: 008004c4 movi r2,19 - 29f7c: 1180362e bgeu r2,r6,2a058 <_realloc_r+0x364> - 29f80: a0800017 ldw r2,0(r20) - 29f84: 98800215 stw r2,8(r19) - 29f88: a0800117 ldw r2,4(r20) - 29f8c: 98800315 stw r2,12(r19) - 29f90: 008006c4 movi r2,27 - 29f94: 11808136 bltu r2,r6,2a19c <_realloc_r+0x4a8> - 29f98: 98800404 addi r2,r19,16 - 29f9c: a5000204 addi r20,r20,8 - 29fa0: 00002e06 br 2a05c <_realloc_r+0x368> - 29fa4: a4fffe17 ldw r19,-8(r20) - 29fa8: 00ffff04 movi r3,-4 - 29fac: ace7c83a sub r19,r21,r19 - 29fb0: 99000117 ldw r4,4(r19) - 29fb4: 20c6703a and r3,r4,r3 - 29fb8: 983f7926 beq r19,zero,29da0 <_realloc_r+0xac> - 29fbc: 80ef883a add r23,r16,r3 - 29fc0: b8bf7716 blt r23,r2,29da0 <_realloc_r+0xac> - 29fc4: 98800317 ldw r2,12(r19) - 29fc8: 98c00217 ldw r3,8(r19) - 29fcc: 81bfff04 addi r6,r16,-4 - 29fd0: 01000904 movi r4,36 - 29fd4: 18800315 stw r2,12(r3) - 29fd8: 10c00215 stw r3,8(r2) - 29fdc: 9c400204 addi r17,r19,8 - 29fe0: 21bfe52e bgeu r4,r6,29f78 <_realloc_r+0x284> - 29fe4: a00b883a mov r5,r20 - 29fe8: 8809883a mov r4,r17 - 29fec: 0028a340 call 28a34 - 29ff0: 98c00117 ldw r3,4(r19) - 29ff4: b821883a mov r16,r23 - 29ff8: 982b883a mov r21,r19 - 29ffc: 003f8b06 br 29e2c <_realloc_r+0x138> - 2a000: 300b883a mov r5,r6 - 2a004: dfc00917 ldw ra,36(sp) - 2a008: df000817 ldw fp,32(sp) - 2a00c: ddc00717 ldw r23,28(sp) - 2a010: dd800617 ldw r22,24(sp) - 2a014: dd400517 ldw r21,20(sp) - 2a018: dd000417 ldw r20,16(sp) - 2a01c: dcc00317 ldw r19,12(sp) - 2a020: dc800217 ldw r18,8(sp) - 2a024: dc400117 ldw r17,4(sp) - 2a028: dc000017 ldw r16,0(sp) - 2a02c: dec00a04 addi sp,sp,40 - 2a030: 0022bfc1 jmpi 22bfc <_malloc_r> - 2a034: a0c00017 ldw r3,0(r20) - 2a038: 88c00015 stw r3,0(r17) - 2a03c: a0c00117 ldw r3,4(r20) - 2a040: 88c00115 stw r3,4(r17) - 2a044: 00c006c4 movi r3,27 - 2a048: 19804636 bltu r3,r6,2a164 <_realloc_r+0x470> - 2a04c: 88800204 addi r2,r17,8 - 2a050: a0c00204 addi r3,r20,8 - 2a054: 003f6406 br 29de8 <_realloc_r+0xf4> - 2a058: 8805883a mov r2,r17 - 2a05c: a0c00017 ldw r3,0(r20) - 2a060: b821883a mov r16,r23 - 2a064: 982b883a mov r21,r19 - 2a068: 10c00015 stw r3,0(r2) - 2a06c: a0c00117 ldw r3,4(r20) - 2a070: 10c00115 stw r3,4(r2) - 2a074: a0c00217 ldw r3,8(r20) - 2a078: 10c00215 stw r3,8(r2) - 2a07c: 98c00117 ldw r3,4(r19) - 2a080: 003f6a06 br 29e2c <_realloc_r+0x138> - 2a084: adab883a add r21,r21,r22 - 2a088: 3d85c83a sub r2,r7,r22 - 2a08c: e5400215 stw r21,8(fp) - 2a090: 10800054 ori r2,r2,1 - 2a094: a8800115 stw r2,4(r21) - 2a098: a0bfff17 ldw r2,-4(r20) - 2a09c: 9009883a mov r4,r18 - 2a0a0: 1080004c andi r2,r2,1 - 2a0a4: 1584b03a or r2,r2,r22 - 2a0a8: a0bfff15 stw r2,-4(r20) - 2a0ac: 002fec80 call 2fec8 <__malloc_unlock> - 2a0b0: a005883a mov r2,r20 - 2a0b4: 003f6a06 br 29e60 <_realloc_r+0x16c> - 2a0b8: a00b883a mov r5,r20 - 2a0bc: 8809883a mov r4,r17 - 2a0c0: 0028a340 call 28a34 - 2a0c4: 003f4e06 br 29e00 <_realloc_r+0x10c> - 2a0c8: b1000404 addi r4,r22,16 - 2a0cc: b93fba16 blt r23,r4,29fb8 <_realloc_r+0x2c4> - 2a0d0: 98800317 ldw r2,12(r19) - 2a0d4: 98c00217 ldw r3,8(r19) - 2a0d8: 81bfff04 addi r6,r16,-4 - 2a0dc: 01000904 movi r4,36 - 2a0e0: 18800315 stw r2,12(r3) - 2a0e4: 10c00215 stw r3,8(r2) - 2a0e8: 9c400204 addi r17,r19,8 - 2a0ec: 21804336 bltu r4,r6,2a1fc <_realloc_r+0x508> - 2a0f0: 008004c4 movi r2,19 - 2a0f4: 11803f2e bgeu r2,r6,2a1f4 <_realloc_r+0x500> - 2a0f8: a0800017 ldw r2,0(r20) - 2a0fc: 98800215 stw r2,8(r19) - 2a100: a0800117 ldw r2,4(r20) - 2a104: 98800315 stw r2,12(r19) - 2a108: 008006c4 movi r2,27 - 2a10c: 11803f36 bltu r2,r6,2a20c <_realloc_r+0x518> - 2a110: 98800404 addi r2,r19,16 - 2a114: a5000204 addi r20,r20,8 - 2a118: a0c00017 ldw r3,0(r20) - 2a11c: 10c00015 stw r3,0(r2) - 2a120: a0c00117 ldw r3,4(r20) - 2a124: 10c00115 stw r3,4(r2) - 2a128: a0c00217 ldw r3,8(r20) - 2a12c: 10c00215 stw r3,8(r2) - 2a130: 9d87883a add r3,r19,r22 - 2a134: bd85c83a sub r2,r23,r22 - 2a138: e0c00215 stw r3,8(fp) - 2a13c: 10800054 ori r2,r2,1 - 2a140: 18800115 stw r2,4(r3) - 2a144: 98800117 ldw r2,4(r19) - 2a148: 9009883a mov r4,r18 - 2a14c: 1080004c andi r2,r2,1 - 2a150: 1584b03a or r2,r2,r22 - 2a154: 98800115 stw r2,4(r19) - 2a158: 002fec80 call 2fec8 <__malloc_unlock> - 2a15c: 8805883a mov r2,r17 - 2a160: 003f3f06 br 29e60 <_realloc_r+0x16c> - 2a164: a0c00217 ldw r3,8(r20) - 2a168: 88c00215 stw r3,8(r17) - 2a16c: a0c00317 ldw r3,12(r20) - 2a170: 88c00315 stw r3,12(r17) - 2a174: 30801126 beq r6,r2,2a1bc <_realloc_r+0x4c8> - 2a178: 88800404 addi r2,r17,16 - 2a17c: a0c00404 addi r3,r20,16 - 2a180: 003f1906 br 29de8 <_realloc_r+0xf4> - 2a184: 893fff17 ldw r4,-4(r17) - 2a188: 00bfff04 movi r2,-4 - 2a18c: a023883a mov r17,r20 - 2a190: 2084703a and r2,r4,r2 - 2a194: 80a1883a add r16,r16,r2 - 2a198: 003f2406 br 29e2c <_realloc_r+0x138> - 2a19c: a0800217 ldw r2,8(r20) - 2a1a0: 98800415 stw r2,16(r19) - 2a1a4: a0800317 ldw r2,12(r20) - 2a1a8: 98800515 stw r2,20(r19) - 2a1ac: 31000a26 beq r6,r4,2a1d8 <_realloc_r+0x4e4> - 2a1b0: 98800604 addi r2,r19,24 - 2a1b4: a5000404 addi r20,r20,16 - 2a1b8: 003fa806 br 2a05c <_realloc_r+0x368> - 2a1bc: a1000417 ldw r4,16(r20) - 2a1c0: 88800604 addi r2,r17,24 - 2a1c4: a0c00604 addi r3,r20,24 - 2a1c8: 89000415 stw r4,16(r17) - 2a1cc: a1000517 ldw r4,20(r20) - 2a1d0: 89000515 stw r4,20(r17) - 2a1d4: 003f0406 br 29de8 <_realloc_r+0xf4> - 2a1d8: a0c00417 ldw r3,16(r20) - 2a1dc: a5000604 addi r20,r20,24 - 2a1e0: 98800804 addi r2,r19,32 - 2a1e4: 98c00615 stw r3,24(r19) - 2a1e8: a0ffff17 ldw r3,-4(r20) - 2a1ec: 98c00715 stw r3,28(r19) - 2a1f0: 003f9a06 br 2a05c <_realloc_r+0x368> - 2a1f4: 8805883a mov r2,r17 - 2a1f8: 003fc706 br 2a118 <_realloc_r+0x424> - 2a1fc: a00b883a mov r5,r20 - 2a200: 8809883a mov r4,r17 - 2a204: 0028a340 call 28a34 - 2a208: 003fc906 br 2a130 <_realloc_r+0x43c> - 2a20c: a0800217 ldw r2,8(r20) - 2a210: 98800415 stw r2,16(r19) - 2a214: a0800317 ldw r2,12(r20) - 2a218: 98800515 stw r2,20(r19) - 2a21c: 31000726 beq r6,r4,2a23c <_realloc_r+0x548> - 2a220: 98800604 addi r2,r19,24 - 2a224: a5000404 addi r20,r20,16 - 2a228: 003fbb06 br 2a118 <_realloc_r+0x424> - 2a22c: 9009883a mov r4,r18 - 2a230: 002fec80 call 2fec8 <__malloc_unlock> - 2a234: 0005883a mov r2,zero - 2a238: 003f0906 br 29e60 <_realloc_r+0x16c> - 2a23c: a0c00417 ldw r3,16(r20) - 2a240: a5000604 addi r20,r20,24 - 2a244: 98800804 addi r2,r19,32 - 2a248: 98c00615 stw r3,24(r19) - 2a24c: a0ffff17 ldw r3,-4(r20) - 2a250: 98c00715 stw r3,28(r19) - 2a254: 003fb006 br 2a118 <_realloc_r+0x424> +00029e3c <__sclose>: + 29e3c: 2940038f ldh r5,14(r5) + 29e40: 002b7201 jmpi 2b720 <_close_r> -0002a258 : - 2a258: 2080030b ldhu r2,12(r4) - 2a25c: 00c00244 movi r3,9 - 2a260: 1080024c andi r2,r2,9 - 2a264: 10c00226 beq r2,r3,2a270 - 2a268: 0005883a mov r2,zero - 2a26c: f800283a ret - 2a270: 00277281 jmpi 27728 +00029e44 : + 29e44: 2144b03a or r2,r4,r5 + 29e48: 108000cc andi r2,r2,3 + 29e4c: 1000171e bne r2,zero,29eac + 29e50: 20800017 ldw r2,0(r4) + 29e54: 28c00017 ldw r3,0(r5) + 29e58: 10c0141e bne r2,r3,29eac + 29e5c: 027fbff4 movhi r9,65279 + 29e60: 4a7fbfc4 addi r9,r9,-257 + 29e64: 0086303a nor r3,zero,r2 + 29e68: 02202074 movhi r8,32897 + 29e6c: 1245883a add r2,r2,r9 + 29e70: 42202004 addi r8,r8,-32640 + 29e74: 10c4703a and r2,r2,r3 + 29e78: 1204703a and r2,r2,r8 + 29e7c: 10000226 beq r2,zero,29e88 + 29e80: 00002306 br 29f10 + 29e84: 1000221e bne r2,zero,29f10 + 29e88: 21000104 addi r4,r4,4 + 29e8c: 20c00017 ldw r3,0(r4) + 29e90: 29400104 addi r5,r5,4 + 29e94: 29800017 ldw r6,0(r5) + 29e98: 1a4f883a add r7,r3,r9 + 29e9c: 00c4303a nor r2,zero,r3 + 29ea0: 3884703a and r2,r7,r2 + 29ea4: 1204703a and r2,r2,r8 + 29ea8: 19bff626 beq r3,r6,29e84 <_gp+0xfffed94c> + 29eac: 20800003 ldbu r2,0(r4) + 29eb0: 10c03fcc andi r3,r2,255 + 29eb4: 18c0201c xori r3,r3,128 + 29eb8: 18ffe004 addi r3,r3,-128 + 29ebc: 18000c26 beq r3,zero,29ef0 + 29ec0: 29800007 ldb r6,0(r5) + 29ec4: 19800326 beq r3,r6,29ed4 + 29ec8: 00001306 br 29f18 + 29ecc: 29800007 ldb r6,0(r5) + 29ed0: 11800b1e bne r2,r6,29f00 + 29ed4: 21000044 addi r4,r4,1 + 29ed8: 20c00003 ldbu r3,0(r4) + 29edc: 29400044 addi r5,r5,1 + 29ee0: 18803fcc andi r2,r3,255 + 29ee4: 1080201c xori r2,r2,128 + 29ee8: 10bfe004 addi r2,r2,-128 + 29eec: 103ff71e bne r2,zero,29ecc <_gp+0xfffed994> + 29ef0: 0007883a mov r3,zero + 29ef4: 28800003 ldbu r2,0(r5) + 29ef8: 1885c83a sub r2,r3,r2 + 29efc: f800283a ret + 29f00: 28800003 ldbu r2,0(r5) + 29f04: 18c03fcc andi r3,r3,255 + 29f08: 1885c83a sub r2,r3,r2 + 29f0c: f800283a ret + 29f10: 0005883a mov r2,zero + 29f14: f800283a ret + 29f18: 10c03fcc andi r3,r2,255 + 29f1c: 003ff506 br 29ef4 <_gp+0xfffed9bc> -0002a274 <__srefill_r>: - 2a274: defffc04 addi sp,sp,-16 - 2a278: dc400115 stw r17,4(sp) - 2a27c: dc000015 stw r16,0(sp) - 2a280: dfc00315 stw ra,12(sp) - 2a284: dc800215 stw r18,8(sp) - 2a288: 2023883a mov r17,r4 - 2a28c: 2821883a mov r16,r5 - 2a290: 20000226 beq r4,zero,2a29c <__srefill_r+0x28> - 2a294: 20800e17 ldw r2,56(r4) - 2a298: 10003c26 beq r2,zero,2a38c <__srefill_r+0x118> - 2a29c: 80c0030b ldhu r3,12(r16) - 2a2a0: 1908000c andi r4,r3,8192 - 2a2a4: 1805883a mov r2,r3 - 2a2a8: 2000071e bne r4,zero,2a2c8 <__srefill_r+0x54> - 2a2ac: 81001917 ldw r4,100(r16) - 2a2b0: 18880014 ori r2,r3,8192 - 2a2b4: 00f7ffc4 movi r3,-8193 - 2a2b8: 20c8703a and r4,r4,r3 - 2a2bc: 8080030d sth r2,12(r16) - 2a2c0: 1007883a mov r3,r2 - 2a2c4: 81001915 stw r4,100(r16) - 2a2c8: 80000115 stw zero,4(r16) - 2a2cc: 1100080c andi r4,r2,32 - 2a2d0: 2000571e bne r4,zero,2a430 <__srefill_r+0x1bc> - 2a2d4: 1100010c andi r4,r2,4 - 2a2d8: 20001f26 beq r4,zero,2a358 <__srefill_r+0xe4> - 2a2dc: 81400c17 ldw r5,48(r16) - 2a2e0: 28000826 beq r5,zero,2a304 <__srefill_r+0x90> - 2a2e4: 80801004 addi r2,r16,64 - 2a2e8: 28800226 beq r5,r2,2a2f4 <__srefill_r+0x80> - 2a2ec: 8809883a mov r4,r17 - 2a2f0: 0027c1c0 call 27c1c <_free_r> - 2a2f4: 80800f17 ldw r2,60(r16) - 2a2f8: 80000c15 stw zero,48(r16) - 2a2fc: 80800115 stw r2,4(r16) - 2a300: 1000391e bne r2,zero,2a3e8 <__srefill_r+0x174> - 2a304: 80800417 ldw r2,16(r16) - 2a308: 10004b26 beq r2,zero,2a438 <__srefill_r+0x1c4> - 2a30c: 8480030b ldhu r18,12(r16) - 2a310: 908000cc andi r2,r18,3 - 2a314: 10001f1e bne r2,zero,2a394 <__srefill_r+0x120> - 2a318: 81800417 ldw r6,16(r16) - 2a31c: 80800817 ldw r2,32(r16) - 2a320: 81c00517 ldw r7,20(r16) - 2a324: 81400717 ldw r5,28(r16) - 2a328: 81800015 stw r6,0(r16) - 2a32c: 8809883a mov r4,r17 - 2a330: 103ee83a callr r2 - 2a334: 80800115 stw r2,4(r16) - 2a338: 00800e0e bge zero,r2,2a374 <__srefill_r+0x100> - 2a33c: 0005883a mov r2,zero - 2a340: dfc00317 ldw ra,12(sp) - 2a344: dc800217 ldw r18,8(sp) - 2a348: dc400117 ldw r17,4(sp) - 2a34c: dc000017 ldw r16,0(sp) - 2a350: dec00404 addi sp,sp,16 - 2a354: f800283a ret - 2a358: 1100040c andi r4,r2,16 - 2a35c: 20003026 beq r4,zero,2a420 <__srefill_r+0x1ac> - 2a360: 1080020c andi r2,r2,8 - 2a364: 1000241e bne r2,zero,2a3f8 <__srefill_r+0x184> - 2a368: 18c00114 ori r3,r3,4 - 2a36c: 80c0030d sth r3,12(r16) - 2a370: 003fe406 br 2a304 <__srefill_r+0x90> - 2a374: 80c0030b ldhu r3,12(r16) - 2a378: 1000161e bne r2,zero,2a3d4 <__srefill_r+0x160> - 2a37c: 18c00814 ori r3,r3,32 - 2a380: 00bfffc4 movi r2,-1 - 2a384: 80c0030d sth r3,12(r16) - 2a388: 003fed06 br 2a340 <__srefill_r+0xcc> - 2a38c: 0027aa80 call 27aa8 <__sinit> - 2a390: 003fc206 br 2a29c <__srefill_r+0x28> - 2a394: 008000f4 movhi r2,3 - 2a398: 10939e04 addi r2,r2,20088 - 2a39c: 11000017 ldw r4,0(r2) - 2a3a0: 014000f4 movhi r5,3 - 2a3a4: 00800044 movi r2,1 - 2a3a8: 29689604 addi r5,r5,-23976 - 2a3ac: 8080030d sth r2,12(r16) - 2a3b0: 00283e80 call 283e8 <_fwalk> - 2a3b4: 00800244 movi r2,9 - 2a3b8: 8480030d sth r18,12(r16) - 2a3bc: 9480024c andi r18,r18,9 - 2a3c0: 90bfd51e bne r18,r2,2a318 <__srefill_r+0xa4> - 2a3c4: 800b883a mov r5,r16 - 2a3c8: 8809883a mov r4,r17 - 2a3cc: 00274b00 call 274b0 <__sflush_r> - 2a3d0: 003fd106 br 2a318 <__srefill_r+0xa4> - 2a3d4: 18c01014 ori r3,r3,64 - 2a3d8: 80000115 stw zero,4(r16) - 2a3dc: 00bfffc4 movi r2,-1 - 2a3e0: 80c0030d sth r3,12(r16) - 2a3e4: 003fd606 br 2a340 <__srefill_r+0xcc> - 2a3e8: 80c00e17 ldw r3,56(r16) - 2a3ec: 0005883a mov r2,zero - 2a3f0: 80c00015 stw r3,0(r16) - 2a3f4: 003fd206 br 2a340 <__srefill_r+0xcc> - 2a3f8: 800b883a mov r5,r16 - 2a3fc: 8809883a mov r4,r17 - 2a400: 00276cc0 call 276cc <_fflush_r> - 2a404: 10000a1e bne r2,zero,2a430 <__srefill_r+0x1bc> - 2a408: 8080030b ldhu r2,12(r16) - 2a40c: 00fffdc4 movi r3,-9 - 2a410: 80000215 stw zero,8(r16) - 2a414: 1886703a and r3,r3,r2 - 2a418: 80000615 stw zero,24(r16) - 2a41c: 003fd206 br 2a368 <__srefill_r+0xf4> - 2a420: 00800244 movi r2,9 - 2a424: 88800015 stw r2,0(r17) - 2a428: 18c01014 ori r3,r3,64 - 2a42c: 80c0030d sth r3,12(r16) - 2a430: 00bfffc4 movi r2,-1 - 2a434: 003fc206 br 2a340 <__srefill_r+0xcc> - 2a438: 800b883a mov r5,r16 - 2a43c: 8809883a mov r4,r17 - 2a440: 002864c0 call 2864c <__smakebuf_r> - 2a444: 003fb106 br 2a30c <__srefill_r+0x98> +00029f20 <__sprint_r.part.0>: + 29f20: 28801917 ldw r2,100(r5) + 29f24: defff604 addi sp,sp,-40 + 29f28: dd400515 stw r21,20(sp) + 29f2c: dfc00915 stw ra,36(sp) + 29f30: df000815 stw fp,32(sp) + 29f34: ddc00715 stw r23,28(sp) + 29f38: dd800615 stw r22,24(sp) + 29f3c: dd000415 stw r20,16(sp) + 29f40: dcc00315 stw r19,12(sp) + 29f44: dc800215 stw r18,8(sp) + 29f48: dc400115 stw r17,4(sp) + 29f4c: dc000015 stw r16,0(sp) + 29f50: 1088000c andi r2,r2,8192 + 29f54: 302b883a mov r21,r6 + 29f58: 10002e26 beq r2,zero,2a014 <__sprint_r.part.0+0xf4> + 29f5c: 30800217 ldw r2,8(r6) + 29f60: 35800017 ldw r22,0(r6) + 29f64: 10002926 beq r2,zero,2a00c <__sprint_r.part.0+0xec> + 29f68: 2827883a mov r19,r5 + 29f6c: 2029883a mov r20,r4 + 29f70: b5c00104 addi r23,r22,4 + 29f74: 04bfffc4 movi r18,-1 + 29f78: bc400017 ldw r17,0(r23) + 29f7c: b4000017 ldw r16,0(r22) + 29f80: 0039883a mov fp,zero + 29f84: 8822d0ba srli r17,r17,2 + 29f88: 8800031e bne r17,zero,29f98 <__sprint_r.part.0+0x78> + 29f8c: 00001806 br 29ff0 <__sprint_r.part.0+0xd0> + 29f90: 84000104 addi r16,r16,4 + 29f94: 8f001526 beq r17,fp,29fec <__sprint_r.part.0+0xcc> + 29f98: 81400017 ldw r5,0(r16) + 29f9c: 980d883a mov r6,r19 + 29fa0: a009883a mov r4,r20 + 29fa4: 002baa00 call 2baa0 <_fputwc_r> + 29fa8: e7000044 addi fp,fp,1 + 29fac: 14bff81e bne r2,r18,29f90 <_gp+0xfffeda58> + 29fb0: 9005883a mov r2,r18 + 29fb4: a8000215 stw zero,8(r21) + 29fb8: a8000115 stw zero,4(r21) + 29fbc: dfc00917 ldw ra,36(sp) + 29fc0: df000817 ldw fp,32(sp) + 29fc4: ddc00717 ldw r23,28(sp) + 29fc8: dd800617 ldw r22,24(sp) + 29fcc: dd400517 ldw r21,20(sp) + 29fd0: dd000417 ldw r20,16(sp) + 29fd4: dcc00317 ldw r19,12(sp) + 29fd8: dc800217 ldw r18,8(sp) + 29fdc: dc400117 ldw r17,4(sp) + 29fe0: dc000017 ldw r16,0(sp) + 29fe4: dec00a04 addi sp,sp,40 + 29fe8: f800283a ret + 29fec: a8800217 ldw r2,8(r21) + 29ff0: 8c63883a add r17,r17,r17 + 29ff4: 8c63883a add r17,r17,r17 + 29ff8: 1445c83a sub r2,r2,r17 + 29ffc: a8800215 stw r2,8(r21) + 2a000: b5800204 addi r22,r22,8 + 2a004: bdc00204 addi r23,r23,8 + 2a008: 103fdb1e bne r2,zero,29f78 <_gp+0xfffeda40> + 2a00c: 0005883a mov r2,zero + 2a010: 003fe806 br 29fb4 <_gp+0xfffeda7c> + 2a014: 00279680 call 27968 <__sfvwrite_r> + 2a018: 003fe606 br 29fb4 <_gp+0xfffeda7c> -0002a448 <__fpclassifyd>: - 2a448: 00a00034 movhi r2,32768 - 2a44c: 10bfffc4 addi r2,r2,-1 - 2a450: 2884703a and r2,r5,r2 - 2a454: 10000726 beq r2,zero,2a474 <__fpclassifyd+0x2c> - 2a458: 00fffc34 movhi r3,65520 - 2a45c: 019ff834 movhi r6,32736 - 2a460: 28c7883a add r3,r5,r3 - 2a464: 31bfffc4 addi r6,r6,-1 - 2a468: 30c00536 bltu r6,r3,2a480 <__fpclassifyd+0x38> - 2a46c: 00800104 movi r2,4 - 2a470: f800283a ret - 2a474: 2000021e bne r4,zero,2a480 <__fpclassifyd+0x38> - 2a478: 00800084 movi r2,2 - 2a47c: f800283a ret - 2a480: 00dffc34 movhi r3,32752 - 2a484: 019ff834 movhi r6,32736 - 2a488: 28cb883a add r5,r5,r3 - 2a48c: 31bfffc4 addi r6,r6,-1 - 2a490: 317ff62e bgeu r6,r5,2a46c <__fpclassifyd+0x24> - 2a494: 01400434 movhi r5,16 - 2a498: 297fffc4 addi r5,r5,-1 - 2a49c: 28800236 bltu r5,r2,2a4a8 <__fpclassifyd+0x60> - 2a4a0: 008000c4 movi r2,3 - 2a4a4: f800283a ret - 2a4a8: 10c00226 beq r2,r3,2a4b4 <__fpclassifyd+0x6c> - 2a4ac: 0005883a mov r2,zero - 2a4b0: f800283a ret - 2a4b4: 2005003a cmpeq r2,r4,zero - 2a4b8: f800283a ret +0002a01c <__sprint_r>: + 2a01c: 30c00217 ldw r3,8(r6) + 2a020: 18000126 beq r3,zero,2a028 <__sprint_r+0xc> + 2a024: 0029f201 jmpi 29f20 <__sprint_r.part.0> + 2a028: 30000115 stw zero,4(r6) + 2a02c: 0005883a mov r2,zero + 2a030: f800283a ret -0002a4bc <__sread>: - 2a4bc: defffe04 addi sp,sp,-8 - 2a4c0: dc000015 stw r16,0(sp) - 2a4c4: 2821883a mov r16,r5 - 2a4c8: 2940038f ldh r5,14(r5) - 2a4cc: dfc00115 stw ra,4(sp) - 2a4d0: 002c4080 call 2c408 <_read_r> - 2a4d4: 10000716 blt r2,zero,2a4f4 <__sread+0x38> - 2a4d8: 80c01417 ldw r3,80(r16) - 2a4dc: 1887883a add r3,r3,r2 - 2a4e0: 80c01415 stw r3,80(r16) - 2a4e4: dfc00117 ldw ra,4(sp) - 2a4e8: dc000017 ldw r16,0(sp) - 2a4ec: dec00204 addi sp,sp,8 - 2a4f0: f800283a ret - 2a4f4: 80c0030b ldhu r3,12(r16) - 2a4f8: 18fbffcc andi r3,r3,61439 - 2a4fc: 80c0030d sth r3,12(r16) - 2a500: dfc00117 ldw ra,4(sp) - 2a504: dc000017 ldw r16,0(sp) - 2a508: dec00204 addi sp,sp,8 - 2a50c: f800283a ret - -0002a510 <__seofread>: - 2a510: 0005883a mov r2,zero - 2a514: f800283a ret - -0002a518 <__swrite>: - 2a518: 2880030b ldhu r2,12(r5) - 2a51c: defffb04 addi sp,sp,-20 - 2a520: dcc00315 stw r19,12(sp) - 2a524: dc800215 stw r18,8(sp) - 2a528: dc400115 stw r17,4(sp) - 2a52c: dc000015 stw r16,0(sp) - 2a530: dfc00415 stw ra,16(sp) - 2a534: 10c0400c andi r3,r2,256 - 2a538: 2821883a mov r16,r5 - 2a53c: 2023883a mov r17,r4 - 2a540: 3025883a mov r18,r6 - 2a544: 3827883a mov r19,r7 - 2a548: 18000526 beq r3,zero,2a560 <__swrite+0x48> - 2a54c: 2940038f ldh r5,14(r5) - 2a550: 01c00084 movi r7,2 - 2a554: 000d883a mov r6,zero - 2a558: 002c3a80 call 2c3a8 <_lseek_r> - 2a55c: 8080030b ldhu r2,12(r16) - 2a560: 8140038f ldh r5,14(r16) - 2a564: 10bbffcc andi r2,r2,61439 - 2a568: 980f883a mov r7,r19 - 2a56c: 900d883a mov r6,r18 - 2a570: 8809883a mov r4,r17 - 2a574: 8080030d sth r2,12(r16) - 2a578: dfc00417 ldw ra,16(sp) - 2a57c: dcc00317 ldw r19,12(sp) - 2a580: dc800217 ldw r18,8(sp) - 2a584: dc400117 ldw r17,4(sp) - 2a588: dc000017 ldw r16,0(sp) - 2a58c: dec00504 addi sp,sp,20 - 2a590: 002be741 jmpi 2be74 <_write_r> - -0002a594 <__sseek>: - 2a594: defffe04 addi sp,sp,-8 - 2a598: dc000015 stw r16,0(sp) - 2a59c: 2821883a mov r16,r5 - 2a5a0: 2940038f ldh r5,14(r5) - 2a5a4: dfc00115 stw ra,4(sp) - 2a5a8: 002c3a80 call 2c3a8 <_lseek_r> - 2a5ac: 00ffffc4 movi r3,-1 - 2a5b0: 10c00826 beq r2,r3,2a5d4 <__sseek+0x40> - 2a5b4: 80c0030b ldhu r3,12(r16) - 2a5b8: 80801415 stw r2,80(r16) - 2a5bc: 18c40014 ori r3,r3,4096 - 2a5c0: 80c0030d sth r3,12(r16) - 2a5c4: dfc00117 ldw ra,4(sp) - 2a5c8: dc000017 ldw r16,0(sp) - 2a5cc: dec00204 addi sp,sp,8 - 2a5d0: f800283a ret - 2a5d4: 80c0030b ldhu r3,12(r16) - 2a5d8: 18fbffcc andi r3,r3,61439 - 2a5dc: 80c0030d sth r3,12(r16) - 2a5e0: dfc00117 ldw ra,4(sp) - 2a5e4: dc000017 ldw r16,0(sp) - 2a5e8: dec00204 addi sp,sp,8 - 2a5ec: f800283a ret - -0002a5f0 <__sclose>: - 2a5f0: 2940038f ldh r5,14(r5) - 2a5f4: 002bed41 jmpi 2bed4 <_close_r> - -0002a5f8 : - 2a5f8: 2144b03a or r2,r4,r5 - 2a5fc: 108000cc andi r2,r2,3 - 2a600: 1000171e bne r2,zero,2a660 - 2a604: 20800017 ldw r2,0(r4) - 2a608: 28c00017 ldw r3,0(r5) - 2a60c: 10c0141e bne r2,r3,2a660 - 2a610: 027fbff4 movhi r9,65279 - 2a614: 4a7fbfc4 addi r9,r9,-257 - 2a618: 0086303a nor r3,zero,r2 - 2a61c: 02202074 movhi r8,32897 - 2a620: 1245883a add r2,r2,r9 - 2a624: 42202004 addi r8,r8,-32640 - 2a628: 10c4703a and r2,r2,r3 - 2a62c: 1204703a and r2,r2,r8 - 2a630: 10000226 beq r2,zero,2a63c - 2a634: 00002306 br 2a6c4 - 2a638: 1000221e bne r2,zero,2a6c4 - 2a63c: 21000104 addi r4,r4,4 - 2a640: 20c00017 ldw r3,0(r4) - 2a644: 29400104 addi r5,r5,4 - 2a648: 29800017 ldw r6,0(r5) - 2a64c: 1a4f883a add r7,r3,r9 - 2a650: 00c4303a nor r2,zero,r3 - 2a654: 3884703a and r2,r7,r2 - 2a658: 1204703a and r2,r2,r8 - 2a65c: 19bff626 beq r3,r6,2a638 - 2a660: 20800003 ldbu r2,0(r4) - 2a664: 10c03fcc andi r3,r2,255 - 2a668: 18c0201c xori r3,r3,128 - 2a66c: 18ffe004 addi r3,r3,-128 - 2a670: 18000c26 beq r3,zero,2a6a4 - 2a674: 29800007 ldb r6,0(r5) - 2a678: 19800326 beq r3,r6,2a688 - 2a67c: 00001306 br 2a6cc - 2a680: 29800007 ldb r6,0(r5) - 2a684: 11800b1e bne r2,r6,2a6b4 - 2a688: 21000044 addi r4,r4,1 - 2a68c: 20c00003 ldbu r3,0(r4) - 2a690: 29400044 addi r5,r5,1 - 2a694: 18803fcc andi r2,r3,255 - 2a698: 1080201c xori r2,r2,128 - 2a69c: 10bfe004 addi r2,r2,-128 - 2a6a0: 103ff71e bne r2,zero,2a680 - 2a6a4: 0007883a mov r3,zero - 2a6a8: 28800003 ldbu r2,0(r5) - 2a6ac: 1885c83a sub r2,r3,r2 - 2a6b0: f800283a ret - 2a6b4: 28800003 ldbu r2,0(r5) - 2a6b8: 18c03fcc andi r3,r3,255 - 2a6bc: 1885c83a sub r2,r3,r2 - 2a6c0: f800283a ret - 2a6c4: 0005883a mov r2,zero - 2a6c8: f800283a ret - 2a6cc: 10c03fcc andi r3,r2,255 - 2a6d0: 003ff506 br 2a6a8 - -0002a6d4 <__sprint_r.part.0>: - 2a6d4: 28801917 ldw r2,100(r5) - 2a6d8: defff604 addi sp,sp,-40 - 2a6dc: dd400515 stw r21,20(sp) - 2a6e0: dfc00915 stw ra,36(sp) - 2a6e4: df000815 stw fp,32(sp) - 2a6e8: ddc00715 stw r23,28(sp) - 2a6ec: dd800615 stw r22,24(sp) - 2a6f0: dd000415 stw r20,16(sp) - 2a6f4: dcc00315 stw r19,12(sp) - 2a6f8: dc800215 stw r18,8(sp) - 2a6fc: dc400115 stw r17,4(sp) - 2a700: dc000015 stw r16,0(sp) - 2a704: 1088000c andi r2,r2,8192 - 2a708: 302b883a mov r21,r6 - 2a70c: 10002e26 beq r2,zero,2a7c8 <__sprint_r.part.0+0xf4> - 2a710: 30800217 ldw r2,8(r6) - 2a714: 35800017 ldw r22,0(r6) - 2a718: 10002926 beq r2,zero,2a7c0 <__sprint_r.part.0+0xec> - 2a71c: 2827883a mov r19,r5 - 2a720: 2029883a mov r20,r4 - 2a724: b5c00104 addi r23,r22,4 - 2a728: 04bfffc4 movi r18,-1 - 2a72c: bc400017 ldw r17,0(r23) - 2a730: b4000017 ldw r16,0(r22) - 2a734: 0039883a mov fp,zero - 2a738: 8822d0ba srli r17,r17,2 - 2a73c: 8800031e bne r17,zero,2a74c <__sprint_r.part.0+0x78> - 2a740: 00001806 br 2a7a4 <__sprint_r.part.0+0xd0> - 2a744: 84000104 addi r16,r16,4 - 2a748: 8f001526 beq r17,fp,2a7a0 <__sprint_r.part.0+0xcc> - 2a74c: 81400017 ldw r5,0(r16) - 2a750: 980d883a mov r6,r19 - 2a754: a009883a mov r4,r20 - 2a758: 002c2540 call 2c254 <_fputwc_r> - 2a75c: e7000044 addi fp,fp,1 - 2a760: 14bff81e bne r2,r18,2a744 <__sprint_r.part.0+0x70> - 2a764: 9005883a mov r2,r18 - 2a768: a8000215 stw zero,8(r21) - 2a76c: a8000115 stw zero,4(r21) - 2a770: dfc00917 ldw ra,36(sp) - 2a774: df000817 ldw fp,32(sp) - 2a778: ddc00717 ldw r23,28(sp) - 2a77c: dd800617 ldw r22,24(sp) - 2a780: dd400517 ldw r21,20(sp) - 2a784: dd000417 ldw r20,16(sp) - 2a788: dcc00317 ldw r19,12(sp) - 2a78c: dc800217 ldw r18,8(sp) - 2a790: dc400117 ldw r17,4(sp) - 2a794: dc000017 ldw r16,0(sp) - 2a798: dec00a04 addi sp,sp,40 - 2a79c: f800283a ret - 2a7a0: a8800217 ldw r2,8(r21) - 2a7a4: 8c63883a add r17,r17,r17 - 2a7a8: 8c63883a add r17,r17,r17 - 2a7ac: 1445c83a sub r2,r2,r17 - 2a7b0: a8800215 stw r2,8(r21) - 2a7b4: b5800204 addi r22,r22,8 - 2a7b8: bdc00204 addi r23,r23,8 - 2a7bc: 103fdb1e bne r2,zero,2a72c <__sprint_r.part.0+0x58> - 2a7c0: 0005883a mov r2,zero - 2a7c4: 003fe806 br 2a768 <__sprint_r.part.0+0x94> - 2a7c8: 0027f2c0 call 27f2c <__sfvwrite_r> - 2a7cc: 003fe606 br 2a768 <__sprint_r.part.0+0x94> - -0002a7d0 <__sprint_r>: - 2a7d0: 30c00217 ldw r3,8(r6) - 2a7d4: 18000126 beq r3,zero,2a7dc <__sprint_r+0xc> - 2a7d8: 002a6d41 jmpi 2a6d4 <__sprint_r.part.0> - 2a7dc: 30000115 stw zero,4(r6) - 2a7e0: 0005883a mov r2,zero - 2a7e4: f800283a ret - -0002a7e8 <___vfiprintf_internal_r>: - 2a7e8: deffc904 addi sp,sp,-220 - 2a7ec: df003515 stw fp,212(sp) - 2a7f0: dd003115 stw r20,196(sp) - 2a7f4: dfc03615 stw ra,216(sp) - 2a7f8: ddc03415 stw r23,208(sp) - 2a7fc: dd803315 stw r22,204(sp) - 2a800: dd403215 stw r21,200(sp) - 2a804: dcc03015 stw r19,192(sp) - 2a808: dc802f15 stw r18,188(sp) - 2a80c: dc402e15 stw r17,184(sp) - 2a810: dc002d15 stw r16,180(sp) - 2a814: d9002015 stw r4,128(sp) - 2a818: d9c02215 stw r7,136(sp) - 2a81c: 2829883a mov r20,r5 - 2a820: 3039883a mov fp,r6 - 2a824: 20000226 beq r4,zero,2a830 <___vfiprintf_internal_r+0x48> - 2a828: 20800e17 ldw r2,56(r4) - 2a82c: 1000cf26 beq r2,zero,2ab6c <___vfiprintf_internal_r+0x384> - 2a830: a080030b ldhu r2,12(r20) - 2a834: 10c8000c andi r3,r2,8192 - 2a838: 1800061e bne r3,zero,2a854 <___vfiprintf_internal_r+0x6c> - 2a83c: a1001917 ldw r4,100(r20) - 2a840: 00f7ffc4 movi r3,-8193 - 2a844: 10880014 ori r2,r2,8192 - 2a848: 20c6703a and r3,r4,r3 - 2a84c: a080030d sth r2,12(r20) - 2a850: a0c01915 stw r3,100(r20) - 2a854: 10c0020c andi r3,r2,8 - 2a858: 1800a926 beq r3,zero,2ab00 <___vfiprintf_internal_r+0x318> - 2a85c: a0c00417 ldw r3,16(r20) - 2a860: 1800a726 beq r3,zero,2ab00 <___vfiprintf_internal_r+0x318> - 2a864: 1080068c andi r2,r2,26 - 2a868: 00c00284 movi r3,10 - 2a86c: 10c0ac26 beq r2,r3,2ab20 <___vfiprintf_internal_r+0x338> - 2a870: da801a04 addi r10,sp,104 - 2a874: da801e15 stw r10,120(sp) - 2a878: d8801e17 ldw r2,120(sp) - 2a87c: da8019c4 addi r10,sp,103 - 2a880: 058000f4 movhi r22,3 - 2a884: 05c000f4 movhi r23,3 - 2a888: da801f15 stw r10,124(sp) - 2a88c: 1295c83a sub r10,r2,r10 - 2a890: b58ab704 addi r22,r22,10972 - 2a894: bdcab304 addi r23,r23,10956 - 2a898: dec01a15 stw sp,104(sp) - 2a89c: d8001c15 stw zero,112(sp) - 2a8a0: d8001b15 stw zero,108(sp) - 2a8a4: d8002615 stw zero,152(sp) - 2a8a8: d8002315 stw zero,140(sp) - 2a8ac: da802715 stw r10,156(sp) - 2a8b0: d811883a mov r8,sp - 2a8b4: dd002115 stw r20,132(sp) - 2a8b8: e021883a mov r16,fp - 2a8bc: 80800007 ldb r2,0(r16) - 2a8c0: 1003ea26 beq r2,zero,2b86c <___vfiprintf_internal_r+0x1084> - 2a8c4: 00c00944 movi r3,37 - 2a8c8: 8025883a mov r18,r16 - 2a8cc: 10c0021e bne r2,r3,2a8d8 <___vfiprintf_internal_r+0xf0> - 2a8d0: 00001606 br 2a92c <___vfiprintf_internal_r+0x144> - 2a8d4: 10c00326 beq r2,r3,2a8e4 <___vfiprintf_internal_r+0xfc> - 2a8d8: 94800044 addi r18,r18,1 - 2a8dc: 90800007 ldb r2,0(r18) - 2a8e0: 103ffc1e bne r2,zero,2a8d4 <___vfiprintf_internal_r+0xec> - 2a8e4: 9423c83a sub r17,r18,r16 - 2a8e8: 88001026 beq r17,zero,2a92c <___vfiprintf_internal_r+0x144> - 2a8ec: d8c01c17 ldw r3,112(sp) - 2a8f0: d8801b17 ldw r2,108(sp) - 2a8f4: 44000015 stw r16,0(r8) - 2a8f8: 88c7883a add r3,r17,r3 - 2a8fc: 10800044 addi r2,r2,1 - 2a900: 44400115 stw r17,4(r8) - 2a904: d8c01c15 stw r3,112(sp) - 2a908: d8801b15 stw r2,108(sp) - 2a90c: 010001c4 movi r4,7 - 2a910: 2080760e bge r4,r2,2aaec <___vfiprintf_internal_r+0x304> - 2a914: 1803821e bne r3,zero,2b720 <___vfiprintf_internal_r+0xf38> - 2a918: da802317 ldw r10,140(sp) - 2a91c: d8001b15 stw zero,108(sp) - 2a920: d811883a mov r8,sp - 2a924: 5455883a add r10,r10,r17 - 2a928: da802315 stw r10,140(sp) - 2a92c: 90800007 ldb r2,0(r18) - 2a930: 10044626 beq r2,zero,2ba4c <___vfiprintf_internal_r+0x1264> - 2a934: 90c00047 ldb r3,1(r18) - 2a938: 94000044 addi r16,r18,1 - 2a93c: d8001d85 stb zero,118(sp) - 2a940: 0009883a mov r4,zero - 2a944: 000f883a mov r7,zero - 2a948: 027fffc4 movi r9,-1 - 2a94c: 0023883a mov r17,zero - 2a950: 0029883a mov r20,zero - 2a954: 01401604 movi r5,88 - 2a958: 01800244 movi r6,9 - 2a95c: 03400a84 movi r13,42 - 2a960: 03001b04 movi r12,108 - 2a964: 84000044 addi r16,r16,1 - 2a968: 18bff804 addi r2,r3,-32 - 2a96c: 28827336 bltu r5,r2,2b33c <___vfiprintf_internal_r+0xb54> - 2a970: 100490ba slli r2,r2,2 - 2a974: 028000f4 movhi r10,3 - 2a978: 52aa6204 addi r10,r10,-22136 - 2a97c: 1285883a add r2,r2,r10 - 2a980: 10800017 ldw r2,0(r2) - 2a984: 1000683a jmp r2 - 2a988: 0002b070 cmpltui zero,zero,2753 - 2a98c: 0002b33c xorhi zero,zero,2764 - 2a990: 0002b33c xorhi zero,zero,2764 - 2a994: 0002b090 cmplti zero,zero,2754 - 2a998: 0002b33c xorhi zero,zero,2764 - 2a99c: 0002b33c xorhi zero,zero,2764 - 2a9a0: 0002b33c xorhi zero,zero,2764 - 2a9a4: 0002b33c xorhi zero,zero,2764 - 2a9a8: 0002b33c xorhi zero,zero,2764 - 2a9ac: 0002b33c xorhi zero,zero,2764 - 2a9b0: 0002b278 rdprs zero,zero,2761 - 2a9b4: 0002b294 movui zero,2762 - 2a9b8: 0002b33c xorhi zero,zero,2764 - 2a9bc: 0002ab7c xorhi zero,zero,2733 - 2a9c0: 0002b2a4 muli zero,zero,2762 - 2a9c4: 0002b33c xorhi zero,zero,2764 - 2a9c8: 0002b09c xori zero,zero,2754 - 2a9cc: 0002b0a8 cmpgeui zero,zero,2754 - 2a9d0: 0002b0a8 cmpgeui zero,zero,2754 - 2a9d4: 0002b0a8 cmpgeui zero,zero,2754 - 2a9d8: 0002b0a8 cmpgeui zero,zero,2754 - 2a9dc: 0002b0a8 cmpgeui zero,zero,2754 - 2a9e0: 0002b0a8 cmpgeui zero,zero,2754 - 2a9e4: 0002b0a8 cmpgeui zero,zero,2754 - 2a9e8: 0002b0a8 cmpgeui zero,zero,2754 - 2a9ec: 0002b0a8 cmpgeui zero,zero,2754 - 2a9f0: 0002b33c xorhi zero,zero,2764 - 2a9f4: 0002b33c xorhi zero,zero,2764 - 2a9f8: 0002b33c xorhi zero,zero,2764 - 2a9fc: 0002b33c xorhi zero,zero,2764 - 2aa00: 0002b33c xorhi zero,zero,2764 - 2aa04: 0002b33c xorhi zero,zero,2764 - 2aa08: 0002b33c xorhi zero,zero,2764 - 2aa0c: 0002b33c xorhi zero,zero,2764 - 2aa10: 0002b33c xorhi zero,zero,2764 - 2aa14: 0002b33c xorhi zero,zero,2764 - 2aa18: 0002b0d4 movui zero,2755 - 2aa1c: 0002b33c xorhi zero,zero,2764 - 2aa20: 0002b33c xorhi zero,zero,2764 - 2aa24: 0002b33c xorhi zero,zero,2764 - 2aa28: 0002b33c xorhi zero,zero,2764 - 2aa2c: 0002b33c xorhi zero,zero,2764 - 2aa30: 0002b33c xorhi zero,zero,2764 - 2aa34: 0002b33c xorhi zero,zero,2764 - 2aa38: 0002b33c xorhi zero,zero,2764 - 2aa3c: 0002b33c xorhi zero,zero,2764 - 2aa40: 0002b33c xorhi zero,zero,2764 - 2aa44: 0002b10c andi zero,zero,2756 - 2aa48: 0002b33c xorhi zero,zero,2764 - 2aa4c: 0002b33c xorhi zero,zero,2764 - 2aa50: 0002b33c xorhi zero,zero,2764 - 2aa54: 0002b33c xorhi zero,zero,2764 - 2aa58: 0002b33c xorhi zero,zero,2764 - 2aa5c: 0002b164 muli zero,zero,2757 - 2aa60: 0002b33c xorhi zero,zero,2764 - 2aa64: 0002b33c xorhi zero,zero,2764 - 2aa68: 0002b1d4 movui zero,2759 - 2aa6c: 0002b33c xorhi zero,zero,2764 - 2aa70: 0002b33c xorhi zero,zero,2764 - 2aa74: 0002b33c xorhi zero,zero,2764 - 2aa78: 0002b33c xorhi zero,zero,2764 - 2aa7c: 0002b33c xorhi zero,zero,2764 - 2aa80: 0002b33c xorhi zero,zero,2764 - 2aa84: 0002b33c xorhi zero,zero,2764 - 2aa88: 0002b33c xorhi zero,zero,2764 - 2aa8c: 0002b33c xorhi zero,zero,2764 - 2aa90: 0002b33c xorhi zero,zero,2764 - 2aa94: 0002af80 call 2af8 <__alt_mem_onchip_memory2_0-0x1d508> - 2aa98: 0002afac andhi zero,zero,2750 - 2aa9c: 0002b33c xorhi zero,zero,2764 - 2aaa0: 0002b33c xorhi zero,zero,2764 - 2aaa4: 0002b33c xorhi zero,zero,2764 - 2aaa8: 0002b2e4 muli zero,zero,2763 - 2aaac: 0002afac andhi zero,zero,2750 - 2aab0: 0002b33c xorhi zero,zero,2764 - 2aab4: 0002b33c xorhi zero,zero,2764 - 2aab8: 0002ae40 call 2ae4 <__alt_mem_onchip_memory2_0-0x1d51c> - 2aabc: 0002b33c xorhi zero,zero,2764 - 2aac0: 0002ae50 cmplti zero,zero,2745 - 2aac4: 0002ae8c andi zero,zero,2746 - 2aac8: 0002ab88 cmpgei zero,zero,2734 - 2aacc: 0002ae34 movhi zero,2744 - 2aad0: 0002b33c xorhi zero,zero,2764 - 2aad4: 0002b210 cmplti zero,zero,2760 - 2aad8: 0002b33c xorhi zero,zero,2764 - 2aadc: 0002b268 cmpgeui zero,zero,2761 - 2aae0: 0002b33c xorhi zero,zero,2764 - 2aae4: 0002b33c xorhi zero,zero,2764 - 2aae8: 0002af2c andhi zero,zero,2748 - 2aaec: 42000204 addi r8,r8,8 - 2aaf0: da802317 ldw r10,140(sp) - 2aaf4: 5455883a add r10,r10,r17 - 2aaf8: da802315 stw r10,140(sp) - 2aafc: 003f8b06 br 2a92c <___vfiprintf_internal_r+0x144> - 2ab00: d9002017 ldw r4,128(sp) - 2ab04: a00b883a mov r5,r20 - 2ab08: 0025ad40 call 25ad4 <__swsetup_r> - 2ab0c: 1003b11e bne r2,zero,2b9d4 <___vfiprintf_internal_r+0x11ec> - 2ab10: a080030b ldhu r2,12(r20) - 2ab14: 00c00284 movi r3,10 - 2ab18: 1080068c andi r2,r2,26 - 2ab1c: 10ff541e bne r2,r3,2a870 <___vfiprintf_internal_r+0x88> - 2ab20: a080038f ldh r2,14(r20) - 2ab24: 103f5216 blt r2,zero,2a870 <___vfiprintf_internal_r+0x88> - 2ab28: d9c02217 ldw r7,136(sp) - 2ab2c: d9002017 ldw r4,128(sp) - 2ab30: e00d883a mov r6,fp - 2ab34: a00b883a mov r5,r20 - 2ab38: 002bc600 call 2bc60 <__sbprintf> - 2ab3c: dfc03617 ldw ra,216(sp) - 2ab40: df003517 ldw fp,212(sp) - 2ab44: ddc03417 ldw r23,208(sp) - 2ab48: dd803317 ldw r22,204(sp) - 2ab4c: dd403217 ldw r21,200(sp) - 2ab50: dd003117 ldw r20,196(sp) - 2ab54: dcc03017 ldw r19,192(sp) - 2ab58: dc802f17 ldw r18,188(sp) - 2ab5c: dc402e17 ldw r17,184(sp) - 2ab60: dc002d17 ldw r16,180(sp) - 2ab64: dec03704 addi sp,sp,220 - 2ab68: f800283a ret - 2ab6c: 0027aa80 call 27aa8 <__sinit> - 2ab70: 003f2f06 br 2a830 <___vfiprintf_internal_r+0x48> - 2ab74: 0463c83a sub r17,zero,r17 - 2ab78: d8802215 stw r2,136(sp) - 2ab7c: a5000114 ori r20,r20,4 - 2ab80: 80c00007 ldb r3,0(r16) - 2ab84: 003f7706 br 2a964 <___vfiprintf_internal_r+0x17c> - 2ab88: 00800c04 movi r2,48 - 2ab8c: da802217 ldw r10,136(sp) - 2ab90: d8801d05 stb r2,116(sp) - 2ab94: 00801e04 movi r2,120 - 2ab98: d8801d45 stb r2,117(sp) +0002a034 <___vfiprintf_internal_r>: + 2a034: deffc904 addi sp,sp,-220 + 2a038: df003515 stw fp,212(sp) + 2a03c: dd003115 stw r20,196(sp) + 2a040: dfc03615 stw ra,216(sp) + 2a044: ddc03415 stw r23,208(sp) + 2a048: dd803315 stw r22,204(sp) + 2a04c: dd403215 stw r21,200(sp) + 2a050: dcc03015 stw r19,192(sp) + 2a054: dc802f15 stw r18,188(sp) + 2a058: dc402e15 stw r17,184(sp) + 2a05c: dc002d15 stw r16,180(sp) + 2a060: d9002015 stw r4,128(sp) + 2a064: d9c02215 stw r7,136(sp) + 2a068: 2829883a mov r20,r5 + 2a06c: 3039883a mov fp,r6 + 2a070: 20000226 beq r4,zero,2a07c <___vfiprintf_internal_r+0x48> + 2a074: 20800e17 ldw r2,56(r4) + 2a078: 1000cf26 beq r2,zero,2a3b8 <___vfiprintf_internal_r+0x384> + 2a07c: a080030b ldhu r2,12(r20) + 2a080: 10c8000c andi r3,r2,8192 + 2a084: 1800061e bne r3,zero,2a0a0 <___vfiprintf_internal_r+0x6c> + 2a088: a1001917 ldw r4,100(r20) + 2a08c: 00f7ffc4 movi r3,-8193 + 2a090: 10880014 ori r2,r2,8192 + 2a094: 20c6703a and r3,r4,r3 + 2a098: a080030d sth r2,12(r20) + 2a09c: a0c01915 stw r3,100(r20) + 2a0a0: 10c0020c andi r3,r2,8 + 2a0a4: 1800a926 beq r3,zero,2a34c <___vfiprintf_internal_r+0x318> + 2a0a8: a0c00417 ldw r3,16(r20) + 2a0ac: 1800a726 beq r3,zero,2a34c <___vfiprintf_internal_r+0x318> + 2a0b0: 1080068c andi r2,r2,26 + 2a0b4: 00c00284 movi r3,10 + 2a0b8: 10c0ac26 beq r2,r3,2a36c <___vfiprintf_internal_r+0x338> + 2a0bc: da801a04 addi r10,sp,104 + 2a0c0: da801e15 stw r10,120(sp) + 2a0c4: d8801e17 ldw r2,120(sp) + 2a0c8: da8019c4 addi r10,sp,103 + 2a0cc: 058000f4 movhi r22,3 + 2a0d0: 05c000f4 movhi r23,3 + 2a0d4: da801f15 stw r10,124(sp) + 2a0d8: 1295c83a sub r10,r2,r10 + 2a0dc: b5886a04 addi r22,r22,8616 + 2a0e0: bdc86604 addi r23,r23,8600 + 2a0e4: dec01a15 stw sp,104(sp) + 2a0e8: d8001c15 stw zero,112(sp) + 2a0ec: d8001b15 stw zero,108(sp) + 2a0f0: d8002615 stw zero,152(sp) + 2a0f4: d8002315 stw zero,140(sp) + 2a0f8: da802715 stw r10,156(sp) + 2a0fc: d811883a mov r8,sp + 2a100: dd002115 stw r20,132(sp) + 2a104: e021883a mov r16,fp + 2a108: 80800007 ldb r2,0(r16) + 2a10c: 1003ea26 beq r2,zero,2b0b8 <___vfiprintf_internal_r+0x1084> + 2a110: 00c00944 movi r3,37 + 2a114: 8025883a mov r18,r16 + 2a118: 10c0021e bne r2,r3,2a124 <___vfiprintf_internal_r+0xf0> + 2a11c: 00001606 br 2a178 <___vfiprintf_internal_r+0x144> + 2a120: 10c00326 beq r2,r3,2a130 <___vfiprintf_internal_r+0xfc> + 2a124: 94800044 addi r18,r18,1 + 2a128: 90800007 ldb r2,0(r18) + 2a12c: 103ffc1e bne r2,zero,2a120 <_gp+0xfffedbe8> + 2a130: 9423c83a sub r17,r18,r16 + 2a134: 88001026 beq r17,zero,2a178 <___vfiprintf_internal_r+0x144> + 2a138: d8c01c17 ldw r3,112(sp) + 2a13c: d8801b17 ldw r2,108(sp) + 2a140: 44000015 stw r16,0(r8) + 2a144: 88c7883a add r3,r17,r3 + 2a148: 10800044 addi r2,r2,1 + 2a14c: 44400115 stw r17,4(r8) + 2a150: d8c01c15 stw r3,112(sp) + 2a154: d8801b15 stw r2,108(sp) + 2a158: 010001c4 movi r4,7 + 2a15c: 2080760e bge r4,r2,2a338 <___vfiprintf_internal_r+0x304> + 2a160: 1803821e bne r3,zero,2af6c <___vfiprintf_internal_r+0xf38> + 2a164: da802317 ldw r10,140(sp) + 2a168: d8001b15 stw zero,108(sp) + 2a16c: d811883a mov r8,sp + 2a170: 5455883a add r10,r10,r17 + 2a174: da802315 stw r10,140(sp) + 2a178: 90800007 ldb r2,0(r18) + 2a17c: 10044626 beq r2,zero,2b298 <___vfiprintf_internal_r+0x1264> + 2a180: 90c00047 ldb r3,1(r18) + 2a184: 94000044 addi r16,r18,1 + 2a188: d8001d85 stb zero,118(sp) + 2a18c: 0009883a mov r4,zero + 2a190: 000f883a mov r7,zero + 2a194: 027fffc4 movi r9,-1 + 2a198: 0023883a mov r17,zero + 2a19c: 0029883a mov r20,zero + 2a1a0: 01401604 movi r5,88 + 2a1a4: 01800244 movi r6,9 + 2a1a8: 03400a84 movi r13,42 + 2a1ac: 03001b04 movi r12,108 + 2a1b0: 84000044 addi r16,r16,1 + 2a1b4: 18bff804 addi r2,r3,-32 + 2a1b8: 28827336 bltu r5,r2,2ab88 <___vfiprintf_internal_r+0xb54> + 2a1bc: 100490ba slli r2,r2,2 + 2a1c0: 028000f4 movhi r10,3 + 2a1c4: 52a87504 addi r10,r10,-24108 + 2a1c8: 1285883a add r2,r2,r10 + 2a1cc: 10800017 ldw r2,0(r2) + 2a1d0: 1000683a jmp r2 + 2a1d4: 0002a8bc xorhi zero,zero,2722 + 2a1d8: 0002ab88 cmpgei zero,zero,2734 + 2a1dc: 0002ab88 cmpgei zero,zero,2734 + 2a1e0: 0002a8dc xori zero,zero,2723 + 2a1e4: 0002ab88 cmpgei zero,zero,2734 + 2a1e8: 0002ab88 cmpgei zero,zero,2734 + 2a1ec: 0002ab88 cmpgei zero,zero,2734 + 2a1f0: 0002ab88 cmpgei zero,zero,2734 + 2a1f4: 0002ab88 cmpgei zero,zero,2734 + 2a1f8: 0002ab88 cmpgei zero,zero,2734 + 2a1fc: 0002aac4 movi zero,2731 + 2a200: 0002aae0 cmpeqi zero,zero,2731 + 2a204: 0002ab88 cmpgei zero,zero,2734 + 2a208: 0002a3c8 cmpgei zero,zero,2703 + 2a20c: 0002aaf0 cmpltui zero,zero,2731 + 2a210: 0002ab88 cmpgei zero,zero,2734 + 2a214: 0002a8e8 cmpgeui zero,zero,2723 + 2a218: 0002a8f4 movhi zero,2723 + 2a21c: 0002a8f4 movhi zero,2723 + 2a220: 0002a8f4 movhi zero,2723 + 2a224: 0002a8f4 movhi zero,2723 + 2a228: 0002a8f4 movhi zero,2723 + 2a22c: 0002a8f4 movhi zero,2723 + 2a230: 0002a8f4 movhi zero,2723 + 2a234: 0002a8f4 movhi zero,2723 + 2a238: 0002a8f4 movhi zero,2723 + 2a23c: 0002ab88 cmpgei zero,zero,2734 + 2a240: 0002ab88 cmpgei zero,zero,2734 + 2a244: 0002ab88 cmpgei zero,zero,2734 + 2a248: 0002ab88 cmpgei zero,zero,2734 + 2a24c: 0002ab88 cmpgei zero,zero,2734 + 2a250: 0002ab88 cmpgei zero,zero,2734 + 2a254: 0002ab88 cmpgei zero,zero,2734 + 2a258: 0002ab88 cmpgei zero,zero,2734 + 2a25c: 0002ab88 cmpgei zero,zero,2734 + 2a260: 0002ab88 cmpgei zero,zero,2734 + 2a264: 0002a920 cmpeqi zero,zero,2724 + 2a268: 0002ab88 cmpgei zero,zero,2734 + 2a26c: 0002ab88 cmpgei zero,zero,2734 + 2a270: 0002ab88 cmpgei zero,zero,2734 + 2a274: 0002ab88 cmpgei zero,zero,2734 + 2a278: 0002ab88 cmpgei zero,zero,2734 + 2a27c: 0002ab88 cmpgei zero,zero,2734 + 2a280: 0002ab88 cmpgei zero,zero,2734 + 2a284: 0002ab88 cmpgei zero,zero,2734 + 2a288: 0002ab88 cmpgei zero,zero,2734 + 2a28c: 0002ab88 cmpgei zero,zero,2734 + 2a290: 0002a958 cmpnei zero,zero,2725 + 2a294: 0002ab88 cmpgei zero,zero,2734 + 2a298: 0002ab88 cmpgei zero,zero,2734 + 2a29c: 0002ab88 cmpgei zero,zero,2734 + 2a2a0: 0002ab88 cmpgei zero,zero,2734 + 2a2a4: 0002ab88 cmpgei zero,zero,2734 + 2a2a8: 0002a9b0 cmpltui zero,zero,2726 + 2a2ac: 0002ab88 cmpgei zero,zero,2734 + 2a2b0: 0002ab88 cmpgei zero,zero,2734 + 2a2b4: 0002aa20 cmpeqi zero,zero,2728 + 2a2b8: 0002ab88 cmpgei zero,zero,2734 + 2a2bc: 0002ab88 cmpgei zero,zero,2734 + 2a2c0: 0002ab88 cmpgei zero,zero,2734 + 2a2c4: 0002ab88 cmpgei zero,zero,2734 + 2a2c8: 0002ab88 cmpgei zero,zero,2734 + 2a2cc: 0002ab88 cmpgei zero,zero,2734 + 2a2d0: 0002ab88 cmpgei zero,zero,2734 + 2a2d4: 0002ab88 cmpgei zero,zero,2734 + 2a2d8: 0002ab88 cmpgei zero,zero,2734 + 2a2dc: 0002ab88 cmpgei zero,zero,2734 + 2a2e0: 0002a7cc andi zero,zero,2719 + 2a2e4: 0002a7f8 rdprs zero,zero,2719 + 2a2e8: 0002ab88 cmpgei zero,zero,2734 + 2a2ec: 0002ab88 cmpgei zero,zero,2734 + 2a2f0: 0002ab88 cmpgei zero,zero,2734 + 2a2f4: 0002ab30 cmpltui zero,zero,2732 + 2a2f8: 0002a7f8 rdprs zero,zero,2719 + 2a2fc: 0002ab88 cmpgei zero,zero,2734 + 2a300: 0002ab88 cmpgei zero,zero,2734 + 2a304: 0002a68c andi zero,zero,2714 + 2a308: 0002ab88 cmpgei zero,zero,2734 + 2a30c: 0002a69c xori zero,zero,2714 + 2a310: 0002a6d8 cmpnei zero,zero,2715 + 2a314: 0002a3d4 movui zero,2703 + 2a318: 0002a680 call 2a68 <__alt_mem_onchip_memory2_0-0x1d598> + 2a31c: 0002ab88 cmpgei zero,zero,2734 + 2a320: 0002aa5c xori zero,zero,2729 + 2a324: 0002ab88 cmpgei zero,zero,2734 + 2a328: 0002aab4 movhi zero,2730 + 2a32c: 0002ab88 cmpgei zero,zero,2734 + 2a330: 0002ab88 cmpgei zero,zero,2734 + 2a334: 0002a778 rdprs zero,zero,2717 + 2a338: 42000204 addi r8,r8,8 + 2a33c: da802317 ldw r10,140(sp) + 2a340: 5455883a add r10,r10,r17 + 2a344: da802315 stw r10,140(sp) + 2a348: 003f8b06 br 2a178 <_gp+0xfffedc40> + 2a34c: d9002017 ldw r4,128(sp) + 2a350: a00b883a mov r5,r20 + 2a354: 00255100 call 25510 <__swsetup_r> + 2a358: 1003b11e bne r2,zero,2b220 <___vfiprintf_internal_r+0x11ec> + 2a35c: a080030b ldhu r2,12(r20) + 2a360: 00c00284 movi r3,10 + 2a364: 1080068c andi r2,r2,26 + 2a368: 10ff541e bne r2,r3,2a0bc <_gp+0xfffedb84> + 2a36c: a080038f ldh r2,14(r20) + 2a370: 103f5216 blt r2,zero,2a0bc <_gp+0xfffedb84> + 2a374: d9c02217 ldw r7,136(sp) + 2a378: d9002017 ldw r4,128(sp) + 2a37c: e00d883a mov r6,fp + 2a380: a00b883a mov r5,r20 + 2a384: 002b4ac0 call 2b4ac <__sbprintf> + 2a388: dfc03617 ldw ra,216(sp) + 2a38c: df003517 ldw fp,212(sp) + 2a390: ddc03417 ldw r23,208(sp) + 2a394: dd803317 ldw r22,204(sp) + 2a398: dd403217 ldw r21,200(sp) + 2a39c: dd003117 ldw r20,196(sp) + 2a3a0: dcc03017 ldw r19,192(sp) + 2a3a4: dc802f17 ldw r18,188(sp) + 2a3a8: dc402e17 ldw r17,184(sp) + 2a3ac: dc002d17 ldw r16,180(sp) + 2a3b0: dec03704 addi sp,sp,220 + 2a3b4: f800283a ret + 2a3b8: 00274e40 call 274e4 <__sinit> + 2a3bc: 003f2f06 br 2a07c <_gp+0xfffedb44> + 2a3c0: 0463c83a sub r17,zero,r17 + 2a3c4: d8802215 stw r2,136(sp) + 2a3c8: a5000114 ori r20,r20,4 + 2a3cc: 80c00007 ldb r3,0(r16) + 2a3d0: 003f7706 br 2a1b0 <_gp+0xfffedc78> + 2a3d4: 00800c04 movi r2,48 + 2a3d8: da802217 ldw r10,136(sp) + 2a3dc: d8801d05 stb r2,116(sp) + 2a3e0: 00801e04 movi r2,120 + 2a3e4: d8801d45 stb r2,117(sp) + 2a3e8: d8001d85 stb zero,118(sp) + 2a3ec: 50c00104 addi r3,r10,4 + 2a3f0: 54800017 ldw r18,0(r10) + 2a3f4: 0027883a mov r19,zero + 2a3f8: a0800094 ori r2,r20,2 + 2a3fc: 48030b16 blt r9,zero,2b02c <___vfiprintf_internal_r+0xff8> + 2a400: 00bfdfc4 movi r2,-129 + 2a404: a096703a and r11,r20,r2 + 2a408: d8c02215 stw r3,136(sp) + 2a40c: 5d000094 ori r20,r11,2 + 2a410: 90032b1e bne r18,zero,2b0c0 <___vfiprintf_internal_r+0x108c> + 2a414: 008000f4 movhi r2,3 + 2a418: 10880504 addi r2,r2,8212 + 2a41c: d8802615 stw r2,152(sp) + 2a420: 0039883a mov fp,zero + 2a424: 48017b1e bne r9,zero,2aa14 <___vfiprintf_internal_r+0x9e0> + 2a428: 0013883a mov r9,zero + 2a42c: 0027883a mov r19,zero + 2a430: dd401a04 addi r21,sp,104 + 2a434: 4825883a mov r18,r9 + 2a438: 4cc0010e bge r9,r19,2a440 <___vfiprintf_internal_r+0x40c> + 2a43c: 9825883a mov r18,r19 + 2a440: e7003fcc andi fp,fp,255 + 2a444: e700201c xori fp,fp,128 + 2a448: e73fe004 addi fp,fp,-128 + 2a44c: e0000126 beq fp,zero,2a454 <___vfiprintf_internal_r+0x420> + 2a450: 94800044 addi r18,r18,1 + 2a454: a380008c andi r14,r20,2 + 2a458: 70000126 beq r14,zero,2a460 <___vfiprintf_internal_r+0x42c> + 2a45c: 94800084 addi r18,r18,2 + 2a460: a700210c andi fp,r20,132 + 2a464: e001df1e bne fp,zero,2abe4 <___vfiprintf_internal_r+0xbb0> + 2a468: 8c87c83a sub r3,r17,r18 + 2a46c: 00c1dd0e bge zero,r3,2abe4 <___vfiprintf_internal_r+0xbb0> + 2a470: 01c00404 movi r7,16 + 2a474: d8801c17 ldw r2,112(sp) + 2a478: 38c3ad0e bge r7,r3,2b330 <___vfiprintf_internal_r+0x12fc> + 2a47c: 028000f4 movhi r10,3 + 2a480: 52886a04 addi r10,r10,8616 + 2a484: dc002915 stw r16,164(sp) + 2a488: d9801b17 ldw r6,108(sp) + 2a48c: da802415 stw r10,144(sp) + 2a490: 03c001c4 movi r15,7 + 2a494: da402515 stw r9,148(sp) + 2a498: db802815 stw r14,160(sp) + 2a49c: 1821883a mov r16,r3 + 2a4a0: 00000506 br 2a4b8 <___vfiprintf_internal_r+0x484> + 2a4a4: 31400084 addi r5,r6,2 + 2a4a8: 42000204 addi r8,r8,8 + 2a4ac: 200d883a mov r6,r4 + 2a4b0: 843ffc04 addi r16,r16,-16 + 2a4b4: 3c000d0e bge r7,r16,2a4ec <___vfiprintf_internal_r+0x4b8> + 2a4b8: 10800404 addi r2,r2,16 + 2a4bc: 31000044 addi r4,r6,1 + 2a4c0: 45800015 stw r22,0(r8) + 2a4c4: 41c00115 stw r7,4(r8) + 2a4c8: d8801c15 stw r2,112(sp) + 2a4cc: d9001b15 stw r4,108(sp) + 2a4d0: 793ff40e bge r15,r4,2a4a4 <_gp+0xfffedf6c> + 2a4d4: 1001b51e bne r2,zero,2abac <___vfiprintf_internal_r+0xb78> + 2a4d8: 843ffc04 addi r16,r16,-16 + 2a4dc: 000d883a mov r6,zero + 2a4e0: 01400044 movi r5,1 + 2a4e4: d811883a mov r8,sp + 2a4e8: 3c3ff316 blt r7,r16,2a4b8 <_gp+0xfffedf80> + 2a4ec: 8007883a mov r3,r16 + 2a4f0: da402517 ldw r9,148(sp) + 2a4f4: db802817 ldw r14,160(sp) + 2a4f8: dc002917 ldw r16,164(sp) + 2a4fc: da802417 ldw r10,144(sp) + 2a500: 1885883a add r2,r3,r2 + 2a504: 40c00115 stw r3,4(r8) + 2a508: 42800015 stw r10,0(r8) + 2a50c: d8801c15 stw r2,112(sp) + 2a510: d9401b15 stw r5,108(sp) + 2a514: 00c001c4 movi r3,7 + 2a518: 19426016 blt r3,r5,2ae9c <___vfiprintf_internal_r+0xe68> + 2a51c: d8c01d87 ldb r3,118(sp) + 2a520: 42000204 addi r8,r8,8 + 2a524: 29000044 addi r4,r5,1 + 2a528: 1801b31e bne r3,zero,2abf8 <___vfiprintf_internal_r+0xbc4> + 2a52c: 7001c026 beq r14,zero,2ac30 <___vfiprintf_internal_r+0xbfc> + 2a530: d8c01d04 addi r3,sp,116 + 2a534: 10800084 addi r2,r2,2 + 2a538: 40c00015 stw r3,0(r8) + 2a53c: 00c00084 movi r3,2 + 2a540: 40c00115 stw r3,4(r8) + 2a544: d8801c15 stw r2,112(sp) + 2a548: d9001b15 stw r4,108(sp) + 2a54c: 00c001c4 movi r3,7 + 2a550: 1902650e bge r3,r4,2aee8 <___vfiprintf_internal_r+0xeb4> + 2a554: 10029a1e bne r2,zero,2afc0 <___vfiprintf_internal_r+0xf8c> + 2a558: 00c02004 movi r3,128 + 2a55c: 01000044 movi r4,1 + 2a560: 000b883a mov r5,zero + 2a564: d811883a mov r8,sp + 2a568: e0c1b31e bne fp,r3,2ac38 <___vfiprintf_internal_r+0xc04> + 2a56c: 8cb9c83a sub fp,r17,r18 + 2a570: 0701b10e bge zero,fp,2ac38 <___vfiprintf_internal_r+0xc04> + 2a574: 01c00404 movi r7,16 + 2a578: 3f03890e bge r7,fp,2b3a0 <___vfiprintf_internal_r+0x136c> + 2a57c: 00c000f4 movhi r3,3 + 2a580: 18c86604 addi r3,r3,8600 + 2a584: d8c02415 stw r3,144(sp) + 2a588: 8007883a mov r3,r16 + 2a58c: 034001c4 movi r13,7 + 2a590: e021883a mov r16,fp + 2a594: da402515 stw r9,148(sp) + 2a598: 1839883a mov fp,r3 + 2a59c: 00000506 br 2a5b4 <___vfiprintf_internal_r+0x580> + 2a5a0: 29800084 addi r6,r5,2 + 2a5a4: 42000204 addi r8,r8,8 + 2a5a8: 180b883a mov r5,r3 + 2a5ac: 843ffc04 addi r16,r16,-16 + 2a5b0: 3c000d0e bge r7,r16,2a5e8 <___vfiprintf_internal_r+0x5b4> + 2a5b4: 10800404 addi r2,r2,16 + 2a5b8: 28c00044 addi r3,r5,1 + 2a5bc: 45c00015 stw r23,0(r8) + 2a5c0: 41c00115 stw r7,4(r8) + 2a5c4: d8801c15 stw r2,112(sp) + 2a5c8: d8c01b15 stw r3,108(sp) + 2a5cc: 68fff40e bge r13,r3,2a5a0 <_gp+0xfffee068> + 2a5d0: 1002241e bne r2,zero,2ae64 <___vfiprintf_internal_r+0xe30> + 2a5d4: 843ffc04 addi r16,r16,-16 + 2a5d8: 01800044 movi r6,1 + 2a5dc: 000b883a mov r5,zero + 2a5e0: d811883a mov r8,sp + 2a5e4: 3c3ff316 blt r7,r16,2a5b4 <_gp+0xfffee07c> + 2a5e8: da402517 ldw r9,148(sp) + 2a5ec: e007883a mov r3,fp + 2a5f0: 8039883a mov fp,r16 + 2a5f4: 1821883a mov r16,r3 + 2a5f8: d8c02417 ldw r3,144(sp) + 2a5fc: 1705883a add r2,r2,fp + 2a600: 47000115 stw fp,4(r8) + 2a604: 40c00015 stw r3,0(r8) + 2a608: d8801c15 stw r2,112(sp) + 2a60c: d9801b15 stw r6,108(sp) + 2a610: 00c001c4 movi r3,7 + 2a614: 19827616 blt r3,r6,2aff0 <___vfiprintf_internal_r+0xfbc> + 2a618: 4cf9c83a sub fp,r9,r19 + 2a61c: 42000204 addi r8,r8,8 + 2a620: 31000044 addi r4,r6,1 + 2a624: 300b883a mov r5,r6 + 2a628: 07018516 blt zero,fp,2ac40 <___vfiprintf_internal_r+0xc0c> + 2a62c: 9885883a add r2,r19,r2 + 2a630: 45400015 stw r21,0(r8) + 2a634: 44c00115 stw r19,4(r8) + 2a638: d8801c15 stw r2,112(sp) + 2a63c: d9001b15 stw r4,108(sp) + 2a640: 00c001c4 movi r3,7 + 2a644: 1901dd0e bge r3,r4,2adbc <___vfiprintf_internal_r+0xd88> + 2a648: 1002401e bne r2,zero,2af4c <___vfiprintf_internal_r+0xf18> + 2a64c: d8001b15 stw zero,108(sp) + 2a650: a2c0010c andi r11,r20,4 + 2a654: 58000226 beq r11,zero,2a660 <___vfiprintf_internal_r+0x62c> + 2a658: 8ca7c83a sub r19,r17,r18 + 2a65c: 04c2f216 blt zero,r19,2b228 <___vfiprintf_internal_r+0x11f4> + 2a660: 8c80010e bge r17,r18,2a668 <___vfiprintf_internal_r+0x634> + 2a664: 9023883a mov r17,r18 + 2a668: da802317 ldw r10,140(sp) + 2a66c: 5455883a add r10,r10,r17 + 2a670: da802315 stw r10,140(sp) + 2a674: d8001b15 stw zero,108(sp) + 2a678: d811883a mov r8,sp + 2a67c: 003ea206 br 2a108 <_gp+0xfffedbd0> + 2a680: a5000814 ori r20,r20,32 + 2a684: 80c00007 ldb r3,0(r16) + 2a688: 003ec906 br 2a1b0 <_gp+0xfffedc78> + 2a68c: 80c00007 ldb r3,0(r16) + 2a690: 1b030926 beq r3,r12,2b2b8 <___vfiprintf_internal_r+0x1284> + 2a694: a5000414 ori r20,r20,16 + 2a698: 003ec506 br 2a1b0 <_gp+0xfffedc78> + 2a69c: 21003fcc andi r4,r4,255 + 2a6a0: 20035e1e bne r4,zero,2b41c <___vfiprintf_internal_r+0x13e8> + 2a6a4: a080080c andi r2,r20,32 + 2a6a8: 1002a526 beq r2,zero,2b140 <___vfiprintf_internal_r+0x110c> + 2a6ac: da802217 ldw r10,136(sp) + 2a6b0: 50800017 ldw r2,0(r10) + 2a6b4: da802317 ldw r10,140(sp) + 2a6b8: 5007d7fa srai r3,r10,31 + 2a6bc: da802217 ldw r10,136(sp) + 2a6c0: 10c00115 stw r3,4(r2) + 2a6c4: 52800104 addi r10,r10,4 + 2a6c8: da802215 stw r10,136(sp) + 2a6cc: da802317 ldw r10,140(sp) + 2a6d0: 12800015 stw r10,0(r2) + 2a6d4: 003e8c06 br 2a108 <_gp+0xfffedbd0> + 2a6d8: 21003fcc andi r4,r4,255 + 2a6dc: 2003511e bne r4,zero,2b424 <___vfiprintf_internal_r+0x13f0> + 2a6e0: a080080c andi r2,r20,32 + 2a6e4: 1000a126 beq r2,zero,2a96c <___vfiprintf_internal_r+0x938> + 2a6e8: da802217 ldw r10,136(sp) + 2a6ec: d8001d85 stb zero,118(sp) + 2a6f0: 50800204 addi r2,r10,8 + 2a6f4: 54800017 ldw r18,0(r10) + 2a6f8: 54c00117 ldw r19,4(r10) + 2a6fc: 4802b416 blt r9,zero,2b1d0 <___vfiprintf_internal_r+0x119c> + 2a700: 013fdfc4 movi r4,-129 + 2a704: 94c6b03a or r3,r18,r19 + 2a708: d8802215 stw r2,136(sp) + 2a70c: a128703a and r20,r20,r4 + 2a710: 1800a226 beq r3,zero,2a99c <___vfiprintf_internal_r+0x968> + 2a714: 0039883a mov fp,zero + 2a718: dd401a04 addi r21,sp,104 + 2a71c: 9006d0fa srli r3,r18,3 + 2a720: 9808977a slli r4,r19,29 + 2a724: 9826d0fa srli r19,r19,3 + 2a728: 948001cc andi r18,r18,7 + 2a72c: 90800c04 addi r2,r18,48 + 2a730: ad7fffc4 addi r21,r21,-1 + 2a734: 20e4b03a or r18,r4,r3 + 2a738: a8800005 stb r2,0(r21) + 2a73c: 94c6b03a or r3,r18,r19 + 2a740: 183ff61e bne r3,zero,2a71c <_gp+0xfffee1e4> + 2a744: a0c0004c andi r3,r20,1 + 2a748: 18005926 beq r3,zero,2a8b0 <___vfiprintf_internal_r+0x87c> + 2a74c: 10803fcc andi r2,r2,255 + 2a750: 1080201c xori r2,r2,128 + 2a754: 10bfe004 addi r2,r2,-128 + 2a758: 00c00c04 movi r3,48 + 2a75c: 10c05426 beq r2,r3,2a8b0 <___vfiprintf_internal_r+0x87c> + 2a760: da801e17 ldw r10,120(sp) + 2a764: a8bfffc4 addi r2,r21,-1 + 2a768: a8ffffc5 stb r3,-1(r21) + 2a76c: 50a7c83a sub r19,r10,r2 + 2a770: 102b883a mov r21,r2 + 2a774: 003f2f06 br 2a434 <_gp+0xfffedefc> + 2a778: 21003fcc andi r4,r4,255 + 2a77c: 2003421e bne r4,zero,2b488 <___vfiprintf_internal_r+0x1454> + 2a780: 008000f4 movhi r2,3 + 2a784: 10880504 addi r2,r2,8212 + 2a788: d8802615 stw r2,152(sp) + 2a78c: a080080c andi r2,r20,32 + 2a790: 1000aa26 beq r2,zero,2aa3c <___vfiprintf_internal_r+0xa08> + 2a794: da802217 ldw r10,136(sp) + 2a798: 54800017 ldw r18,0(r10) + 2a79c: 54c00117 ldw r19,4(r10) + 2a7a0: 52800204 addi r10,r10,8 + 2a7a4: da802215 stw r10,136(sp) + 2a7a8: a080004c andi r2,r20,1 + 2a7ac: 1001d226 beq r2,zero,2aef8 <___vfiprintf_internal_r+0xec4> + 2a7b0: 94c4b03a or r2,r18,r19 + 2a7b4: 1002351e bne r2,zero,2b08c <___vfiprintf_internal_r+0x1058> + 2a7b8: d8001d85 stb zero,118(sp) + 2a7bc: 48022216 blt r9,zero,2b048 <___vfiprintf_internal_r+0x1014> + 2a7c0: 00bfdfc4 movi r2,-129 + 2a7c4: a0a8703a and r20,r20,r2 + 2a7c8: 003f1506 br 2a420 <_gp+0xfffedee8> + 2a7cc: da802217 ldw r10,136(sp) + 2a7d0: 04800044 movi r18,1 + 2a7d4: d8001d85 stb zero,118(sp) + 2a7d8: 50800017 ldw r2,0(r10) + 2a7dc: 52800104 addi r10,r10,4 + 2a7e0: da802215 stw r10,136(sp) + 2a7e4: d8801005 stb r2,64(sp) + 2a7e8: 9027883a mov r19,r18 + 2a7ec: dd401004 addi r21,sp,64 + 2a7f0: 0013883a mov r9,zero + 2a7f4: 003f1706 br 2a454 <_gp+0xfffedf1c> + 2a7f8: 21003fcc andi r4,r4,255 + 2a7fc: 2003201e bne r4,zero,2b480 <___vfiprintf_internal_r+0x144c> + 2a800: a080080c andi r2,r20,32 + 2a804: 10004b26 beq r2,zero,2a934 <___vfiprintf_internal_r+0x900> + 2a808: da802217 ldw r10,136(sp) + 2a80c: 50800117 ldw r2,4(r10) + 2a810: 54800017 ldw r18,0(r10) + 2a814: 52800204 addi r10,r10,8 + 2a818: da802215 stw r10,136(sp) + 2a81c: 1027883a mov r19,r2 + 2a820: 10022c16 blt r2,zero,2b0d4 <___vfiprintf_internal_r+0x10a0> + 2a824: df001d83 ldbu fp,118(sp) + 2a828: 48007216 blt r9,zero,2a9f4 <___vfiprintf_internal_r+0x9c0> + 2a82c: 00ffdfc4 movi r3,-129 + 2a830: 94c4b03a or r2,r18,r19 + 2a834: a0e8703a and r20,r20,r3 + 2a838: 1000cc26 beq r2,zero,2ab6c <___vfiprintf_internal_r+0xb38> + 2a83c: 98021026 beq r19,zero,2b080 <___vfiprintf_internal_r+0x104c> + 2a840: dc402415 stw r17,144(sp) + 2a844: dc002515 stw r16,148(sp) + 2a848: 9823883a mov r17,r19 + 2a84c: 9021883a mov r16,r18 + 2a850: dd401a04 addi r21,sp,104 + 2a854: 4825883a mov r18,r9 + 2a858: 4027883a mov r19,r8 + 2a85c: 8009883a mov r4,r16 + 2a860: 880b883a mov r5,r17 + 2a864: 01800284 movi r6,10 + 2a868: 000f883a mov r7,zero + 2a86c: 002c4140 call 2c414 <__umoddi3> + 2a870: 10800c04 addi r2,r2,48 + 2a874: ad7fffc4 addi r21,r21,-1 + 2a878: 8009883a mov r4,r16 + 2a87c: 880b883a mov r5,r17 + 2a880: a8800005 stb r2,0(r21) + 2a884: 01800284 movi r6,10 + 2a888: 000f883a mov r7,zero + 2a88c: 002be9c0 call 2be9c <__udivdi3> + 2a890: 1021883a mov r16,r2 + 2a894: 10c4b03a or r2,r2,r3 + 2a898: 1823883a mov r17,r3 + 2a89c: 103fef1e bne r2,zero,2a85c <_gp+0xfffee324> + 2a8a0: dc402417 ldw r17,144(sp) + 2a8a4: dc002517 ldw r16,148(sp) + 2a8a8: 9013883a mov r9,r18 + 2a8ac: 9811883a mov r8,r19 + 2a8b0: da801e17 ldw r10,120(sp) + 2a8b4: 5567c83a sub r19,r10,r21 + 2a8b8: 003ede06 br 2a434 <_gp+0xfffedefc> + 2a8bc: 38803fcc andi r2,r7,255 + 2a8c0: 1080201c xori r2,r2,128 + 2a8c4: 10bfe004 addi r2,r2,-128 + 2a8c8: 1002371e bne r2,zero,2b1a8 <___vfiprintf_internal_r+0x1174> + 2a8cc: 01000044 movi r4,1 + 2a8d0: 01c00804 movi r7,32 + 2a8d4: 80c00007 ldb r3,0(r16) + 2a8d8: 003e3506 br 2a1b0 <_gp+0xfffedc78> + 2a8dc: a5000054 ori r20,r20,1 + 2a8e0: 80c00007 ldb r3,0(r16) + 2a8e4: 003e3206 br 2a1b0 <_gp+0xfffedc78> + 2a8e8: a5002014 ori r20,r20,128 + 2a8ec: 80c00007 ldb r3,0(r16) + 2a8f0: 003e2f06 br 2a1b0 <_gp+0xfffedc78> + 2a8f4: 8015883a mov r10,r16 + 2a8f8: 0023883a mov r17,zero + 2a8fc: 18bff404 addi r2,r3,-48 + 2a900: 50c00007 ldb r3,0(r10) + 2a904: 8c4002a4 muli r17,r17,10 + 2a908: 84000044 addi r16,r16,1 + 2a90c: 8015883a mov r10,r16 + 2a910: 1463883a add r17,r2,r17 + 2a914: 18bff404 addi r2,r3,-48 + 2a918: 30bff92e bgeu r6,r2,2a900 <_gp+0xfffee3c8> + 2a91c: 003e2506 br 2a1b4 <_gp+0xfffedc7c> + 2a920: 21003fcc andi r4,r4,255 + 2a924: 2002d41e bne r4,zero,2b478 <___vfiprintf_internal_r+0x1444> + 2a928: a5000414 ori r20,r20,16 + 2a92c: a080080c andi r2,r20,32 + 2a930: 103fb51e bne r2,zero,2a808 <_gp+0xfffee2d0> + 2a934: a080040c andi r2,r20,16 + 2a938: 1001f826 beq r2,zero,2b11c <___vfiprintf_internal_r+0x10e8> + 2a93c: da802217 ldw r10,136(sp) + 2a940: 54800017 ldw r18,0(r10) + 2a944: 52800104 addi r10,r10,4 + 2a948: da802215 stw r10,136(sp) + 2a94c: 9027d7fa srai r19,r18,31 + 2a950: 9805883a mov r2,r19 + 2a954: 003fb206 br 2a820 <_gp+0xfffee2e8> + 2a958: 21003fcc andi r4,r4,255 + 2a95c: 2002c41e bne r4,zero,2b470 <___vfiprintf_internal_r+0x143c> + 2a960: a5000414 ori r20,r20,16 + 2a964: a080080c andi r2,r20,32 + 2a968: 103f5f1e bne r2,zero,2a6e8 <_gp+0xfffee1b0> + 2a96c: a080040c andi r2,r20,16 + 2a970: 10020f26 beq r2,zero,2b1b0 <___vfiprintf_internal_r+0x117c> + 2a974: da802217 ldw r10,136(sp) + 2a978: d8001d85 stb zero,118(sp) + 2a97c: 0027883a mov r19,zero + 2a980: 50800104 addi r2,r10,4 + 2a984: 54800017 ldw r18,0(r10) + 2a988: 48021116 blt r9,zero,2b1d0 <___vfiprintf_internal_r+0x119c> + 2a98c: 00ffdfc4 movi r3,-129 + 2a990: d8802215 stw r2,136(sp) + 2a994: a0e8703a and r20,r20,r3 + 2a998: 903f5e1e bne r18,zero,2a714 <_gp+0xfffee1dc> + 2a99c: 0039883a mov fp,zero + 2a9a0: 4802a626 beq r9,zero,2b43c <___vfiprintf_internal_r+0x1408> + 2a9a4: 0025883a mov r18,zero + 2a9a8: 0027883a mov r19,zero + 2a9ac: 003f5a06 br 2a718 <_gp+0xfffee1e0> + 2a9b0: 21003fcc andi r4,r4,255 + 2a9b4: 20029f1e bne r4,zero,2b434 <___vfiprintf_internal_r+0x1400> + 2a9b8: a5000414 ori r20,r20,16 + 2a9bc: a080080c andi r2,r20,32 + 2a9c0: 10005e1e bne r2,zero,2ab3c <___vfiprintf_internal_r+0xb08> + 2a9c4: a080040c andi r2,r20,16 + 2a9c8: 1001a21e bne r2,zero,2b054 <___vfiprintf_internal_r+0x1020> + 2a9cc: a080100c andi r2,r20,64 + 2a9d0: d8001d85 stb zero,118(sp) + 2a9d4: da802217 ldw r10,136(sp) + 2a9d8: 1002231e bne r2,zero,2b268 <___vfiprintf_internal_r+0x1234> + 2a9dc: 50800104 addi r2,r10,4 + 2a9e0: 54800017 ldw r18,0(r10) + 2a9e4: 0027883a mov r19,zero + 2a9e8: 4801a00e bge r9,zero,2b06c <___vfiprintf_internal_r+0x1038> + 2a9ec: d8802215 stw r2,136(sp) + 2a9f0: 0039883a mov fp,zero + 2a9f4: 94c4b03a or r2,r18,r19 + 2a9f8: 103f901e bne r2,zero,2a83c <_gp+0xfffee304> + 2a9fc: 00800044 movi r2,1 + 2aa00: 10803fcc andi r2,r2,255 + 2aa04: 00c00044 movi r3,1 + 2aa08: 10c05926 beq r2,r3,2ab70 <___vfiprintf_internal_r+0xb3c> + 2aa0c: 00c00084 movi r3,2 + 2aa10: 10ffe41e bne r2,r3,2a9a4 <_gp+0xfffee46c> + 2aa14: 0025883a mov r18,zero + 2aa18: 0027883a mov r19,zero + 2aa1c: 00013d06 br 2af14 <___vfiprintf_internal_r+0xee0> + 2aa20: 21003fcc andi r4,r4,255 + 2aa24: 2002811e bne r4,zero,2b42c <___vfiprintf_internal_r+0x13f8> + 2aa28: 008000f4 movhi r2,3 + 2aa2c: 10880004 addi r2,r2,8192 + 2aa30: d8802615 stw r2,152(sp) + 2aa34: a080080c andi r2,r20,32 + 2aa38: 103f561e bne r2,zero,2a794 <_gp+0xfffee25c> + 2aa3c: a080040c andi r2,r20,16 + 2aa40: 1001d126 beq r2,zero,2b188 <___vfiprintf_internal_r+0x1154> + 2aa44: da802217 ldw r10,136(sp) + 2aa48: 0027883a mov r19,zero + 2aa4c: 54800017 ldw r18,0(r10) + 2aa50: 52800104 addi r10,r10,4 + 2aa54: da802215 stw r10,136(sp) + 2aa58: 003f5306 br 2a7a8 <_gp+0xfffee270> + 2aa5c: da802217 ldw r10,136(sp) + 2aa60: d8001d85 stb zero,118(sp) + 2aa64: 55400017 ldw r21,0(r10) + 2aa68: 50c00104 addi r3,r10,4 + 2aa6c: a8024226 beq r21,zero,2b378 <___vfiprintf_internal_r+0x1344> + 2aa70: 48021816 blt r9,zero,2b2d4 <___vfiprintf_internal_r+0x12a0> + 2aa74: 480d883a mov r6,r9 + 2aa78: 000b883a mov r5,zero + 2aa7c: a809883a mov r4,r21 + 2aa80: d8c02a15 stw r3,168(sp) + 2aa84: da002b15 stw r8,172(sp) + 2aa88: da402c15 stw r9,176(sp) + 2aa8c: 00282440 call 28244 + 2aa90: d8c02a17 ldw r3,168(sp) + 2aa94: da002b17 ldw r8,172(sp) + 2aa98: da402c17 ldw r9,176(sp) + 2aa9c: 10024826 beq r2,zero,2b3c0 <___vfiprintf_internal_r+0x138c> + 2aaa0: 1567c83a sub r19,r2,r21 + 2aaa4: df001d83 ldbu fp,118(sp) + 2aaa8: d8c02215 stw r3,136(sp) + 2aaac: 0013883a mov r9,zero + 2aab0: 003e6006 br 2a434 <_gp+0xfffedefc> + 2aab4: 21003fcc andi r4,r4,255 + 2aab8: 203fc026 beq r4,zero,2a9bc <_gp+0xfffee484> + 2aabc: d9c01d85 stb r7,118(sp) + 2aac0: 003fbe06 br 2a9bc <_gp+0xfffee484> + 2aac4: da802217 ldw r10,136(sp) + 2aac8: 54400017 ldw r17,0(r10) + 2aacc: 50800104 addi r2,r10,4 + 2aad0: 883e3b16 blt r17,zero,2a3c0 <_gp+0xfffede88> + 2aad4: d8802215 stw r2,136(sp) + 2aad8: 80c00007 ldb r3,0(r16) + 2aadc: 003db406 br 2a1b0 <_gp+0xfffedc78> + 2aae0: 01000044 movi r4,1 + 2aae4: 01c00ac4 movi r7,43 + 2aae8: 80c00007 ldb r3,0(r16) + 2aaec: 003db006 br 2a1b0 <_gp+0xfffedc78> + 2aaf0: 80c00007 ldb r3,0(r16) + 2aaf4: 82800044 addi r10,r16,1 + 2aaf8: 1b423c26 beq r3,r13,2b3ec <___vfiprintf_internal_r+0x13b8> + 2aafc: 18bff404 addi r2,r3,-48 + 2ab00: 0013883a mov r9,zero + 2ab04: 30822b36 bltu r6,r2,2b3b4 <___vfiprintf_internal_r+0x1380> + 2ab08: 50c00007 ldb r3,0(r10) + 2ab0c: 4a4002a4 muli r9,r9,10 + 2ab10: 54000044 addi r16,r10,1 + 2ab14: 8015883a mov r10,r16 + 2ab18: 4893883a add r9,r9,r2 + 2ab1c: 18bff404 addi r2,r3,-48 + 2ab20: 30bff92e bgeu r6,r2,2ab08 <_gp+0xfffee5d0> + 2ab24: 483da30e bge r9,zero,2a1b4 <_gp+0xfffedc7c> + 2ab28: 027fffc4 movi r9,-1 + 2ab2c: 003da106 br 2a1b4 <_gp+0xfffedc7c> + 2ab30: a5001014 ori r20,r20,64 + 2ab34: 80c00007 ldb r3,0(r16) + 2ab38: 003d9d06 br 2a1b0 <_gp+0xfffedc78> + 2ab3c: da802217 ldw r10,136(sp) + 2ab40: d8001d85 stb zero,118(sp) + 2ab44: 50c00204 addi r3,r10,8 + 2ab48: 54800017 ldw r18,0(r10) + 2ab4c: 54c00117 ldw r19,4(r10) + 2ab50: 4801ca16 blt r9,zero,2b27c <___vfiprintf_internal_r+0x1248> + 2ab54: 013fdfc4 movi r4,-129 + 2ab58: 94c4b03a or r2,r18,r19 + 2ab5c: d8c02215 stw r3,136(sp) + 2ab60: a128703a and r20,r20,r4 + 2ab64: 0039883a mov fp,zero + 2ab68: 103f341e bne r2,zero,2a83c <_gp+0xfffee304> + 2ab6c: 483e2e26 beq r9,zero,2a428 <_gp+0xfffedef0> + 2ab70: 0025883a mov r18,zero + 2ab74: 94800c04 addi r18,r18,48 + 2ab78: dc8019c5 stb r18,103(sp) + 2ab7c: dcc02717 ldw r19,156(sp) + 2ab80: dd4019c4 addi r21,sp,103 + 2ab84: 003e2b06 br 2a434 <_gp+0xfffedefc> + 2ab88: 21003fcc andi r4,r4,255 + 2ab8c: 2002361e bne r4,zero,2b468 <___vfiprintf_internal_r+0x1434> + 2ab90: 1801c126 beq r3,zero,2b298 <___vfiprintf_internal_r+0x1264> + 2ab94: 04800044 movi r18,1 + 2ab98: d8c01005 stb r3,64(sp) 2ab9c: d8001d85 stb zero,118(sp) - 2aba0: 50c00104 addi r3,r10,4 - 2aba4: 54800017 ldw r18,0(r10) - 2aba8: 0027883a mov r19,zero - 2abac: a0800094 ori r2,r20,2 - 2abb0: 48030b16 blt r9,zero,2b7e0 <___vfiprintf_internal_r+0xff8> - 2abb4: 00bfdfc4 movi r2,-129 - 2abb8: a096703a and r11,r20,r2 - 2abbc: d8c02215 stw r3,136(sp) - 2abc0: 5d000094 ori r20,r11,2 - 2abc4: 90032b1e bne r18,zero,2b874 <___vfiprintf_internal_r+0x108c> - 2abc8: 008000f4 movhi r2,3 - 2abcc: 108a5304 addi r2,r2,10572 - 2abd0: d8802615 stw r2,152(sp) - 2abd4: 0039883a mov fp,zero - 2abd8: 48017b1e bne r9,zero,2b1c8 <___vfiprintf_internal_r+0x9e0> - 2abdc: 0013883a mov r9,zero - 2abe0: 0027883a mov r19,zero - 2abe4: dd401a04 addi r21,sp,104 - 2abe8: 4825883a mov r18,r9 - 2abec: 4cc0010e bge r9,r19,2abf4 <___vfiprintf_internal_r+0x40c> - 2abf0: 9825883a mov r18,r19 - 2abf4: e7003fcc andi fp,fp,255 - 2abf8: e700201c xori fp,fp,128 - 2abfc: e73fe004 addi fp,fp,-128 - 2ac00: e0000126 beq fp,zero,2ac08 <___vfiprintf_internal_r+0x420> - 2ac04: 94800044 addi r18,r18,1 - 2ac08: a380008c andi r14,r20,2 - 2ac0c: 70000126 beq r14,zero,2ac14 <___vfiprintf_internal_r+0x42c> - 2ac10: 94800084 addi r18,r18,2 - 2ac14: a700210c andi fp,r20,132 - 2ac18: e001df1e bne fp,zero,2b398 <___vfiprintf_internal_r+0xbb0> - 2ac1c: 8c87c83a sub r3,r17,r18 - 2ac20: 00c1dd0e bge zero,r3,2b398 <___vfiprintf_internal_r+0xbb0> - 2ac24: 01c00404 movi r7,16 - 2ac28: d8801c17 ldw r2,112(sp) - 2ac2c: 38c3ad0e bge r7,r3,2bae4 <___vfiprintf_internal_r+0x12fc> - 2ac30: 028000f4 movhi r10,3 - 2ac34: 528ab704 addi r10,r10,10972 - 2ac38: dc002915 stw r16,164(sp) - 2ac3c: d9801b17 ldw r6,108(sp) - 2ac40: da802415 stw r10,144(sp) - 2ac44: 03c001c4 movi r15,7 - 2ac48: da402515 stw r9,148(sp) - 2ac4c: db802815 stw r14,160(sp) - 2ac50: 1821883a mov r16,r3 - 2ac54: 00000506 br 2ac6c <___vfiprintf_internal_r+0x484> - 2ac58: 31400084 addi r5,r6,2 - 2ac5c: 42000204 addi r8,r8,8 - 2ac60: 200d883a mov r6,r4 - 2ac64: 843ffc04 addi r16,r16,-16 - 2ac68: 3c000d0e bge r7,r16,2aca0 <___vfiprintf_internal_r+0x4b8> - 2ac6c: 10800404 addi r2,r2,16 - 2ac70: 31000044 addi r4,r6,1 - 2ac74: 45800015 stw r22,0(r8) - 2ac78: 41c00115 stw r7,4(r8) - 2ac7c: d8801c15 stw r2,112(sp) - 2ac80: d9001b15 stw r4,108(sp) - 2ac84: 793ff40e bge r15,r4,2ac58 <___vfiprintf_internal_r+0x470> - 2ac88: 1001b51e bne r2,zero,2b360 <___vfiprintf_internal_r+0xb78> - 2ac8c: 843ffc04 addi r16,r16,-16 - 2ac90: 000d883a mov r6,zero - 2ac94: 01400044 movi r5,1 - 2ac98: d811883a mov r8,sp - 2ac9c: 3c3ff316 blt r7,r16,2ac6c <___vfiprintf_internal_r+0x484> - 2aca0: 8007883a mov r3,r16 - 2aca4: da402517 ldw r9,148(sp) - 2aca8: db802817 ldw r14,160(sp) - 2acac: dc002917 ldw r16,164(sp) - 2acb0: da802417 ldw r10,144(sp) - 2acb4: 1885883a add r2,r3,r2 - 2acb8: 40c00115 stw r3,4(r8) - 2acbc: 42800015 stw r10,0(r8) - 2acc0: d8801c15 stw r2,112(sp) - 2acc4: d9401b15 stw r5,108(sp) - 2acc8: 00c001c4 movi r3,7 - 2accc: 19426016 blt r3,r5,2b650 <___vfiprintf_internal_r+0xe68> - 2acd0: d8c01d87 ldb r3,118(sp) - 2acd4: 42000204 addi r8,r8,8 - 2acd8: 29000044 addi r4,r5,1 - 2acdc: 1801b31e bne r3,zero,2b3ac <___vfiprintf_internal_r+0xbc4> - 2ace0: 7001c026 beq r14,zero,2b3e4 <___vfiprintf_internal_r+0xbfc> - 2ace4: d8c01d04 addi r3,sp,116 - 2ace8: 10800084 addi r2,r2,2 - 2acec: 40c00015 stw r3,0(r8) - 2acf0: 00c00084 movi r3,2 - 2acf4: 40c00115 stw r3,4(r8) - 2acf8: d8801c15 stw r2,112(sp) - 2acfc: d9001b15 stw r4,108(sp) - 2ad00: 00c001c4 movi r3,7 - 2ad04: 1902650e bge r3,r4,2b69c <___vfiprintf_internal_r+0xeb4> - 2ad08: 10029a1e bne r2,zero,2b774 <___vfiprintf_internal_r+0xf8c> - 2ad0c: 00c02004 movi r3,128 - 2ad10: 01000044 movi r4,1 - 2ad14: 000b883a mov r5,zero - 2ad18: d811883a mov r8,sp - 2ad1c: e0c1b31e bne fp,r3,2b3ec <___vfiprintf_internal_r+0xc04> - 2ad20: 8cb9c83a sub fp,r17,r18 - 2ad24: 0701b10e bge zero,fp,2b3ec <___vfiprintf_internal_r+0xc04> - 2ad28: 01c00404 movi r7,16 - 2ad2c: 3f03890e bge r7,fp,2bb54 <___vfiprintf_internal_r+0x136c> - 2ad30: 00c000f4 movhi r3,3 - 2ad34: 18cab304 addi r3,r3,10956 - 2ad38: d8c02415 stw r3,144(sp) - 2ad3c: 8007883a mov r3,r16 - 2ad40: 034001c4 movi r13,7 - 2ad44: e021883a mov r16,fp - 2ad48: da402515 stw r9,148(sp) - 2ad4c: 1839883a mov fp,r3 - 2ad50: 00000506 br 2ad68 <___vfiprintf_internal_r+0x580> - 2ad54: 29800084 addi r6,r5,2 - 2ad58: 42000204 addi r8,r8,8 - 2ad5c: 180b883a mov r5,r3 - 2ad60: 843ffc04 addi r16,r16,-16 - 2ad64: 3c000d0e bge r7,r16,2ad9c <___vfiprintf_internal_r+0x5b4> - 2ad68: 10800404 addi r2,r2,16 - 2ad6c: 28c00044 addi r3,r5,1 - 2ad70: 45c00015 stw r23,0(r8) - 2ad74: 41c00115 stw r7,4(r8) - 2ad78: d8801c15 stw r2,112(sp) - 2ad7c: d8c01b15 stw r3,108(sp) - 2ad80: 68fff40e bge r13,r3,2ad54 <___vfiprintf_internal_r+0x56c> - 2ad84: 1002241e bne r2,zero,2b618 <___vfiprintf_internal_r+0xe30> - 2ad88: 843ffc04 addi r16,r16,-16 - 2ad8c: 01800044 movi r6,1 - 2ad90: 000b883a mov r5,zero - 2ad94: d811883a mov r8,sp - 2ad98: 3c3ff316 blt r7,r16,2ad68 <___vfiprintf_internal_r+0x580> - 2ad9c: da402517 ldw r9,148(sp) - 2ada0: e007883a mov r3,fp - 2ada4: 8039883a mov fp,r16 - 2ada8: 1821883a mov r16,r3 - 2adac: d8c02417 ldw r3,144(sp) - 2adb0: 1705883a add r2,r2,fp - 2adb4: 47000115 stw fp,4(r8) - 2adb8: 40c00015 stw r3,0(r8) - 2adbc: d8801c15 stw r2,112(sp) - 2adc0: d9801b15 stw r6,108(sp) - 2adc4: 00c001c4 movi r3,7 - 2adc8: 19827616 blt r3,r6,2b7a4 <___vfiprintf_internal_r+0xfbc> - 2adcc: 4cf9c83a sub fp,r9,r19 - 2add0: 42000204 addi r8,r8,8 - 2add4: 31000044 addi r4,r6,1 - 2add8: 300b883a mov r5,r6 - 2addc: 07018516 blt zero,fp,2b3f4 <___vfiprintf_internal_r+0xc0c> - 2ade0: 9885883a add r2,r19,r2 - 2ade4: 45400015 stw r21,0(r8) - 2ade8: 44c00115 stw r19,4(r8) - 2adec: d8801c15 stw r2,112(sp) - 2adf0: d9001b15 stw r4,108(sp) - 2adf4: 00c001c4 movi r3,7 - 2adf8: 1901dd0e bge r3,r4,2b570 <___vfiprintf_internal_r+0xd88> - 2adfc: 1002401e bne r2,zero,2b700 <___vfiprintf_internal_r+0xf18> - 2ae00: d8001b15 stw zero,108(sp) - 2ae04: a2c0010c andi r11,r20,4 - 2ae08: 58000226 beq r11,zero,2ae14 <___vfiprintf_internal_r+0x62c> - 2ae0c: 8ca7c83a sub r19,r17,r18 - 2ae10: 04c2f216 blt zero,r19,2b9dc <___vfiprintf_internal_r+0x11f4> - 2ae14: 8c80010e bge r17,r18,2ae1c <___vfiprintf_internal_r+0x634> - 2ae18: 9023883a mov r17,r18 - 2ae1c: da802317 ldw r10,140(sp) - 2ae20: 5455883a add r10,r10,r17 - 2ae24: da802315 stw r10,140(sp) - 2ae28: d8001b15 stw zero,108(sp) - 2ae2c: d811883a mov r8,sp - 2ae30: 003ea206 br 2a8bc <___vfiprintf_internal_r+0xd4> - 2ae34: a5000814 ori r20,r20,32 - 2ae38: 80c00007 ldb r3,0(r16) - 2ae3c: 003ec906 br 2a964 <___vfiprintf_internal_r+0x17c> - 2ae40: 80c00007 ldb r3,0(r16) - 2ae44: 1b030926 beq r3,r12,2ba6c <___vfiprintf_internal_r+0x1284> - 2ae48: a5000414 ori r20,r20,16 - 2ae4c: 003ec506 br 2a964 <___vfiprintf_internal_r+0x17c> - 2ae50: 21003fcc andi r4,r4,255 - 2ae54: 20035e1e bne r4,zero,2bbd0 <___vfiprintf_internal_r+0x13e8> - 2ae58: a080080c andi r2,r20,32 - 2ae5c: 1002a526 beq r2,zero,2b8f4 <___vfiprintf_internal_r+0x110c> - 2ae60: da802217 ldw r10,136(sp) - 2ae64: 50800017 ldw r2,0(r10) - 2ae68: da802317 ldw r10,140(sp) - 2ae6c: 5007d7fa srai r3,r10,31 - 2ae70: da802217 ldw r10,136(sp) - 2ae74: 10c00115 stw r3,4(r2) - 2ae78: 52800104 addi r10,r10,4 - 2ae7c: da802215 stw r10,136(sp) - 2ae80: da802317 ldw r10,140(sp) - 2ae84: 12800015 stw r10,0(r2) - 2ae88: 003e8c06 br 2a8bc <___vfiprintf_internal_r+0xd4> - 2ae8c: 21003fcc andi r4,r4,255 - 2ae90: 2003511e bne r4,zero,2bbd8 <___vfiprintf_internal_r+0x13f0> - 2ae94: a080080c andi r2,r20,32 - 2ae98: 1000a126 beq r2,zero,2b120 <___vfiprintf_internal_r+0x938> - 2ae9c: da802217 ldw r10,136(sp) - 2aea0: d8001d85 stb zero,118(sp) - 2aea4: 50800204 addi r2,r10,8 - 2aea8: 54800017 ldw r18,0(r10) - 2aeac: 54c00117 ldw r19,4(r10) - 2aeb0: 4802b416 blt r9,zero,2b984 <___vfiprintf_internal_r+0x119c> - 2aeb4: 013fdfc4 movi r4,-129 - 2aeb8: 94c6b03a or r3,r18,r19 - 2aebc: d8802215 stw r2,136(sp) - 2aec0: a128703a and r20,r20,r4 - 2aec4: 1800a226 beq r3,zero,2b150 <___vfiprintf_internal_r+0x968> - 2aec8: 0039883a mov fp,zero - 2aecc: dd401a04 addi r21,sp,104 - 2aed0: 9006d0fa srli r3,r18,3 - 2aed4: 9808977a slli r4,r19,29 - 2aed8: 9826d0fa srli r19,r19,3 - 2aedc: 948001cc andi r18,r18,7 - 2aee0: 90800c04 addi r2,r18,48 - 2aee4: ad7fffc4 addi r21,r21,-1 - 2aee8: 20e4b03a or r18,r4,r3 - 2aeec: a8800005 stb r2,0(r21) - 2aef0: 94c6b03a or r3,r18,r19 - 2aef4: 183ff61e bne r3,zero,2aed0 <___vfiprintf_internal_r+0x6e8> - 2aef8: a0c0004c andi r3,r20,1 - 2aefc: 18005926 beq r3,zero,2b064 <___vfiprintf_internal_r+0x87c> - 2af00: 10803fcc andi r2,r2,255 - 2af04: 1080201c xori r2,r2,128 - 2af08: 10bfe004 addi r2,r2,-128 - 2af0c: 00c00c04 movi r3,48 - 2af10: 10c05426 beq r2,r3,2b064 <___vfiprintf_internal_r+0x87c> - 2af14: da801e17 ldw r10,120(sp) - 2af18: a8bfffc4 addi r2,r21,-1 - 2af1c: a8ffffc5 stb r3,-1(r21) - 2af20: 50a7c83a sub r19,r10,r2 - 2af24: 102b883a mov r21,r2 - 2af28: 003f2f06 br 2abe8 <___vfiprintf_internal_r+0x400> - 2af2c: 21003fcc andi r4,r4,255 - 2af30: 2003421e bne r4,zero,2bc3c <___vfiprintf_internal_r+0x1454> - 2af34: 008000f4 movhi r2,3 - 2af38: 108a5304 addi r2,r2,10572 - 2af3c: d8802615 stw r2,152(sp) - 2af40: a080080c andi r2,r20,32 - 2af44: 1000aa26 beq r2,zero,2b1f0 <___vfiprintf_internal_r+0xa08> - 2af48: da802217 ldw r10,136(sp) - 2af4c: 54800017 ldw r18,0(r10) - 2af50: 54c00117 ldw r19,4(r10) - 2af54: 52800204 addi r10,r10,8 - 2af58: da802215 stw r10,136(sp) - 2af5c: a080004c andi r2,r20,1 - 2af60: 1001d226 beq r2,zero,2b6ac <___vfiprintf_internal_r+0xec4> - 2af64: 94c4b03a or r2,r18,r19 - 2af68: 1002351e bne r2,zero,2b840 <___vfiprintf_internal_r+0x1058> - 2af6c: d8001d85 stb zero,118(sp) - 2af70: 48022216 blt r9,zero,2b7fc <___vfiprintf_internal_r+0x1014> - 2af74: 00bfdfc4 movi r2,-129 - 2af78: a0a8703a and r20,r20,r2 - 2af7c: 003f1506 br 2abd4 <___vfiprintf_internal_r+0x3ec> - 2af80: da802217 ldw r10,136(sp) - 2af84: 04800044 movi r18,1 - 2af88: d8001d85 stb zero,118(sp) - 2af8c: 50800017 ldw r2,0(r10) - 2af90: 52800104 addi r10,r10,4 - 2af94: da802215 stw r10,136(sp) - 2af98: d8801005 stb r2,64(sp) - 2af9c: 9027883a mov r19,r18 - 2afa0: dd401004 addi r21,sp,64 - 2afa4: 0013883a mov r9,zero - 2afa8: 003f1706 br 2ac08 <___vfiprintf_internal_r+0x420> - 2afac: 21003fcc andi r4,r4,255 - 2afb0: 2003201e bne r4,zero,2bc34 <___vfiprintf_internal_r+0x144c> - 2afb4: a080080c andi r2,r20,32 - 2afb8: 10004b26 beq r2,zero,2b0e8 <___vfiprintf_internal_r+0x900> - 2afbc: da802217 ldw r10,136(sp) - 2afc0: 50800117 ldw r2,4(r10) - 2afc4: 54800017 ldw r18,0(r10) - 2afc8: 52800204 addi r10,r10,8 - 2afcc: da802215 stw r10,136(sp) - 2afd0: 1027883a mov r19,r2 - 2afd4: 10022c16 blt r2,zero,2b888 <___vfiprintf_internal_r+0x10a0> - 2afd8: df001d83 ldbu fp,118(sp) - 2afdc: 48007216 blt r9,zero,2b1a8 <___vfiprintf_internal_r+0x9c0> - 2afe0: 00ffdfc4 movi r3,-129 - 2afe4: 94c4b03a or r2,r18,r19 - 2afe8: a0e8703a and r20,r20,r3 - 2afec: 1000cc26 beq r2,zero,2b320 <___vfiprintf_internal_r+0xb38> - 2aff0: 98021026 beq r19,zero,2b834 <___vfiprintf_internal_r+0x104c> - 2aff4: dc402415 stw r17,144(sp) - 2aff8: dc002515 stw r16,148(sp) - 2affc: 9823883a mov r17,r19 - 2b000: 9021883a mov r16,r18 - 2b004: dd401a04 addi r21,sp,104 - 2b008: 4825883a mov r18,r9 - 2b00c: 4027883a mov r19,r8 - 2b010: 8009883a mov r4,r16 - 2b014: 880b883a mov r5,r17 - 2b018: 01800284 movi r6,10 - 2b01c: 000f883a mov r7,zero - 2b020: 002cbc80 call 2cbc8 <__umoddi3> - 2b024: 10800c04 addi r2,r2,48 - 2b028: ad7fffc4 addi r21,r21,-1 - 2b02c: 8009883a mov r4,r16 - 2b030: 880b883a mov r5,r17 - 2b034: a8800005 stb r2,0(r21) - 2b038: 01800284 movi r6,10 - 2b03c: 000f883a mov r7,zero - 2b040: 002c6500 call 2c650 <__udivdi3> - 2b044: 1021883a mov r16,r2 - 2b048: 10c4b03a or r2,r2,r3 - 2b04c: 1823883a mov r17,r3 - 2b050: 103fef1e bne r2,zero,2b010 <___vfiprintf_internal_r+0x828> - 2b054: dc402417 ldw r17,144(sp) - 2b058: dc002517 ldw r16,148(sp) - 2b05c: 9013883a mov r9,r18 - 2b060: 9811883a mov r8,r19 - 2b064: da801e17 ldw r10,120(sp) - 2b068: 5567c83a sub r19,r10,r21 - 2b06c: 003ede06 br 2abe8 <___vfiprintf_internal_r+0x400> - 2b070: 38803fcc andi r2,r7,255 - 2b074: 1080201c xori r2,r2,128 - 2b078: 10bfe004 addi r2,r2,-128 - 2b07c: 1002371e bne r2,zero,2b95c <___vfiprintf_internal_r+0x1174> - 2b080: 01000044 movi r4,1 - 2b084: 01c00804 movi r7,32 - 2b088: 80c00007 ldb r3,0(r16) - 2b08c: 003e3506 br 2a964 <___vfiprintf_internal_r+0x17c> - 2b090: a5000054 ori r20,r20,1 - 2b094: 80c00007 ldb r3,0(r16) - 2b098: 003e3206 br 2a964 <___vfiprintf_internal_r+0x17c> - 2b09c: a5002014 ori r20,r20,128 - 2b0a0: 80c00007 ldb r3,0(r16) - 2b0a4: 003e2f06 br 2a964 <___vfiprintf_internal_r+0x17c> - 2b0a8: 8015883a mov r10,r16 - 2b0ac: 0023883a mov r17,zero - 2b0b0: 18bff404 addi r2,r3,-48 - 2b0b4: 50c00007 ldb r3,0(r10) - 2b0b8: 8c4002a4 muli r17,r17,10 - 2b0bc: 84000044 addi r16,r16,1 - 2b0c0: 8015883a mov r10,r16 - 2b0c4: 1463883a add r17,r2,r17 - 2b0c8: 18bff404 addi r2,r3,-48 - 2b0cc: 30bff92e bgeu r6,r2,2b0b4 <___vfiprintf_internal_r+0x8cc> - 2b0d0: 003e2506 br 2a968 <___vfiprintf_internal_r+0x180> - 2b0d4: 21003fcc andi r4,r4,255 - 2b0d8: 2002d41e bne r4,zero,2bc2c <___vfiprintf_internal_r+0x1444> - 2b0dc: a5000414 ori r20,r20,16 - 2b0e0: a080080c andi r2,r20,32 - 2b0e4: 103fb51e bne r2,zero,2afbc <___vfiprintf_internal_r+0x7d4> - 2b0e8: a080040c andi r2,r20,16 - 2b0ec: 1001f826 beq r2,zero,2b8d0 <___vfiprintf_internal_r+0x10e8> - 2b0f0: da802217 ldw r10,136(sp) - 2b0f4: 54800017 ldw r18,0(r10) - 2b0f8: 52800104 addi r10,r10,4 - 2b0fc: da802215 stw r10,136(sp) - 2b100: 9027d7fa srai r19,r18,31 - 2b104: 9805883a mov r2,r19 - 2b108: 003fb206 br 2afd4 <___vfiprintf_internal_r+0x7ec> - 2b10c: 21003fcc andi r4,r4,255 - 2b110: 2002c41e bne r4,zero,2bc24 <___vfiprintf_internal_r+0x143c> - 2b114: a5000414 ori r20,r20,16 - 2b118: a080080c andi r2,r20,32 - 2b11c: 103f5f1e bne r2,zero,2ae9c <___vfiprintf_internal_r+0x6b4> - 2b120: a080040c andi r2,r20,16 - 2b124: 10020f26 beq r2,zero,2b964 <___vfiprintf_internal_r+0x117c> - 2b128: da802217 ldw r10,136(sp) - 2b12c: d8001d85 stb zero,118(sp) - 2b130: 0027883a mov r19,zero - 2b134: 50800104 addi r2,r10,4 - 2b138: 54800017 ldw r18,0(r10) - 2b13c: 48021116 blt r9,zero,2b984 <___vfiprintf_internal_r+0x119c> - 2b140: 00ffdfc4 movi r3,-129 - 2b144: d8802215 stw r2,136(sp) - 2b148: a0e8703a and r20,r20,r3 - 2b14c: 903f5e1e bne r18,zero,2aec8 <___vfiprintf_internal_r+0x6e0> - 2b150: 0039883a mov fp,zero - 2b154: 4802a626 beq r9,zero,2bbf0 <___vfiprintf_internal_r+0x1408> - 2b158: 0025883a mov r18,zero - 2b15c: 0027883a mov r19,zero - 2b160: 003f5a06 br 2aecc <___vfiprintf_internal_r+0x6e4> - 2b164: 21003fcc andi r4,r4,255 - 2b168: 20029f1e bne r4,zero,2bbe8 <___vfiprintf_internal_r+0x1400> - 2b16c: a5000414 ori r20,r20,16 - 2b170: a080080c andi r2,r20,32 - 2b174: 10005e1e bne r2,zero,2b2f0 <___vfiprintf_internal_r+0xb08> - 2b178: a080040c andi r2,r20,16 - 2b17c: 1001a21e bne r2,zero,2b808 <___vfiprintf_internal_r+0x1020> - 2b180: a080100c andi r2,r20,64 - 2b184: d8001d85 stb zero,118(sp) - 2b188: da802217 ldw r10,136(sp) - 2b18c: 1002231e bne r2,zero,2ba1c <___vfiprintf_internal_r+0x1234> - 2b190: 50800104 addi r2,r10,4 - 2b194: 54800017 ldw r18,0(r10) - 2b198: 0027883a mov r19,zero - 2b19c: 4801a00e bge r9,zero,2b820 <___vfiprintf_internal_r+0x1038> - 2b1a0: d8802215 stw r2,136(sp) - 2b1a4: 0039883a mov fp,zero - 2b1a8: 94c4b03a or r2,r18,r19 - 2b1ac: 103f901e bne r2,zero,2aff0 <___vfiprintf_internal_r+0x808> - 2b1b0: 00800044 movi r2,1 - 2b1b4: 10803fcc andi r2,r2,255 - 2b1b8: 00c00044 movi r3,1 - 2b1bc: 10c05926 beq r2,r3,2b324 <___vfiprintf_internal_r+0xb3c> - 2b1c0: 00c00084 movi r3,2 - 2b1c4: 10ffe41e bne r2,r3,2b158 <___vfiprintf_internal_r+0x970> - 2b1c8: 0025883a mov r18,zero - 2b1cc: 0027883a mov r19,zero - 2b1d0: 00013d06 br 2b6c8 <___vfiprintf_internal_r+0xee0> - 2b1d4: 21003fcc andi r4,r4,255 - 2b1d8: 2002811e bne r4,zero,2bbe0 <___vfiprintf_internal_r+0x13f8> - 2b1dc: 008000f4 movhi r2,3 - 2b1e0: 108a4e04 addi r2,r2,10552 - 2b1e4: d8802615 stw r2,152(sp) - 2b1e8: a080080c andi r2,r20,32 - 2b1ec: 103f561e bne r2,zero,2af48 <___vfiprintf_internal_r+0x760> - 2b1f0: a080040c andi r2,r20,16 - 2b1f4: 1001d126 beq r2,zero,2b93c <___vfiprintf_internal_r+0x1154> - 2b1f8: da802217 ldw r10,136(sp) - 2b1fc: 0027883a mov r19,zero - 2b200: 54800017 ldw r18,0(r10) - 2b204: 52800104 addi r10,r10,4 - 2b208: da802215 stw r10,136(sp) - 2b20c: 003f5306 br 2af5c <___vfiprintf_internal_r+0x774> - 2b210: da802217 ldw r10,136(sp) - 2b214: d8001d85 stb zero,118(sp) - 2b218: 55400017 ldw r21,0(r10) - 2b21c: 50c00104 addi r3,r10,4 - 2b220: a8024226 beq r21,zero,2bb2c <___vfiprintf_internal_r+0x1344> - 2b224: 48021816 blt r9,zero,2ba88 <___vfiprintf_internal_r+0x12a0> - 2b228: 480d883a mov r6,r9 - 2b22c: 000b883a mov r5,zero - 2b230: a809883a mov r4,r21 - 2b234: d8c02a15 stw r3,168(sp) - 2b238: da002b15 stw r8,172(sp) - 2b23c: da402c15 stw r9,176(sp) - 2b240: 00288080 call 28808 - 2b244: d8c02a17 ldw r3,168(sp) - 2b248: da002b17 ldw r8,172(sp) - 2b24c: da402c17 ldw r9,176(sp) - 2b250: 10024826 beq r2,zero,2bb74 <___vfiprintf_internal_r+0x138c> - 2b254: 1567c83a sub r19,r2,r21 - 2b258: df001d83 ldbu fp,118(sp) - 2b25c: d8c02215 stw r3,136(sp) - 2b260: 0013883a mov r9,zero - 2b264: 003e6006 br 2abe8 <___vfiprintf_internal_r+0x400> - 2b268: 21003fcc andi r4,r4,255 - 2b26c: 203fc026 beq r4,zero,2b170 <___vfiprintf_internal_r+0x988> - 2b270: d9c01d85 stb r7,118(sp) - 2b274: 003fbe06 br 2b170 <___vfiprintf_internal_r+0x988> - 2b278: da802217 ldw r10,136(sp) - 2b27c: 54400017 ldw r17,0(r10) - 2b280: 50800104 addi r2,r10,4 - 2b284: 883e3b16 blt r17,zero,2ab74 <___vfiprintf_internal_r+0x38c> - 2b288: d8802215 stw r2,136(sp) - 2b28c: 80c00007 ldb r3,0(r16) - 2b290: 003db406 br 2a964 <___vfiprintf_internal_r+0x17c> - 2b294: 01000044 movi r4,1 - 2b298: 01c00ac4 movi r7,43 - 2b29c: 80c00007 ldb r3,0(r16) - 2b2a0: 003db006 br 2a964 <___vfiprintf_internal_r+0x17c> - 2b2a4: 80c00007 ldb r3,0(r16) - 2b2a8: 82800044 addi r10,r16,1 - 2b2ac: 1b423c26 beq r3,r13,2bba0 <___vfiprintf_internal_r+0x13b8> - 2b2b0: 18bff404 addi r2,r3,-48 - 2b2b4: 0013883a mov r9,zero - 2b2b8: 30822b36 bltu r6,r2,2bb68 <___vfiprintf_internal_r+0x1380> - 2b2bc: 50c00007 ldb r3,0(r10) - 2b2c0: 4a4002a4 muli r9,r9,10 - 2b2c4: 54000044 addi r16,r10,1 - 2b2c8: 8015883a mov r10,r16 - 2b2cc: 4893883a add r9,r9,r2 - 2b2d0: 18bff404 addi r2,r3,-48 - 2b2d4: 30bff92e bgeu r6,r2,2b2bc <___vfiprintf_internal_r+0xad4> - 2b2d8: 483da30e bge r9,zero,2a968 <___vfiprintf_internal_r+0x180> - 2b2dc: 027fffc4 movi r9,-1 - 2b2e0: 003da106 br 2a968 <___vfiprintf_internal_r+0x180> - 2b2e4: a5001014 ori r20,r20,64 - 2b2e8: 80c00007 ldb r3,0(r16) - 2b2ec: 003d9d06 br 2a964 <___vfiprintf_internal_r+0x17c> - 2b2f0: da802217 ldw r10,136(sp) - 2b2f4: d8001d85 stb zero,118(sp) - 2b2f8: 50c00204 addi r3,r10,8 - 2b2fc: 54800017 ldw r18,0(r10) - 2b300: 54c00117 ldw r19,4(r10) - 2b304: 4801ca16 blt r9,zero,2ba30 <___vfiprintf_internal_r+0x1248> - 2b308: 013fdfc4 movi r4,-129 - 2b30c: 94c4b03a or r2,r18,r19 - 2b310: d8c02215 stw r3,136(sp) - 2b314: a128703a and r20,r20,r4 - 2b318: 0039883a mov fp,zero - 2b31c: 103f341e bne r2,zero,2aff0 <___vfiprintf_internal_r+0x808> - 2b320: 483e2e26 beq r9,zero,2abdc <___vfiprintf_internal_r+0x3f4> - 2b324: 0025883a mov r18,zero - 2b328: 94800c04 addi r18,r18,48 - 2b32c: dc8019c5 stb r18,103(sp) - 2b330: dcc02717 ldw r19,156(sp) - 2b334: dd4019c4 addi r21,sp,103 - 2b338: 003e2b06 br 2abe8 <___vfiprintf_internal_r+0x400> - 2b33c: 21003fcc andi r4,r4,255 - 2b340: 2002361e bne r4,zero,2bc1c <___vfiprintf_internal_r+0x1434> - 2b344: 1801c126 beq r3,zero,2ba4c <___vfiprintf_internal_r+0x1264> - 2b348: 04800044 movi r18,1 - 2b34c: d8c01005 stb r3,64(sp) - 2b350: d8001d85 stb zero,118(sp) - 2b354: 9027883a mov r19,r18 - 2b358: dd401004 addi r21,sp,64 - 2b35c: 003f1106 br 2afa4 <___vfiprintf_internal_r+0x7bc> - 2b360: d9402117 ldw r5,132(sp) - 2b364: d9002017 ldw r4,128(sp) - 2b368: d9801a04 addi r6,sp,104 - 2b36c: d9c02b15 stw r7,172(sp) - 2b370: dbc02a15 stw r15,168(sp) - 2b374: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b378: d9c02b17 ldw r7,172(sp) - 2b37c: dbc02a17 ldw r15,168(sp) - 2b380: 10006d1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b384: d9801b17 ldw r6,108(sp) - 2b388: d8801c17 ldw r2,112(sp) - 2b38c: d811883a mov r8,sp - 2b390: 31400044 addi r5,r6,1 - 2b394: 003e3306 br 2ac64 <___vfiprintf_internal_r+0x47c> - 2b398: d9401b17 ldw r5,108(sp) - 2b39c: d8801c17 ldw r2,112(sp) - 2b3a0: 29000044 addi r4,r5,1 - 2b3a4: d8c01d87 ldb r3,118(sp) - 2b3a8: 183e4d26 beq r3,zero,2ace0 <___vfiprintf_internal_r+0x4f8> - 2b3ac: 00c00044 movi r3,1 - 2b3b0: d9401d84 addi r5,sp,118 - 2b3b4: 10c5883a add r2,r2,r3 - 2b3b8: 41400015 stw r5,0(r8) - 2b3bc: 40c00115 stw r3,4(r8) - 2b3c0: d8801c15 stw r2,112(sp) - 2b3c4: d9001b15 stw r4,108(sp) - 2b3c8: 014001c4 movi r5,7 - 2b3cc: 2900a90e bge r5,r4,2b674 <___vfiprintf_internal_r+0xe8c> - 2b3d0: 1000da1e bne r2,zero,2b73c <___vfiprintf_internal_r+0xf54> - 2b3d4: 7000ab1e bne r14,zero,2b684 <___vfiprintf_internal_r+0xe9c> - 2b3d8: 000b883a mov r5,zero - 2b3dc: 1809883a mov r4,r3 - 2b3e0: d811883a mov r8,sp - 2b3e4: 00c02004 movi r3,128 - 2b3e8: e0fe4d26 beq fp,r3,2ad20 <___vfiprintf_internal_r+0x538> - 2b3ec: 4cf9c83a sub fp,r9,r19 - 2b3f0: 073e7b0e bge zero,fp,2ade0 <___vfiprintf_internal_r+0x5f8> - 2b3f4: 01c00404 movi r7,16 - 2b3f8: 3f01900e bge r7,fp,2ba3c <___vfiprintf_internal_r+0x1254> - 2b3fc: 00c000f4 movhi r3,3 - 2b400: 18cab304 addi r3,r3,10956 - 2b404: d8c02415 stw r3,144(sp) - 2b408: 034001c4 movi r13,7 - 2b40c: 00000506 br 2b424 <___vfiprintf_internal_r+0xc3c> - 2b410: 29000084 addi r4,r5,2 - 2b414: 42000204 addi r8,r8,8 - 2b418: 180b883a mov r5,r3 - 2b41c: e73ffc04 addi fp,fp,-16 - 2b420: 3f000d0e bge r7,fp,2b458 <___vfiprintf_internal_r+0xc70> - 2b424: 10800404 addi r2,r2,16 - 2b428: 28c00044 addi r3,r5,1 - 2b42c: 45c00015 stw r23,0(r8) - 2b430: 41c00115 stw r7,4(r8) - 2b434: d8801c15 stw r2,112(sp) - 2b438: d8c01b15 stw r3,108(sp) - 2b43c: 68fff40e bge r13,r3,2b410 <___vfiprintf_internal_r+0xc28> - 2b440: 1000101e bne r2,zero,2b484 <___vfiprintf_internal_r+0xc9c> - 2b444: e73ffc04 addi fp,fp,-16 - 2b448: 01000044 movi r4,1 - 2b44c: 000b883a mov r5,zero - 2b450: d811883a mov r8,sp - 2b454: 3f3ff316 blt r7,fp,2b424 <___vfiprintf_internal_r+0xc3c> - 2b458: da802417 ldw r10,144(sp) - 2b45c: 1705883a add r2,r2,fp - 2b460: 47000115 stw fp,4(r8) - 2b464: 42800015 stw r10,0(r8) - 2b468: d8801c15 stw r2,112(sp) - 2b46c: d9001b15 stw r4,108(sp) - 2b470: 00c001c4 movi r3,7 - 2b474: 19003616 blt r3,r4,2b550 <___vfiprintf_internal_r+0xd68> - 2b478: 42000204 addi r8,r8,8 - 2b47c: 21000044 addi r4,r4,1 - 2b480: 003e5706 br 2ade0 <___vfiprintf_internal_r+0x5f8> - 2b484: d9402117 ldw r5,132(sp) - 2b488: d9002017 ldw r4,128(sp) - 2b48c: d9801a04 addi r6,sp,104 - 2b490: d9c02b15 stw r7,172(sp) - 2b494: db402a15 stw r13,168(sp) - 2b498: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b49c: d9c02b17 ldw r7,172(sp) - 2b4a0: db402a17 ldw r13,168(sp) - 2b4a4: 1000241e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b4a8: d9401b17 ldw r5,108(sp) - 2b4ac: d8801c17 ldw r2,112(sp) - 2b4b0: d811883a mov r8,sp - 2b4b4: 29000044 addi r4,r5,1 - 2b4b8: 003fd806 br 2b41c <___vfiprintf_internal_r+0xc34> - 2b4bc: d9401b17 ldw r5,108(sp) - 2b4c0: 00c000f4 movhi r3,3 - 2b4c4: 18cab704 addi r3,r3,10972 - 2b4c8: d8c02415 stw r3,144(sp) - 2b4cc: 29400044 addi r5,r5,1 - 2b4d0: d8c02417 ldw r3,144(sp) - 2b4d4: 14c5883a add r2,r2,r19 - 2b4d8: 44c00115 stw r19,4(r8) - 2b4dc: 40c00015 stw r3,0(r8) - 2b4e0: d8801c15 stw r2,112(sp) - 2b4e4: d9401b15 stw r5,108(sp) - 2b4e8: 00c001c4 movi r3,7 - 2b4ec: 1940070e bge r3,r5,2b50c <___vfiprintf_internal_r+0xd24> - 2b4f0: 103e4826 beq r2,zero,2ae14 <___vfiprintf_internal_r+0x62c> - 2b4f4: d9402117 ldw r5,132(sp) - 2b4f8: d9002017 ldw r4,128(sp) - 2b4fc: d9801a04 addi r6,sp,104 - 2b500: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b504: 10000c1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b508: d8801c17 ldw r2,112(sp) - 2b50c: 8c80010e bge r17,r18,2b514 <___vfiprintf_internal_r+0xd2c> - 2b510: 9023883a mov r17,r18 - 2b514: da802317 ldw r10,140(sp) - 2b518: 5455883a add r10,r10,r17 - 2b51c: da802315 stw r10,140(sp) - 2b520: 103e4126 beq r2,zero,2ae28 <___vfiprintf_internal_r+0x640> - 2b524: d9402117 ldw r5,132(sp) - 2b528: d9002017 ldw r4,128(sp) - 2b52c: d9801a04 addi r6,sp,104 - 2b530: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b534: 103e3c26 beq r2,zero,2ae28 <___vfiprintf_internal_r+0x640> - 2b538: dd002117 ldw r20,132(sp) - 2b53c: a080030b ldhu r2,12(r20) - 2b540: 1080100c andi r2,r2,64 - 2b544: 1001231e bne r2,zero,2b9d4 <___vfiprintf_internal_r+0x11ec> - 2b548: d8802317 ldw r2,140(sp) - 2b54c: 003d7b06 br 2ab3c <___vfiprintf_internal_r+0x354> - 2b550: 1000991e bne r2,zero,2b7b8 <___vfiprintf_internal_r+0xfd0> - 2b554: 00c00044 movi r3,1 - 2b558: 9805883a mov r2,r19 - 2b55c: dd400015 stw r21,0(sp) - 2b560: dcc00115 stw r19,4(sp) - 2b564: dcc01c15 stw r19,112(sp) - 2b568: d8c01b15 stw r3,108(sp) - 2b56c: d811883a mov r8,sp - 2b570: 42000204 addi r8,r8,8 - 2b574: a2c0010c andi r11,r20,4 - 2b578: 583fe426 beq r11,zero,2b50c <___vfiprintf_internal_r+0xd24> - 2b57c: 8ca7c83a sub r19,r17,r18 - 2b580: 04ffe20e bge zero,r19,2b50c <___vfiprintf_internal_r+0xd24> - 2b584: 01c00404 movi r7,16 - 2b588: 3cffcc0e bge r7,r19,2b4bc <___vfiprintf_internal_r+0xcd4> - 2b58c: 028000f4 movhi r10,3 - 2b590: 528ab704 addi r10,r10,10972 - 2b594: d9001b17 ldw r4,108(sp) - 2b598: da802415 stw r10,144(sp) - 2b59c: 382b883a mov r21,r7 - 2b5a0: 050001c4 movi r20,7 - 2b5a4: df002017 ldw fp,128(sp) - 2b5a8: 00000506 br 2b5c0 <___vfiprintf_internal_r+0xdd8> - 2b5ac: 21400084 addi r5,r4,2 - 2b5b0: 42000204 addi r8,r8,8 - 2b5b4: 1809883a mov r4,r3 - 2b5b8: 9cfffc04 addi r19,r19,-16 - 2b5bc: acffc40e bge r21,r19,2b4d0 <___vfiprintf_internal_r+0xce8> - 2b5c0: 10800404 addi r2,r2,16 - 2b5c4: 20c00044 addi r3,r4,1 - 2b5c8: 45800015 stw r22,0(r8) - 2b5cc: 45400115 stw r21,4(r8) - 2b5d0: d8801c15 stw r2,112(sp) - 2b5d4: d8c01b15 stw r3,108(sp) - 2b5d8: a0fff40e bge r20,r3,2b5ac <___vfiprintf_internal_r+0xdc4> - 2b5dc: 1000041e bne r2,zero,2b5f0 <___vfiprintf_internal_r+0xe08> - 2b5e0: 01400044 movi r5,1 - 2b5e4: 0009883a mov r4,zero - 2b5e8: d811883a mov r8,sp - 2b5ec: 003ff206 br 2b5b8 <___vfiprintf_internal_r+0xdd0> - 2b5f0: d9402117 ldw r5,132(sp) - 2b5f4: d9801a04 addi r6,sp,104 - 2b5f8: e009883a mov r4,fp - 2b5fc: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b600: 103fcd1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b604: d9001b17 ldw r4,108(sp) - 2b608: d8801c17 ldw r2,112(sp) - 2b60c: d811883a mov r8,sp - 2b610: 21400044 addi r5,r4,1 - 2b614: 003fe806 br 2b5b8 <___vfiprintf_internal_r+0xdd0> - 2b618: d9402117 ldw r5,132(sp) - 2b61c: d9002017 ldw r4,128(sp) - 2b620: d9801a04 addi r6,sp,104 - 2b624: d9c02b15 stw r7,172(sp) - 2b628: db402a15 stw r13,168(sp) - 2b62c: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b630: d9c02b17 ldw r7,172(sp) - 2b634: db402a17 ldw r13,168(sp) - 2b638: 103fbf1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b63c: d9401b17 ldw r5,108(sp) - 2b640: d8801c17 ldw r2,112(sp) - 2b644: d811883a mov r8,sp - 2b648: 29800044 addi r6,r5,1 - 2b64c: 003dc406 br 2ad60 <___vfiprintf_internal_r+0x578> - 2b650: 1000d21e bne r2,zero,2b99c <___vfiprintf_internal_r+0x11b4> - 2b654: d8c01d87 ldb r3,118(sp) - 2b658: 18009526 beq r3,zero,2b8b0 <___vfiprintf_internal_r+0x10c8> - 2b65c: 00800044 movi r2,1 - 2b660: d8c01d84 addi r3,sp,118 - 2b664: 1009883a mov r4,r2 - 2b668: d8c00015 stw r3,0(sp) - 2b66c: d8800115 stw r2,4(sp) - 2b670: d811883a mov r8,sp - 2b674: 200b883a mov r5,r4 - 2b678: 42000204 addi r8,r8,8 - 2b67c: 21000044 addi r4,r4,1 - 2b680: 003d9706 br 2ace0 <___vfiprintf_internal_r+0x4f8> - 2b684: d9001d04 addi r4,sp,116 - 2b688: 00800084 movi r2,2 - 2b68c: d9000015 stw r4,0(sp) - 2b690: d8800115 stw r2,4(sp) - 2b694: 1809883a mov r4,r3 - 2b698: d811883a mov r8,sp - 2b69c: 200b883a mov r5,r4 - 2b6a0: 42000204 addi r8,r8,8 - 2b6a4: 21000044 addi r4,r4,1 - 2b6a8: 003f4e06 br 2b3e4 <___vfiprintf_internal_r+0xbfc> - 2b6ac: d8001d85 stb zero,118(sp) - 2b6b0: 48005016 blt r9,zero,2b7f4 <___vfiprintf_internal_r+0x100c> - 2b6b4: 00ffdfc4 movi r3,-129 - 2b6b8: 94c4b03a or r2,r18,r19 - 2b6bc: a0e8703a and r20,r20,r3 - 2b6c0: 103d4426 beq r2,zero,2abd4 <___vfiprintf_internal_r+0x3ec> - 2b6c4: 0039883a mov fp,zero - 2b6c8: d9002617 ldw r4,152(sp) - 2b6cc: dd401a04 addi r21,sp,104 - 2b6d0: 908003cc andi r2,r18,15 - 2b6d4: 9806973a slli r3,r19,28 - 2b6d8: 2085883a add r2,r4,r2 - 2b6dc: 9024d13a srli r18,r18,4 - 2b6e0: 10800003 ldbu r2,0(r2) - 2b6e4: 9826d13a srli r19,r19,4 - 2b6e8: ad7fffc4 addi r21,r21,-1 - 2b6ec: 1ca4b03a or r18,r3,r18 - 2b6f0: a8800005 stb r2,0(r21) - 2b6f4: 94c4b03a or r2,r18,r19 - 2b6f8: 103ff51e bne r2,zero,2b6d0 <___vfiprintf_internal_r+0xee8> - 2b6fc: 003e5906 br 2b064 <___vfiprintf_internal_r+0x87c> - 2b700: d9402117 ldw r5,132(sp) - 2b704: d9002017 ldw r4,128(sp) - 2b708: d9801a04 addi r6,sp,104 - 2b70c: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b710: 103f891e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b714: d8801c17 ldw r2,112(sp) - 2b718: d811883a mov r8,sp - 2b71c: 003f9506 br 2b574 <___vfiprintf_internal_r+0xd8c> - 2b720: d9402117 ldw r5,132(sp) - 2b724: d9002017 ldw r4,128(sp) - 2b728: d9801a04 addi r6,sp,104 - 2b72c: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b730: 103f811e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b734: d811883a mov r8,sp - 2b738: 003ced06 br 2aaf0 <___vfiprintf_internal_r+0x308> - 2b73c: d9402117 ldw r5,132(sp) - 2b740: d9002017 ldw r4,128(sp) - 2b744: d9801a04 addi r6,sp,104 - 2b748: da402c15 stw r9,176(sp) - 2b74c: db802a15 stw r14,168(sp) - 2b750: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b754: da402c17 ldw r9,176(sp) - 2b758: db802a17 ldw r14,168(sp) - 2b75c: 103f761e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b760: d9401b17 ldw r5,108(sp) - 2b764: d8801c17 ldw r2,112(sp) - 2b768: d811883a mov r8,sp - 2b76c: 29000044 addi r4,r5,1 - 2b770: 003d5b06 br 2ace0 <___vfiprintf_internal_r+0x4f8> - 2b774: d9402117 ldw r5,132(sp) - 2b778: d9002017 ldw r4,128(sp) - 2b77c: d9801a04 addi r6,sp,104 - 2b780: da402c15 stw r9,176(sp) - 2b784: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b788: da402c17 ldw r9,176(sp) - 2b78c: 103f6a1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b790: d9401b17 ldw r5,108(sp) - 2b794: d8801c17 ldw r2,112(sp) - 2b798: d811883a mov r8,sp - 2b79c: 29000044 addi r4,r5,1 - 2b7a0: 003f1006 br 2b3e4 <___vfiprintf_internal_r+0xbfc> - 2b7a4: 1000c31e bne r2,zero,2bab4 <___vfiprintf_internal_r+0x12cc> - 2b7a8: 01000044 movi r4,1 - 2b7ac: 000b883a mov r5,zero - 2b7b0: d811883a mov r8,sp - 2b7b4: 003f0d06 br 2b3ec <___vfiprintf_internal_r+0xc04> - 2b7b8: d9402117 ldw r5,132(sp) - 2b7bc: d9002017 ldw r4,128(sp) - 2b7c0: d9801a04 addi r6,sp,104 - 2b7c4: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b7c8: 103f5b1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b7cc: d9001b17 ldw r4,108(sp) - 2b7d0: d8801c17 ldw r2,112(sp) - 2b7d4: d811883a mov r8,sp - 2b7d8: 21000044 addi r4,r4,1 - 2b7dc: 003d8006 br 2ade0 <___vfiprintf_internal_r+0x5f8> - 2b7e0: 010000f4 movhi r4,3 - 2b7e4: 210a5304 addi r4,r4,10572 - 2b7e8: d9002615 stw r4,152(sp) - 2b7ec: d8c02215 stw r3,136(sp) - 2b7f0: 1029883a mov r20,r2 - 2b7f4: 94c4b03a or r2,r18,r19 - 2b7f8: 103fb21e bne r2,zero,2b6c4 <___vfiprintf_internal_r+0xedc> - 2b7fc: 0039883a mov fp,zero - 2b800: 00800084 movi r2,2 - 2b804: 003e6b06 br 2b1b4 <___vfiprintf_internal_r+0x9cc> - 2b808: da802217 ldw r10,136(sp) - 2b80c: d8001d85 stb zero,118(sp) - 2b810: 0027883a mov r19,zero - 2b814: 50800104 addi r2,r10,4 - 2b818: 54800017 ldw r18,0(r10) - 2b81c: 483e6016 blt r9,zero,2b1a0 <___vfiprintf_internal_r+0x9b8> - 2b820: 00ffdfc4 movi r3,-129 - 2b824: d8802215 stw r2,136(sp) - 2b828: a0e8703a and r20,r20,r3 - 2b82c: 0039883a mov fp,zero - 2b830: 903ebb26 beq r18,zero,2b320 <___vfiprintf_internal_r+0xb38> - 2b834: 00800244 movi r2,9 - 2b838: 14bdee36 bltu r2,r18,2aff4 <___vfiprintf_internal_r+0x80c> - 2b83c: 003eba06 br 2b328 <___vfiprintf_internal_r+0xb40> - 2b840: 00800c04 movi r2,48 - 2b844: d8c01d45 stb r3,117(sp) - 2b848: d8801d05 stb r2,116(sp) - 2b84c: d8001d85 stb zero,118(sp) - 2b850: a0c00094 ori r3,r20,2 - 2b854: 4800a916 blt r9,zero,2bafc <___vfiprintf_internal_r+0x1314> - 2b858: 00bfdfc4 movi r2,-129 - 2b85c: a096703a and r11,r20,r2 - 2b860: 5d000094 ori r20,r11,2 - 2b864: 0039883a mov fp,zero - 2b868: 003f9706 br 2b6c8 <___vfiprintf_internal_r+0xee0> - 2b86c: 8025883a mov r18,r16 - 2b870: 003c2e06 br 2a92c <___vfiprintf_internal_r+0x144> - 2b874: 008000f4 movhi r2,3 - 2b878: 108a5304 addi r2,r2,10572 - 2b87c: 0039883a mov fp,zero - 2b880: d8802615 stw r2,152(sp) - 2b884: 003f9006 br 2b6c8 <___vfiprintf_internal_r+0xee0> - 2b888: 04a5c83a sub r18,zero,r18 - 2b88c: 07000b44 movi fp,45 - 2b890: 9004c03a cmpne r2,r18,zero - 2b894: 04e7c83a sub r19,zero,r19 - 2b898: df001d85 stb fp,118(sp) - 2b89c: 98a7c83a sub r19,r19,r2 - 2b8a0: 48009f16 blt r9,zero,2bb20 <___vfiprintf_internal_r+0x1338> - 2b8a4: 00bfdfc4 movi r2,-129 - 2b8a8: a0a8703a and r20,r20,r2 - 2b8ac: 003dd006 br 2aff0 <___vfiprintf_internal_r+0x808> - 2b8b0: 70004c26 beq r14,zero,2b9e4 <___vfiprintf_internal_r+0x11fc> - 2b8b4: 00800084 movi r2,2 - 2b8b8: d8c01d04 addi r3,sp,116 - 2b8bc: d8c00015 stw r3,0(sp) - 2b8c0: d8800115 stw r2,4(sp) - 2b8c4: 01000044 movi r4,1 - 2b8c8: d811883a mov r8,sp - 2b8cc: 003f7306 br 2b69c <___vfiprintf_internal_r+0xeb4> - 2b8d0: a080100c andi r2,r20,64 - 2b8d4: da802217 ldw r10,136(sp) - 2b8d8: 103e0626 beq r2,zero,2b0f4 <___vfiprintf_internal_r+0x90c> - 2b8dc: 5480000f ldh r18,0(r10) - 2b8e0: 52800104 addi r10,r10,4 - 2b8e4: da802215 stw r10,136(sp) - 2b8e8: 9027d7fa srai r19,r18,31 - 2b8ec: 9805883a mov r2,r19 - 2b8f0: 003db806 br 2afd4 <___vfiprintf_internal_r+0x7ec> - 2b8f4: a080040c andi r2,r20,16 - 2b8f8: 1000091e bne r2,zero,2b920 <___vfiprintf_internal_r+0x1138> - 2b8fc: a2c0100c andi r11,r20,64 - 2b900: 58000726 beq r11,zero,2b920 <___vfiprintf_internal_r+0x1138> - 2b904: da802217 ldw r10,136(sp) - 2b908: 50800017 ldw r2,0(r10) - 2b90c: 52800104 addi r10,r10,4 - 2b910: da802215 stw r10,136(sp) - 2b914: da802317 ldw r10,140(sp) - 2b918: 1280000d sth r10,0(r2) - 2b91c: 003be706 br 2a8bc <___vfiprintf_internal_r+0xd4> - 2b920: da802217 ldw r10,136(sp) - 2b924: 50800017 ldw r2,0(r10) - 2b928: 52800104 addi r10,r10,4 - 2b92c: da802215 stw r10,136(sp) - 2b930: da802317 ldw r10,140(sp) - 2b934: 12800015 stw r10,0(r2) - 2b938: 003be006 br 2a8bc <___vfiprintf_internal_r+0xd4> - 2b93c: a080100c andi r2,r20,64 - 2b940: da802217 ldw r10,136(sp) - 2b944: 10003026 beq r2,zero,2ba08 <___vfiprintf_internal_r+0x1220> - 2b948: 5480000b ldhu r18,0(r10) - 2b94c: 52800104 addi r10,r10,4 - 2b950: 0027883a mov r19,zero - 2b954: da802215 stw r10,136(sp) - 2b958: 003d8006 br 2af5c <___vfiprintf_internal_r+0x774> - 2b95c: 80c00007 ldb r3,0(r16) - 2b960: 003c0006 br 2a964 <___vfiprintf_internal_r+0x17c> - 2b964: a080100c andi r2,r20,64 - 2b968: d8001d85 stb zero,118(sp) - 2b96c: da802217 ldw r10,136(sp) - 2b970: 1000201e bne r2,zero,2b9f4 <___vfiprintf_internal_r+0x120c> - 2b974: 50800104 addi r2,r10,4 - 2b978: 54800017 ldw r18,0(r10) - 2b97c: 0027883a mov r19,zero - 2b980: 483def0e bge r9,zero,2b140 <___vfiprintf_internal_r+0x958> - 2b984: 94c6b03a or r3,r18,r19 - 2b988: d8802215 stw r2,136(sp) - 2b98c: 183d4e1e bne r3,zero,2aec8 <___vfiprintf_internal_r+0x6e0> - 2b990: 0039883a mov fp,zero - 2b994: 0005883a mov r2,zero - 2b998: 003e0606 br 2b1b4 <___vfiprintf_internal_r+0x9cc> - 2b99c: d9402117 ldw r5,132(sp) - 2b9a0: d9002017 ldw r4,128(sp) - 2b9a4: d9801a04 addi r6,sp,104 - 2b9a8: da402c15 stw r9,176(sp) - 2b9ac: db802a15 stw r14,168(sp) - 2b9b0: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2b9b4: da402c17 ldw r9,176(sp) - 2b9b8: db802a17 ldw r14,168(sp) - 2b9bc: 103ede1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2b9c0: d9401b17 ldw r5,108(sp) - 2b9c4: d8801c17 ldw r2,112(sp) - 2b9c8: d811883a mov r8,sp - 2b9cc: 29000044 addi r4,r5,1 - 2b9d0: 003e7406 br 2b3a4 <___vfiprintf_internal_r+0xbbc> - 2b9d4: 00bfffc4 movi r2,-1 - 2b9d8: 003c5806 br 2ab3c <___vfiprintf_internal_r+0x354> - 2b9dc: d811883a mov r8,sp - 2b9e0: 003ee806 br 2b584 <___vfiprintf_internal_r+0xd9c> - 2b9e4: 000b883a mov r5,zero - 2b9e8: 01000044 movi r4,1 - 2b9ec: d811883a mov r8,sp - 2b9f0: 003e7c06 br 2b3e4 <___vfiprintf_internal_r+0xbfc> - 2b9f4: 50800104 addi r2,r10,4 - 2b9f8: 5480000b ldhu r18,0(r10) - 2b9fc: 0027883a mov r19,zero - 2ba00: 483dcf0e bge r9,zero,2b140 <___vfiprintf_internal_r+0x958> - 2ba04: 003fdf06 br 2b984 <___vfiprintf_internal_r+0x119c> - 2ba08: 54800017 ldw r18,0(r10) - 2ba0c: 52800104 addi r10,r10,4 - 2ba10: 0027883a mov r19,zero - 2ba14: da802215 stw r10,136(sp) - 2ba18: 003d5006 br 2af5c <___vfiprintf_internal_r+0x774> - 2ba1c: 50800104 addi r2,r10,4 - 2ba20: 5480000b ldhu r18,0(r10) - 2ba24: 0027883a mov r19,zero - 2ba28: 483f7d0e bge r9,zero,2b820 <___vfiprintf_internal_r+0x1038> - 2ba2c: 003ddc06 br 2b1a0 <___vfiprintf_internal_r+0x9b8> - 2ba30: d8c02215 stw r3,136(sp) - 2ba34: 0039883a mov fp,zero - 2ba38: 003ddb06 br 2b1a8 <___vfiprintf_internal_r+0x9c0> - 2ba3c: 028000f4 movhi r10,3 - 2ba40: 528ab304 addi r10,r10,10956 - 2ba44: da802415 stw r10,144(sp) - 2ba48: 003e8306 br 2b458 <___vfiprintf_internal_r+0xc70> - 2ba4c: d8801c17 ldw r2,112(sp) - 2ba50: dd002117 ldw r20,132(sp) - 2ba54: 103eb926 beq r2,zero,2b53c <___vfiprintf_internal_r+0xd54> - 2ba58: d9002017 ldw r4,128(sp) - 2ba5c: d9801a04 addi r6,sp,104 - 2ba60: a00b883a mov r5,r20 - 2ba64: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2ba68: 003eb406 br 2b53c <___vfiprintf_internal_r+0xd54> - 2ba6c: 80c00043 ldbu r3,1(r16) - 2ba70: a5000814 ori r20,r20,32 - 2ba74: 84000044 addi r16,r16,1 - 2ba78: 18c03fcc andi r3,r3,255 - 2ba7c: 18c0201c xori r3,r3,128 - 2ba80: 18ffe004 addi r3,r3,-128 - 2ba84: 003bb706 br 2a964 <___vfiprintf_internal_r+0x17c> - 2ba88: a809883a mov r4,r21 - 2ba8c: d8c02a15 stw r3,168(sp) - 2ba90: da002b15 stw r8,172(sp) - 2ba94: 002376c0 call 2376c - 2ba98: d8c02a17 ldw r3,168(sp) - 2ba9c: 1027883a mov r19,r2 - 2baa0: df001d83 ldbu fp,118(sp) - 2baa4: d8c02215 stw r3,136(sp) - 2baa8: 0013883a mov r9,zero - 2baac: da002b17 ldw r8,172(sp) - 2bab0: 003c4d06 br 2abe8 <___vfiprintf_internal_r+0x400> - 2bab4: d9402117 ldw r5,132(sp) - 2bab8: d9002017 ldw r4,128(sp) - 2babc: d9801a04 addi r6,sp,104 - 2bac0: da402c15 stw r9,176(sp) - 2bac4: 002a6d40 call 2a6d4 <__sprint_r.part.0> - 2bac8: da402c17 ldw r9,176(sp) - 2bacc: 103e9a1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> - 2bad0: d9401b17 ldw r5,108(sp) - 2bad4: d8801c17 ldw r2,112(sp) - 2bad8: d811883a mov r8,sp - 2badc: 29000044 addi r4,r5,1 - 2bae0: 003e4206 br 2b3ec <___vfiprintf_internal_r+0xc04> - 2bae4: d9401b17 ldw r5,108(sp) - 2bae8: 010000f4 movhi r4,3 - 2baec: 210ab704 addi r4,r4,10972 - 2baf0: d9002415 stw r4,144(sp) - 2baf4: 29400044 addi r5,r5,1 - 2baf8: 003c6d06 br 2acb0 <___vfiprintf_internal_r+0x4c8> - 2bafc: 0039883a mov fp,zero - 2bb00: 00800084 movi r2,2 - 2bb04: 10803fcc andi r2,r2,255 - 2bb08: 01000044 movi r4,1 - 2bb0c: 11001e26 beq r2,r4,2bb88 <___vfiprintf_internal_r+0x13a0> - 2bb10: 01000084 movi r4,2 - 2bb14: 11001e1e bne r2,r4,2bb90 <___vfiprintf_internal_r+0x13a8> - 2bb18: 1829883a mov r20,r3 - 2bb1c: 003eea06 br 2b6c8 <___vfiprintf_internal_r+0xee0> - 2bb20: a007883a mov r3,r20 - 2bb24: 00800044 movi r2,1 - 2bb28: 003ff606 br 2bb04 <___vfiprintf_internal_r+0x131c> - 2bb2c: 00800184 movi r2,6 - 2bb30: 1240012e bgeu r2,r9,2bb38 <___vfiprintf_internal_r+0x1350> - 2bb34: 1013883a mov r9,r2 - 2bb38: 4827883a mov r19,r9 - 2bb3c: 4825883a mov r18,r9 - 2bb40: 48001516 blt r9,zero,2bb98 <___vfiprintf_internal_r+0x13b0> - 2bb44: 054000f4 movhi r21,3 - 2bb48: d8c02215 stw r3,136(sp) - 2bb4c: ad4a5804 addi r21,r21,10592 - 2bb50: 003d1406 br 2afa4 <___vfiprintf_internal_r+0x7bc> - 2bb54: 028000f4 movhi r10,3 - 2bb58: 528ab304 addi r10,r10,10956 - 2bb5c: da802415 stw r10,144(sp) - 2bb60: 200d883a mov r6,r4 - 2bb64: 003c9106 br 2adac <___vfiprintf_internal_r+0x5c4> - 2bb68: 5021883a mov r16,r10 - 2bb6c: 0013883a mov r9,zero - 2bb70: 003b7d06 br 2a968 <___vfiprintf_internal_r+0x180> - 2bb74: 4827883a mov r19,r9 - 2bb78: df001d83 ldbu fp,118(sp) - 2bb7c: d8c02215 stw r3,136(sp) - 2bb80: 0013883a mov r9,zero - 2bb84: 003c1806 br 2abe8 <___vfiprintf_internal_r+0x400> - 2bb88: 1829883a mov r20,r3 - 2bb8c: 003d1806 br 2aff0 <___vfiprintf_internal_r+0x808> - 2bb90: 1829883a mov r20,r3 - 2bb94: 003ccd06 br 2aecc <___vfiprintf_internal_r+0x6e4> - 2bb98: 0025883a mov r18,zero - 2bb9c: 003fe906 br 2bb44 <___vfiprintf_internal_r+0x135c> - 2bba0: d8802217 ldw r2,136(sp) - 2bba4: 80c00043 ldbu r3,1(r16) - 2bba8: 5021883a mov r16,r10 - 2bbac: 12400017 ldw r9,0(r2) - 2bbb0: 10800104 addi r2,r2,4 - 2bbb4: d8802215 stw r2,136(sp) - 2bbb8: 483faf0e bge r9,zero,2ba78 <___vfiprintf_internal_r+0x1290> - 2bbbc: 18c03fcc andi r3,r3,255 - 2bbc0: 18c0201c xori r3,r3,128 - 2bbc4: 027fffc4 movi r9,-1 - 2bbc8: 18ffe004 addi r3,r3,-128 - 2bbcc: 003b6506 br 2a964 <___vfiprintf_internal_r+0x17c> - 2bbd0: d9c01d85 stb r7,118(sp) - 2bbd4: 003ca006 br 2ae58 <___vfiprintf_internal_r+0x670> - 2bbd8: d9c01d85 stb r7,118(sp) - 2bbdc: 003cad06 br 2ae94 <___vfiprintf_internal_r+0x6ac> - 2bbe0: d9c01d85 stb r7,118(sp) - 2bbe4: 003d7d06 br 2b1dc <___vfiprintf_internal_r+0x9f4> - 2bbe8: d9c01d85 stb r7,118(sp) - 2bbec: 003d5f06 br 2b16c <___vfiprintf_internal_r+0x984> - 2bbf0: a080004c andi r2,r20,1 - 2bbf4: 0039883a mov fp,zero - 2bbf8: 10000526 beq r2,zero,2bc10 <___vfiprintf_internal_r+0x1428> - 2bbfc: 00800c04 movi r2,48 - 2bc00: d88019c5 stb r2,103(sp) - 2bc04: dcc02717 ldw r19,156(sp) - 2bc08: dd4019c4 addi r21,sp,103 - 2bc0c: 003bf606 br 2abe8 <___vfiprintf_internal_r+0x400> - 2bc10: 0027883a mov r19,zero - 2bc14: dd401a04 addi r21,sp,104 - 2bc18: 003bf306 br 2abe8 <___vfiprintf_internal_r+0x400> - 2bc1c: d9c01d85 stb r7,118(sp) - 2bc20: 003dc806 br 2b344 <___vfiprintf_internal_r+0xb5c> - 2bc24: d9c01d85 stb r7,118(sp) - 2bc28: 003d3a06 br 2b114 <___vfiprintf_internal_r+0x92c> - 2bc2c: d9c01d85 stb r7,118(sp) - 2bc30: 003d2a06 br 2b0dc <___vfiprintf_internal_r+0x8f4> - 2bc34: d9c01d85 stb r7,118(sp) - 2bc38: 003cde06 br 2afb4 <___vfiprintf_internal_r+0x7cc> - 2bc3c: d9c01d85 stb r7,118(sp) - 2bc40: 003cbc06 br 2af34 <___vfiprintf_internal_r+0x74c> + 2aba0: 9027883a mov r19,r18 + 2aba4: dd401004 addi r21,sp,64 + 2aba8: 003f1106 br 2a7f0 <_gp+0xfffee2b8> + 2abac: d9402117 ldw r5,132(sp) + 2abb0: d9002017 ldw r4,128(sp) + 2abb4: d9801a04 addi r6,sp,104 + 2abb8: d9c02b15 stw r7,172(sp) + 2abbc: dbc02a15 stw r15,168(sp) + 2abc0: 0029f200 call 29f20 <__sprint_r.part.0> + 2abc4: d9c02b17 ldw r7,172(sp) + 2abc8: dbc02a17 ldw r15,168(sp) + 2abcc: 10006d1e bne r2,zero,2ad84 <___vfiprintf_internal_r+0xd50> + 2abd0: d9801b17 ldw r6,108(sp) + 2abd4: d8801c17 ldw r2,112(sp) + 2abd8: d811883a mov r8,sp + 2abdc: 31400044 addi r5,r6,1 + 2abe0: 003e3306 br 2a4b0 <_gp+0xfffedf78> + 2abe4: d9401b17 ldw r5,108(sp) + 2abe8: d8801c17 ldw r2,112(sp) + 2abec: 29000044 addi r4,r5,1 + 2abf0: d8c01d87 ldb r3,118(sp) + 2abf4: 183e4d26 beq r3,zero,2a52c <_gp+0xfffedff4> + 2abf8: 00c00044 movi r3,1 + 2abfc: d9401d84 addi r5,sp,118 + 2ac00: 10c5883a add r2,r2,r3 + 2ac04: 41400015 stw r5,0(r8) + 2ac08: 40c00115 stw r3,4(r8) + 2ac0c: d8801c15 stw r2,112(sp) + 2ac10: d9001b15 stw r4,108(sp) + 2ac14: 014001c4 movi r5,7 + 2ac18: 2900a90e bge r5,r4,2aec0 <___vfiprintf_internal_r+0xe8c> + 2ac1c: 1000da1e bne r2,zero,2af88 <___vfiprintf_internal_r+0xf54> + 2ac20: 7000ab1e bne r14,zero,2aed0 <___vfiprintf_internal_r+0xe9c> + 2ac24: 000b883a mov r5,zero + 2ac28: 1809883a mov r4,r3 + 2ac2c: d811883a mov r8,sp + 2ac30: 00c02004 movi r3,128 + 2ac34: e0fe4d26 beq fp,r3,2a56c <_gp+0xfffee034> + 2ac38: 4cf9c83a sub fp,r9,r19 + 2ac3c: 073e7b0e bge zero,fp,2a62c <_gp+0xfffee0f4> + 2ac40: 01c00404 movi r7,16 + 2ac44: 3f01900e bge r7,fp,2b288 <___vfiprintf_internal_r+0x1254> + 2ac48: 00c000f4 movhi r3,3 + 2ac4c: 18c86604 addi r3,r3,8600 + 2ac50: d8c02415 stw r3,144(sp) + 2ac54: 034001c4 movi r13,7 + 2ac58: 00000506 br 2ac70 <___vfiprintf_internal_r+0xc3c> + 2ac5c: 29000084 addi r4,r5,2 + 2ac60: 42000204 addi r8,r8,8 + 2ac64: 180b883a mov r5,r3 + 2ac68: e73ffc04 addi fp,fp,-16 + 2ac6c: 3f000d0e bge r7,fp,2aca4 <___vfiprintf_internal_r+0xc70> + 2ac70: 10800404 addi r2,r2,16 + 2ac74: 28c00044 addi r3,r5,1 + 2ac78: 45c00015 stw r23,0(r8) + 2ac7c: 41c00115 stw r7,4(r8) + 2ac80: d8801c15 stw r2,112(sp) + 2ac84: d8c01b15 stw r3,108(sp) + 2ac88: 68fff40e bge r13,r3,2ac5c <_gp+0xfffee724> + 2ac8c: 1000101e bne r2,zero,2acd0 <___vfiprintf_internal_r+0xc9c> + 2ac90: e73ffc04 addi fp,fp,-16 + 2ac94: 01000044 movi r4,1 + 2ac98: 000b883a mov r5,zero + 2ac9c: d811883a mov r8,sp + 2aca0: 3f3ff316 blt r7,fp,2ac70 <_gp+0xfffee738> + 2aca4: da802417 ldw r10,144(sp) + 2aca8: 1705883a add r2,r2,fp + 2acac: 47000115 stw fp,4(r8) + 2acb0: 42800015 stw r10,0(r8) + 2acb4: d8801c15 stw r2,112(sp) + 2acb8: d9001b15 stw r4,108(sp) + 2acbc: 00c001c4 movi r3,7 + 2acc0: 19003616 blt r3,r4,2ad9c <___vfiprintf_internal_r+0xd68> + 2acc4: 42000204 addi r8,r8,8 + 2acc8: 21000044 addi r4,r4,1 + 2accc: 003e5706 br 2a62c <_gp+0xfffee0f4> + 2acd0: d9402117 ldw r5,132(sp) + 2acd4: d9002017 ldw r4,128(sp) + 2acd8: d9801a04 addi r6,sp,104 + 2acdc: d9c02b15 stw r7,172(sp) + 2ace0: db402a15 stw r13,168(sp) + 2ace4: 0029f200 call 29f20 <__sprint_r.part.0> + 2ace8: d9c02b17 ldw r7,172(sp) + 2acec: db402a17 ldw r13,168(sp) + 2acf0: 1000241e bne r2,zero,2ad84 <___vfiprintf_internal_r+0xd50> + 2acf4: d9401b17 ldw r5,108(sp) + 2acf8: d8801c17 ldw r2,112(sp) + 2acfc: d811883a mov r8,sp + 2ad00: 29000044 addi r4,r5,1 + 2ad04: 003fd806 br 2ac68 <_gp+0xfffee730> + 2ad08: d9401b17 ldw r5,108(sp) + 2ad0c: 00c000f4 movhi r3,3 + 2ad10: 18c86a04 addi r3,r3,8616 + 2ad14: d8c02415 stw r3,144(sp) + 2ad18: 29400044 addi r5,r5,1 + 2ad1c: d8c02417 ldw r3,144(sp) + 2ad20: 14c5883a add r2,r2,r19 + 2ad24: 44c00115 stw r19,4(r8) + 2ad28: 40c00015 stw r3,0(r8) + 2ad2c: d8801c15 stw r2,112(sp) + 2ad30: d9401b15 stw r5,108(sp) + 2ad34: 00c001c4 movi r3,7 + 2ad38: 1940070e bge r3,r5,2ad58 <___vfiprintf_internal_r+0xd24> + 2ad3c: 103e4826 beq r2,zero,2a660 <_gp+0xfffee128> + 2ad40: d9402117 ldw r5,132(sp) + 2ad44: d9002017 ldw r4,128(sp) + 2ad48: d9801a04 addi r6,sp,104 + 2ad4c: 0029f200 call 29f20 <__sprint_r.part.0> + 2ad50: 10000c1e bne r2,zero,2ad84 <___vfiprintf_internal_r+0xd50> + 2ad54: d8801c17 ldw r2,112(sp) + 2ad58: 8c80010e bge r17,r18,2ad60 <___vfiprintf_internal_r+0xd2c> + 2ad5c: 9023883a mov r17,r18 + 2ad60: da802317 ldw r10,140(sp) + 2ad64: 5455883a add r10,r10,r17 + 2ad68: da802315 stw r10,140(sp) + 2ad6c: 103e4126 beq r2,zero,2a674 <_gp+0xfffee13c> + 2ad70: d9402117 ldw r5,132(sp) + 2ad74: d9002017 ldw r4,128(sp) + 2ad78: d9801a04 addi r6,sp,104 + 2ad7c: 0029f200 call 29f20 <__sprint_r.part.0> + 2ad80: 103e3c26 beq r2,zero,2a674 <_gp+0xfffee13c> + 2ad84: dd002117 ldw r20,132(sp) + 2ad88: a080030b ldhu r2,12(r20) + 2ad8c: 1080100c andi r2,r2,64 + 2ad90: 1001231e bne r2,zero,2b220 <___vfiprintf_internal_r+0x11ec> + 2ad94: d8802317 ldw r2,140(sp) + 2ad98: 003d7b06 br 2a388 <_gp+0xfffede50> + 2ad9c: 1000991e bne r2,zero,2b004 <___vfiprintf_internal_r+0xfd0> + 2ada0: 00c00044 movi r3,1 + 2ada4: 9805883a mov r2,r19 + 2ada8: dd400015 stw r21,0(sp) + 2adac: dcc00115 stw r19,4(sp) + 2adb0: dcc01c15 stw r19,112(sp) + 2adb4: d8c01b15 stw r3,108(sp) + 2adb8: d811883a mov r8,sp + 2adbc: 42000204 addi r8,r8,8 + 2adc0: a2c0010c andi r11,r20,4 + 2adc4: 583fe426 beq r11,zero,2ad58 <_gp+0xfffee820> + 2adc8: 8ca7c83a sub r19,r17,r18 + 2adcc: 04ffe20e bge zero,r19,2ad58 <_gp+0xfffee820> + 2add0: 01c00404 movi r7,16 + 2add4: 3cffcc0e bge r7,r19,2ad08 <_gp+0xfffee7d0> + 2add8: 028000f4 movhi r10,3 + 2addc: 52886a04 addi r10,r10,8616 + 2ade0: d9001b17 ldw r4,108(sp) + 2ade4: da802415 stw r10,144(sp) + 2ade8: 382b883a mov r21,r7 + 2adec: 050001c4 movi r20,7 + 2adf0: df002017 ldw fp,128(sp) + 2adf4: 00000506 br 2ae0c <___vfiprintf_internal_r+0xdd8> + 2adf8: 21400084 addi r5,r4,2 + 2adfc: 42000204 addi r8,r8,8 + 2ae00: 1809883a mov r4,r3 + 2ae04: 9cfffc04 addi r19,r19,-16 + 2ae08: acffc40e bge r21,r19,2ad1c <_gp+0xfffee7e4> + 2ae0c: 10800404 addi r2,r2,16 + 2ae10: 20c00044 addi r3,r4,1 + 2ae14: 45800015 stw r22,0(r8) + 2ae18: 45400115 stw r21,4(r8) + 2ae1c: d8801c15 stw r2,112(sp) + 2ae20: d8c01b15 stw r3,108(sp) + 2ae24: a0fff40e bge r20,r3,2adf8 <_gp+0xfffee8c0> + 2ae28: 1000041e bne r2,zero,2ae3c <___vfiprintf_internal_r+0xe08> + 2ae2c: 01400044 movi r5,1 + 2ae30: 0009883a mov r4,zero + 2ae34: d811883a mov r8,sp + 2ae38: 003ff206 br 2ae04 <_gp+0xfffee8cc> + 2ae3c: d9402117 ldw r5,132(sp) + 2ae40: d9801a04 addi r6,sp,104 + 2ae44: e009883a mov r4,fp + 2ae48: 0029f200 call 29f20 <__sprint_r.part.0> + 2ae4c: 103fcd1e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2ae50: d9001b17 ldw r4,108(sp) + 2ae54: d8801c17 ldw r2,112(sp) + 2ae58: d811883a mov r8,sp + 2ae5c: 21400044 addi r5,r4,1 + 2ae60: 003fe806 br 2ae04 <_gp+0xfffee8cc> + 2ae64: d9402117 ldw r5,132(sp) + 2ae68: d9002017 ldw r4,128(sp) + 2ae6c: d9801a04 addi r6,sp,104 + 2ae70: d9c02b15 stw r7,172(sp) + 2ae74: db402a15 stw r13,168(sp) + 2ae78: 0029f200 call 29f20 <__sprint_r.part.0> + 2ae7c: d9c02b17 ldw r7,172(sp) + 2ae80: db402a17 ldw r13,168(sp) + 2ae84: 103fbf1e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2ae88: d9401b17 ldw r5,108(sp) + 2ae8c: d8801c17 ldw r2,112(sp) + 2ae90: d811883a mov r8,sp + 2ae94: 29800044 addi r6,r5,1 + 2ae98: 003dc406 br 2a5ac <_gp+0xfffee074> + 2ae9c: 1000d21e bne r2,zero,2b1e8 <___vfiprintf_internal_r+0x11b4> + 2aea0: d8c01d87 ldb r3,118(sp) + 2aea4: 18009526 beq r3,zero,2b0fc <___vfiprintf_internal_r+0x10c8> + 2aea8: 00800044 movi r2,1 + 2aeac: d8c01d84 addi r3,sp,118 + 2aeb0: 1009883a mov r4,r2 + 2aeb4: d8c00015 stw r3,0(sp) + 2aeb8: d8800115 stw r2,4(sp) + 2aebc: d811883a mov r8,sp + 2aec0: 200b883a mov r5,r4 + 2aec4: 42000204 addi r8,r8,8 + 2aec8: 21000044 addi r4,r4,1 + 2aecc: 003d9706 br 2a52c <_gp+0xfffedff4> + 2aed0: d9001d04 addi r4,sp,116 + 2aed4: 00800084 movi r2,2 + 2aed8: d9000015 stw r4,0(sp) + 2aedc: d8800115 stw r2,4(sp) + 2aee0: 1809883a mov r4,r3 + 2aee4: d811883a mov r8,sp + 2aee8: 200b883a mov r5,r4 + 2aeec: 42000204 addi r8,r8,8 + 2aef0: 21000044 addi r4,r4,1 + 2aef4: 003f4e06 br 2ac30 <_gp+0xfffee6f8> + 2aef8: d8001d85 stb zero,118(sp) + 2aefc: 48005016 blt r9,zero,2b040 <___vfiprintf_internal_r+0x100c> + 2af00: 00ffdfc4 movi r3,-129 + 2af04: 94c4b03a or r2,r18,r19 + 2af08: a0e8703a and r20,r20,r3 + 2af0c: 103d4426 beq r2,zero,2a420 <_gp+0xfffedee8> + 2af10: 0039883a mov fp,zero + 2af14: d9002617 ldw r4,152(sp) + 2af18: dd401a04 addi r21,sp,104 + 2af1c: 908003cc andi r2,r18,15 + 2af20: 9806973a slli r3,r19,28 + 2af24: 2085883a add r2,r4,r2 + 2af28: 9024d13a srli r18,r18,4 + 2af2c: 10800003 ldbu r2,0(r2) + 2af30: 9826d13a srli r19,r19,4 + 2af34: ad7fffc4 addi r21,r21,-1 + 2af38: 1ca4b03a or r18,r3,r18 + 2af3c: a8800005 stb r2,0(r21) + 2af40: 94c4b03a or r2,r18,r19 + 2af44: 103ff51e bne r2,zero,2af1c <_gp+0xfffee9e4> + 2af48: 003e5906 br 2a8b0 <_gp+0xfffee378> + 2af4c: d9402117 ldw r5,132(sp) + 2af50: d9002017 ldw r4,128(sp) + 2af54: d9801a04 addi r6,sp,104 + 2af58: 0029f200 call 29f20 <__sprint_r.part.0> + 2af5c: 103f891e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2af60: d8801c17 ldw r2,112(sp) + 2af64: d811883a mov r8,sp + 2af68: 003f9506 br 2adc0 <_gp+0xfffee888> + 2af6c: d9402117 ldw r5,132(sp) + 2af70: d9002017 ldw r4,128(sp) + 2af74: d9801a04 addi r6,sp,104 + 2af78: 0029f200 call 29f20 <__sprint_r.part.0> + 2af7c: 103f811e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2af80: d811883a mov r8,sp + 2af84: 003ced06 br 2a33c <_gp+0xfffede04> + 2af88: d9402117 ldw r5,132(sp) + 2af8c: d9002017 ldw r4,128(sp) + 2af90: d9801a04 addi r6,sp,104 + 2af94: da402c15 stw r9,176(sp) + 2af98: db802a15 stw r14,168(sp) + 2af9c: 0029f200 call 29f20 <__sprint_r.part.0> + 2afa0: da402c17 ldw r9,176(sp) + 2afa4: db802a17 ldw r14,168(sp) + 2afa8: 103f761e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2afac: d9401b17 ldw r5,108(sp) + 2afb0: d8801c17 ldw r2,112(sp) + 2afb4: d811883a mov r8,sp + 2afb8: 29000044 addi r4,r5,1 + 2afbc: 003d5b06 br 2a52c <_gp+0xfffedff4> + 2afc0: d9402117 ldw r5,132(sp) + 2afc4: d9002017 ldw r4,128(sp) + 2afc8: d9801a04 addi r6,sp,104 + 2afcc: da402c15 stw r9,176(sp) + 2afd0: 0029f200 call 29f20 <__sprint_r.part.0> + 2afd4: da402c17 ldw r9,176(sp) + 2afd8: 103f6a1e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2afdc: d9401b17 ldw r5,108(sp) + 2afe0: d8801c17 ldw r2,112(sp) + 2afe4: d811883a mov r8,sp + 2afe8: 29000044 addi r4,r5,1 + 2afec: 003f1006 br 2ac30 <_gp+0xfffee6f8> + 2aff0: 1000c31e bne r2,zero,2b300 <___vfiprintf_internal_r+0x12cc> + 2aff4: 01000044 movi r4,1 + 2aff8: 000b883a mov r5,zero + 2affc: d811883a mov r8,sp + 2b000: 003f0d06 br 2ac38 <_gp+0xfffee700> + 2b004: d9402117 ldw r5,132(sp) + 2b008: d9002017 ldw r4,128(sp) + 2b00c: d9801a04 addi r6,sp,104 + 2b010: 0029f200 call 29f20 <__sprint_r.part.0> + 2b014: 103f5b1e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2b018: d9001b17 ldw r4,108(sp) + 2b01c: d8801c17 ldw r2,112(sp) + 2b020: d811883a mov r8,sp + 2b024: 21000044 addi r4,r4,1 + 2b028: 003d8006 br 2a62c <_gp+0xfffee0f4> + 2b02c: 010000f4 movhi r4,3 + 2b030: 21080504 addi r4,r4,8212 + 2b034: d9002615 stw r4,152(sp) + 2b038: d8c02215 stw r3,136(sp) + 2b03c: 1029883a mov r20,r2 + 2b040: 94c4b03a or r2,r18,r19 + 2b044: 103fb21e bne r2,zero,2af10 <_gp+0xfffee9d8> + 2b048: 0039883a mov fp,zero + 2b04c: 00800084 movi r2,2 + 2b050: 003e6b06 br 2aa00 <_gp+0xfffee4c8> + 2b054: da802217 ldw r10,136(sp) + 2b058: d8001d85 stb zero,118(sp) + 2b05c: 0027883a mov r19,zero + 2b060: 50800104 addi r2,r10,4 + 2b064: 54800017 ldw r18,0(r10) + 2b068: 483e6016 blt r9,zero,2a9ec <_gp+0xfffee4b4> + 2b06c: 00ffdfc4 movi r3,-129 + 2b070: d8802215 stw r2,136(sp) + 2b074: a0e8703a and r20,r20,r3 + 2b078: 0039883a mov fp,zero + 2b07c: 903ebb26 beq r18,zero,2ab6c <_gp+0xfffee634> + 2b080: 00800244 movi r2,9 + 2b084: 14bdee36 bltu r2,r18,2a840 <_gp+0xfffee308> + 2b088: 003eba06 br 2ab74 <_gp+0xfffee63c> + 2b08c: 00800c04 movi r2,48 + 2b090: d8c01d45 stb r3,117(sp) + 2b094: d8801d05 stb r2,116(sp) + 2b098: d8001d85 stb zero,118(sp) + 2b09c: a0c00094 ori r3,r20,2 + 2b0a0: 4800a916 blt r9,zero,2b348 <___vfiprintf_internal_r+0x1314> + 2b0a4: 00bfdfc4 movi r2,-129 + 2b0a8: a096703a and r11,r20,r2 + 2b0ac: 5d000094 ori r20,r11,2 + 2b0b0: 0039883a mov fp,zero + 2b0b4: 003f9706 br 2af14 <_gp+0xfffee9dc> + 2b0b8: 8025883a mov r18,r16 + 2b0bc: 003c2e06 br 2a178 <_gp+0xfffedc40> + 2b0c0: 008000f4 movhi r2,3 + 2b0c4: 10880504 addi r2,r2,8212 + 2b0c8: 0039883a mov fp,zero + 2b0cc: d8802615 stw r2,152(sp) + 2b0d0: 003f9006 br 2af14 <_gp+0xfffee9dc> + 2b0d4: 04a5c83a sub r18,zero,r18 + 2b0d8: 07000b44 movi fp,45 + 2b0dc: 9004c03a cmpne r2,r18,zero + 2b0e0: 04e7c83a sub r19,zero,r19 + 2b0e4: df001d85 stb fp,118(sp) + 2b0e8: 98a7c83a sub r19,r19,r2 + 2b0ec: 48009f16 blt r9,zero,2b36c <___vfiprintf_internal_r+0x1338> + 2b0f0: 00bfdfc4 movi r2,-129 + 2b0f4: a0a8703a and r20,r20,r2 + 2b0f8: 003dd006 br 2a83c <_gp+0xfffee304> + 2b0fc: 70004c26 beq r14,zero,2b230 <___vfiprintf_internal_r+0x11fc> + 2b100: 00800084 movi r2,2 + 2b104: d8c01d04 addi r3,sp,116 + 2b108: d8c00015 stw r3,0(sp) + 2b10c: d8800115 stw r2,4(sp) + 2b110: 01000044 movi r4,1 + 2b114: d811883a mov r8,sp + 2b118: 003f7306 br 2aee8 <_gp+0xfffee9b0> + 2b11c: a080100c andi r2,r20,64 + 2b120: da802217 ldw r10,136(sp) + 2b124: 103e0626 beq r2,zero,2a940 <_gp+0xfffee408> + 2b128: 5480000f ldh r18,0(r10) + 2b12c: 52800104 addi r10,r10,4 + 2b130: da802215 stw r10,136(sp) + 2b134: 9027d7fa srai r19,r18,31 + 2b138: 9805883a mov r2,r19 + 2b13c: 003db806 br 2a820 <_gp+0xfffee2e8> + 2b140: a080040c andi r2,r20,16 + 2b144: 1000091e bne r2,zero,2b16c <___vfiprintf_internal_r+0x1138> + 2b148: a2c0100c andi r11,r20,64 + 2b14c: 58000726 beq r11,zero,2b16c <___vfiprintf_internal_r+0x1138> + 2b150: da802217 ldw r10,136(sp) + 2b154: 50800017 ldw r2,0(r10) + 2b158: 52800104 addi r10,r10,4 + 2b15c: da802215 stw r10,136(sp) + 2b160: da802317 ldw r10,140(sp) + 2b164: 1280000d sth r10,0(r2) + 2b168: 003be706 br 2a108 <_gp+0xfffedbd0> + 2b16c: da802217 ldw r10,136(sp) + 2b170: 50800017 ldw r2,0(r10) + 2b174: 52800104 addi r10,r10,4 + 2b178: da802215 stw r10,136(sp) + 2b17c: da802317 ldw r10,140(sp) + 2b180: 12800015 stw r10,0(r2) + 2b184: 003be006 br 2a108 <_gp+0xfffedbd0> + 2b188: a080100c andi r2,r20,64 + 2b18c: da802217 ldw r10,136(sp) + 2b190: 10003026 beq r2,zero,2b254 <___vfiprintf_internal_r+0x1220> + 2b194: 5480000b ldhu r18,0(r10) + 2b198: 52800104 addi r10,r10,4 + 2b19c: 0027883a mov r19,zero + 2b1a0: da802215 stw r10,136(sp) + 2b1a4: 003d8006 br 2a7a8 <_gp+0xfffee270> + 2b1a8: 80c00007 ldb r3,0(r16) + 2b1ac: 003c0006 br 2a1b0 <_gp+0xfffedc78> + 2b1b0: a080100c andi r2,r20,64 + 2b1b4: d8001d85 stb zero,118(sp) + 2b1b8: da802217 ldw r10,136(sp) + 2b1bc: 1000201e bne r2,zero,2b240 <___vfiprintf_internal_r+0x120c> + 2b1c0: 50800104 addi r2,r10,4 + 2b1c4: 54800017 ldw r18,0(r10) + 2b1c8: 0027883a mov r19,zero + 2b1cc: 483def0e bge r9,zero,2a98c <_gp+0xfffee454> + 2b1d0: 94c6b03a or r3,r18,r19 + 2b1d4: d8802215 stw r2,136(sp) + 2b1d8: 183d4e1e bne r3,zero,2a714 <_gp+0xfffee1dc> + 2b1dc: 0039883a mov fp,zero + 2b1e0: 0005883a mov r2,zero + 2b1e4: 003e0606 br 2aa00 <_gp+0xfffee4c8> + 2b1e8: d9402117 ldw r5,132(sp) + 2b1ec: d9002017 ldw r4,128(sp) + 2b1f0: d9801a04 addi r6,sp,104 + 2b1f4: da402c15 stw r9,176(sp) + 2b1f8: db802a15 stw r14,168(sp) + 2b1fc: 0029f200 call 29f20 <__sprint_r.part.0> + 2b200: da402c17 ldw r9,176(sp) + 2b204: db802a17 ldw r14,168(sp) + 2b208: 103ede1e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2b20c: d9401b17 ldw r5,108(sp) + 2b210: d8801c17 ldw r2,112(sp) + 2b214: d811883a mov r8,sp + 2b218: 29000044 addi r4,r5,1 + 2b21c: 003e7406 br 2abf0 <_gp+0xfffee6b8> + 2b220: 00bfffc4 movi r2,-1 + 2b224: 003c5806 br 2a388 <_gp+0xfffede50> + 2b228: d811883a mov r8,sp + 2b22c: 003ee806 br 2add0 <_gp+0xfffee898> + 2b230: 000b883a mov r5,zero + 2b234: 01000044 movi r4,1 + 2b238: d811883a mov r8,sp + 2b23c: 003e7c06 br 2ac30 <_gp+0xfffee6f8> + 2b240: 50800104 addi r2,r10,4 + 2b244: 5480000b ldhu r18,0(r10) + 2b248: 0027883a mov r19,zero + 2b24c: 483dcf0e bge r9,zero,2a98c <_gp+0xfffee454> + 2b250: 003fdf06 br 2b1d0 <_gp+0xfffeec98> + 2b254: 54800017 ldw r18,0(r10) + 2b258: 52800104 addi r10,r10,4 + 2b25c: 0027883a mov r19,zero + 2b260: da802215 stw r10,136(sp) + 2b264: 003d5006 br 2a7a8 <_gp+0xfffee270> + 2b268: 50800104 addi r2,r10,4 + 2b26c: 5480000b ldhu r18,0(r10) + 2b270: 0027883a mov r19,zero + 2b274: 483f7d0e bge r9,zero,2b06c <_gp+0xfffeeb34> + 2b278: 003ddc06 br 2a9ec <_gp+0xfffee4b4> + 2b27c: d8c02215 stw r3,136(sp) + 2b280: 0039883a mov fp,zero + 2b284: 003ddb06 br 2a9f4 <_gp+0xfffee4bc> + 2b288: 028000f4 movhi r10,3 + 2b28c: 52886604 addi r10,r10,8600 + 2b290: da802415 stw r10,144(sp) + 2b294: 003e8306 br 2aca4 <_gp+0xfffee76c> + 2b298: d8801c17 ldw r2,112(sp) + 2b29c: dd002117 ldw r20,132(sp) + 2b2a0: 103eb926 beq r2,zero,2ad88 <_gp+0xfffee850> + 2b2a4: d9002017 ldw r4,128(sp) + 2b2a8: d9801a04 addi r6,sp,104 + 2b2ac: a00b883a mov r5,r20 + 2b2b0: 0029f200 call 29f20 <__sprint_r.part.0> + 2b2b4: 003eb406 br 2ad88 <_gp+0xfffee850> + 2b2b8: 80c00043 ldbu r3,1(r16) + 2b2bc: a5000814 ori r20,r20,32 + 2b2c0: 84000044 addi r16,r16,1 + 2b2c4: 18c03fcc andi r3,r3,255 + 2b2c8: 18c0201c xori r3,r3,128 + 2b2cc: 18ffe004 addi r3,r3,-128 + 2b2d0: 003bb706 br 2a1b0 <_gp+0xfffedc78> + 2b2d4: a809883a mov r4,r21 + 2b2d8: d8c02a15 stw r3,168(sp) + 2b2dc: da002b15 stw r8,172(sp) + 2b2e0: 00231a80 call 231a8 + 2b2e4: d8c02a17 ldw r3,168(sp) + 2b2e8: 1027883a mov r19,r2 + 2b2ec: df001d83 ldbu fp,118(sp) + 2b2f0: d8c02215 stw r3,136(sp) + 2b2f4: 0013883a mov r9,zero + 2b2f8: da002b17 ldw r8,172(sp) + 2b2fc: 003c4d06 br 2a434 <_gp+0xfffedefc> + 2b300: d9402117 ldw r5,132(sp) + 2b304: d9002017 ldw r4,128(sp) + 2b308: d9801a04 addi r6,sp,104 + 2b30c: da402c15 stw r9,176(sp) + 2b310: 0029f200 call 29f20 <__sprint_r.part.0> + 2b314: da402c17 ldw r9,176(sp) + 2b318: 103e9a1e bne r2,zero,2ad84 <_gp+0xfffee84c> + 2b31c: d9401b17 ldw r5,108(sp) + 2b320: d8801c17 ldw r2,112(sp) + 2b324: d811883a mov r8,sp + 2b328: 29000044 addi r4,r5,1 + 2b32c: 003e4206 br 2ac38 <_gp+0xfffee700> + 2b330: d9401b17 ldw r5,108(sp) + 2b334: 010000f4 movhi r4,3 + 2b338: 21086a04 addi r4,r4,8616 + 2b33c: d9002415 stw r4,144(sp) + 2b340: 29400044 addi r5,r5,1 + 2b344: 003c6d06 br 2a4fc <_gp+0xfffedfc4> + 2b348: 0039883a mov fp,zero + 2b34c: 00800084 movi r2,2 + 2b350: 10803fcc andi r2,r2,255 + 2b354: 01000044 movi r4,1 + 2b358: 11001e26 beq r2,r4,2b3d4 <___vfiprintf_internal_r+0x13a0> + 2b35c: 01000084 movi r4,2 + 2b360: 11001e1e bne r2,r4,2b3dc <___vfiprintf_internal_r+0x13a8> + 2b364: 1829883a mov r20,r3 + 2b368: 003eea06 br 2af14 <_gp+0xfffee9dc> + 2b36c: a007883a mov r3,r20 + 2b370: 00800044 movi r2,1 + 2b374: 003ff606 br 2b350 <_gp+0xfffeee18> + 2b378: 00800184 movi r2,6 + 2b37c: 1240012e bgeu r2,r9,2b384 <___vfiprintf_internal_r+0x1350> + 2b380: 1013883a mov r9,r2 + 2b384: 4827883a mov r19,r9 + 2b388: 4825883a mov r18,r9 + 2b38c: 48001516 blt r9,zero,2b3e4 <___vfiprintf_internal_r+0x13b0> + 2b390: 054000f4 movhi r21,3 + 2b394: d8c02215 stw r3,136(sp) + 2b398: ad480a04 addi r21,r21,8232 + 2b39c: 003d1406 br 2a7f0 <_gp+0xfffee2b8> + 2b3a0: 028000f4 movhi r10,3 + 2b3a4: 52886604 addi r10,r10,8600 + 2b3a8: da802415 stw r10,144(sp) + 2b3ac: 200d883a mov r6,r4 + 2b3b0: 003c9106 br 2a5f8 <_gp+0xfffee0c0> + 2b3b4: 5021883a mov r16,r10 + 2b3b8: 0013883a mov r9,zero + 2b3bc: 003b7d06 br 2a1b4 <_gp+0xfffedc7c> + 2b3c0: 4827883a mov r19,r9 + 2b3c4: df001d83 ldbu fp,118(sp) + 2b3c8: d8c02215 stw r3,136(sp) + 2b3cc: 0013883a mov r9,zero + 2b3d0: 003c1806 br 2a434 <_gp+0xfffedefc> + 2b3d4: 1829883a mov r20,r3 + 2b3d8: 003d1806 br 2a83c <_gp+0xfffee304> + 2b3dc: 1829883a mov r20,r3 + 2b3e0: 003ccd06 br 2a718 <_gp+0xfffee1e0> + 2b3e4: 0025883a mov r18,zero + 2b3e8: 003fe906 br 2b390 <_gp+0xfffeee58> + 2b3ec: d8802217 ldw r2,136(sp) + 2b3f0: 80c00043 ldbu r3,1(r16) + 2b3f4: 5021883a mov r16,r10 + 2b3f8: 12400017 ldw r9,0(r2) + 2b3fc: 10800104 addi r2,r2,4 + 2b400: d8802215 stw r2,136(sp) + 2b404: 483faf0e bge r9,zero,2b2c4 <_gp+0xfffeed8c> + 2b408: 18c03fcc andi r3,r3,255 + 2b40c: 18c0201c xori r3,r3,128 + 2b410: 027fffc4 movi r9,-1 + 2b414: 18ffe004 addi r3,r3,-128 + 2b418: 003b6506 br 2a1b0 <_gp+0xfffedc78> + 2b41c: d9c01d85 stb r7,118(sp) + 2b420: 003ca006 br 2a6a4 <_gp+0xfffee16c> + 2b424: d9c01d85 stb r7,118(sp) + 2b428: 003cad06 br 2a6e0 <_gp+0xfffee1a8> + 2b42c: d9c01d85 stb r7,118(sp) + 2b430: 003d7d06 br 2aa28 <_gp+0xfffee4f0> + 2b434: d9c01d85 stb r7,118(sp) + 2b438: 003d5f06 br 2a9b8 <_gp+0xfffee480> + 2b43c: a080004c andi r2,r20,1 + 2b440: 0039883a mov fp,zero + 2b444: 10000526 beq r2,zero,2b45c <___vfiprintf_internal_r+0x1428> + 2b448: 00800c04 movi r2,48 + 2b44c: d88019c5 stb r2,103(sp) + 2b450: dcc02717 ldw r19,156(sp) + 2b454: dd4019c4 addi r21,sp,103 + 2b458: 003bf606 br 2a434 <_gp+0xfffedefc> + 2b45c: 0027883a mov r19,zero + 2b460: dd401a04 addi r21,sp,104 + 2b464: 003bf306 br 2a434 <_gp+0xfffedefc> + 2b468: d9c01d85 stb r7,118(sp) + 2b46c: 003dc806 br 2ab90 <_gp+0xfffee658> + 2b470: d9c01d85 stb r7,118(sp) + 2b474: 003d3a06 br 2a960 <_gp+0xfffee428> + 2b478: d9c01d85 stb r7,118(sp) + 2b47c: 003d2a06 br 2a928 <_gp+0xfffee3f0> + 2b480: d9c01d85 stb r7,118(sp) + 2b484: 003cde06 br 2a800 <_gp+0xfffee2c8> + 2b488: d9c01d85 stb r7,118(sp) + 2b48c: 003cbc06 br 2a780 <_gp+0xfffee248> -0002bc44 <__vfiprintf_internal>: - 2bc44: 008000f4 movhi r2,3 - 2bc48: 10939f04 addi r2,r2,20092 - 2bc4c: 300f883a mov r7,r6 - 2bc50: 280d883a mov r6,r5 - 2bc54: 200b883a mov r5,r4 - 2bc58: 11000017 ldw r4,0(r2) - 2bc5c: 002a7e81 jmpi 2a7e8 <___vfiprintf_internal_r> +0002b490 <__vfiprintf_internal>: + 2b490: 008000f4 movhi r2,3 + 2b494: 10915404 addi r2,r2,17744 + 2b498: 300f883a mov r7,r6 + 2b49c: 280d883a mov r6,r5 + 2b4a0: 200b883a mov r5,r4 + 2b4a4: 11000017 ldw r4,0(r2) + 2b4a8: 002a0341 jmpi 2a034 <___vfiprintf_internal_r> -0002bc60 <__sbprintf>: - 2bc60: 2880030b ldhu r2,12(r5) - 2bc64: 2ac01917 ldw r11,100(r5) - 2bc68: 2a80038b ldhu r10,14(r5) - 2bc6c: 2a400717 ldw r9,28(r5) - 2bc70: 2a000917 ldw r8,36(r5) - 2bc74: defee204 addi sp,sp,-1144 - 2bc78: 00c10004 movi r3,1024 - 2bc7c: dc011a15 stw r16,1128(sp) - 2bc80: 10bfff4c andi r2,r2,65533 - 2bc84: 2821883a mov r16,r5 - 2bc88: d8cb883a add r5,sp,r3 - 2bc8c: dc811c15 stw r18,1136(sp) - 2bc90: dc411b15 stw r17,1132(sp) - 2bc94: dfc11d15 stw ra,1140(sp) - 2bc98: 2025883a mov r18,r4 - 2bc9c: d881030d sth r2,1036(sp) - 2bca0: dac11915 stw r11,1124(sp) - 2bca4: da81038d sth r10,1038(sp) - 2bca8: da410715 stw r9,1052(sp) - 2bcac: da010915 stw r8,1060(sp) - 2bcb0: dec10015 stw sp,1024(sp) - 2bcb4: dec10415 stw sp,1040(sp) - 2bcb8: d8c10215 stw r3,1032(sp) - 2bcbc: d8c10515 stw r3,1044(sp) - 2bcc0: d8010615 stw zero,1048(sp) - 2bcc4: 002a7e80 call 2a7e8 <___vfiprintf_internal_r> - 2bcc8: 1023883a mov r17,r2 - 2bccc: 10000416 blt r2,zero,2bce0 <__sbprintf+0x80> - 2bcd0: d9410004 addi r5,sp,1024 - 2bcd4: 9009883a mov r4,r18 - 2bcd8: 00276cc0 call 276cc <_fflush_r> - 2bcdc: 10000d1e bne r2,zero,2bd14 <__sbprintf+0xb4> - 2bce0: d881030b ldhu r2,1036(sp) - 2bce4: 1080100c andi r2,r2,64 - 2bce8: 10000326 beq r2,zero,2bcf8 <__sbprintf+0x98> - 2bcec: 8080030b ldhu r2,12(r16) - 2bcf0: 10801014 ori r2,r2,64 - 2bcf4: 8080030d sth r2,12(r16) - 2bcf8: 8805883a mov r2,r17 - 2bcfc: dfc11d17 ldw ra,1140(sp) - 2bd00: dc811c17 ldw r18,1136(sp) - 2bd04: dc411b17 ldw r17,1132(sp) - 2bd08: dc011a17 ldw r16,1128(sp) - 2bd0c: dec11e04 addi sp,sp,1144 - 2bd10: f800283a ret - 2bd14: 047fffc4 movi r17,-1 - 2bd18: 003ff106 br 2bce0 <__sbprintf+0x80> +0002b4ac <__sbprintf>: + 2b4ac: 2880030b ldhu r2,12(r5) + 2b4b0: 2ac01917 ldw r11,100(r5) + 2b4b4: 2a80038b ldhu r10,14(r5) + 2b4b8: 2a400717 ldw r9,28(r5) + 2b4bc: 2a000917 ldw r8,36(r5) + 2b4c0: defee204 addi sp,sp,-1144 + 2b4c4: 00c10004 movi r3,1024 + 2b4c8: dc011a15 stw r16,1128(sp) + 2b4cc: 10bfff4c andi r2,r2,65533 + 2b4d0: 2821883a mov r16,r5 + 2b4d4: d8cb883a add r5,sp,r3 + 2b4d8: dc811c15 stw r18,1136(sp) + 2b4dc: dc411b15 stw r17,1132(sp) + 2b4e0: dfc11d15 stw ra,1140(sp) + 2b4e4: 2025883a mov r18,r4 + 2b4e8: d881030d sth r2,1036(sp) + 2b4ec: dac11915 stw r11,1124(sp) + 2b4f0: da81038d sth r10,1038(sp) + 2b4f4: da410715 stw r9,1052(sp) + 2b4f8: da010915 stw r8,1060(sp) + 2b4fc: dec10015 stw sp,1024(sp) + 2b500: dec10415 stw sp,1040(sp) + 2b504: d8c10215 stw r3,1032(sp) + 2b508: d8c10515 stw r3,1044(sp) + 2b50c: d8010615 stw zero,1048(sp) + 2b510: 002a0340 call 2a034 <___vfiprintf_internal_r> + 2b514: 1023883a mov r17,r2 + 2b518: 10000416 blt r2,zero,2b52c <__sbprintf+0x80> + 2b51c: d9410004 addi r5,sp,1024 + 2b520: 9009883a mov r4,r18 + 2b524: 00271080 call 27108 <_fflush_r> + 2b528: 10000d1e bne r2,zero,2b560 <__sbprintf+0xb4> + 2b52c: d881030b ldhu r2,1036(sp) + 2b530: 1080100c andi r2,r2,64 + 2b534: 10000326 beq r2,zero,2b544 <__sbprintf+0x98> + 2b538: 8080030b ldhu r2,12(r16) + 2b53c: 10801014 ori r2,r2,64 + 2b540: 8080030d sth r2,12(r16) + 2b544: 8805883a mov r2,r17 + 2b548: dfc11d17 ldw ra,1140(sp) + 2b54c: dc811c17 ldw r18,1136(sp) + 2b550: dc411b17 ldw r17,1132(sp) + 2b554: dc011a17 ldw r16,1128(sp) + 2b558: dec11e04 addi sp,sp,1144 + 2b55c: f800283a ret + 2b560: 047fffc4 movi r17,-1 + 2b564: 003ff106 br 2b52c <_gp+0xfffeeff4> -0002bd1c <__swbuf_r>: - 2bd1c: defffb04 addi sp,sp,-20 - 2bd20: dcc00315 stw r19,12(sp) - 2bd24: dc800215 stw r18,8(sp) - 2bd28: dc000015 stw r16,0(sp) - 2bd2c: dfc00415 stw ra,16(sp) - 2bd30: dc400115 stw r17,4(sp) - 2bd34: 2025883a mov r18,r4 - 2bd38: 2827883a mov r19,r5 - 2bd3c: 3021883a mov r16,r6 - 2bd40: 20000226 beq r4,zero,2bd4c <__swbuf_r+0x30> - 2bd44: 20800e17 ldw r2,56(r4) - 2bd48: 10004226 beq r2,zero,2be54 <__swbuf_r+0x138> - 2bd4c: 80800617 ldw r2,24(r16) - 2bd50: 8100030b ldhu r4,12(r16) - 2bd54: 80800215 stw r2,8(r16) - 2bd58: 2080020c andi r2,r4,8 - 2bd5c: 10003626 beq r2,zero,2be38 <__swbuf_r+0x11c> - 2bd60: 80c00417 ldw r3,16(r16) - 2bd64: 18003426 beq r3,zero,2be38 <__swbuf_r+0x11c> - 2bd68: 2088000c andi r2,r4,8192 - 2bd6c: 9c403fcc andi r17,r19,255 - 2bd70: 10001a26 beq r2,zero,2bddc <__swbuf_r+0xc0> - 2bd74: 80800017 ldw r2,0(r16) - 2bd78: 81000517 ldw r4,20(r16) - 2bd7c: 10c7c83a sub r3,r2,r3 - 2bd80: 1900200e bge r3,r4,2be04 <__swbuf_r+0xe8> - 2bd84: 18c00044 addi r3,r3,1 - 2bd88: 81000217 ldw r4,8(r16) - 2bd8c: 11400044 addi r5,r2,1 - 2bd90: 81400015 stw r5,0(r16) - 2bd94: 213fffc4 addi r4,r4,-1 - 2bd98: 81000215 stw r4,8(r16) - 2bd9c: 14c00005 stb r19,0(r2) - 2bda0: 80800517 ldw r2,20(r16) - 2bda4: 10c01e26 beq r2,r3,2be20 <__swbuf_r+0x104> - 2bda8: 8080030b ldhu r2,12(r16) - 2bdac: 1080004c andi r2,r2,1 - 2bdb0: 10000226 beq r2,zero,2bdbc <__swbuf_r+0xa0> - 2bdb4: 00800284 movi r2,10 - 2bdb8: 88801926 beq r17,r2,2be20 <__swbuf_r+0x104> - 2bdbc: 8805883a mov r2,r17 - 2bdc0: dfc00417 ldw ra,16(sp) - 2bdc4: dcc00317 ldw r19,12(sp) - 2bdc8: dc800217 ldw r18,8(sp) - 2bdcc: dc400117 ldw r17,4(sp) - 2bdd0: dc000017 ldw r16,0(sp) - 2bdd4: dec00504 addi sp,sp,20 - 2bdd8: f800283a ret - 2bddc: 81401917 ldw r5,100(r16) - 2bde0: 00b7ffc4 movi r2,-8193 - 2bde4: 21080014 ori r4,r4,8192 - 2bde8: 2884703a and r2,r5,r2 - 2bdec: 80801915 stw r2,100(r16) - 2bdf0: 80800017 ldw r2,0(r16) - 2bdf4: 8100030d sth r4,12(r16) - 2bdf8: 81000517 ldw r4,20(r16) - 2bdfc: 10c7c83a sub r3,r2,r3 - 2be00: 193fe016 blt r3,r4,2bd84 <__swbuf_r+0x68> - 2be04: 800b883a mov r5,r16 - 2be08: 9009883a mov r4,r18 - 2be0c: 00276cc0 call 276cc <_fflush_r> - 2be10: 1000071e bne r2,zero,2be30 <__swbuf_r+0x114> - 2be14: 80800017 ldw r2,0(r16) - 2be18: 00c00044 movi r3,1 - 2be1c: 003fda06 br 2bd88 <__swbuf_r+0x6c> - 2be20: 800b883a mov r5,r16 - 2be24: 9009883a mov r4,r18 - 2be28: 00276cc0 call 276cc <_fflush_r> - 2be2c: 103fe326 beq r2,zero,2bdbc <__swbuf_r+0xa0> - 2be30: 00bfffc4 movi r2,-1 - 2be34: 003fe206 br 2bdc0 <__swbuf_r+0xa4> - 2be38: 800b883a mov r5,r16 - 2be3c: 9009883a mov r4,r18 - 2be40: 0025ad40 call 25ad4 <__swsetup_r> - 2be44: 103ffa1e bne r2,zero,2be30 <__swbuf_r+0x114> - 2be48: 8100030b ldhu r4,12(r16) - 2be4c: 80c00417 ldw r3,16(r16) - 2be50: 003fc506 br 2bd68 <__swbuf_r+0x4c> - 2be54: 0027aa80 call 27aa8 <__sinit> - 2be58: 003fbc06 br 2bd4c <__swbuf_r+0x30> +0002b568 <__swbuf_r>: + 2b568: defffb04 addi sp,sp,-20 + 2b56c: dcc00315 stw r19,12(sp) + 2b570: dc800215 stw r18,8(sp) + 2b574: dc000015 stw r16,0(sp) + 2b578: dfc00415 stw ra,16(sp) + 2b57c: dc400115 stw r17,4(sp) + 2b580: 2025883a mov r18,r4 + 2b584: 2827883a mov r19,r5 + 2b588: 3021883a mov r16,r6 + 2b58c: 20000226 beq r4,zero,2b598 <__swbuf_r+0x30> + 2b590: 20800e17 ldw r2,56(r4) + 2b594: 10004226 beq r2,zero,2b6a0 <__swbuf_r+0x138> + 2b598: 80800617 ldw r2,24(r16) + 2b59c: 8100030b ldhu r4,12(r16) + 2b5a0: 80800215 stw r2,8(r16) + 2b5a4: 2080020c andi r2,r4,8 + 2b5a8: 10003626 beq r2,zero,2b684 <__swbuf_r+0x11c> + 2b5ac: 80c00417 ldw r3,16(r16) + 2b5b0: 18003426 beq r3,zero,2b684 <__swbuf_r+0x11c> + 2b5b4: 2088000c andi r2,r4,8192 + 2b5b8: 9c403fcc andi r17,r19,255 + 2b5bc: 10001a26 beq r2,zero,2b628 <__swbuf_r+0xc0> + 2b5c0: 80800017 ldw r2,0(r16) + 2b5c4: 81000517 ldw r4,20(r16) + 2b5c8: 10c7c83a sub r3,r2,r3 + 2b5cc: 1900200e bge r3,r4,2b650 <__swbuf_r+0xe8> + 2b5d0: 18c00044 addi r3,r3,1 + 2b5d4: 81000217 ldw r4,8(r16) + 2b5d8: 11400044 addi r5,r2,1 + 2b5dc: 81400015 stw r5,0(r16) + 2b5e0: 213fffc4 addi r4,r4,-1 + 2b5e4: 81000215 stw r4,8(r16) + 2b5e8: 14c00005 stb r19,0(r2) + 2b5ec: 80800517 ldw r2,20(r16) + 2b5f0: 10c01e26 beq r2,r3,2b66c <__swbuf_r+0x104> + 2b5f4: 8080030b ldhu r2,12(r16) + 2b5f8: 1080004c andi r2,r2,1 + 2b5fc: 10000226 beq r2,zero,2b608 <__swbuf_r+0xa0> + 2b600: 00800284 movi r2,10 + 2b604: 88801926 beq r17,r2,2b66c <__swbuf_r+0x104> + 2b608: 8805883a mov r2,r17 + 2b60c: dfc00417 ldw ra,16(sp) + 2b610: dcc00317 ldw r19,12(sp) + 2b614: dc800217 ldw r18,8(sp) + 2b618: dc400117 ldw r17,4(sp) + 2b61c: dc000017 ldw r16,0(sp) + 2b620: dec00504 addi sp,sp,20 + 2b624: f800283a ret + 2b628: 81401917 ldw r5,100(r16) + 2b62c: 00b7ffc4 movi r2,-8193 + 2b630: 21080014 ori r4,r4,8192 + 2b634: 2884703a and r2,r5,r2 + 2b638: 80801915 stw r2,100(r16) + 2b63c: 80800017 ldw r2,0(r16) + 2b640: 8100030d sth r4,12(r16) + 2b644: 81000517 ldw r4,20(r16) + 2b648: 10c7c83a sub r3,r2,r3 + 2b64c: 193fe016 blt r3,r4,2b5d0 <_gp+0xfffef098> + 2b650: 800b883a mov r5,r16 + 2b654: 9009883a mov r4,r18 + 2b658: 00271080 call 27108 <_fflush_r> + 2b65c: 1000071e bne r2,zero,2b67c <__swbuf_r+0x114> + 2b660: 80800017 ldw r2,0(r16) + 2b664: 00c00044 movi r3,1 + 2b668: 003fda06 br 2b5d4 <_gp+0xfffef09c> + 2b66c: 800b883a mov r5,r16 + 2b670: 9009883a mov r4,r18 + 2b674: 00271080 call 27108 <_fflush_r> + 2b678: 103fe326 beq r2,zero,2b608 <_gp+0xfffef0d0> + 2b67c: 00bfffc4 movi r2,-1 + 2b680: 003fe206 br 2b60c <_gp+0xfffef0d4> + 2b684: 800b883a mov r5,r16 + 2b688: 9009883a mov r4,r18 + 2b68c: 00255100 call 25510 <__swsetup_r> + 2b690: 103ffa1e bne r2,zero,2b67c <_gp+0xfffef144> + 2b694: 8100030b ldhu r4,12(r16) + 2b698: 80c00417 ldw r3,16(r16) + 2b69c: 003fc506 br 2b5b4 <_gp+0xfffef07c> + 2b6a0: 00274e40 call 274e4 <__sinit> + 2b6a4: 003fbc06 br 2b598 <_gp+0xfffef060> -0002be5c <__swbuf>: - 2be5c: 008000f4 movhi r2,3 - 2be60: 10939f04 addi r2,r2,20092 - 2be64: 280d883a mov r6,r5 - 2be68: 200b883a mov r5,r4 - 2be6c: 11000017 ldw r4,0(r2) - 2be70: 002bd1c1 jmpi 2bd1c <__swbuf_r> +0002b6a8 <__swbuf>: + 2b6a8: 008000f4 movhi r2,3 + 2b6ac: 10915404 addi r2,r2,17744 + 2b6b0: 280d883a mov r6,r5 + 2b6b4: 200b883a mov r5,r4 + 2b6b8: 11000017 ldw r4,0(r2) + 2b6bc: 002b5681 jmpi 2b568 <__swbuf_r> -0002be74 <_write_r>: - 2be74: defffd04 addi sp,sp,-12 - 2be78: 2805883a mov r2,r5 - 2be7c: dc000015 stw r16,0(sp) - 2be80: 040000f4 movhi r16,3 - 2be84: dc400115 stw r17,4(sp) - 2be88: 300b883a mov r5,r6 - 2be8c: 841c5904 addi r16,r16,29028 - 2be90: 2023883a mov r17,r4 - 2be94: 380d883a mov r6,r7 - 2be98: 1009883a mov r4,r2 - 2be9c: dfc00215 stw ra,8(sp) - 2bea0: 80000015 stw zero,0(r16) - 2bea4: 00303700 call 30370 - 2bea8: 00ffffc4 movi r3,-1 - 2beac: 10c00526 beq r2,r3,2bec4 <_write_r+0x50> - 2beb0: dfc00217 ldw ra,8(sp) - 2beb4: dc400117 ldw r17,4(sp) - 2beb8: dc000017 ldw r16,0(sp) - 2bebc: dec00304 addi sp,sp,12 - 2bec0: f800283a ret - 2bec4: 80c00017 ldw r3,0(r16) - 2bec8: 183ff926 beq r3,zero,2beb0 <_write_r+0x3c> - 2becc: 88c00015 stw r3,0(r17) - 2bed0: 003ff706 br 2beb0 <_write_r+0x3c> +0002b6c0 <_write_r>: + 2b6c0: defffd04 addi sp,sp,-12 + 2b6c4: 2805883a mov r2,r5 + 2b6c8: dc000015 stw r16,0(sp) + 2b6cc: 040000f4 movhi r16,3 + 2b6d0: dc400115 stw r17,4(sp) + 2b6d4: 300b883a mov r5,r6 + 2b6d8: 841a0c04 addi r16,r16,26672 + 2b6dc: 2023883a mov r17,r4 + 2b6e0: 380d883a mov r6,r7 + 2b6e4: 1009883a mov r4,r2 + 2b6e8: dfc00215 stw ra,8(sp) + 2b6ec: 80000015 stw zero,0(r16) + 2b6f0: 002fa4c0 call 2fa4c + 2b6f4: 00ffffc4 movi r3,-1 + 2b6f8: 10c00526 beq r2,r3,2b710 <_write_r+0x50> + 2b6fc: dfc00217 ldw ra,8(sp) + 2b700: dc400117 ldw r17,4(sp) + 2b704: dc000017 ldw r16,0(sp) + 2b708: dec00304 addi sp,sp,12 + 2b70c: f800283a ret + 2b710: 80c00017 ldw r3,0(r16) + 2b714: 183ff926 beq r3,zero,2b6fc <_gp+0xfffef1c4> + 2b718: 88c00015 stw r3,0(r17) + 2b71c: 003ff706 br 2b6fc <_gp+0xfffef1c4> -0002bed4 <_close_r>: - 2bed4: defffd04 addi sp,sp,-12 - 2bed8: dc000015 stw r16,0(sp) - 2bedc: 040000f4 movhi r16,3 - 2bee0: dc400115 stw r17,4(sp) - 2bee4: 841c5904 addi r16,r16,29028 - 2bee8: 2023883a mov r17,r4 - 2beec: 2809883a mov r4,r5 - 2bef0: dfc00215 stw ra,8(sp) - 2bef4: 80000015 stw zero,0(r16) - 2bef8: 002f7b80 call 2f7b8 - 2befc: 00ffffc4 movi r3,-1 - 2bf00: 10c00526 beq r2,r3,2bf18 <_close_r+0x44> - 2bf04: dfc00217 ldw ra,8(sp) - 2bf08: dc400117 ldw r17,4(sp) - 2bf0c: dc000017 ldw r16,0(sp) - 2bf10: dec00304 addi sp,sp,12 - 2bf14: f800283a ret - 2bf18: 80c00017 ldw r3,0(r16) - 2bf1c: 183ff926 beq r3,zero,2bf04 <_close_r+0x30> - 2bf20: 88c00015 stw r3,0(r17) - 2bf24: 003ff706 br 2bf04 <_close_r+0x30> +0002b720 <_close_r>: + 2b720: defffd04 addi sp,sp,-12 + 2b724: dc000015 stw r16,0(sp) + 2b728: 040000f4 movhi r16,3 + 2b72c: dc400115 stw r17,4(sp) + 2b730: 841a0c04 addi r16,r16,26672 + 2b734: 2023883a mov r17,r4 + 2b738: 2809883a mov r4,r5 + 2b73c: dfc00215 stw ra,8(sp) + 2b740: 80000015 stw zero,0(r16) + 2b744: 002f0040 call 2f004 + 2b748: 00ffffc4 movi r3,-1 + 2b74c: 10c00526 beq r2,r3,2b764 <_close_r+0x44> + 2b750: dfc00217 ldw ra,8(sp) + 2b754: dc400117 ldw r17,4(sp) + 2b758: dc000017 ldw r16,0(sp) + 2b75c: dec00304 addi sp,sp,12 + 2b760: f800283a ret + 2b764: 80c00017 ldw r3,0(r16) + 2b768: 183ff926 beq r3,zero,2b750 <_gp+0xfffef218> + 2b76c: 88c00015 stw r3,0(r17) + 2b770: 003ff706 br 2b750 <_gp+0xfffef218> -0002bf28 <_calloc_r>: - 2bf28: 298b383a mul r5,r5,r6 - 2bf2c: defffe04 addi sp,sp,-8 - 2bf30: dfc00115 stw ra,4(sp) - 2bf34: dc000015 stw r16,0(sp) - 2bf38: 0022bfc0 call 22bfc <_malloc_r> - 2bf3c: 10002926 beq r2,zero,2bfe4 <_calloc_r+0xbc> - 2bf40: 11bfff17 ldw r6,-4(r2) - 2bf44: 1021883a mov r16,r2 - 2bf48: 00bfff04 movi r2,-4 - 2bf4c: 308c703a and r6,r6,r2 - 2bf50: 00c00904 movi r3,36 - 2bf54: 308d883a add r6,r6,r2 - 2bf58: 19801636 bltu r3,r6,2bfb4 <_calloc_r+0x8c> - 2bf5c: 008004c4 movi r2,19 - 2bf60: 11800b2e bgeu r2,r6,2bf90 <_calloc_r+0x68> - 2bf64: 80000015 stw zero,0(r16) - 2bf68: 80000115 stw zero,4(r16) - 2bf6c: 008006c4 movi r2,27 - 2bf70: 11801a2e bgeu r2,r6,2bfdc <_calloc_r+0xb4> - 2bf74: 80000215 stw zero,8(r16) - 2bf78: 80000315 stw zero,12(r16) - 2bf7c: 30c0151e bne r6,r3,2bfd4 <_calloc_r+0xac> - 2bf80: 80000415 stw zero,16(r16) - 2bf84: 80800604 addi r2,r16,24 - 2bf88: 80000515 stw zero,20(r16) - 2bf8c: 00000106 br 2bf94 <_calloc_r+0x6c> - 2bf90: 8005883a mov r2,r16 - 2bf94: 10000015 stw zero,0(r2) - 2bf98: 10000115 stw zero,4(r2) - 2bf9c: 10000215 stw zero,8(r2) - 2bfa0: 8005883a mov r2,r16 - 2bfa4: dfc00117 ldw ra,4(sp) - 2bfa8: dc000017 ldw r16,0(sp) - 2bfac: dec00204 addi sp,sp,8 - 2bfb0: f800283a ret - 2bfb4: 000b883a mov r5,zero - 2bfb8: 8009883a mov r4,r16 - 2bfbc: 00234080 call 23408 - 2bfc0: 8005883a mov r2,r16 - 2bfc4: dfc00117 ldw ra,4(sp) - 2bfc8: dc000017 ldw r16,0(sp) - 2bfcc: dec00204 addi sp,sp,8 - 2bfd0: f800283a ret - 2bfd4: 80800404 addi r2,r16,16 - 2bfd8: 003fee06 br 2bf94 <_calloc_r+0x6c> - 2bfdc: 80800204 addi r2,r16,8 - 2bfe0: 003fec06 br 2bf94 <_calloc_r+0x6c> - 2bfe4: 0005883a mov r2,zero - 2bfe8: 003fee06 br 2bfa4 <_calloc_r+0x7c> +0002b774 <_calloc_r>: + 2b774: 298b383a mul r5,r5,r6 + 2b778: defffe04 addi sp,sp,-8 + 2b77c: dfc00115 stw ra,4(sp) + 2b780: dc000015 stw r16,0(sp) + 2b784: 00226c00 call 226c0 <_malloc_r> + 2b788: 10002926 beq r2,zero,2b830 <_calloc_r+0xbc> + 2b78c: 11bfff17 ldw r6,-4(r2) + 2b790: 1021883a mov r16,r2 + 2b794: 00bfff04 movi r2,-4 + 2b798: 308c703a and r6,r6,r2 + 2b79c: 00c00904 movi r3,36 + 2b7a0: 308d883a add r6,r6,r2 + 2b7a4: 19801636 bltu r3,r6,2b800 <_calloc_r+0x8c> + 2b7a8: 008004c4 movi r2,19 + 2b7ac: 11800b2e bgeu r2,r6,2b7dc <_calloc_r+0x68> + 2b7b0: 80000015 stw zero,0(r16) + 2b7b4: 80000115 stw zero,4(r16) + 2b7b8: 008006c4 movi r2,27 + 2b7bc: 11801a2e bgeu r2,r6,2b828 <_calloc_r+0xb4> + 2b7c0: 80000215 stw zero,8(r16) + 2b7c4: 80000315 stw zero,12(r16) + 2b7c8: 30c0151e bne r6,r3,2b820 <_calloc_r+0xac> + 2b7cc: 80000415 stw zero,16(r16) + 2b7d0: 80800604 addi r2,r16,24 + 2b7d4: 80000515 stw zero,20(r16) + 2b7d8: 00000106 br 2b7e0 <_calloc_r+0x6c> + 2b7dc: 8005883a mov r2,r16 + 2b7e0: 10000015 stw zero,0(r2) + 2b7e4: 10000115 stw zero,4(r2) + 2b7e8: 10000215 stw zero,8(r2) + 2b7ec: 8005883a mov r2,r16 + 2b7f0: dfc00117 ldw ra,4(sp) + 2b7f4: dc000017 ldw r16,0(sp) + 2b7f8: dec00204 addi sp,sp,8 + 2b7fc: f800283a ret + 2b800: 000b883a mov r5,zero + 2b804: 8009883a mov r4,r16 + 2b808: 0022ecc0 call 22ecc + 2b80c: 8005883a mov r2,r16 + 2b810: dfc00117 ldw ra,4(sp) + 2b814: dc000017 ldw r16,0(sp) + 2b818: dec00204 addi sp,sp,8 + 2b81c: f800283a ret + 2b820: 80800404 addi r2,r16,16 + 2b824: 003fee06 br 2b7e0 <_gp+0xfffef2a8> + 2b828: 80800204 addi r2,r16,8 + 2b82c: 003fec06 br 2b7e0 <_gp+0xfffef2a8> + 2b830: 0005883a mov r2,zero + 2b834: 003fee06 br 2b7f0 <_gp+0xfffef2b8> -0002bfec <_fclose_r>: - 2bfec: 28003926 beq r5,zero,2c0d4 <_fclose_r+0xe8> - 2bff0: defffc04 addi sp,sp,-16 - 2bff4: dc400115 stw r17,4(sp) - 2bff8: dc000015 stw r16,0(sp) - 2bffc: dfc00315 stw ra,12(sp) - 2c000: dc800215 stw r18,8(sp) - 2c004: 2023883a mov r17,r4 - 2c008: 2821883a mov r16,r5 - 2c00c: 20000226 beq r4,zero,2c018 <_fclose_r+0x2c> - 2c010: 20800e17 ldw r2,56(r4) - 2c014: 10002726 beq r2,zero,2c0b4 <_fclose_r+0xc8> - 2c018: 8080030f ldh r2,12(r16) - 2c01c: 1000071e bne r2,zero,2c03c <_fclose_r+0x50> - 2c020: 0005883a mov r2,zero - 2c024: dfc00317 ldw ra,12(sp) - 2c028: dc800217 ldw r18,8(sp) - 2c02c: dc400117 ldw r17,4(sp) - 2c030: dc000017 ldw r16,0(sp) - 2c034: dec00404 addi sp,sp,16 - 2c038: f800283a ret - 2c03c: 800b883a mov r5,r16 - 2c040: 8809883a mov r4,r17 - 2c044: 00274b00 call 274b0 <__sflush_r> - 2c048: 1025883a mov r18,r2 - 2c04c: 80800b17 ldw r2,44(r16) - 2c050: 10000426 beq r2,zero,2c064 <_fclose_r+0x78> - 2c054: 81400717 ldw r5,28(r16) - 2c058: 8809883a mov r4,r17 - 2c05c: 103ee83a callr r2 - 2c060: 10001616 blt r2,zero,2c0bc <_fclose_r+0xd0> - 2c064: 8080030b ldhu r2,12(r16) - 2c068: 1080200c andi r2,r2,128 - 2c06c: 1000151e bne r2,zero,2c0c4 <_fclose_r+0xd8> - 2c070: 81400c17 ldw r5,48(r16) - 2c074: 28000526 beq r5,zero,2c08c <_fclose_r+0xa0> - 2c078: 80801004 addi r2,r16,64 - 2c07c: 28800226 beq r5,r2,2c088 <_fclose_r+0x9c> - 2c080: 8809883a mov r4,r17 - 2c084: 0027c1c0 call 27c1c <_free_r> - 2c088: 80000c15 stw zero,48(r16) - 2c08c: 81401117 ldw r5,68(r16) - 2c090: 28000326 beq r5,zero,2c0a0 <_fclose_r+0xb4> - 2c094: 8809883a mov r4,r17 - 2c098: 0027c1c0 call 27c1c <_free_r> - 2c09c: 80001115 stw zero,68(r16) - 2c0a0: 0027ab80 call 27ab8 <__sfp_lock_acquire> - 2c0a4: 8000030d sth zero,12(r16) - 2c0a8: 0027abc0 call 27abc <__sfp_lock_release> - 2c0ac: 9005883a mov r2,r18 - 2c0b0: 003fdc06 br 2c024 <_fclose_r+0x38> - 2c0b4: 0027aa80 call 27aa8 <__sinit> - 2c0b8: 003fd706 br 2c018 <_fclose_r+0x2c> - 2c0bc: 04bfffc4 movi r18,-1 - 2c0c0: 003fe806 br 2c064 <_fclose_r+0x78> - 2c0c4: 81400417 ldw r5,16(r16) - 2c0c8: 8809883a mov r4,r17 - 2c0cc: 0027c1c0 call 27c1c <_free_r> - 2c0d0: 003fe706 br 2c070 <_fclose_r+0x84> - 2c0d4: 0005883a mov r2,zero - 2c0d8: f800283a ret +0002b838 <_fclose_r>: + 2b838: 28003926 beq r5,zero,2b920 <_fclose_r+0xe8> + 2b83c: defffc04 addi sp,sp,-16 + 2b840: dc400115 stw r17,4(sp) + 2b844: dc000015 stw r16,0(sp) + 2b848: dfc00315 stw ra,12(sp) + 2b84c: dc800215 stw r18,8(sp) + 2b850: 2023883a mov r17,r4 + 2b854: 2821883a mov r16,r5 + 2b858: 20000226 beq r4,zero,2b864 <_fclose_r+0x2c> + 2b85c: 20800e17 ldw r2,56(r4) + 2b860: 10002726 beq r2,zero,2b900 <_fclose_r+0xc8> + 2b864: 8080030f ldh r2,12(r16) + 2b868: 1000071e bne r2,zero,2b888 <_fclose_r+0x50> + 2b86c: 0005883a mov r2,zero + 2b870: dfc00317 ldw ra,12(sp) + 2b874: dc800217 ldw r18,8(sp) + 2b878: dc400117 ldw r17,4(sp) + 2b87c: dc000017 ldw r16,0(sp) + 2b880: dec00404 addi sp,sp,16 + 2b884: f800283a ret + 2b888: 800b883a mov r5,r16 + 2b88c: 8809883a mov r4,r17 + 2b890: 0026eec0 call 26eec <__sflush_r> + 2b894: 1025883a mov r18,r2 + 2b898: 80800b17 ldw r2,44(r16) + 2b89c: 10000426 beq r2,zero,2b8b0 <_fclose_r+0x78> + 2b8a0: 81400717 ldw r5,28(r16) + 2b8a4: 8809883a mov r4,r17 + 2b8a8: 103ee83a callr r2 + 2b8ac: 10001616 blt r2,zero,2b908 <_fclose_r+0xd0> + 2b8b0: 8080030b ldhu r2,12(r16) + 2b8b4: 1080200c andi r2,r2,128 + 2b8b8: 1000151e bne r2,zero,2b910 <_fclose_r+0xd8> + 2b8bc: 81400c17 ldw r5,48(r16) + 2b8c0: 28000526 beq r5,zero,2b8d8 <_fclose_r+0xa0> + 2b8c4: 80801004 addi r2,r16,64 + 2b8c8: 28800226 beq r5,r2,2b8d4 <_fclose_r+0x9c> + 2b8cc: 8809883a mov r4,r17 + 2b8d0: 00276580 call 27658 <_free_r> + 2b8d4: 80000c15 stw zero,48(r16) + 2b8d8: 81401117 ldw r5,68(r16) + 2b8dc: 28000326 beq r5,zero,2b8ec <_fclose_r+0xb4> + 2b8e0: 8809883a mov r4,r17 + 2b8e4: 00276580 call 27658 <_free_r> + 2b8e8: 80001115 stw zero,68(r16) + 2b8ec: 00274f40 call 274f4 <__sfp_lock_acquire> + 2b8f0: 8000030d sth zero,12(r16) + 2b8f4: 00274f80 call 274f8 <__sfp_lock_release> + 2b8f8: 9005883a mov r2,r18 + 2b8fc: 003fdc06 br 2b870 <_gp+0xfffef338> + 2b900: 00274e40 call 274e4 <__sinit> + 2b904: 003fd706 br 2b864 <_gp+0xfffef32c> + 2b908: 04bfffc4 movi r18,-1 + 2b90c: 003fe806 br 2b8b0 <_gp+0xfffef378> + 2b910: 81400417 ldw r5,16(r16) + 2b914: 8809883a mov r4,r17 + 2b918: 00276580 call 27658 <_free_r> + 2b91c: 003fe706 br 2b8bc <_gp+0xfffef384> + 2b920: 0005883a mov r2,zero + 2b924: f800283a ret -0002c0dc : - 2c0dc: 008000f4 movhi r2,3 - 2c0e0: 10939f04 addi r2,r2,20092 - 2c0e4: 200b883a mov r5,r4 - 2c0e8: 11000017 ldw r4,0(r2) - 2c0ec: 002bfec1 jmpi 2bfec <_fclose_r> +0002b928 : + 2b928: 008000f4 movhi r2,3 + 2b92c: 10915404 addi r2,r2,17744 + 2b930: 200b883a mov r5,r4 + 2b934: 11000017 ldw r4,0(r2) + 2b938: 002b8381 jmpi 2b838 <_fclose_r> -0002c0f0 <__fputwc>: - 2c0f0: defff804 addi sp,sp,-32 - 2c0f4: dcc00415 stw r19,16(sp) - 2c0f8: dc800315 stw r18,12(sp) - 2c0fc: dc000115 stw r16,4(sp) - 2c100: dfc00715 stw ra,28(sp) - 2c104: dd400615 stw r21,24(sp) - 2c108: dd000515 stw r20,20(sp) - 2c10c: dc400215 stw r17,8(sp) - 2c110: 2027883a mov r19,r4 - 2c114: 2825883a mov r18,r5 - 2c118: 3021883a mov r16,r6 - 2c11c: 00285f80 call 285f8 <__locale_mb_cur_max> - 2c120: 00c00044 movi r3,1 - 2c124: 10c03e26 beq r2,r3,2c220 <__fputwc+0x130> - 2c128: 81c01704 addi r7,r16,92 - 2c12c: 900d883a mov r6,r18 - 2c130: d80b883a mov r5,sp - 2c134: 9809883a mov r4,r19 - 2c138: 002c4680 call 2c468 <_wcrtomb_r> - 2c13c: 1029883a mov r20,r2 - 2c140: 00bfffc4 movi r2,-1 - 2c144: a0802026 beq r20,r2,2c1c8 <__fputwc+0xd8> - 2c148: d9400003 ldbu r5,0(sp) - 2c14c: a0001c26 beq r20,zero,2c1c0 <__fputwc+0xd0> - 2c150: 0023883a mov r17,zero - 2c154: 05400284 movi r21,10 - 2c158: 00000906 br 2c180 <__fputwc+0x90> - 2c15c: 80800017 ldw r2,0(r16) - 2c160: 11400005 stb r5,0(r2) - 2c164: 80c00017 ldw r3,0(r16) - 2c168: 18c00044 addi r3,r3,1 - 2c16c: 80c00015 stw r3,0(r16) - 2c170: 8c400044 addi r17,r17,1 - 2c174: dc45883a add r2,sp,r17 - 2c178: 8d00112e bgeu r17,r20,2c1c0 <__fputwc+0xd0> - 2c17c: 11400003 ldbu r5,0(r2) - 2c180: 80c00217 ldw r3,8(r16) - 2c184: 18ffffc4 addi r3,r3,-1 - 2c188: 80c00215 stw r3,8(r16) - 2c18c: 183ff30e bge r3,zero,2c15c <__fputwc+0x6c> - 2c190: 80800617 ldw r2,24(r16) - 2c194: 18801916 blt r3,r2,2c1fc <__fputwc+0x10c> - 2c198: 80800017 ldw r2,0(r16) - 2c19c: 11400005 stb r5,0(r2) - 2c1a0: 80800017 ldw r2,0(r16) - 2c1a4: 10c00003 ldbu r3,0(r2) - 2c1a8: 10800044 addi r2,r2,1 - 2c1ac: 1d402326 beq r3,r21,2c23c <__fputwc+0x14c> - 2c1b0: 80800015 stw r2,0(r16) - 2c1b4: 8c400044 addi r17,r17,1 - 2c1b8: dc45883a add r2,sp,r17 - 2c1bc: 8d3fef36 bltu r17,r20,2c17c <__fputwc+0x8c> - 2c1c0: 9005883a mov r2,r18 - 2c1c4: 00000406 br 2c1d8 <__fputwc+0xe8> - 2c1c8: 80c0030b ldhu r3,12(r16) - 2c1cc: a005883a mov r2,r20 - 2c1d0: 18c01014 ori r3,r3,64 - 2c1d4: 80c0030d sth r3,12(r16) - 2c1d8: dfc00717 ldw ra,28(sp) - 2c1dc: dd400617 ldw r21,24(sp) - 2c1e0: dd000517 ldw r20,20(sp) - 2c1e4: dcc00417 ldw r19,16(sp) - 2c1e8: dc800317 ldw r18,12(sp) - 2c1ec: dc400217 ldw r17,8(sp) - 2c1f0: dc000117 ldw r16,4(sp) - 2c1f4: dec00804 addi sp,sp,32 - 2c1f8: f800283a ret - 2c1fc: 800d883a mov r6,r16 - 2c200: 29403fcc andi r5,r5,255 - 2c204: 9809883a mov r4,r19 - 2c208: 002bd1c0 call 2bd1c <__swbuf_r> - 2c20c: 10bfffe0 cmpeqi r2,r2,-1 - 2c210: 10803fcc andi r2,r2,255 - 2c214: 103fd626 beq r2,zero,2c170 <__fputwc+0x80> - 2c218: 00bfffc4 movi r2,-1 - 2c21c: 003fee06 br 2c1d8 <__fputwc+0xe8> - 2c220: 90ffffc4 addi r3,r18,-1 - 2c224: 01003f84 movi r4,254 - 2c228: 20ffbf36 bltu r4,r3,2c128 <__fputwc+0x38> - 2c22c: 900b883a mov r5,r18 - 2c230: dc800005 stb r18,0(sp) - 2c234: 1029883a mov r20,r2 - 2c238: 003fc506 br 2c150 <__fputwc+0x60> - 2c23c: 800d883a mov r6,r16 - 2c240: a80b883a mov r5,r21 - 2c244: 9809883a mov r4,r19 - 2c248: 002bd1c0 call 2bd1c <__swbuf_r> - 2c24c: 10bfffe0 cmpeqi r2,r2,-1 - 2c250: 003fef06 br 2c210 <__fputwc+0x120> +0002b93c <__fputwc>: + 2b93c: defff804 addi sp,sp,-32 + 2b940: dcc00415 stw r19,16(sp) + 2b944: dc800315 stw r18,12(sp) + 2b948: dc000115 stw r16,4(sp) + 2b94c: dfc00715 stw ra,28(sp) + 2b950: dd400615 stw r21,24(sp) + 2b954: dd000515 stw r20,20(sp) + 2b958: dc400215 stw r17,8(sp) + 2b95c: 2027883a mov r19,r4 + 2b960: 2825883a mov r18,r5 + 2b964: 3021883a mov r16,r6 + 2b968: 00280340 call 28034 <__locale_mb_cur_max> + 2b96c: 00c00044 movi r3,1 + 2b970: 10c03e26 beq r2,r3,2ba6c <__fputwc+0x130> + 2b974: 81c01704 addi r7,r16,92 + 2b978: 900d883a mov r6,r18 + 2b97c: d80b883a mov r5,sp + 2b980: 9809883a mov r4,r19 + 2b984: 002bcb40 call 2bcb4 <_wcrtomb_r> + 2b988: 1029883a mov r20,r2 + 2b98c: 00bfffc4 movi r2,-1 + 2b990: a0802026 beq r20,r2,2ba14 <__fputwc+0xd8> + 2b994: d9400003 ldbu r5,0(sp) + 2b998: a0001c26 beq r20,zero,2ba0c <__fputwc+0xd0> + 2b99c: 0023883a mov r17,zero + 2b9a0: 05400284 movi r21,10 + 2b9a4: 00000906 br 2b9cc <__fputwc+0x90> + 2b9a8: 80800017 ldw r2,0(r16) + 2b9ac: 11400005 stb r5,0(r2) + 2b9b0: 80c00017 ldw r3,0(r16) + 2b9b4: 18c00044 addi r3,r3,1 + 2b9b8: 80c00015 stw r3,0(r16) + 2b9bc: 8c400044 addi r17,r17,1 + 2b9c0: dc45883a add r2,sp,r17 + 2b9c4: 8d00112e bgeu r17,r20,2ba0c <__fputwc+0xd0> + 2b9c8: 11400003 ldbu r5,0(r2) + 2b9cc: 80c00217 ldw r3,8(r16) + 2b9d0: 18ffffc4 addi r3,r3,-1 + 2b9d4: 80c00215 stw r3,8(r16) + 2b9d8: 183ff30e bge r3,zero,2b9a8 <_gp+0xfffef470> + 2b9dc: 80800617 ldw r2,24(r16) + 2b9e0: 18801916 blt r3,r2,2ba48 <__fputwc+0x10c> + 2b9e4: 80800017 ldw r2,0(r16) + 2b9e8: 11400005 stb r5,0(r2) + 2b9ec: 80800017 ldw r2,0(r16) + 2b9f0: 10c00003 ldbu r3,0(r2) + 2b9f4: 10800044 addi r2,r2,1 + 2b9f8: 1d402326 beq r3,r21,2ba88 <__fputwc+0x14c> + 2b9fc: 80800015 stw r2,0(r16) + 2ba00: 8c400044 addi r17,r17,1 + 2ba04: dc45883a add r2,sp,r17 + 2ba08: 8d3fef36 bltu r17,r20,2b9c8 <_gp+0xfffef490> + 2ba0c: 9005883a mov r2,r18 + 2ba10: 00000406 br 2ba24 <__fputwc+0xe8> + 2ba14: 80c0030b ldhu r3,12(r16) + 2ba18: a005883a mov r2,r20 + 2ba1c: 18c01014 ori r3,r3,64 + 2ba20: 80c0030d sth r3,12(r16) + 2ba24: dfc00717 ldw ra,28(sp) + 2ba28: dd400617 ldw r21,24(sp) + 2ba2c: dd000517 ldw r20,20(sp) + 2ba30: dcc00417 ldw r19,16(sp) + 2ba34: dc800317 ldw r18,12(sp) + 2ba38: dc400217 ldw r17,8(sp) + 2ba3c: dc000117 ldw r16,4(sp) + 2ba40: dec00804 addi sp,sp,32 + 2ba44: f800283a ret + 2ba48: 800d883a mov r6,r16 + 2ba4c: 29403fcc andi r5,r5,255 + 2ba50: 9809883a mov r4,r19 + 2ba54: 002b5680 call 2b568 <__swbuf_r> + 2ba58: 10bfffe0 cmpeqi r2,r2,-1 + 2ba5c: 10803fcc andi r2,r2,255 + 2ba60: 103fd626 beq r2,zero,2b9bc <_gp+0xfffef484> + 2ba64: 00bfffc4 movi r2,-1 + 2ba68: 003fee06 br 2ba24 <_gp+0xfffef4ec> + 2ba6c: 90ffffc4 addi r3,r18,-1 + 2ba70: 01003f84 movi r4,254 + 2ba74: 20ffbf36 bltu r4,r3,2b974 <_gp+0xfffef43c> + 2ba78: 900b883a mov r5,r18 + 2ba7c: dc800005 stb r18,0(sp) + 2ba80: 1029883a mov r20,r2 + 2ba84: 003fc506 br 2b99c <_gp+0xfffef464> + 2ba88: 800d883a mov r6,r16 + 2ba8c: a80b883a mov r5,r21 + 2ba90: 9809883a mov r4,r19 + 2ba94: 002b5680 call 2b568 <__swbuf_r> + 2ba98: 10bfffe0 cmpeqi r2,r2,-1 + 2ba9c: 003fef06 br 2ba5c <_gp+0xfffef524> -0002c254 <_fputwc_r>: - 2c254: 3080030b ldhu r2,12(r6) - 2c258: 10c8000c andi r3,r2,8192 - 2c25c: 1800051e bne r3,zero,2c274 <_fputwc_r+0x20> - 2c260: 30c01917 ldw r3,100(r6) - 2c264: 10880014 ori r2,r2,8192 - 2c268: 3080030d sth r2,12(r6) - 2c26c: 18880014 ori r2,r3,8192 - 2c270: 30801915 stw r2,100(r6) - 2c274: 002c0f01 jmpi 2c0f0 <__fputwc> +0002baa0 <_fputwc_r>: + 2baa0: 3080030b ldhu r2,12(r6) + 2baa4: 10c8000c andi r3,r2,8192 + 2baa8: 1800051e bne r3,zero,2bac0 <_fputwc_r+0x20> + 2baac: 30c01917 ldw r3,100(r6) + 2bab0: 10880014 ori r2,r2,8192 + 2bab4: 3080030d sth r2,12(r6) + 2bab8: 18880014 ori r2,r3,8192 + 2babc: 30801915 stw r2,100(r6) + 2bac0: 002b93c1 jmpi 2b93c <__fputwc> -0002c278 : - 2c278: 008000f4 movhi r2,3 - 2c27c: defffc04 addi sp,sp,-16 - 2c280: 10939f04 addi r2,r2,20092 - 2c284: dc000115 stw r16,4(sp) - 2c288: 14000017 ldw r16,0(r2) - 2c28c: dc400215 stw r17,8(sp) - 2c290: dfc00315 stw ra,12(sp) - 2c294: 2023883a mov r17,r4 - 2c298: 80000226 beq r16,zero,2c2a4 - 2c29c: 80800e17 ldw r2,56(r16) - 2c2a0: 10001026 beq r2,zero,2c2e4 - 2c2a4: 2880030b ldhu r2,12(r5) - 2c2a8: 10c8000c andi r3,r2,8192 - 2c2ac: 1800051e bne r3,zero,2c2c4 - 2c2b0: 28c01917 ldw r3,100(r5) - 2c2b4: 10880014 ori r2,r2,8192 - 2c2b8: 2880030d sth r2,12(r5) - 2c2bc: 18880014 ori r2,r3,8192 - 2c2c0: 28801915 stw r2,100(r5) - 2c2c4: 280d883a mov r6,r5 - 2c2c8: 8009883a mov r4,r16 - 2c2cc: 880b883a mov r5,r17 - 2c2d0: dfc00317 ldw ra,12(sp) - 2c2d4: dc400217 ldw r17,8(sp) - 2c2d8: dc000117 ldw r16,4(sp) - 2c2dc: dec00404 addi sp,sp,16 - 2c2e0: 002c0f01 jmpi 2c0f0 <__fputwc> - 2c2e4: 8009883a mov r4,r16 - 2c2e8: d9400015 stw r5,0(sp) - 2c2ec: 0027aa80 call 27aa8 <__sinit> - 2c2f0: d9400017 ldw r5,0(sp) - 2c2f4: 003feb06 br 2c2a4 +0002bac4 : + 2bac4: 008000f4 movhi r2,3 + 2bac8: defffc04 addi sp,sp,-16 + 2bacc: 10915404 addi r2,r2,17744 + 2bad0: dc000115 stw r16,4(sp) + 2bad4: 14000017 ldw r16,0(r2) + 2bad8: dc400215 stw r17,8(sp) + 2badc: dfc00315 stw ra,12(sp) + 2bae0: 2023883a mov r17,r4 + 2bae4: 80000226 beq r16,zero,2baf0 + 2bae8: 80800e17 ldw r2,56(r16) + 2baec: 10001026 beq r2,zero,2bb30 + 2baf0: 2880030b ldhu r2,12(r5) + 2baf4: 10c8000c andi r3,r2,8192 + 2baf8: 1800051e bne r3,zero,2bb10 + 2bafc: 28c01917 ldw r3,100(r5) + 2bb00: 10880014 ori r2,r2,8192 + 2bb04: 2880030d sth r2,12(r5) + 2bb08: 18880014 ori r2,r3,8192 + 2bb0c: 28801915 stw r2,100(r5) + 2bb10: 280d883a mov r6,r5 + 2bb14: 8009883a mov r4,r16 + 2bb18: 880b883a mov r5,r17 + 2bb1c: dfc00317 ldw ra,12(sp) + 2bb20: dc400217 ldw r17,8(sp) + 2bb24: dc000117 ldw r16,4(sp) + 2bb28: dec00404 addi sp,sp,16 + 2bb2c: 002b93c1 jmpi 2b93c <__fputwc> + 2bb30: 8009883a mov r4,r16 + 2bb34: d9400015 stw r5,0(sp) + 2bb38: 00274e40 call 274e4 <__sinit> + 2bb3c: d9400017 ldw r5,0(sp) + 2bb40: 003feb06 br 2baf0 <_gp+0xfffef5b8> -0002c2f8 <_fstat_r>: - 2c2f8: defffd04 addi sp,sp,-12 - 2c2fc: 2805883a mov r2,r5 - 2c300: dc000015 stw r16,0(sp) - 2c304: 040000f4 movhi r16,3 - 2c308: dc400115 stw r17,4(sp) - 2c30c: 841c5904 addi r16,r16,29028 - 2c310: 2023883a mov r17,r4 - 2c314: 300b883a mov r5,r6 - 2c318: 1009883a mov r4,r2 - 2c31c: dfc00215 stw ra,8(sp) - 2c320: 80000015 stw zero,0(r16) - 2c324: 002fa740 call 2fa74 - 2c328: 00ffffc4 movi r3,-1 - 2c32c: 10c00526 beq r2,r3,2c344 <_fstat_r+0x4c> - 2c330: dfc00217 ldw ra,8(sp) - 2c334: dc400117 ldw r17,4(sp) - 2c338: dc000017 ldw r16,0(sp) - 2c33c: dec00304 addi sp,sp,12 - 2c340: f800283a ret - 2c344: 80c00017 ldw r3,0(r16) - 2c348: 183ff926 beq r3,zero,2c330 <_fstat_r+0x38> - 2c34c: 88c00015 stw r3,0(r17) - 2c350: 003ff706 br 2c330 <_fstat_r+0x38> +0002bb44 <_fstat_r>: + 2bb44: defffd04 addi sp,sp,-12 + 2bb48: 2805883a mov r2,r5 + 2bb4c: dc000015 stw r16,0(sp) + 2bb50: 040000f4 movhi r16,3 + 2bb54: dc400115 stw r17,4(sp) + 2bb58: 841a0c04 addi r16,r16,26672 + 2bb5c: 2023883a mov r17,r4 + 2bb60: 300b883a mov r5,r6 + 2bb64: 1009883a mov r4,r2 + 2bb68: dfc00215 stw ra,8(sp) + 2bb6c: 80000015 stw zero,0(r16) + 2bb70: 002f1500 call 2f150 + 2bb74: 00ffffc4 movi r3,-1 + 2bb78: 10c00526 beq r2,r3,2bb90 <_fstat_r+0x4c> + 2bb7c: dfc00217 ldw ra,8(sp) + 2bb80: dc400117 ldw r17,4(sp) + 2bb84: dc000017 ldw r16,0(sp) + 2bb88: dec00304 addi sp,sp,12 + 2bb8c: f800283a ret + 2bb90: 80c00017 ldw r3,0(r16) + 2bb94: 183ff926 beq r3,zero,2bb7c <_gp+0xfffef644> + 2bb98: 88c00015 stw r3,0(r17) + 2bb9c: 003ff706 br 2bb7c <_gp+0xfffef644> -0002c354 <_isatty_r>: - 2c354: defffd04 addi sp,sp,-12 - 2c358: dc000015 stw r16,0(sp) - 2c35c: 040000f4 movhi r16,3 - 2c360: dc400115 stw r17,4(sp) - 2c364: 841c5904 addi r16,r16,29028 - 2c368: 2023883a mov r17,r4 - 2c36c: 2809883a mov r4,r5 - 2c370: dfc00215 stw ra,8(sp) - 2c374: 80000015 stw zero,0(r16) - 2c378: 002fb740 call 2fb74 - 2c37c: 00ffffc4 movi r3,-1 - 2c380: 10c00526 beq r2,r3,2c398 <_isatty_r+0x44> - 2c384: dfc00217 ldw ra,8(sp) - 2c388: dc400117 ldw r17,4(sp) - 2c38c: dc000017 ldw r16,0(sp) - 2c390: dec00304 addi sp,sp,12 - 2c394: f800283a ret - 2c398: 80c00017 ldw r3,0(r16) - 2c39c: 183ff926 beq r3,zero,2c384 <_isatty_r+0x30> - 2c3a0: 88c00015 stw r3,0(r17) - 2c3a4: 003ff706 br 2c384 <_isatty_r+0x30> +0002bba0 <_isatty_r>: + 2bba0: defffd04 addi sp,sp,-12 + 2bba4: dc000015 stw r16,0(sp) + 2bba8: 040000f4 movhi r16,3 + 2bbac: dc400115 stw r17,4(sp) + 2bbb0: 841a0c04 addi r16,r16,26672 + 2bbb4: 2023883a mov r17,r4 + 2bbb8: 2809883a mov r4,r5 + 2bbbc: dfc00215 stw ra,8(sp) + 2bbc0: 80000015 stw zero,0(r16) + 2bbc4: 002f2500 call 2f250 + 2bbc8: 00ffffc4 movi r3,-1 + 2bbcc: 10c00526 beq r2,r3,2bbe4 <_isatty_r+0x44> + 2bbd0: dfc00217 ldw ra,8(sp) + 2bbd4: dc400117 ldw r17,4(sp) + 2bbd8: dc000017 ldw r16,0(sp) + 2bbdc: dec00304 addi sp,sp,12 + 2bbe0: f800283a ret + 2bbe4: 80c00017 ldw r3,0(r16) + 2bbe8: 183ff926 beq r3,zero,2bbd0 <_gp+0xfffef698> + 2bbec: 88c00015 stw r3,0(r17) + 2bbf0: 003ff706 br 2bbd0 <_gp+0xfffef698> -0002c3a8 <_lseek_r>: - 2c3a8: defffd04 addi sp,sp,-12 - 2c3ac: 2805883a mov r2,r5 - 2c3b0: dc000015 stw r16,0(sp) - 2c3b4: 040000f4 movhi r16,3 - 2c3b8: dc400115 stw r17,4(sp) - 2c3bc: 300b883a mov r5,r6 - 2c3c0: 841c5904 addi r16,r16,29028 - 2c3c4: 2023883a mov r17,r4 - 2c3c8: 380d883a mov r6,r7 - 2c3cc: 1009883a mov r4,r2 - 2c3d0: dfc00215 stw ra,8(sp) - 2c3d4: 80000015 stw zero,0(r16) - 2c3d8: 002fd540 call 2fd54 - 2c3dc: 00ffffc4 movi r3,-1 - 2c3e0: 10c00526 beq r2,r3,2c3f8 <_lseek_r+0x50> - 2c3e4: dfc00217 ldw ra,8(sp) - 2c3e8: dc400117 ldw r17,4(sp) - 2c3ec: dc000017 ldw r16,0(sp) - 2c3f0: dec00304 addi sp,sp,12 - 2c3f4: f800283a ret - 2c3f8: 80c00017 ldw r3,0(r16) - 2c3fc: 183ff926 beq r3,zero,2c3e4 <_lseek_r+0x3c> - 2c400: 88c00015 stw r3,0(r17) - 2c404: 003ff706 br 2c3e4 <_lseek_r+0x3c> +0002bbf4 <_lseek_r>: + 2bbf4: defffd04 addi sp,sp,-12 + 2bbf8: 2805883a mov r2,r5 + 2bbfc: dc000015 stw r16,0(sp) + 2bc00: 040000f4 movhi r16,3 + 2bc04: dc400115 stw r17,4(sp) + 2bc08: 300b883a mov r5,r6 + 2bc0c: 841a0c04 addi r16,r16,26672 + 2bc10: 2023883a mov r17,r4 + 2bc14: 380d883a mov r6,r7 + 2bc18: 1009883a mov r4,r2 + 2bc1c: dfc00215 stw ra,8(sp) + 2bc20: 80000015 stw zero,0(r16) + 2bc24: 002f4300 call 2f430 + 2bc28: 00ffffc4 movi r3,-1 + 2bc2c: 10c00526 beq r2,r3,2bc44 <_lseek_r+0x50> + 2bc30: dfc00217 ldw ra,8(sp) + 2bc34: dc400117 ldw r17,4(sp) + 2bc38: dc000017 ldw r16,0(sp) + 2bc3c: dec00304 addi sp,sp,12 + 2bc40: f800283a ret + 2bc44: 80c00017 ldw r3,0(r16) + 2bc48: 183ff926 beq r3,zero,2bc30 <_gp+0xfffef6f8> + 2bc4c: 88c00015 stw r3,0(r17) + 2bc50: 003ff706 br 2bc30 <_gp+0xfffef6f8> -0002c408 <_read_r>: - 2c408: defffd04 addi sp,sp,-12 - 2c40c: 2805883a mov r2,r5 - 2c410: dc000015 stw r16,0(sp) - 2c414: 040000f4 movhi r16,3 - 2c418: dc400115 stw r17,4(sp) - 2c41c: 300b883a mov r5,r6 - 2c420: 841c5904 addi r16,r16,29028 - 2c424: 2023883a mov r17,r4 - 2c428: 380d883a mov r6,r7 - 2c42c: 1009883a mov r4,r2 - 2c430: dfc00215 stw ra,8(sp) - 2c434: 80000015 stw zero,0(r16) - 2c438: 002ff3c0 call 2ff3c - 2c43c: 00ffffc4 movi r3,-1 - 2c440: 10c00526 beq r2,r3,2c458 <_read_r+0x50> - 2c444: dfc00217 ldw ra,8(sp) - 2c448: dc400117 ldw r17,4(sp) - 2c44c: dc000017 ldw r16,0(sp) - 2c450: dec00304 addi sp,sp,12 - 2c454: f800283a ret - 2c458: 80c00017 ldw r3,0(r16) - 2c45c: 183ff926 beq r3,zero,2c444 <_read_r+0x3c> - 2c460: 88c00015 stw r3,0(r17) - 2c464: 003ff706 br 2c444 <_read_r+0x3c> +0002bc54 <_read_r>: + 2bc54: defffd04 addi sp,sp,-12 + 2bc58: 2805883a mov r2,r5 + 2bc5c: dc000015 stw r16,0(sp) + 2bc60: 040000f4 movhi r16,3 + 2bc64: dc400115 stw r17,4(sp) + 2bc68: 300b883a mov r5,r6 + 2bc6c: 841a0c04 addi r16,r16,26672 + 2bc70: 2023883a mov r17,r4 + 2bc74: 380d883a mov r6,r7 + 2bc78: 1009883a mov r4,r2 + 2bc7c: dfc00215 stw ra,8(sp) + 2bc80: 80000015 stw zero,0(r16) + 2bc84: 002f6180 call 2f618 + 2bc88: 00ffffc4 movi r3,-1 + 2bc8c: 10c00526 beq r2,r3,2bca4 <_read_r+0x50> + 2bc90: dfc00217 ldw ra,8(sp) + 2bc94: dc400117 ldw r17,4(sp) + 2bc98: dc000017 ldw r16,0(sp) + 2bc9c: dec00304 addi sp,sp,12 + 2bca0: f800283a ret + 2bca4: 80c00017 ldw r3,0(r16) + 2bca8: 183ff926 beq r3,zero,2bc90 <_gp+0xfffef758> + 2bcac: 88c00015 stw r3,0(r17) + 2bcb0: 003ff706 br 2bc90 <_gp+0xfffef758> -0002c468 <_wcrtomb_r>: - 2c468: defff604 addi sp,sp,-40 - 2c46c: 008000f4 movhi r2,3 - 2c470: dc800815 stw r18,32(sp) - 2c474: dc400715 stw r17,28(sp) - 2c478: dc000615 stw r16,24(sp) - 2c47c: 1093a304 addi r2,r2,20108 - 2c480: dfc00915 stw ra,36(sp) - 2c484: 2021883a mov r16,r4 - 2c488: 3823883a mov r17,r7 - 2c48c: 14800017 ldw r18,0(r2) - 2c490: 28001426 beq r5,zero,2c4e4 <_wcrtomb_r+0x7c> - 2c494: d9400415 stw r5,16(sp) - 2c498: d9800515 stw r6,20(sp) - 2c49c: 00285ec0 call 285ec <__locale_charset> - 2c4a0: d9800517 ldw r6,20(sp) - 2c4a4: d9400417 ldw r5,16(sp) - 2c4a8: 100f883a mov r7,r2 - 2c4ac: dc400015 stw r17,0(sp) - 2c4b0: 8009883a mov r4,r16 - 2c4b4: 903ee83a callr r18 - 2c4b8: 00ffffc4 movi r3,-1 - 2c4bc: 10c0031e bne r2,r3,2c4cc <_wcrtomb_r+0x64> - 2c4c0: 88000015 stw zero,0(r17) - 2c4c4: 00c02284 movi r3,138 - 2c4c8: 80c00015 stw r3,0(r16) - 2c4cc: dfc00917 ldw ra,36(sp) - 2c4d0: dc800817 ldw r18,32(sp) - 2c4d4: dc400717 ldw r17,28(sp) - 2c4d8: dc000617 ldw r16,24(sp) - 2c4dc: dec00a04 addi sp,sp,40 - 2c4e0: f800283a ret - 2c4e4: 00285ec0 call 285ec <__locale_charset> - 2c4e8: 100f883a mov r7,r2 - 2c4ec: dc400015 stw r17,0(sp) - 2c4f0: 000d883a mov r6,zero - 2c4f4: d9400104 addi r5,sp,4 - 2c4f8: 8009883a mov r4,r16 - 2c4fc: 903ee83a callr r18 - 2c500: 003fed06 br 2c4b8 <_wcrtomb_r+0x50> +0002bcb4 <_wcrtomb_r>: + 2bcb4: defff604 addi sp,sp,-40 + 2bcb8: 008000f4 movhi r2,3 + 2bcbc: dc800815 stw r18,32(sp) + 2bcc0: dc400715 stw r17,28(sp) + 2bcc4: dc000615 stw r16,24(sp) + 2bcc8: 10915604 addi r2,r2,17752 + 2bccc: dfc00915 stw ra,36(sp) + 2bcd0: 2021883a mov r16,r4 + 2bcd4: 3823883a mov r17,r7 + 2bcd8: 14800017 ldw r18,0(r2) + 2bcdc: 28001426 beq r5,zero,2bd30 <_wcrtomb_r+0x7c> + 2bce0: d9400415 stw r5,16(sp) + 2bce4: d9800515 stw r6,20(sp) + 2bce8: 00280280 call 28028 <__locale_charset> + 2bcec: d9800517 ldw r6,20(sp) + 2bcf0: d9400417 ldw r5,16(sp) + 2bcf4: 100f883a mov r7,r2 + 2bcf8: dc400015 stw r17,0(sp) + 2bcfc: 8009883a mov r4,r16 + 2bd00: 903ee83a callr r18 + 2bd04: 00ffffc4 movi r3,-1 + 2bd08: 10c0031e bne r2,r3,2bd18 <_wcrtomb_r+0x64> + 2bd0c: 88000015 stw zero,0(r17) + 2bd10: 00c02284 movi r3,138 + 2bd14: 80c00015 stw r3,0(r16) + 2bd18: dfc00917 ldw ra,36(sp) + 2bd1c: dc800817 ldw r18,32(sp) + 2bd20: dc400717 ldw r17,28(sp) + 2bd24: dc000617 ldw r16,24(sp) + 2bd28: dec00a04 addi sp,sp,40 + 2bd2c: f800283a ret + 2bd30: 00280280 call 28028 <__locale_charset> + 2bd34: 100f883a mov r7,r2 + 2bd38: dc400015 stw r17,0(sp) + 2bd3c: 000d883a mov r6,zero + 2bd40: d9400104 addi r5,sp,4 + 2bd44: 8009883a mov r4,r16 + 2bd48: 903ee83a callr r18 + 2bd4c: 003fed06 br 2bd04 <_gp+0xfffef7cc> -0002c504 : - 2c504: defff604 addi sp,sp,-40 - 2c508: 008000f4 movhi r2,3 - 2c50c: dc800615 stw r18,24(sp) - 2c510: dc400515 stw r17,20(sp) - 2c514: 10939f04 addi r2,r2,20092 - 2c518: dfc00915 stw ra,36(sp) - 2c51c: dd000815 stw r20,32(sp) - 2c520: dcc00715 stw r19,28(sp) - 2c524: dc000415 stw r16,16(sp) - 2c528: 3025883a mov r18,r6 - 2c52c: 14400017 ldw r17,0(r2) - 2c530: 20001926 beq r4,zero,2c598 - 2c534: 008000f4 movhi r2,3 - 2c538: 1093a304 addi r2,r2,20108 - 2c53c: 15000017 ldw r20,0(r2) - 2c540: 2021883a mov r16,r4 - 2c544: 2827883a mov r19,r5 - 2c548: 00285ec0 call 285ec <__locale_charset> - 2c54c: 100f883a mov r7,r2 - 2c550: dc800015 stw r18,0(sp) - 2c554: 980d883a mov r6,r19 - 2c558: 800b883a mov r5,r16 - 2c55c: 8809883a mov r4,r17 - 2c560: a03ee83a callr r20 - 2c564: 00ffffc4 movi r3,-1 - 2c568: 10c0031e bne r2,r3,2c578 - 2c56c: 90000015 stw zero,0(r18) - 2c570: 00c02284 movi r3,138 - 2c574: 88c00015 stw r3,0(r17) - 2c578: dfc00917 ldw ra,36(sp) - 2c57c: dd000817 ldw r20,32(sp) - 2c580: dcc00717 ldw r19,28(sp) - 2c584: dc800617 ldw r18,24(sp) - 2c588: dc400517 ldw r17,20(sp) - 2c58c: dc000417 ldw r16,16(sp) - 2c590: dec00a04 addi sp,sp,40 - 2c594: f800283a ret - 2c598: 008000f4 movhi r2,3 - 2c59c: 1093a304 addi r2,r2,20108 - 2c5a0: 14000017 ldw r16,0(r2) - 2c5a4: 00285ec0 call 285ec <__locale_charset> - 2c5a8: 100f883a mov r7,r2 - 2c5ac: dc800015 stw r18,0(sp) - 2c5b0: 000d883a mov r6,zero - 2c5b4: d9400104 addi r5,sp,4 - 2c5b8: 8809883a mov r4,r17 - 2c5bc: 803ee83a callr r16 - 2c5c0: 003fe806 br 2c564 +0002bd50 : + 2bd50: defff604 addi sp,sp,-40 + 2bd54: 008000f4 movhi r2,3 + 2bd58: dc800615 stw r18,24(sp) + 2bd5c: dc400515 stw r17,20(sp) + 2bd60: 10915404 addi r2,r2,17744 + 2bd64: dfc00915 stw ra,36(sp) + 2bd68: dd000815 stw r20,32(sp) + 2bd6c: dcc00715 stw r19,28(sp) + 2bd70: dc000415 stw r16,16(sp) + 2bd74: 3025883a mov r18,r6 + 2bd78: 14400017 ldw r17,0(r2) + 2bd7c: 20001926 beq r4,zero,2bde4 + 2bd80: 008000f4 movhi r2,3 + 2bd84: 10915604 addi r2,r2,17752 + 2bd88: 15000017 ldw r20,0(r2) + 2bd8c: 2021883a mov r16,r4 + 2bd90: 2827883a mov r19,r5 + 2bd94: 00280280 call 28028 <__locale_charset> + 2bd98: 100f883a mov r7,r2 + 2bd9c: dc800015 stw r18,0(sp) + 2bda0: 980d883a mov r6,r19 + 2bda4: 800b883a mov r5,r16 + 2bda8: 8809883a mov r4,r17 + 2bdac: a03ee83a callr r20 + 2bdb0: 00ffffc4 movi r3,-1 + 2bdb4: 10c0031e bne r2,r3,2bdc4 + 2bdb8: 90000015 stw zero,0(r18) + 2bdbc: 00c02284 movi r3,138 + 2bdc0: 88c00015 stw r3,0(r17) + 2bdc4: dfc00917 ldw ra,36(sp) + 2bdc8: dd000817 ldw r20,32(sp) + 2bdcc: dcc00717 ldw r19,28(sp) + 2bdd0: dc800617 ldw r18,24(sp) + 2bdd4: dc400517 ldw r17,20(sp) + 2bdd8: dc000417 ldw r16,16(sp) + 2bddc: dec00a04 addi sp,sp,40 + 2bde0: f800283a ret + 2bde4: 008000f4 movhi r2,3 + 2bde8: 10915604 addi r2,r2,17752 + 2bdec: 14000017 ldw r16,0(r2) + 2bdf0: 00280280 call 28028 <__locale_charset> + 2bdf4: 100f883a mov r7,r2 + 2bdf8: dc800015 stw r18,0(sp) + 2bdfc: 000d883a mov r6,zero + 2be00: d9400104 addi r5,sp,4 + 2be04: 8809883a mov r4,r17 + 2be08: 803ee83a callr r16 + 2be0c: 003fe806 br 2bdb0 <_gp+0xfffef878> -0002c5c4 <__ascii_wctomb>: - 2c5c4: 28000526 beq r5,zero,2c5dc <__ascii_wctomb+0x18> - 2c5c8: 00803fc4 movi r2,255 - 2c5cc: 11800536 bltu r2,r6,2c5e4 <__ascii_wctomb+0x20> - 2c5d0: 29800005 stb r6,0(r5) - 2c5d4: 00800044 movi r2,1 - 2c5d8: f800283a ret - 2c5dc: 0005883a mov r2,zero - 2c5e0: f800283a ret - 2c5e4: 00802284 movi r2,138 - 2c5e8: 20800015 stw r2,0(r4) - 2c5ec: 00bfffc4 movi r2,-1 - 2c5f0: f800283a ret +0002be10 <__ascii_wctomb>: + 2be10: 28000526 beq r5,zero,2be28 <__ascii_wctomb+0x18> + 2be14: 00803fc4 movi r2,255 + 2be18: 11800536 bltu r2,r6,2be30 <__ascii_wctomb+0x20> + 2be1c: 29800005 stb r6,0(r5) + 2be20: 00800044 movi r2,1 + 2be24: f800283a ret + 2be28: 0005883a mov r2,zero + 2be2c: f800283a ret + 2be30: 00802284 movi r2,138 + 2be34: 20800015 stw r2,0(r4) + 2be38: 00bfffc4 movi r2,-1 + 2be3c: f800283a ret -0002c5f4 <_wctomb_r>: - 2c5f4: 008000f4 movhi r2,3 - 2c5f8: defff904 addi sp,sp,-28 - 2c5fc: 1093a304 addi r2,r2,20108 - 2c600: dfc00615 stw ra,24(sp) - 2c604: dc400515 stw r17,20(sp) - 2c608: dc000415 stw r16,16(sp) - 2c60c: 3823883a mov r17,r7 - 2c610: 14000017 ldw r16,0(r2) - 2c614: d9000115 stw r4,4(sp) - 2c618: d9400215 stw r5,8(sp) - 2c61c: d9800315 stw r6,12(sp) - 2c620: 00285ec0 call 285ec <__locale_charset> - 2c624: d9800317 ldw r6,12(sp) - 2c628: d9400217 ldw r5,8(sp) - 2c62c: d9000117 ldw r4,4(sp) - 2c630: 100f883a mov r7,r2 - 2c634: dc400015 stw r17,0(sp) - 2c638: 803ee83a callr r16 - 2c63c: dfc00617 ldw ra,24(sp) - 2c640: dc400517 ldw r17,20(sp) - 2c644: dc000417 ldw r16,16(sp) - 2c648: dec00704 addi sp,sp,28 - 2c64c: f800283a ret +0002be40 <_wctomb_r>: + 2be40: 008000f4 movhi r2,3 + 2be44: defff904 addi sp,sp,-28 + 2be48: 10915604 addi r2,r2,17752 + 2be4c: dfc00615 stw ra,24(sp) + 2be50: dc400515 stw r17,20(sp) + 2be54: dc000415 stw r16,16(sp) + 2be58: 3823883a mov r17,r7 + 2be5c: 14000017 ldw r16,0(r2) + 2be60: d9000115 stw r4,4(sp) + 2be64: d9400215 stw r5,8(sp) + 2be68: d9800315 stw r6,12(sp) + 2be6c: 00280280 call 28028 <__locale_charset> + 2be70: d9800317 ldw r6,12(sp) + 2be74: d9400217 ldw r5,8(sp) + 2be78: d9000117 ldw r4,4(sp) + 2be7c: 100f883a mov r7,r2 + 2be80: dc400015 stw r17,0(sp) + 2be84: 803ee83a callr r16 + 2be88: dfc00617 ldw ra,24(sp) + 2be8c: dc400517 ldw r17,20(sp) + 2be90: dc000417 ldw r16,16(sp) + 2be94: dec00704 addi sp,sp,28 + 2be98: f800283a ret -0002c650 <__udivdi3>: - 2c650: defff504 addi sp,sp,-44 - 2c654: dcc00415 stw r19,16(sp) - 2c658: dc000115 stw r16,4(sp) - 2c65c: dfc00a15 stw ra,40(sp) - 2c660: df000915 stw fp,36(sp) - 2c664: ddc00815 stw r23,32(sp) - 2c668: dd800715 stw r22,28(sp) - 2c66c: dd400615 stw r21,24(sp) - 2c670: dd000515 stw r20,20(sp) - 2c674: dc800315 stw r18,12(sp) - 2c678: dc400215 stw r17,8(sp) - 2c67c: 2027883a mov r19,r4 - 2c680: 2821883a mov r16,r5 - 2c684: 3800411e bne r7,zero,2c78c <__udivdi3+0x13c> - 2c688: 3023883a mov r17,r6 - 2c68c: 2025883a mov r18,r4 - 2c690: 2980522e bgeu r5,r6,2c7dc <__udivdi3+0x18c> - 2c694: 00bfffd4 movui r2,65535 - 2c698: 282d883a mov r22,r5 - 2c69c: 1180a836 bltu r2,r6,2c940 <__udivdi3+0x2f0> - 2c6a0: 00803fc4 movi r2,255 - 2c6a4: 1185803a cmpltu r2,r2,r6 - 2c6a8: 100490fa slli r2,r2,3 - 2c6ac: 3086d83a srl r3,r6,r2 - 2c6b0: 010000f4 movhi r4,3 - 2c6b4: 210abb04 addi r4,r4,10988 - 2c6b8: 20c7883a add r3,r4,r3 - 2c6bc: 18c00003 ldbu r3,0(r3) - 2c6c0: 1885883a add r2,r3,r2 - 2c6c4: 00c00804 movi r3,32 - 2c6c8: 1887c83a sub r3,r3,r2 - 2c6cc: 18000526 beq r3,zero,2c6e4 <__udivdi3+0x94> - 2c6d0: 80e0983a sll r16,r16,r3 - 2c6d4: 9884d83a srl r2,r19,r2 - 2c6d8: 30e2983a sll r17,r6,r3 - 2c6dc: 98e4983a sll r18,r19,r3 - 2c6e0: 142cb03a or r22,r2,r16 - 2c6e4: 882ad43a srli r21,r17,16 - 2c6e8: b009883a mov r4,r22 - 2c6ec: 8d3fffcc andi r20,r17,65535 - 2c6f0: a80b883a mov r5,r21 - 2c6f4: 0022a840 call 22a84 <__umodsi3> - 2c6f8: b009883a mov r4,r22 - 2c6fc: a80b883a mov r5,r21 - 2c700: 1027883a mov r19,r2 - 2c704: 0022a200 call 22a20 <__udivsi3> - 2c708: 102d883a mov r22,r2 - 2c70c: 9826943a slli r19,r19,16 - 2c710: 9004d43a srli r2,r18,16 - 2c714: a5a1383a mul r16,r20,r22 - 2c718: 14c4b03a or r2,r2,r19 - 2c71c: 1400052e bgeu r2,r16,2c734 <__udivdi3+0xe4> - 2c720: 1445883a add r2,r2,r17 - 2c724: b0ffffc4 addi r3,r22,-1 - 2c728: 14400136 bltu r2,r17,2c730 <__udivdi3+0xe0> - 2c72c: 14012336 bltu r2,r16,2cbbc <__udivdi3+0x56c> - 2c730: 182d883a mov r22,r3 - 2c734: 1421c83a sub r16,r2,r16 - 2c738: a80b883a mov r5,r21 - 2c73c: 8009883a mov r4,r16 - 2c740: 0022a840 call 22a84 <__umodsi3> - 2c744: 1027883a mov r19,r2 - 2c748: a80b883a mov r5,r21 - 2c74c: 8009883a mov r4,r16 - 2c750: 0022a200 call 22a20 <__udivsi3> - 2c754: 9826943a slli r19,r19,16 - 2c758: a0a9383a mul r20,r20,r2 - 2c75c: 94bfffcc andi r18,r18,65535 - 2c760: 94e4b03a or r18,r18,r19 - 2c764: 9500052e bgeu r18,r20,2c77c <__udivdi3+0x12c> - 2c768: 8ca5883a add r18,r17,r18 - 2c76c: 10ffffc4 addi r3,r2,-1 - 2c770: 9440f136 bltu r18,r17,2cb38 <__udivdi3+0x4e8> - 2c774: 9500f02e bgeu r18,r20,2cb38 <__udivdi3+0x4e8> - 2c778: 10bfff84 addi r2,r2,-2 - 2c77c: b00c943a slli r6,r22,16 - 2c780: 0007883a mov r3,zero - 2c784: 3084b03a or r2,r6,r2 - 2c788: 00005906 br 2c8f0 <__udivdi3+0x2a0> - 2c78c: 29c05636 bltu r5,r7,2c8e8 <__udivdi3+0x298> - 2c790: 00bfffd4 movui r2,65535 - 2c794: 11c0622e bgeu r2,r7,2c920 <__udivdi3+0x2d0> - 2c798: 00804034 movhi r2,256 +0002be9c <__udivdi3>: + 2be9c: defff504 addi sp,sp,-44 + 2bea0: dcc00415 stw r19,16(sp) + 2bea4: dc000115 stw r16,4(sp) + 2bea8: dfc00a15 stw ra,40(sp) + 2beac: df000915 stw fp,36(sp) + 2beb0: ddc00815 stw r23,32(sp) + 2beb4: dd800715 stw r22,28(sp) + 2beb8: dd400615 stw r21,24(sp) + 2bebc: dd000515 stw r20,20(sp) + 2bec0: dc800315 stw r18,12(sp) + 2bec4: dc400215 stw r17,8(sp) + 2bec8: 2027883a mov r19,r4 + 2becc: 2821883a mov r16,r5 + 2bed0: 3800411e bne r7,zero,2bfd8 <__udivdi3+0x13c> + 2bed4: 3023883a mov r17,r6 + 2bed8: 2025883a mov r18,r4 + 2bedc: 2980522e bgeu r5,r6,2c028 <__udivdi3+0x18c> + 2bee0: 00bfffd4 movui r2,65535 + 2bee4: 282d883a mov r22,r5 + 2bee8: 1180a836 bltu r2,r6,2c18c <__udivdi3+0x2f0> + 2beec: 00803fc4 movi r2,255 + 2bef0: 1185803a cmpltu r2,r2,r6 + 2bef4: 100490fa slli r2,r2,3 + 2bef8: 3086d83a srl r3,r6,r2 + 2befc: 010000f4 movhi r4,3 + 2bf00: 21086e04 addi r4,r4,8632 + 2bf04: 20c7883a add r3,r4,r3 + 2bf08: 18c00003 ldbu r3,0(r3) + 2bf0c: 1885883a add r2,r3,r2 + 2bf10: 00c00804 movi r3,32 + 2bf14: 1887c83a sub r3,r3,r2 + 2bf18: 18000526 beq r3,zero,2bf30 <__udivdi3+0x94> + 2bf1c: 80e0983a sll r16,r16,r3 + 2bf20: 9884d83a srl r2,r19,r2 + 2bf24: 30e2983a sll r17,r6,r3 + 2bf28: 98e4983a sll r18,r19,r3 + 2bf2c: 142cb03a or r22,r2,r16 + 2bf30: 882ad43a srli r21,r17,16 + 2bf34: b009883a mov r4,r22 + 2bf38: 8d3fffcc andi r20,r17,65535 + 2bf3c: a80b883a mov r5,r21 + 2bf40: 00226400 call 22640 <__umodsi3> + 2bf44: b009883a mov r4,r22 + 2bf48: a80b883a mov r5,r21 + 2bf4c: 1027883a mov r19,r2 + 2bf50: 00225dc0 call 225dc <__udivsi3> + 2bf54: 102d883a mov r22,r2 + 2bf58: 9826943a slli r19,r19,16 + 2bf5c: 9004d43a srli r2,r18,16 + 2bf60: a5a1383a mul r16,r20,r22 + 2bf64: 14c4b03a or r2,r2,r19 + 2bf68: 1400052e bgeu r2,r16,2bf80 <__udivdi3+0xe4> + 2bf6c: 1445883a add r2,r2,r17 + 2bf70: b0ffffc4 addi r3,r22,-1 + 2bf74: 14400136 bltu r2,r17,2bf7c <__udivdi3+0xe0> + 2bf78: 14012336 bltu r2,r16,2c408 <__udivdi3+0x56c> + 2bf7c: 182d883a mov r22,r3 + 2bf80: 1421c83a sub r16,r2,r16 + 2bf84: a80b883a mov r5,r21 + 2bf88: 8009883a mov r4,r16 + 2bf8c: 00226400 call 22640 <__umodsi3> + 2bf90: 1027883a mov r19,r2 + 2bf94: a80b883a mov r5,r21 + 2bf98: 8009883a mov r4,r16 + 2bf9c: 00225dc0 call 225dc <__udivsi3> + 2bfa0: 9826943a slli r19,r19,16 + 2bfa4: a0a9383a mul r20,r20,r2 + 2bfa8: 94bfffcc andi r18,r18,65535 + 2bfac: 94e4b03a or r18,r18,r19 + 2bfb0: 9500052e bgeu r18,r20,2bfc8 <__udivdi3+0x12c> + 2bfb4: 8ca5883a add r18,r17,r18 + 2bfb8: 10ffffc4 addi r3,r2,-1 + 2bfbc: 9440f136 bltu r18,r17,2c384 <__udivdi3+0x4e8> + 2bfc0: 9500f02e bgeu r18,r20,2c384 <__udivdi3+0x4e8> + 2bfc4: 10bfff84 addi r2,r2,-2 + 2bfc8: b00c943a slli r6,r22,16 + 2bfcc: 0007883a mov r3,zero + 2bfd0: 3084b03a or r2,r6,r2 + 2bfd4: 00005906 br 2c13c <__udivdi3+0x2a0> + 2bfd8: 29c05636 bltu r5,r7,2c134 <__udivdi3+0x298> + 2bfdc: 00bfffd4 movui r2,65535 + 2bfe0: 11c0622e bgeu r2,r7,2c16c <__udivdi3+0x2d0> + 2bfe4: 00804034 movhi r2,256 + 2bfe8: 10bfffc4 addi r2,r2,-1 + 2bfec: 11c0ee36 bltu r2,r7,2c3a8 <__udivdi3+0x50c> + 2bff0: 00800404 movi r2,16 + 2bff4: 3886d83a srl r3,r7,r2 + 2bff8: 010000f4 movhi r4,3 + 2bffc: 21086e04 addi r4,r4,8632 + 2c000: 20c7883a add r3,r4,r3 + 2c004: 18c00003 ldbu r3,0(r3) + 2c008: 05400804 movi r21,32 + 2c00c: 1885883a add r2,r3,r2 + 2c010: a8abc83a sub r21,r21,r2 + 2c014: a800621e bne r21,zero,2c1a0 <__udivdi3+0x304> + 2c018: 3c00e936 bltu r7,r16,2c3c0 <__udivdi3+0x524> + 2c01c: 9985403a cmpgeu r2,r19,r6 + 2c020: 0007883a mov r3,zero + 2c024: 00004506 br 2c13c <__udivdi3+0x2a0> + 2c028: 3000041e bne r6,zero,2c03c <__udivdi3+0x1a0> + 2c02c: 000b883a mov r5,zero + 2c030: 01000044 movi r4,1 + 2c034: 00225dc0 call 225dc <__udivsi3> + 2c038: 1023883a mov r17,r2 + 2c03c: 00bfffd4 movui r2,65535 + 2c040: 14404e2e bgeu r2,r17,2c17c <__udivdi3+0x2e0> + 2c044: 00804034 movhi r2,256 + 2c048: 10bfffc4 addi r2,r2,-1 + 2c04c: 1440d836 bltu r2,r17,2c3b0 <__udivdi3+0x514> + 2c050: 00800404 movi r2,16 + 2c054: 8886d83a srl r3,r17,r2 + 2c058: 010000f4 movhi r4,3 + 2c05c: 21086e04 addi r4,r4,8632 + 2c060: 20c7883a add r3,r4,r3 + 2c064: 18c00003 ldbu r3,0(r3) + 2c068: 1885883a add r2,r3,r2 + 2c06c: 00c00804 movi r3,32 + 2c070: 1887c83a sub r3,r3,r2 + 2c074: 18008f1e bne r3,zero,2c2b4 <__udivdi3+0x418> + 2c078: 882ad43a srli r21,r17,16 + 2c07c: 8461c83a sub r16,r16,r17 + 2c080: 8d3fffcc andi r20,r17,65535 + 2c084: 00c00044 movi r3,1 + 2c088: 8009883a mov r4,r16 + 2c08c: a80b883a mov r5,r21 + 2c090: d8c00015 stw r3,0(sp) + 2c094: 00226400 call 22640 <__umodsi3> + 2c098: 8009883a mov r4,r16 + 2c09c: a80b883a mov r5,r21 + 2c0a0: 1027883a mov r19,r2 + 2c0a4: 00225dc0 call 225dc <__udivsi3> + 2c0a8: 9826943a slli r19,r19,16 + 2c0ac: 9008d43a srli r4,r18,16 + 2c0b0: 1521383a mul r16,r2,r20 + 2c0b4: 102d883a mov r22,r2 + 2c0b8: 24c8b03a or r4,r4,r19 + 2c0bc: d8c00017 ldw r3,0(sp) + 2c0c0: 2400052e bgeu r4,r16,2c0d8 <__udivdi3+0x23c> + 2c0c4: 2449883a add r4,r4,r17 + 2c0c8: b0bfffc4 addi r2,r22,-1 + 2c0cc: 24400136 bltu r4,r17,2c0d4 <__udivdi3+0x238> + 2c0d0: 2400ca36 bltu r4,r16,2c3fc <__udivdi3+0x560> + 2c0d4: 102d883a mov r22,r2 + 2c0d8: 2421c83a sub r16,r4,r16 + 2c0dc: a80b883a mov r5,r21 + 2c0e0: 8009883a mov r4,r16 + 2c0e4: d8c00015 stw r3,0(sp) + 2c0e8: 00226400 call 22640 <__umodsi3> + 2c0ec: 1027883a mov r19,r2 + 2c0f0: a80b883a mov r5,r21 + 2c0f4: 8009883a mov r4,r16 + 2c0f8: 00225dc0 call 225dc <__udivsi3> + 2c0fc: 9826943a slli r19,r19,16 + 2c100: 1529383a mul r20,r2,r20 + 2c104: 94bfffcc andi r18,r18,65535 + 2c108: 94e4b03a or r18,r18,r19 + 2c10c: d8c00017 ldw r3,0(sp) + 2c110: 9500052e bgeu r18,r20,2c128 <__udivdi3+0x28c> + 2c114: 8ca5883a add r18,r17,r18 + 2c118: 113fffc4 addi r4,r2,-1 + 2c11c: 94409736 bltu r18,r17,2c37c <__udivdi3+0x4e0> + 2c120: 9500962e bgeu r18,r20,2c37c <__udivdi3+0x4e0> + 2c124: 10bfff84 addi r2,r2,-2 + 2c128: b00c943a slli r6,r22,16 + 2c12c: 3084b03a or r2,r6,r2 + 2c130: 00000206 br 2c13c <__udivdi3+0x2a0> + 2c134: 0007883a mov r3,zero + 2c138: 0005883a mov r2,zero + 2c13c: dfc00a17 ldw ra,40(sp) + 2c140: df000917 ldw fp,36(sp) + 2c144: ddc00817 ldw r23,32(sp) + 2c148: dd800717 ldw r22,28(sp) + 2c14c: dd400617 ldw r21,24(sp) + 2c150: dd000517 ldw r20,20(sp) + 2c154: dcc00417 ldw r19,16(sp) + 2c158: dc800317 ldw r18,12(sp) + 2c15c: dc400217 ldw r17,8(sp) + 2c160: dc000117 ldw r16,4(sp) + 2c164: dec00b04 addi sp,sp,44 + 2c168: f800283a ret + 2c16c: 00803fc4 movi r2,255 + 2c170: 11c5803a cmpltu r2,r2,r7 + 2c174: 100490fa slli r2,r2,3 + 2c178: 003f9e06 br 2bff4 <_gp+0xfffefabc> + 2c17c: 00803fc4 movi r2,255 + 2c180: 1445803a cmpltu r2,r2,r17 + 2c184: 100490fa slli r2,r2,3 + 2c188: 003fb206 br 2c054 <_gp+0xfffefb1c> + 2c18c: 00804034 movhi r2,256 + 2c190: 10bfffc4 addi r2,r2,-1 + 2c194: 11808836 bltu r2,r6,2c3b8 <__udivdi3+0x51c> + 2c198: 00800404 movi r2,16 + 2c19c: 003f5606 br 2bef8 <_gp+0xfffef9c0> + 2c1a0: 30aed83a srl r23,r6,r2 + 2c1a4: 3d4e983a sll r7,r7,r21 + 2c1a8: 80acd83a srl r22,r16,r2 + 2c1ac: 9884d83a srl r2,r19,r2 + 2c1b0: 3deeb03a or r23,r7,r23 + 2c1b4: b824d43a srli r18,r23,16 + 2c1b8: 8560983a sll r16,r16,r21 + 2c1bc: b009883a mov r4,r22 + 2c1c0: 900b883a mov r5,r18 + 2c1c4: 3568983a sll r20,r6,r21 + 2c1c8: 1420b03a or r16,r2,r16 + 2c1cc: 00226400 call 22640 <__umodsi3> + 2c1d0: b009883a mov r4,r22 + 2c1d4: 900b883a mov r5,r18 + 2c1d8: 1023883a mov r17,r2 + 2c1dc: 00225dc0 call 225dc <__udivsi3> + 2c1e0: 8808943a slli r4,r17,16 + 2c1e4: bf3fffcc andi fp,r23,65535 + 2c1e8: 8006d43a srli r3,r16,16 + 2c1ec: e0a3383a mul r17,fp,r2 + 2c1f0: 100d883a mov r6,r2 + 2c1f4: 1906b03a or r3,r3,r4 + 2c1f8: 1c40042e bgeu r3,r17,2c20c <__udivdi3+0x370> + 2c1fc: 1dc7883a add r3,r3,r23 + 2c200: 10bfffc4 addi r2,r2,-1 + 2c204: 1dc0752e bgeu r3,r23,2c3dc <__udivdi3+0x540> + 2c208: 100d883a mov r6,r2 + 2c20c: 1c63c83a sub r17,r3,r17 + 2c210: 900b883a mov r5,r18 + 2c214: 8809883a mov r4,r17 + 2c218: d9800015 stw r6,0(sp) + 2c21c: 00226400 call 22640 <__umodsi3> + 2c220: 102d883a mov r22,r2 + 2c224: 8809883a mov r4,r17 + 2c228: 900b883a mov r5,r18 + 2c22c: 00225dc0 call 225dc <__udivsi3> + 2c230: b02c943a slli r22,r22,16 + 2c234: e089383a mul r4,fp,r2 + 2c238: 843fffcc andi r16,r16,65535 + 2c23c: 85a0b03a or r16,r16,r22 + 2c240: d9800017 ldw r6,0(sp) + 2c244: 8100042e bgeu r16,r4,2c258 <__udivdi3+0x3bc> + 2c248: 85e1883a add r16,r16,r23 + 2c24c: 10ffffc4 addi r3,r2,-1 + 2c250: 85c05e2e bgeu r16,r23,2c3cc <__udivdi3+0x530> + 2c254: 1805883a mov r2,r3 + 2c258: 300c943a slli r6,r6,16 + 2c25c: a17fffcc andi r5,r20,65535 + 2c260: a028d43a srli r20,r20,16 + 2c264: 3084b03a or r2,r6,r2 + 2c268: 10ffffcc andi r3,r2,65535 + 2c26c: 100cd43a srli r6,r2,16 + 2c270: 194f383a mul r7,r3,r5 + 2c274: 1d07383a mul r3,r3,r20 + 2c278: 314b383a mul r5,r6,r5 + 2c27c: 3810d43a srli r8,r7,16 + 2c280: 8121c83a sub r16,r16,r4 + 2c284: 1947883a add r3,r3,r5 + 2c288: 40c7883a add r3,r8,r3 + 2c28c: 350d383a mul r6,r6,r20 + 2c290: 1940022e bgeu r3,r5,2c29c <__udivdi3+0x400> + 2c294: 01000074 movhi r4,1 + 2c298: 310d883a add r6,r6,r4 + 2c29c: 1828d43a srli r20,r3,16 + 2c2a0: a18d883a add r6,r20,r6 + 2c2a4: 81803e36 bltu r16,r6,2c3a0 <__udivdi3+0x504> + 2c2a8: 81803826 beq r16,r6,2c38c <__udivdi3+0x4f0> + 2c2ac: 0007883a mov r3,zero + 2c2b0: 003fa206 br 2c13c <_gp+0xfffefc04> + 2c2b4: 88e2983a sll r17,r17,r3 + 2c2b8: 80a8d83a srl r20,r16,r2 + 2c2bc: 80e0983a sll r16,r16,r3 + 2c2c0: 882ad43a srli r21,r17,16 + 2c2c4: 9884d83a srl r2,r19,r2 + 2c2c8: a009883a mov r4,r20 + 2c2cc: a80b883a mov r5,r21 + 2c2d0: 142eb03a or r23,r2,r16 + 2c2d4: 98e4983a sll r18,r19,r3 + 2c2d8: 00226400 call 22640 <__umodsi3> + 2c2dc: a009883a mov r4,r20 + 2c2e0: a80b883a mov r5,r21 + 2c2e4: 1021883a mov r16,r2 + 2c2e8: 00225dc0 call 225dc <__udivsi3> + 2c2ec: 1039883a mov fp,r2 + 2c2f0: 8d3fffcc andi r20,r17,65535 + 2c2f4: 8020943a slli r16,r16,16 + 2c2f8: b804d43a srli r2,r23,16 + 2c2fc: a72d383a mul r22,r20,fp + 2c300: 1404b03a or r2,r2,r16 + 2c304: 1580062e bgeu r2,r22,2c320 <__udivdi3+0x484> + 2c308: 1445883a add r2,r2,r17 + 2c30c: e0ffffc4 addi r3,fp,-1 + 2c310: 14403836 bltu r2,r17,2c3f4 <__udivdi3+0x558> + 2c314: 1580372e bgeu r2,r22,2c3f4 <__udivdi3+0x558> + 2c318: e73fff84 addi fp,fp,-2 + 2c31c: 1445883a add r2,r2,r17 + 2c320: 15adc83a sub r22,r2,r22 + 2c324: a80b883a mov r5,r21 + 2c328: b009883a mov r4,r22 + 2c32c: 00226400 call 22640 <__umodsi3> + 2c330: 1027883a mov r19,r2 + 2c334: b009883a mov r4,r22 + 2c338: a80b883a mov r5,r21 + 2c33c: 00225dc0 call 225dc <__udivsi3> + 2c340: 9826943a slli r19,r19,16 + 2c344: a0a1383a mul r16,r20,r2 + 2c348: b93fffcc andi r4,r23,65535 + 2c34c: 24c8b03a or r4,r4,r19 + 2c350: 2400062e bgeu r4,r16,2c36c <__udivdi3+0x4d0> + 2c354: 2449883a add r4,r4,r17 + 2c358: 10ffffc4 addi r3,r2,-1 + 2c35c: 24402336 bltu r4,r17,2c3ec <__udivdi3+0x550> + 2c360: 2400222e bgeu r4,r16,2c3ec <__udivdi3+0x550> + 2c364: 10bfff84 addi r2,r2,-2 + 2c368: 2449883a add r4,r4,r17 + 2c36c: e038943a slli fp,fp,16 + 2c370: 2421c83a sub r16,r4,r16 + 2c374: e086b03a or r3,fp,r2 + 2c378: 003f4306 br 2c088 <_gp+0xfffefb50> + 2c37c: 2005883a mov r2,r4 + 2c380: 003f6906 br 2c128 <_gp+0xfffefbf0> + 2c384: 1805883a mov r2,r3 + 2c388: 003f0f06 br 2bfc8 <_gp+0xfffefa90> + 2c38c: 1806943a slli r3,r3,16 + 2c390: 9d66983a sll r19,r19,r21 + 2c394: 39ffffcc andi r7,r7,65535 + 2c398: 19c7883a add r3,r3,r7 + 2c39c: 98ffc32e bgeu r19,r3,2c2ac <_gp+0xfffefd74> + 2c3a0: 10bfffc4 addi r2,r2,-1 + 2c3a4: 003fc106 br 2c2ac <_gp+0xfffefd74> + 2c3a8: 00800604 movi r2,24 + 2c3ac: 003f1106 br 2bff4 <_gp+0xfffefabc> + 2c3b0: 00800604 movi r2,24 + 2c3b4: 003f2706 br 2c054 <_gp+0xfffefb1c> + 2c3b8: 00800604 movi r2,24 + 2c3bc: 003ece06 br 2bef8 <_gp+0xfffef9c0> + 2c3c0: 0007883a mov r3,zero + 2c3c4: 00800044 movi r2,1 + 2c3c8: 003f5c06 br 2c13c <_gp+0xfffefc04> + 2c3cc: 813fa12e bgeu r16,r4,2c254 <_gp+0xfffefd1c> + 2c3d0: 10bfff84 addi r2,r2,-2 + 2c3d4: 85e1883a add r16,r16,r23 + 2c3d8: 003f9f06 br 2c258 <_gp+0xfffefd20> + 2c3dc: 1c7f8a2e bgeu r3,r17,2c208 <_gp+0xfffefcd0> + 2c3e0: 31bfff84 addi r6,r6,-2 + 2c3e4: 1dc7883a add r3,r3,r23 + 2c3e8: 003f8806 br 2c20c <_gp+0xfffefcd4> + 2c3ec: 1805883a mov r2,r3 + 2c3f0: 003fde06 br 2c36c <_gp+0xfffefe34> + 2c3f4: 1839883a mov fp,r3 + 2c3f8: 003fc906 br 2c320 <_gp+0xfffefde8> + 2c3fc: b5bfff84 addi r22,r22,-2 + 2c400: 2449883a add r4,r4,r17 + 2c404: 003f3406 br 2c0d8 <_gp+0xfffefba0> + 2c408: b5bfff84 addi r22,r22,-2 + 2c40c: 1445883a add r2,r2,r17 + 2c410: 003edb06 br 2bf80 <_gp+0xfffefa48> + +0002c414 <__umoddi3>: + 2c414: defff404 addi sp,sp,-48 + 2c418: df000a15 stw fp,40(sp) + 2c41c: dc400315 stw r17,12(sp) + 2c420: dc000215 stw r16,8(sp) + 2c424: dfc00b15 stw ra,44(sp) + 2c428: ddc00915 stw r23,36(sp) + 2c42c: dd800815 stw r22,32(sp) + 2c430: dd400715 stw r21,28(sp) + 2c434: dd000615 stw r20,24(sp) + 2c438: dcc00515 stw r19,20(sp) + 2c43c: dc800415 stw r18,16(sp) + 2c440: 2021883a mov r16,r4 + 2c444: 2823883a mov r17,r5 + 2c448: 2839883a mov fp,r5 + 2c44c: 38003c1e bne r7,zero,2c540 <__umoddi3+0x12c> + 2c450: 3027883a mov r19,r6 + 2c454: 2029883a mov r20,r4 + 2c458: 2980512e bgeu r5,r6,2c5a0 <__umoddi3+0x18c> + 2c45c: 00bfffd4 movui r2,65535 + 2c460: 11809a36 bltu r2,r6,2c6cc <__umoddi3+0x2b8> + 2c464: 01003fc4 movi r4,255 + 2c468: 2189803a cmpltu r4,r4,r6 + 2c46c: 200890fa slli r4,r4,3 + 2c470: 3104d83a srl r2,r6,r4 + 2c474: 00c000f4 movhi r3,3 + 2c478: 18c86e04 addi r3,r3,8632 + 2c47c: 1885883a add r2,r3,r2 + 2c480: 10c00003 ldbu r3,0(r2) + 2c484: 00800804 movi r2,32 + 2c488: 1909883a add r4,r3,r4 + 2c48c: 1125c83a sub r18,r2,r4 + 2c490: 90000526 beq r18,zero,2c4a8 <__umoddi3+0x94> + 2c494: 8ca2983a sll r17,r17,r18 + 2c498: 8108d83a srl r4,r16,r4 + 2c49c: 34a6983a sll r19,r6,r18 + 2c4a0: 84a8983a sll r20,r16,r18 + 2c4a4: 2478b03a or fp,r4,r17 + 2c4a8: 982ed43a srli r23,r19,16 + 2c4ac: e009883a mov r4,fp + 2c4b0: 9dbfffcc andi r22,r19,65535 + 2c4b4: b80b883a mov r5,r23 + 2c4b8: 00226400 call 22640 <__umodsi3> + 2c4bc: e009883a mov r4,fp + 2c4c0: b80b883a mov r5,r23 + 2c4c4: 102b883a mov r21,r2 + 2c4c8: 00225dc0 call 225dc <__udivsi3> + 2c4cc: a806943a slli r3,r21,16 + 2c4d0: a008d43a srli r4,r20,16 + 2c4d4: b085383a mul r2,r22,r2 + 2c4d8: 20c8b03a or r4,r4,r3 + 2c4dc: 2080032e bgeu r4,r2,2c4ec <__umoddi3+0xd8> + 2c4e0: 24c9883a add r4,r4,r19 + 2c4e4: 24c00136 bltu r4,r19,2c4ec <__umoddi3+0xd8> + 2c4e8: 20811036 bltu r4,r2,2c92c <__umoddi3+0x518> + 2c4ec: 20abc83a sub r21,r4,r2 + 2c4f0: b80b883a mov r5,r23 + 2c4f4: a809883a mov r4,r21 + 2c4f8: 00226400 call 22640 <__umodsi3> + 2c4fc: 1023883a mov r17,r2 + 2c500: b80b883a mov r5,r23 + 2c504: a809883a mov r4,r21 + 2c508: 00225dc0 call 225dc <__udivsi3> + 2c50c: 8822943a slli r17,r17,16 + 2c510: b085383a mul r2,r22,r2 + 2c514: a0ffffcc andi r3,r20,65535 + 2c518: 1c46b03a or r3,r3,r17 + 2c51c: 1880042e bgeu r3,r2,2c530 <__umoddi3+0x11c> + 2c520: 1cc7883a add r3,r3,r19 + 2c524: 1cc00236 bltu r3,r19,2c530 <__umoddi3+0x11c> + 2c528: 1880012e bgeu r3,r2,2c530 <__umoddi3+0x11c> + 2c52c: 1cc7883a add r3,r3,r19 + 2c530: 1885c83a sub r2,r3,r2 + 2c534: 1484d83a srl r2,r2,r18 + 2c538: 0007883a mov r3,zero + 2c53c: 00004f06 br 2c67c <__umoddi3+0x268> + 2c540: 29c04c36 bltu r5,r7,2c674 <__umoddi3+0x260> + 2c544: 00bfffd4 movui r2,65535 + 2c548: 11c0582e bgeu r2,r7,2c6ac <__umoddi3+0x298> + 2c54c: 00804034 movhi r2,256 + 2c550: 10bfffc4 addi r2,r2,-1 + 2c554: 11c0e736 bltu r2,r7,2c8f4 <__umoddi3+0x4e0> + 2c558: 01000404 movi r4,16 + 2c55c: 3904d83a srl r2,r7,r4 + 2c560: 00c000f4 movhi r3,3 + 2c564: 18c86e04 addi r3,r3,8632 + 2c568: 1885883a add r2,r3,r2 + 2c56c: 14c00003 ldbu r19,0(r2) + 2c570: 00c00804 movi r3,32 + 2c574: 9927883a add r19,r19,r4 + 2c578: 1ce9c83a sub r20,r3,r19 + 2c57c: a000581e bne r20,zero,2c6e0 <__umoddi3+0x2cc> + 2c580: 3c400136 bltu r7,r17,2c588 <__umoddi3+0x174> + 2c584: 8180eb36 bltu r16,r6,2c934 <__umoddi3+0x520> + 2c588: 8185c83a sub r2,r16,r6 + 2c58c: 89e3c83a sub r17,r17,r7 + 2c590: 8089803a cmpltu r4,r16,r2 + 2c594: 8939c83a sub fp,r17,r4 + 2c598: e007883a mov r3,fp + 2c59c: 00003706 br 2c67c <__umoddi3+0x268> + 2c5a0: 3000041e bne r6,zero,2c5b4 <__umoddi3+0x1a0> + 2c5a4: 000b883a mov r5,zero + 2c5a8: 01000044 movi r4,1 + 2c5ac: 00225dc0 call 225dc <__udivsi3> + 2c5b0: 1027883a mov r19,r2 + 2c5b4: 00bfffd4 movui r2,65535 + 2c5b8: 14c0402e bgeu r2,r19,2c6bc <__umoddi3+0x2a8> + 2c5bc: 00804034 movhi r2,256 + 2c5c0: 10bfffc4 addi r2,r2,-1 + 2c5c4: 14c0cd36 bltu r2,r19,2c8fc <__umoddi3+0x4e8> + 2c5c8: 00800404 movi r2,16 + 2c5cc: 9886d83a srl r3,r19,r2 + 2c5d0: 010000f4 movhi r4,3 + 2c5d4: 21086e04 addi r4,r4,8632 + 2c5d8: 20c7883a add r3,r4,r3 + 2c5dc: 18c00003 ldbu r3,0(r3) + 2c5e0: 1887883a add r3,r3,r2 + 2c5e4: 00800804 movi r2,32 + 2c5e8: 10e5c83a sub r18,r2,r3 + 2c5ec: 9000901e bne r18,zero,2c830 <__umoddi3+0x41c> + 2c5f0: 982cd43a srli r22,r19,16 + 2c5f4: 8ce3c83a sub r17,r17,r19 + 2c5f8: 9d7fffcc andi r21,r19,65535 + 2c5fc: b00b883a mov r5,r22 + 2c600: 8809883a mov r4,r17 + 2c604: 00226400 call 22640 <__umodsi3> + 2c608: 8809883a mov r4,r17 + 2c60c: b00b883a mov r5,r22 + 2c610: 1021883a mov r16,r2 + 2c614: 00225dc0 call 225dc <__udivsi3> + 2c618: 8006943a slli r3,r16,16 + 2c61c: a008d43a srli r4,r20,16 + 2c620: 1545383a mul r2,r2,r21 + 2c624: 20c8b03a or r4,r4,r3 + 2c628: 2080042e bgeu r4,r2,2c63c <__umoddi3+0x228> + 2c62c: 24c9883a add r4,r4,r19 + 2c630: 24c00236 bltu r4,r19,2c63c <__umoddi3+0x228> + 2c634: 2080012e bgeu r4,r2,2c63c <__umoddi3+0x228> + 2c638: 24c9883a add r4,r4,r19 + 2c63c: 20a1c83a sub r16,r4,r2 + 2c640: b00b883a mov r5,r22 + 2c644: 8009883a mov r4,r16 + 2c648: 00226400 call 22640 <__umodsi3> + 2c64c: 1023883a mov r17,r2 + 2c650: b00b883a mov r5,r22 + 2c654: 8009883a mov r4,r16 + 2c658: 00225dc0 call 225dc <__udivsi3> + 2c65c: 8822943a slli r17,r17,16 + 2c660: 1545383a mul r2,r2,r21 + 2c664: a53fffcc andi r20,r20,65535 + 2c668: a446b03a or r3,r20,r17 + 2c66c: 18bfb02e bgeu r3,r2,2c530 <_gp+0xfffefff8> + 2c670: 003fab06 br 2c520 <_gp+0xfffeffe8> + 2c674: 2005883a mov r2,r4 + 2c678: 2807883a mov r3,r5 + 2c67c: dfc00b17 ldw ra,44(sp) + 2c680: df000a17 ldw fp,40(sp) + 2c684: ddc00917 ldw r23,36(sp) + 2c688: dd800817 ldw r22,32(sp) + 2c68c: dd400717 ldw r21,28(sp) + 2c690: dd000617 ldw r20,24(sp) + 2c694: dcc00517 ldw r19,20(sp) + 2c698: dc800417 ldw r18,16(sp) + 2c69c: dc400317 ldw r17,12(sp) + 2c6a0: dc000217 ldw r16,8(sp) + 2c6a4: dec00c04 addi sp,sp,48 + 2c6a8: f800283a ret + 2c6ac: 04c03fc4 movi r19,255 + 2c6b0: 99c9803a cmpltu r4,r19,r7 + 2c6b4: 200890fa slli r4,r4,3 + 2c6b8: 003fa806 br 2c55c <_gp+0xffff0024> + 2c6bc: 00803fc4 movi r2,255 + 2c6c0: 14c5803a cmpltu r2,r2,r19 + 2c6c4: 100490fa slli r2,r2,3 + 2c6c8: 003fc006 br 2c5cc <_gp+0xffff0094> + 2c6cc: 00804034 movhi r2,256 + 2c6d0: 10bfffc4 addi r2,r2,-1 + 2c6d4: 11808b36 bltu r2,r6,2c904 <__umoddi3+0x4f0> + 2c6d8: 01000404 movi r4,16 + 2c6dc: 003f6406 br 2c470 <_gp+0xfffeff38> + 2c6e0: 34c4d83a srl r2,r6,r19 + 2c6e4: 3d0e983a sll r7,r7,r20 + 2c6e8: 8cf8d83a srl fp,r17,r19 + 2c6ec: 8d10983a sll r8,r17,r20 + 2c6f0: 38aab03a or r21,r7,r2 + 2c6f4: a82cd43a srli r22,r21,16 + 2c6f8: 84e2d83a srl r17,r16,r19 + 2c6fc: e009883a mov r4,fp + 2c700: b00b883a mov r5,r22 + 2c704: 8a22b03a or r17,r17,r8 + 2c708: 3524983a sll r18,r6,r20 + 2c70c: 00226400 call 22640 <__umodsi3> + 2c710: e009883a mov r4,fp + 2c714: b00b883a mov r5,r22 + 2c718: 102f883a mov r23,r2 + 2c71c: 00225dc0 call 225dc <__udivsi3> + 2c720: 100d883a mov r6,r2 + 2c724: b808943a slli r4,r23,16 + 2c728: aa3fffcc andi r8,r21,65535 + 2c72c: 8804d43a srli r2,r17,16 + 2c730: 41af383a mul r23,r8,r6 + 2c734: 8520983a sll r16,r16,r20 + 2c738: 1104b03a or r2,r2,r4 + 2c73c: 15c0042e bgeu r2,r23,2c750 <__umoddi3+0x33c> + 2c740: 1545883a add r2,r2,r21 + 2c744: 30ffffc4 addi r3,r6,-1 + 2c748: 1540742e bgeu r2,r21,2c91c <__umoddi3+0x508> + 2c74c: 180d883a mov r6,r3 + 2c750: 15efc83a sub r23,r2,r23 + 2c754: b00b883a mov r5,r22 + 2c758: b809883a mov r4,r23 + 2c75c: d9800115 stw r6,4(sp) + 2c760: da000015 stw r8,0(sp) + 2c764: 00226400 call 22640 <__umodsi3> + 2c768: b00b883a mov r5,r22 + 2c76c: b809883a mov r4,r23 + 2c770: 1039883a mov fp,r2 + 2c774: 00225dc0 call 225dc <__udivsi3> + 2c778: da000017 ldw r8,0(sp) + 2c77c: e038943a slli fp,fp,16 + 2c780: 100b883a mov r5,r2 + 2c784: 4089383a mul r4,r8,r2 + 2c788: 8a3fffcc andi r8,r17,65535 + 2c78c: 4710b03a or r8,r8,fp + 2c790: d9800117 ldw r6,4(sp) + 2c794: 4100042e bgeu r8,r4,2c7a8 <__umoddi3+0x394> + 2c798: 4551883a add r8,r8,r21 2c79c: 10bfffc4 addi r2,r2,-1 - 2c7a0: 11c0ee36 bltu r2,r7,2cb5c <__udivdi3+0x50c> - 2c7a4: 00800404 movi r2,16 - 2c7a8: 3886d83a srl r3,r7,r2 - 2c7ac: 010000f4 movhi r4,3 - 2c7b0: 210abb04 addi r4,r4,10988 - 2c7b4: 20c7883a add r3,r4,r3 - 2c7b8: 18c00003 ldbu r3,0(r3) - 2c7bc: 05400804 movi r21,32 - 2c7c0: 1885883a add r2,r3,r2 - 2c7c4: a8abc83a sub r21,r21,r2 - 2c7c8: a800621e bne r21,zero,2c954 <__udivdi3+0x304> - 2c7cc: 3c00e936 bltu r7,r16,2cb74 <__udivdi3+0x524> - 2c7d0: 9985403a cmpgeu r2,r19,r6 - 2c7d4: 0007883a mov r3,zero - 2c7d8: 00004506 br 2c8f0 <__udivdi3+0x2a0> - 2c7dc: 3000041e bne r6,zero,2c7f0 <__udivdi3+0x1a0> - 2c7e0: 000b883a mov r5,zero - 2c7e4: 01000044 movi r4,1 - 2c7e8: 0022a200 call 22a20 <__udivsi3> - 2c7ec: 1023883a mov r17,r2 - 2c7f0: 00bfffd4 movui r2,65535 - 2c7f4: 14404e2e bgeu r2,r17,2c930 <__udivdi3+0x2e0> - 2c7f8: 00804034 movhi r2,256 - 2c7fc: 10bfffc4 addi r2,r2,-1 - 2c800: 1440d836 bltu r2,r17,2cb64 <__udivdi3+0x514> - 2c804: 00800404 movi r2,16 - 2c808: 8886d83a srl r3,r17,r2 - 2c80c: 010000f4 movhi r4,3 - 2c810: 210abb04 addi r4,r4,10988 - 2c814: 20c7883a add r3,r4,r3 - 2c818: 18c00003 ldbu r3,0(r3) - 2c81c: 1885883a add r2,r3,r2 - 2c820: 00c00804 movi r3,32 - 2c824: 1887c83a sub r3,r3,r2 - 2c828: 18008f1e bne r3,zero,2ca68 <__udivdi3+0x418> - 2c82c: 882ad43a srli r21,r17,16 - 2c830: 8461c83a sub r16,r16,r17 - 2c834: 8d3fffcc andi r20,r17,65535 - 2c838: 00c00044 movi r3,1 - 2c83c: 8009883a mov r4,r16 - 2c840: a80b883a mov r5,r21 - 2c844: d8c00015 stw r3,0(sp) - 2c848: 0022a840 call 22a84 <__umodsi3> - 2c84c: 8009883a mov r4,r16 - 2c850: a80b883a mov r5,r21 - 2c854: 1027883a mov r19,r2 - 2c858: 0022a200 call 22a20 <__udivsi3> - 2c85c: 9826943a slli r19,r19,16 - 2c860: 9008d43a srli r4,r18,16 - 2c864: 1521383a mul r16,r2,r20 - 2c868: 102d883a mov r22,r2 - 2c86c: 24c8b03a or r4,r4,r19 - 2c870: d8c00017 ldw r3,0(sp) - 2c874: 2400052e bgeu r4,r16,2c88c <__udivdi3+0x23c> - 2c878: 2449883a add r4,r4,r17 - 2c87c: b0bfffc4 addi r2,r22,-1 - 2c880: 24400136 bltu r4,r17,2c888 <__udivdi3+0x238> - 2c884: 2400ca36 bltu r4,r16,2cbb0 <__udivdi3+0x560> - 2c888: 102d883a mov r22,r2 - 2c88c: 2421c83a sub r16,r4,r16 - 2c890: a80b883a mov r5,r21 - 2c894: 8009883a mov r4,r16 - 2c898: d8c00015 stw r3,0(sp) - 2c89c: 0022a840 call 22a84 <__umodsi3> - 2c8a0: 1027883a mov r19,r2 - 2c8a4: a80b883a mov r5,r21 - 2c8a8: 8009883a mov r4,r16 - 2c8ac: 0022a200 call 22a20 <__udivsi3> - 2c8b0: 9826943a slli r19,r19,16 - 2c8b4: 1529383a mul r20,r2,r20 - 2c8b8: 94bfffcc andi r18,r18,65535 - 2c8bc: 94e4b03a or r18,r18,r19 - 2c8c0: d8c00017 ldw r3,0(sp) - 2c8c4: 9500052e bgeu r18,r20,2c8dc <__udivdi3+0x28c> - 2c8c8: 8ca5883a add r18,r17,r18 - 2c8cc: 113fffc4 addi r4,r2,-1 - 2c8d0: 94409736 bltu r18,r17,2cb30 <__udivdi3+0x4e0> - 2c8d4: 9500962e bgeu r18,r20,2cb30 <__udivdi3+0x4e0> - 2c8d8: 10bfff84 addi r2,r2,-2 - 2c8dc: b00c943a slli r6,r22,16 - 2c8e0: 3084b03a or r2,r6,r2 - 2c8e4: 00000206 br 2c8f0 <__udivdi3+0x2a0> - 2c8e8: 0007883a mov r3,zero - 2c8ec: 0005883a mov r2,zero - 2c8f0: dfc00a17 ldw ra,40(sp) - 2c8f4: df000917 ldw fp,36(sp) - 2c8f8: ddc00817 ldw r23,32(sp) - 2c8fc: dd800717 ldw r22,28(sp) - 2c900: dd400617 ldw r21,24(sp) - 2c904: dd000517 ldw r20,20(sp) - 2c908: dcc00417 ldw r19,16(sp) - 2c90c: dc800317 ldw r18,12(sp) - 2c910: dc400217 ldw r17,8(sp) - 2c914: dc000117 ldw r16,4(sp) - 2c918: dec00b04 addi sp,sp,44 - 2c91c: f800283a ret - 2c920: 00803fc4 movi r2,255 - 2c924: 11c5803a cmpltu r2,r2,r7 - 2c928: 100490fa slli r2,r2,3 - 2c92c: 003f9e06 br 2c7a8 <__udivdi3+0x158> - 2c930: 00803fc4 movi r2,255 - 2c934: 1445803a cmpltu r2,r2,r17 - 2c938: 100490fa slli r2,r2,3 - 2c93c: 003fb206 br 2c808 <__udivdi3+0x1b8> - 2c940: 00804034 movhi r2,256 - 2c944: 10bfffc4 addi r2,r2,-1 - 2c948: 11808836 bltu r2,r6,2cb6c <__udivdi3+0x51c> - 2c94c: 00800404 movi r2,16 - 2c950: 003f5606 br 2c6ac <__udivdi3+0x5c> - 2c954: 30aed83a srl r23,r6,r2 - 2c958: 3d4e983a sll r7,r7,r21 - 2c95c: 80acd83a srl r22,r16,r2 - 2c960: 9884d83a srl r2,r19,r2 - 2c964: 3deeb03a or r23,r7,r23 - 2c968: b824d43a srli r18,r23,16 - 2c96c: 8560983a sll r16,r16,r21 - 2c970: b009883a mov r4,r22 - 2c974: 900b883a mov r5,r18 - 2c978: 3568983a sll r20,r6,r21 - 2c97c: 1420b03a or r16,r2,r16 - 2c980: 0022a840 call 22a84 <__umodsi3> - 2c984: b009883a mov r4,r22 - 2c988: 900b883a mov r5,r18 - 2c98c: 1023883a mov r17,r2 - 2c990: 0022a200 call 22a20 <__udivsi3> - 2c994: 8808943a slli r4,r17,16 - 2c998: bf3fffcc andi fp,r23,65535 - 2c99c: 8006d43a srli r3,r16,16 - 2c9a0: e0a3383a mul r17,fp,r2 - 2c9a4: 100d883a mov r6,r2 - 2c9a8: 1906b03a or r3,r3,r4 - 2c9ac: 1c40042e bgeu r3,r17,2c9c0 <__udivdi3+0x370> - 2c9b0: 1dc7883a add r3,r3,r23 - 2c9b4: 10bfffc4 addi r2,r2,-1 - 2c9b8: 1dc0752e bgeu r3,r23,2cb90 <__udivdi3+0x540> - 2c9bc: 100d883a mov r6,r2 - 2c9c0: 1c63c83a sub r17,r3,r17 - 2c9c4: 900b883a mov r5,r18 - 2c9c8: 8809883a mov r4,r17 - 2c9cc: d9800015 stw r6,0(sp) - 2c9d0: 0022a840 call 22a84 <__umodsi3> - 2c9d4: 102d883a mov r22,r2 - 2c9d8: 8809883a mov r4,r17 - 2c9dc: 900b883a mov r5,r18 - 2c9e0: 0022a200 call 22a20 <__udivsi3> - 2c9e4: b02c943a slli r22,r22,16 - 2c9e8: e089383a mul r4,fp,r2 - 2c9ec: 843fffcc andi r16,r16,65535 - 2c9f0: 85a0b03a or r16,r16,r22 - 2c9f4: d9800017 ldw r6,0(sp) - 2c9f8: 8100042e bgeu r16,r4,2ca0c <__udivdi3+0x3bc> - 2c9fc: 85e1883a add r16,r16,r23 - 2ca00: 10ffffc4 addi r3,r2,-1 - 2ca04: 85c05e2e bgeu r16,r23,2cb80 <__udivdi3+0x530> - 2ca08: 1805883a mov r2,r3 - 2ca0c: 300c943a slli r6,r6,16 - 2ca10: a17fffcc andi r5,r20,65535 - 2ca14: a028d43a srli r20,r20,16 - 2ca18: 3084b03a or r2,r6,r2 - 2ca1c: 10ffffcc andi r3,r2,65535 - 2ca20: 100cd43a srli r6,r2,16 - 2ca24: 194f383a mul r7,r3,r5 - 2ca28: 1d07383a mul r3,r3,r20 - 2ca2c: 314b383a mul r5,r6,r5 - 2ca30: 3810d43a srli r8,r7,16 - 2ca34: 8121c83a sub r16,r16,r4 - 2ca38: 1947883a add r3,r3,r5 - 2ca3c: 40c7883a add r3,r8,r3 - 2ca40: 350d383a mul r6,r6,r20 - 2ca44: 1940022e bgeu r3,r5,2ca50 <__udivdi3+0x400> - 2ca48: 01000074 movhi r4,1 - 2ca4c: 310d883a add r6,r6,r4 - 2ca50: 1828d43a srli r20,r3,16 - 2ca54: a18d883a add r6,r20,r6 - 2ca58: 81803e36 bltu r16,r6,2cb54 <__udivdi3+0x504> - 2ca5c: 81803826 beq r16,r6,2cb40 <__udivdi3+0x4f0> - 2ca60: 0007883a mov r3,zero - 2ca64: 003fa206 br 2c8f0 <__udivdi3+0x2a0> - 2ca68: 88e2983a sll r17,r17,r3 - 2ca6c: 80a8d83a srl r20,r16,r2 - 2ca70: 80e0983a sll r16,r16,r3 - 2ca74: 882ad43a srli r21,r17,16 - 2ca78: 9884d83a srl r2,r19,r2 - 2ca7c: a009883a mov r4,r20 - 2ca80: a80b883a mov r5,r21 - 2ca84: 142eb03a or r23,r2,r16 - 2ca88: 98e4983a sll r18,r19,r3 - 2ca8c: 0022a840 call 22a84 <__umodsi3> - 2ca90: a009883a mov r4,r20 - 2ca94: a80b883a mov r5,r21 - 2ca98: 1021883a mov r16,r2 - 2ca9c: 0022a200 call 22a20 <__udivsi3> - 2caa0: 1039883a mov fp,r2 - 2caa4: 8d3fffcc andi r20,r17,65535 - 2caa8: 8020943a slli r16,r16,16 - 2caac: b804d43a srli r2,r23,16 - 2cab0: a72d383a mul r22,r20,fp - 2cab4: 1404b03a or r2,r2,r16 - 2cab8: 1580062e bgeu r2,r22,2cad4 <__udivdi3+0x484> - 2cabc: 1445883a add r2,r2,r17 - 2cac0: e0ffffc4 addi r3,fp,-1 - 2cac4: 14403836 bltu r2,r17,2cba8 <__udivdi3+0x558> - 2cac8: 1580372e bgeu r2,r22,2cba8 <__udivdi3+0x558> - 2cacc: e73fff84 addi fp,fp,-2 - 2cad0: 1445883a add r2,r2,r17 - 2cad4: 15adc83a sub r22,r2,r22 - 2cad8: a80b883a mov r5,r21 - 2cadc: b009883a mov r4,r22 - 2cae0: 0022a840 call 22a84 <__umodsi3> - 2cae4: 1027883a mov r19,r2 - 2cae8: b009883a mov r4,r22 - 2caec: a80b883a mov r5,r21 - 2caf0: 0022a200 call 22a20 <__udivsi3> - 2caf4: 9826943a slli r19,r19,16 - 2caf8: a0a1383a mul r16,r20,r2 - 2cafc: b93fffcc andi r4,r23,65535 - 2cb00: 24c8b03a or r4,r4,r19 - 2cb04: 2400062e bgeu r4,r16,2cb20 <__udivdi3+0x4d0> - 2cb08: 2449883a add r4,r4,r17 - 2cb0c: 10ffffc4 addi r3,r2,-1 - 2cb10: 24402336 bltu r4,r17,2cba0 <__udivdi3+0x550> - 2cb14: 2400222e bgeu r4,r16,2cba0 <__udivdi3+0x550> - 2cb18: 10bfff84 addi r2,r2,-2 - 2cb1c: 2449883a add r4,r4,r17 - 2cb20: e038943a slli fp,fp,16 - 2cb24: 2421c83a sub r16,r4,r16 - 2cb28: e086b03a or r3,fp,r2 - 2cb2c: 003f4306 br 2c83c <__udivdi3+0x1ec> - 2cb30: 2005883a mov r2,r4 - 2cb34: 003f6906 br 2c8dc <__udivdi3+0x28c> - 2cb38: 1805883a mov r2,r3 - 2cb3c: 003f0f06 br 2c77c <__udivdi3+0x12c> - 2cb40: 1806943a slli r3,r3,16 - 2cb44: 9d66983a sll r19,r19,r21 - 2cb48: 39ffffcc andi r7,r7,65535 - 2cb4c: 19c7883a add r3,r3,r7 - 2cb50: 98ffc32e bgeu r19,r3,2ca60 <__udivdi3+0x410> - 2cb54: 10bfffc4 addi r2,r2,-1 - 2cb58: 003fc106 br 2ca60 <__udivdi3+0x410> - 2cb5c: 00800604 movi r2,24 - 2cb60: 003f1106 br 2c7a8 <__udivdi3+0x158> - 2cb64: 00800604 movi r2,24 - 2cb68: 003f2706 br 2c808 <__udivdi3+0x1b8> - 2cb6c: 00800604 movi r2,24 - 2cb70: 003ece06 br 2c6ac <__udivdi3+0x5c> - 2cb74: 0007883a mov r3,zero - 2cb78: 00800044 movi r2,1 - 2cb7c: 003f5c06 br 2c8f0 <__udivdi3+0x2a0> - 2cb80: 813fa12e bgeu r16,r4,2ca08 <__udivdi3+0x3b8> - 2cb84: 10bfff84 addi r2,r2,-2 - 2cb88: 85e1883a add r16,r16,r23 - 2cb8c: 003f9f06 br 2ca0c <__udivdi3+0x3bc> - 2cb90: 1c7f8a2e bgeu r3,r17,2c9bc <__udivdi3+0x36c> - 2cb94: 31bfff84 addi r6,r6,-2 - 2cb98: 1dc7883a add r3,r3,r23 - 2cb9c: 003f8806 br 2c9c0 <__udivdi3+0x370> - 2cba0: 1805883a mov r2,r3 - 2cba4: 003fde06 br 2cb20 <__udivdi3+0x4d0> - 2cba8: 1839883a mov fp,r3 - 2cbac: 003fc906 br 2cad4 <__udivdi3+0x484> - 2cbb0: b5bfff84 addi r22,r22,-2 - 2cbb4: 2449883a add r4,r4,r17 - 2cbb8: 003f3406 br 2c88c <__udivdi3+0x23c> - 2cbbc: b5bfff84 addi r22,r22,-2 - 2cbc0: 1445883a add r2,r2,r17 - 2cbc4: 003edb06 br 2c734 <__udivdi3+0xe4> + 2c7a0: 45405a2e bgeu r8,r21,2c90c <__umoddi3+0x4f8> + 2c7a4: 100b883a mov r5,r2 + 2c7a8: 300c943a slli r6,r6,16 + 2c7ac: 91ffffcc andi r7,r18,65535 + 2c7b0: 9004d43a srli r2,r18,16 + 2c7b4: 314cb03a or r6,r6,r5 + 2c7b8: 317fffcc andi r5,r6,65535 + 2c7bc: 300cd43a srli r6,r6,16 + 2c7c0: 29d3383a mul r9,r5,r7 + 2c7c4: 288b383a mul r5,r5,r2 + 2c7c8: 31cf383a mul r7,r6,r7 + 2c7cc: 4806d43a srli r3,r9,16 + 2c7d0: 4111c83a sub r8,r8,r4 + 2c7d4: 29cb883a add r5,r5,r7 + 2c7d8: 194b883a add r5,r3,r5 + 2c7dc: 3085383a mul r2,r6,r2 + 2c7e0: 29c0022e bgeu r5,r7,2c7ec <__umoddi3+0x3d8> + 2c7e4: 00c00074 movhi r3,1 + 2c7e8: 10c5883a add r2,r2,r3 + 2c7ec: 2808d43a srli r4,r5,16 + 2c7f0: 280a943a slli r5,r5,16 + 2c7f4: 4a7fffcc andi r9,r9,65535 + 2c7f8: 2085883a add r2,r4,r2 + 2c7fc: 2a4b883a add r5,r5,r9 + 2c800: 40803636 bltu r8,r2,2c8dc <__umoddi3+0x4c8> + 2c804: 40804d26 beq r8,r2,2c93c <__umoddi3+0x528> + 2c808: 4089c83a sub r4,r8,r2 + 2c80c: 280f883a mov r7,r5 + 2c810: 81cfc83a sub r7,r16,r7 + 2c814: 81c7803a cmpltu r3,r16,r7 + 2c818: 20c7c83a sub r3,r4,r3 + 2c81c: 1cc4983a sll r2,r3,r19 + 2c820: 3d0ed83a srl r7,r7,r20 + 2c824: 1d06d83a srl r3,r3,r20 + 2c828: 11c4b03a or r2,r2,r7 + 2c82c: 003f9306 br 2c67c <_gp+0xffff0144> + 2c830: 9ca6983a sll r19,r19,r18 + 2c834: 88e8d83a srl r20,r17,r3 + 2c838: 80c4d83a srl r2,r16,r3 + 2c83c: 982cd43a srli r22,r19,16 + 2c840: 8ca2983a sll r17,r17,r18 + 2c844: a009883a mov r4,r20 + 2c848: b00b883a mov r5,r22 + 2c84c: 1478b03a or fp,r2,r17 + 2c850: 00226400 call 22640 <__umodsi3> + 2c854: a009883a mov r4,r20 + 2c858: b00b883a mov r5,r22 + 2c85c: 1023883a mov r17,r2 + 2c860: 00225dc0 call 225dc <__udivsi3> + 2c864: 9d7fffcc andi r21,r19,65535 + 2c868: 880a943a slli r5,r17,16 + 2c86c: e008d43a srli r4,fp,16 + 2c870: a885383a mul r2,r21,r2 + 2c874: 84a8983a sll r20,r16,r18 + 2c878: 2148b03a or r4,r4,r5 + 2c87c: 2080042e bgeu r4,r2,2c890 <__umoddi3+0x47c> + 2c880: 24c9883a add r4,r4,r19 + 2c884: 24c00236 bltu r4,r19,2c890 <__umoddi3+0x47c> + 2c888: 2080012e bgeu r4,r2,2c890 <__umoddi3+0x47c> + 2c88c: 24c9883a add r4,r4,r19 + 2c890: 20a3c83a sub r17,r4,r2 + 2c894: b00b883a mov r5,r22 + 2c898: 8809883a mov r4,r17 + 2c89c: 00226400 call 22640 <__umodsi3> + 2c8a0: 102f883a mov r23,r2 + 2c8a4: 8809883a mov r4,r17 + 2c8a8: b00b883a mov r5,r22 + 2c8ac: 00225dc0 call 225dc <__udivsi3> + 2c8b0: b82e943a slli r23,r23,16 + 2c8b4: a885383a mul r2,r21,r2 + 2c8b8: e13fffcc andi r4,fp,65535 + 2c8bc: 25c8b03a or r4,r4,r23 + 2c8c0: 2080042e bgeu r4,r2,2c8d4 <__umoddi3+0x4c0> + 2c8c4: 24c9883a add r4,r4,r19 + 2c8c8: 24c00236 bltu r4,r19,2c8d4 <__umoddi3+0x4c0> + 2c8cc: 2080012e bgeu r4,r2,2c8d4 <__umoddi3+0x4c0> + 2c8d0: 24c9883a add r4,r4,r19 + 2c8d4: 20a3c83a sub r17,r4,r2 + 2c8d8: 003f4806 br 2c5fc <_gp+0xffff00c4> + 2c8dc: 2c8fc83a sub r7,r5,r18 + 2c8e0: 1545c83a sub r2,r2,r21 + 2c8e4: 29cb803a cmpltu r5,r5,r7 + 2c8e8: 1145c83a sub r2,r2,r5 + 2c8ec: 4089c83a sub r4,r8,r2 + 2c8f0: 003fc706 br 2c810 <_gp+0xffff02d8> + 2c8f4: 01000604 movi r4,24 + 2c8f8: 003f1806 br 2c55c <_gp+0xffff0024> + 2c8fc: 00800604 movi r2,24 + 2c900: 003f3206 br 2c5cc <_gp+0xffff0094> + 2c904: 01000604 movi r4,24 + 2c908: 003ed906 br 2c470 <_gp+0xfffeff38> + 2c90c: 413fa52e bgeu r8,r4,2c7a4 <_gp+0xffff026c> + 2c910: 297fff84 addi r5,r5,-2 + 2c914: 4551883a add r8,r8,r21 + 2c918: 003fa306 br 2c7a8 <_gp+0xffff0270> + 2c91c: 15ff8b2e bgeu r2,r23,2c74c <_gp+0xffff0214> + 2c920: 31bfff84 addi r6,r6,-2 + 2c924: 1545883a add r2,r2,r21 + 2c928: 003f8906 br 2c750 <_gp+0xffff0218> + 2c92c: 24c9883a add r4,r4,r19 + 2c930: 003eee06 br 2c4ec <_gp+0xfffeffb4> + 2c934: 8005883a mov r2,r16 + 2c938: 003f1706 br 2c598 <_gp+0xffff0060> + 2c93c: 817fe736 bltu r16,r5,2c8dc <_gp+0xffff03a4> + 2c940: 280f883a mov r7,r5 + 2c944: 0009883a mov r4,zero + 2c948: 003fb106 br 2c810 <_gp+0xffff02d8> -0002cbc8 <__umoddi3>: - 2cbc8: defff404 addi sp,sp,-48 - 2cbcc: df000a15 stw fp,40(sp) - 2cbd0: dc400315 stw r17,12(sp) - 2cbd4: dc000215 stw r16,8(sp) - 2cbd8: dfc00b15 stw ra,44(sp) - 2cbdc: ddc00915 stw r23,36(sp) - 2cbe0: dd800815 stw r22,32(sp) - 2cbe4: dd400715 stw r21,28(sp) - 2cbe8: dd000615 stw r20,24(sp) - 2cbec: dcc00515 stw r19,20(sp) - 2cbf0: dc800415 stw r18,16(sp) - 2cbf4: 2021883a mov r16,r4 - 2cbf8: 2823883a mov r17,r5 - 2cbfc: 2839883a mov fp,r5 - 2cc00: 38003c1e bne r7,zero,2ccf4 <__umoddi3+0x12c> - 2cc04: 3027883a mov r19,r6 - 2cc08: 2029883a mov r20,r4 - 2cc0c: 2980512e bgeu r5,r6,2cd54 <__umoddi3+0x18c> - 2cc10: 00bfffd4 movui r2,65535 - 2cc14: 11809a36 bltu r2,r6,2ce80 <__umoddi3+0x2b8> - 2cc18: 01003fc4 movi r4,255 - 2cc1c: 2189803a cmpltu r4,r4,r6 - 2cc20: 200890fa slli r4,r4,3 - 2cc24: 3104d83a srl r2,r6,r4 - 2cc28: 00c000f4 movhi r3,3 - 2cc2c: 18cabb04 addi r3,r3,10988 - 2cc30: 1885883a add r2,r3,r2 - 2cc34: 10c00003 ldbu r3,0(r2) - 2cc38: 00800804 movi r2,32 - 2cc3c: 1909883a add r4,r3,r4 - 2cc40: 1125c83a sub r18,r2,r4 - 2cc44: 90000526 beq r18,zero,2cc5c <__umoddi3+0x94> - 2cc48: 8ca2983a sll r17,r17,r18 - 2cc4c: 8108d83a srl r4,r16,r4 - 2cc50: 34a6983a sll r19,r6,r18 - 2cc54: 84a8983a sll r20,r16,r18 - 2cc58: 2478b03a or fp,r4,r17 - 2cc5c: 982ed43a srli r23,r19,16 - 2cc60: e009883a mov r4,fp - 2cc64: 9dbfffcc andi r22,r19,65535 - 2cc68: b80b883a mov r5,r23 - 2cc6c: 0022a840 call 22a84 <__umodsi3> - 2cc70: e009883a mov r4,fp - 2cc74: b80b883a mov r5,r23 - 2cc78: 102b883a mov r21,r2 - 2cc7c: 0022a200 call 22a20 <__udivsi3> - 2cc80: a806943a slli r3,r21,16 - 2cc84: a008d43a srli r4,r20,16 - 2cc88: b085383a mul r2,r22,r2 - 2cc8c: 20c8b03a or r4,r4,r3 - 2cc90: 2080032e bgeu r4,r2,2cca0 <__umoddi3+0xd8> - 2cc94: 24c9883a add r4,r4,r19 - 2cc98: 24c00136 bltu r4,r19,2cca0 <__umoddi3+0xd8> - 2cc9c: 20811036 bltu r4,r2,2d0e0 <__umoddi3+0x518> - 2cca0: 20abc83a sub r21,r4,r2 - 2cca4: b80b883a mov r5,r23 - 2cca8: a809883a mov r4,r21 - 2ccac: 0022a840 call 22a84 <__umodsi3> - 2ccb0: 1023883a mov r17,r2 - 2ccb4: b80b883a mov r5,r23 - 2ccb8: a809883a mov r4,r21 - 2ccbc: 0022a200 call 22a20 <__udivsi3> - 2ccc0: 8822943a slli r17,r17,16 - 2ccc4: b085383a mul r2,r22,r2 - 2ccc8: a0ffffcc andi r3,r20,65535 - 2cccc: 1c46b03a or r3,r3,r17 - 2ccd0: 1880042e bgeu r3,r2,2cce4 <__umoddi3+0x11c> - 2ccd4: 1cc7883a add r3,r3,r19 - 2ccd8: 1cc00236 bltu r3,r19,2cce4 <__umoddi3+0x11c> - 2ccdc: 1880012e bgeu r3,r2,2cce4 <__umoddi3+0x11c> - 2cce0: 1cc7883a add r3,r3,r19 - 2cce4: 1885c83a sub r2,r3,r2 - 2cce8: 1484d83a srl r2,r2,r18 - 2ccec: 0007883a mov r3,zero - 2ccf0: 00004f06 br 2ce30 <__umoddi3+0x268> - 2ccf4: 29c04c36 bltu r5,r7,2ce28 <__umoddi3+0x260> - 2ccf8: 00bfffd4 movui r2,65535 - 2ccfc: 11c0582e bgeu r2,r7,2ce60 <__umoddi3+0x298> - 2cd00: 00804034 movhi r2,256 - 2cd04: 10bfffc4 addi r2,r2,-1 - 2cd08: 11c0e736 bltu r2,r7,2d0a8 <__umoddi3+0x4e0> - 2cd0c: 01000404 movi r4,16 - 2cd10: 3904d83a srl r2,r7,r4 - 2cd14: 00c000f4 movhi r3,3 - 2cd18: 18cabb04 addi r3,r3,10988 - 2cd1c: 1885883a add r2,r3,r2 - 2cd20: 14c00003 ldbu r19,0(r2) - 2cd24: 00c00804 movi r3,32 - 2cd28: 9927883a add r19,r19,r4 - 2cd2c: 1ce9c83a sub r20,r3,r19 - 2cd30: a000581e bne r20,zero,2ce94 <__umoddi3+0x2cc> - 2cd34: 3c400136 bltu r7,r17,2cd3c <__umoddi3+0x174> - 2cd38: 8180eb36 bltu r16,r6,2d0e8 <__umoddi3+0x520> - 2cd3c: 8185c83a sub r2,r16,r6 - 2cd40: 89e3c83a sub r17,r17,r7 - 2cd44: 8089803a cmpltu r4,r16,r2 - 2cd48: 8939c83a sub fp,r17,r4 - 2cd4c: e007883a mov r3,fp - 2cd50: 00003706 br 2ce30 <__umoddi3+0x268> - 2cd54: 3000041e bne r6,zero,2cd68 <__umoddi3+0x1a0> - 2cd58: 000b883a mov r5,zero - 2cd5c: 01000044 movi r4,1 - 2cd60: 0022a200 call 22a20 <__udivsi3> - 2cd64: 1027883a mov r19,r2 - 2cd68: 00bfffd4 movui r2,65535 - 2cd6c: 14c0402e bgeu r2,r19,2ce70 <__umoddi3+0x2a8> - 2cd70: 00804034 movhi r2,256 - 2cd74: 10bfffc4 addi r2,r2,-1 - 2cd78: 14c0cd36 bltu r2,r19,2d0b0 <__umoddi3+0x4e8> - 2cd7c: 00800404 movi r2,16 - 2cd80: 9886d83a srl r3,r19,r2 - 2cd84: 010000f4 movhi r4,3 - 2cd88: 210abb04 addi r4,r4,10988 - 2cd8c: 20c7883a add r3,r4,r3 - 2cd90: 18c00003 ldbu r3,0(r3) - 2cd94: 1887883a add r3,r3,r2 - 2cd98: 00800804 movi r2,32 - 2cd9c: 10e5c83a sub r18,r2,r3 - 2cda0: 9000901e bne r18,zero,2cfe4 <__umoddi3+0x41c> - 2cda4: 982cd43a srli r22,r19,16 - 2cda8: 8ce3c83a sub r17,r17,r19 - 2cdac: 9d7fffcc andi r21,r19,65535 - 2cdb0: b00b883a mov r5,r22 - 2cdb4: 8809883a mov r4,r17 - 2cdb8: 0022a840 call 22a84 <__umodsi3> - 2cdbc: 8809883a mov r4,r17 - 2cdc0: b00b883a mov r5,r22 - 2cdc4: 1021883a mov r16,r2 - 2cdc8: 0022a200 call 22a20 <__udivsi3> - 2cdcc: 8006943a slli r3,r16,16 - 2cdd0: a008d43a srli r4,r20,16 - 2cdd4: 1545383a mul r2,r2,r21 - 2cdd8: 20c8b03a or r4,r4,r3 - 2cddc: 2080042e bgeu r4,r2,2cdf0 <__umoddi3+0x228> - 2cde0: 24c9883a add r4,r4,r19 - 2cde4: 24c00236 bltu r4,r19,2cdf0 <__umoddi3+0x228> - 2cde8: 2080012e bgeu r4,r2,2cdf0 <__umoddi3+0x228> - 2cdec: 24c9883a add r4,r4,r19 - 2cdf0: 20a1c83a sub r16,r4,r2 - 2cdf4: b00b883a mov r5,r22 - 2cdf8: 8009883a mov r4,r16 - 2cdfc: 0022a840 call 22a84 <__umodsi3> - 2ce00: 1023883a mov r17,r2 - 2ce04: b00b883a mov r5,r22 - 2ce08: 8009883a mov r4,r16 - 2ce0c: 0022a200 call 22a20 <__udivsi3> - 2ce10: 8822943a slli r17,r17,16 - 2ce14: 1545383a mul r2,r2,r21 - 2ce18: a53fffcc andi r20,r20,65535 - 2ce1c: a446b03a or r3,r20,r17 - 2ce20: 18bfb02e bgeu r3,r2,2cce4 <__umoddi3+0x11c> - 2ce24: 003fab06 br 2ccd4 <__umoddi3+0x10c> - 2ce28: 2005883a mov r2,r4 - 2ce2c: 2807883a mov r3,r5 - 2ce30: dfc00b17 ldw ra,44(sp) - 2ce34: df000a17 ldw fp,40(sp) - 2ce38: ddc00917 ldw r23,36(sp) - 2ce3c: dd800817 ldw r22,32(sp) - 2ce40: dd400717 ldw r21,28(sp) - 2ce44: dd000617 ldw r20,24(sp) - 2ce48: dcc00517 ldw r19,20(sp) - 2ce4c: dc800417 ldw r18,16(sp) - 2ce50: dc400317 ldw r17,12(sp) - 2ce54: dc000217 ldw r16,8(sp) - 2ce58: dec00c04 addi sp,sp,48 - 2ce5c: f800283a ret - 2ce60: 04c03fc4 movi r19,255 - 2ce64: 99c9803a cmpltu r4,r19,r7 - 2ce68: 200890fa slli r4,r4,3 - 2ce6c: 003fa806 br 2cd10 <__umoddi3+0x148> - 2ce70: 00803fc4 movi r2,255 - 2ce74: 14c5803a cmpltu r2,r2,r19 - 2ce78: 100490fa slli r2,r2,3 - 2ce7c: 003fc006 br 2cd80 <__umoddi3+0x1b8> - 2ce80: 00804034 movhi r2,256 - 2ce84: 10bfffc4 addi r2,r2,-1 - 2ce88: 11808b36 bltu r2,r6,2d0b8 <__umoddi3+0x4f0> - 2ce8c: 01000404 movi r4,16 - 2ce90: 003f6406 br 2cc24 <__umoddi3+0x5c> - 2ce94: 34c4d83a srl r2,r6,r19 - 2ce98: 3d0e983a sll r7,r7,r20 - 2ce9c: 8cf8d83a srl fp,r17,r19 - 2cea0: 8d10983a sll r8,r17,r20 - 2cea4: 38aab03a or r21,r7,r2 - 2cea8: a82cd43a srli r22,r21,16 - 2ceac: 84e2d83a srl r17,r16,r19 - 2ceb0: e009883a mov r4,fp - 2ceb4: b00b883a mov r5,r22 - 2ceb8: 8a22b03a or r17,r17,r8 - 2cebc: 3524983a sll r18,r6,r20 - 2cec0: 0022a840 call 22a84 <__umodsi3> - 2cec4: e009883a mov r4,fp - 2cec8: b00b883a mov r5,r22 - 2cecc: 102f883a mov r23,r2 - 2ced0: 0022a200 call 22a20 <__udivsi3> - 2ced4: 100d883a mov r6,r2 - 2ced8: b808943a slli r4,r23,16 - 2cedc: aa3fffcc andi r8,r21,65535 - 2cee0: 8804d43a srli r2,r17,16 - 2cee4: 41af383a mul r23,r8,r6 - 2cee8: 8520983a sll r16,r16,r20 - 2ceec: 1104b03a or r2,r2,r4 - 2cef0: 15c0042e bgeu r2,r23,2cf04 <__umoddi3+0x33c> - 2cef4: 1545883a add r2,r2,r21 - 2cef8: 30ffffc4 addi r3,r6,-1 - 2cefc: 1540742e bgeu r2,r21,2d0d0 <__umoddi3+0x508> - 2cf00: 180d883a mov r6,r3 - 2cf04: 15efc83a sub r23,r2,r23 - 2cf08: b00b883a mov r5,r22 - 2cf0c: b809883a mov r4,r23 - 2cf10: d9800115 stw r6,4(sp) - 2cf14: da000015 stw r8,0(sp) - 2cf18: 0022a840 call 22a84 <__umodsi3> - 2cf1c: b00b883a mov r5,r22 - 2cf20: b809883a mov r4,r23 - 2cf24: 1039883a mov fp,r2 - 2cf28: 0022a200 call 22a20 <__udivsi3> - 2cf2c: da000017 ldw r8,0(sp) - 2cf30: e038943a slli fp,fp,16 - 2cf34: 100b883a mov r5,r2 - 2cf38: 4089383a mul r4,r8,r2 - 2cf3c: 8a3fffcc andi r8,r17,65535 - 2cf40: 4710b03a or r8,r8,fp - 2cf44: d9800117 ldw r6,4(sp) - 2cf48: 4100042e bgeu r8,r4,2cf5c <__umoddi3+0x394> - 2cf4c: 4551883a add r8,r8,r21 - 2cf50: 10bfffc4 addi r2,r2,-1 - 2cf54: 45405a2e bgeu r8,r21,2d0c0 <__umoddi3+0x4f8> - 2cf58: 100b883a mov r5,r2 - 2cf5c: 300c943a slli r6,r6,16 - 2cf60: 91ffffcc andi r7,r18,65535 - 2cf64: 9004d43a srli r2,r18,16 - 2cf68: 314cb03a or r6,r6,r5 - 2cf6c: 317fffcc andi r5,r6,65535 - 2cf70: 300cd43a srli r6,r6,16 - 2cf74: 29d3383a mul r9,r5,r7 - 2cf78: 288b383a mul r5,r5,r2 - 2cf7c: 31cf383a mul r7,r6,r7 - 2cf80: 4806d43a srli r3,r9,16 - 2cf84: 4111c83a sub r8,r8,r4 - 2cf88: 29cb883a add r5,r5,r7 - 2cf8c: 194b883a add r5,r3,r5 - 2cf90: 3085383a mul r2,r6,r2 - 2cf94: 29c0022e bgeu r5,r7,2cfa0 <__umoddi3+0x3d8> - 2cf98: 00c00074 movhi r3,1 - 2cf9c: 10c5883a add r2,r2,r3 - 2cfa0: 2808d43a srli r4,r5,16 - 2cfa4: 280a943a slli r5,r5,16 - 2cfa8: 4a7fffcc andi r9,r9,65535 - 2cfac: 2085883a add r2,r4,r2 - 2cfb0: 2a4b883a add r5,r5,r9 - 2cfb4: 40803636 bltu r8,r2,2d090 <__umoddi3+0x4c8> - 2cfb8: 40804d26 beq r8,r2,2d0f0 <__umoddi3+0x528> - 2cfbc: 4089c83a sub r4,r8,r2 - 2cfc0: 280f883a mov r7,r5 - 2cfc4: 81cfc83a sub r7,r16,r7 - 2cfc8: 81c7803a cmpltu r3,r16,r7 - 2cfcc: 20c7c83a sub r3,r4,r3 - 2cfd0: 1cc4983a sll r2,r3,r19 - 2cfd4: 3d0ed83a srl r7,r7,r20 - 2cfd8: 1d06d83a srl r3,r3,r20 - 2cfdc: 11c4b03a or r2,r2,r7 - 2cfe0: 003f9306 br 2ce30 <__umoddi3+0x268> - 2cfe4: 9ca6983a sll r19,r19,r18 - 2cfe8: 88e8d83a srl r20,r17,r3 - 2cfec: 80c4d83a srl r2,r16,r3 - 2cff0: 982cd43a srli r22,r19,16 - 2cff4: 8ca2983a sll r17,r17,r18 - 2cff8: a009883a mov r4,r20 - 2cffc: b00b883a mov r5,r22 - 2d000: 1478b03a or fp,r2,r17 - 2d004: 0022a840 call 22a84 <__umodsi3> - 2d008: a009883a mov r4,r20 - 2d00c: b00b883a mov r5,r22 - 2d010: 1023883a mov r17,r2 - 2d014: 0022a200 call 22a20 <__udivsi3> - 2d018: 9d7fffcc andi r21,r19,65535 - 2d01c: 880a943a slli r5,r17,16 - 2d020: e008d43a srli r4,fp,16 - 2d024: a885383a mul r2,r21,r2 - 2d028: 84a8983a sll r20,r16,r18 - 2d02c: 2148b03a or r4,r4,r5 - 2d030: 2080042e bgeu r4,r2,2d044 <__umoddi3+0x47c> - 2d034: 24c9883a add r4,r4,r19 - 2d038: 24c00236 bltu r4,r19,2d044 <__umoddi3+0x47c> - 2d03c: 2080012e bgeu r4,r2,2d044 <__umoddi3+0x47c> - 2d040: 24c9883a add r4,r4,r19 - 2d044: 20a3c83a sub r17,r4,r2 - 2d048: b00b883a mov r5,r22 - 2d04c: 8809883a mov r4,r17 - 2d050: 0022a840 call 22a84 <__umodsi3> - 2d054: 102f883a mov r23,r2 - 2d058: 8809883a mov r4,r17 - 2d05c: b00b883a mov r5,r22 - 2d060: 0022a200 call 22a20 <__udivsi3> - 2d064: b82e943a slli r23,r23,16 - 2d068: a885383a mul r2,r21,r2 - 2d06c: e13fffcc andi r4,fp,65535 - 2d070: 25c8b03a or r4,r4,r23 - 2d074: 2080042e bgeu r4,r2,2d088 <__umoddi3+0x4c0> - 2d078: 24c9883a add r4,r4,r19 - 2d07c: 24c00236 bltu r4,r19,2d088 <__umoddi3+0x4c0> - 2d080: 2080012e bgeu r4,r2,2d088 <__umoddi3+0x4c0> - 2d084: 24c9883a add r4,r4,r19 - 2d088: 20a3c83a sub r17,r4,r2 - 2d08c: 003f4806 br 2cdb0 <__umoddi3+0x1e8> - 2d090: 2c8fc83a sub r7,r5,r18 - 2d094: 1545c83a sub r2,r2,r21 - 2d098: 29cb803a cmpltu r5,r5,r7 - 2d09c: 1145c83a sub r2,r2,r5 - 2d0a0: 4089c83a sub r4,r8,r2 - 2d0a4: 003fc706 br 2cfc4 <__umoddi3+0x3fc> - 2d0a8: 01000604 movi r4,24 - 2d0ac: 003f1806 br 2cd10 <__umoddi3+0x148> - 2d0b0: 00800604 movi r2,24 - 2d0b4: 003f3206 br 2cd80 <__umoddi3+0x1b8> - 2d0b8: 01000604 movi r4,24 - 2d0bc: 003ed906 br 2cc24 <__umoddi3+0x5c> - 2d0c0: 413fa52e bgeu r8,r4,2cf58 <__umoddi3+0x390> - 2d0c4: 297fff84 addi r5,r5,-2 - 2d0c8: 4551883a add r8,r8,r21 - 2d0cc: 003fa306 br 2cf5c <__umoddi3+0x394> - 2d0d0: 15ff8b2e bgeu r2,r23,2cf00 <__umoddi3+0x338> - 2d0d4: 31bfff84 addi r6,r6,-2 - 2d0d8: 1545883a add r2,r2,r21 - 2d0dc: 003f8906 br 2cf04 <__umoddi3+0x33c> - 2d0e0: 24c9883a add r4,r4,r19 - 2d0e4: 003eee06 br 2cca0 <__umoddi3+0xd8> - 2d0e8: 8005883a mov r2,r16 - 2d0ec: 003f1706 br 2cd4c <__umoddi3+0x184> - 2d0f0: 817fe736 bltu r16,r5,2d090 <__umoddi3+0x4c8> - 2d0f4: 280f883a mov r7,r5 - 2d0f8: 0009883a mov r4,zero - 2d0fc: 003fb106 br 2cfc4 <__umoddi3+0x3fc> +0002c94c <__adddf3>: + 2c94c: 02c00434 movhi r11,16 + 2c950: 5affffc4 addi r11,r11,-1 + 2c954: 2806d7fa srli r3,r5,31 + 2c958: 2ad4703a and r10,r5,r11 + 2c95c: 3ad2703a and r9,r7,r11 + 2c960: 3804d53a srli r2,r7,20 + 2c964: 3018d77a srli r12,r6,29 + 2c968: 280ad53a srli r5,r5,20 + 2c96c: 501490fa slli r10,r10,3 + 2c970: 2010d77a srli r8,r4,29 + 2c974: 481290fa slli r9,r9,3 + 2c978: 380ed7fa srli r7,r7,31 + 2c97c: defffb04 addi sp,sp,-20 + 2c980: dc800215 stw r18,8(sp) + 2c984: dc400115 stw r17,4(sp) + 2c988: dc000015 stw r16,0(sp) + 2c98c: dfc00415 stw ra,16(sp) + 2c990: dcc00315 stw r19,12(sp) + 2c994: 1c803fcc andi r18,r3,255 + 2c998: 2c01ffcc andi r16,r5,2047 + 2c99c: 5210b03a or r8,r10,r8 + 2c9a0: 202290fa slli r17,r4,3 + 2c9a4: 1081ffcc andi r2,r2,2047 + 2c9a8: 4b12b03a or r9,r9,r12 + 2c9ac: 300c90fa slli r6,r6,3 + 2c9b0: 91c07526 beq r18,r7,2cb88 <__adddf3+0x23c> + 2c9b4: 8087c83a sub r3,r16,r2 + 2c9b8: 00c0ab0e bge zero,r3,2cc68 <__adddf3+0x31c> + 2c9bc: 10002a1e bne r2,zero,2ca68 <__adddf3+0x11c> + 2c9c0: 4984b03a or r2,r9,r6 + 2c9c4: 1000961e bne r2,zero,2cc20 <__adddf3+0x2d4> + 2c9c8: 888001cc andi r2,r17,7 + 2c9cc: 10000726 beq r2,zero,2c9ec <__adddf3+0xa0> + 2c9d0: 888003cc andi r2,r17,15 + 2c9d4: 00c00104 movi r3,4 + 2c9d8: 10c00426 beq r2,r3,2c9ec <__adddf3+0xa0> + 2c9dc: 88c7883a add r3,r17,r3 + 2c9e0: 1c63803a cmpltu r17,r3,r17 + 2c9e4: 4451883a add r8,r8,r17 + 2c9e8: 1823883a mov r17,r3 + 2c9ec: 4080202c andhi r2,r8,128 + 2c9f0: 10005926 beq r2,zero,2cb58 <__adddf3+0x20c> + 2c9f4: 84000044 addi r16,r16,1 + 2c9f8: 0081ffc4 movi r2,2047 + 2c9fc: 8080ba26 beq r16,r2,2cce8 <__adddf3+0x39c> + 2ca00: 00bfe034 movhi r2,65408 + 2ca04: 10bfffc4 addi r2,r2,-1 + 2ca08: 4090703a and r8,r8,r2 + 2ca0c: 4004977a slli r2,r8,29 + 2ca10: 4010927a slli r8,r8,9 + 2ca14: 8822d0fa srli r17,r17,3 + 2ca18: 8401ffcc andi r16,r16,2047 + 2ca1c: 4010d33a srli r8,r8,12 + 2ca20: 9007883a mov r3,r18 + 2ca24: 1444b03a or r2,r2,r17 + 2ca28: 8401ffcc andi r16,r16,2047 + 2ca2c: 8020953a slli r16,r16,20 + 2ca30: 18c03fcc andi r3,r3,255 + 2ca34: 01000434 movhi r4,16 + 2ca38: 213fffc4 addi r4,r4,-1 + 2ca3c: 180697fa slli r3,r3,31 + 2ca40: 4110703a and r8,r8,r4 + 2ca44: 4410b03a or r8,r8,r16 + 2ca48: 40c6b03a or r3,r8,r3 + 2ca4c: dfc00417 ldw ra,16(sp) + 2ca50: dcc00317 ldw r19,12(sp) + 2ca54: dc800217 ldw r18,8(sp) + 2ca58: dc400117 ldw r17,4(sp) + 2ca5c: dc000017 ldw r16,0(sp) + 2ca60: dec00504 addi sp,sp,20 + 2ca64: f800283a ret + 2ca68: 0081ffc4 movi r2,2047 + 2ca6c: 80bfd626 beq r16,r2,2c9c8 <_gp+0xffff0490> + 2ca70: 4a402034 orhi r9,r9,128 + 2ca74: 00800e04 movi r2,56 + 2ca78: 10c09f16 blt r2,r3,2ccf8 <__adddf3+0x3ac> + 2ca7c: 008007c4 movi r2,31 + 2ca80: 10c0c216 blt r2,r3,2cd8c <__adddf3+0x440> + 2ca84: 00800804 movi r2,32 + 2ca88: 10c5c83a sub r2,r2,r3 + 2ca8c: 488a983a sll r5,r9,r2 + 2ca90: 30c8d83a srl r4,r6,r3 + 2ca94: 3084983a sll r2,r6,r2 + 2ca98: 48c6d83a srl r3,r9,r3 + 2ca9c: 290cb03a or r6,r5,r4 + 2caa0: 1004c03a cmpne r2,r2,zero + 2caa4: 308cb03a or r6,r6,r2 + 2caa8: 898dc83a sub r6,r17,r6 + 2caac: 89a3803a cmpltu r17,r17,r6 + 2cab0: 40d1c83a sub r8,r8,r3 + 2cab4: 4451c83a sub r8,r8,r17 + 2cab8: 3023883a mov r17,r6 + 2cabc: 4080202c andhi r2,r8,128 + 2cac0: 10002326 beq r2,zero,2cb50 <__adddf3+0x204> + 2cac4: 04c02034 movhi r19,128 + 2cac8: 9cffffc4 addi r19,r19,-1 + 2cacc: 44e6703a and r19,r8,r19 + 2cad0: 98007626 beq r19,zero,2ccac <__adddf3+0x360> + 2cad4: 9809883a mov r4,r19 + 2cad8: 002ef500 call 2ef50 <__clzsi2> + 2cadc: 10fffe04 addi r3,r2,-8 + 2cae0: 010007c4 movi r4,31 + 2cae4: 20c07716 blt r4,r3,2ccc4 <__adddf3+0x378> + 2cae8: 00800804 movi r2,32 + 2caec: 10c5c83a sub r2,r2,r3 + 2caf0: 8884d83a srl r2,r17,r2 + 2caf4: 98d0983a sll r8,r19,r3 + 2caf8: 88e2983a sll r17,r17,r3 + 2cafc: 1204b03a or r2,r2,r8 + 2cb00: 1c007416 blt r3,r16,2ccd4 <__adddf3+0x388> + 2cb04: 1c21c83a sub r16,r3,r16 + 2cb08: 82000044 addi r8,r16,1 + 2cb0c: 00c007c4 movi r3,31 + 2cb10: 1a009116 blt r3,r8,2cd58 <__adddf3+0x40c> + 2cb14: 00c00804 movi r3,32 + 2cb18: 1a07c83a sub r3,r3,r8 + 2cb1c: 8a08d83a srl r4,r17,r8 + 2cb20: 88e2983a sll r17,r17,r3 + 2cb24: 10c6983a sll r3,r2,r3 + 2cb28: 1210d83a srl r8,r2,r8 + 2cb2c: 8804c03a cmpne r2,r17,zero + 2cb30: 1906b03a or r3,r3,r4 + 2cb34: 18a2b03a or r17,r3,r2 + 2cb38: 0021883a mov r16,zero + 2cb3c: 003fa206 br 2c9c8 <_gp+0xffff0490> + 2cb40: 1890b03a or r8,r3,r2 + 2cb44: 40017d26 beq r8,zero,2d13c <__adddf3+0x7f0> + 2cb48: 1011883a mov r8,r2 + 2cb4c: 1823883a mov r17,r3 + 2cb50: 888001cc andi r2,r17,7 + 2cb54: 103f9e1e bne r2,zero,2c9d0 <_gp+0xffff0498> + 2cb58: 4004977a slli r2,r8,29 + 2cb5c: 8822d0fa srli r17,r17,3 + 2cb60: 4010d0fa srli r8,r8,3 + 2cb64: 9007883a mov r3,r18 + 2cb68: 1444b03a or r2,r2,r17 + 2cb6c: 0101ffc4 movi r4,2047 + 2cb70: 81002426 beq r16,r4,2cc04 <__adddf3+0x2b8> + 2cb74: 8120703a and r16,r16,r4 + 2cb78: 01000434 movhi r4,16 + 2cb7c: 213fffc4 addi r4,r4,-1 + 2cb80: 4110703a and r8,r8,r4 + 2cb84: 003fa806 br 2ca28 <_gp+0xffff04f0> + 2cb88: 8089c83a sub r4,r16,r2 + 2cb8c: 01005e0e bge zero,r4,2cd08 <__adddf3+0x3bc> + 2cb90: 10002b26 beq r2,zero,2cc40 <__adddf3+0x2f4> + 2cb94: 0081ffc4 movi r2,2047 + 2cb98: 80bf8b26 beq r16,r2,2c9c8 <_gp+0xffff0490> + 2cb9c: 4a402034 orhi r9,r9,128 + 2cba0: 00800e04 movi r2,56 + 2cba4: 1100a40e bge r2,r4,2ce38 <__adddf3+0x4ec> + 2cba8: 498cb03a or r6,r9,r6 + 2cbac: 300ac03a cmpne r5,r6,zero + 2cbb0: 0013883a mov r9,zero + 2cbb4: 2c4b883a add r5,r5,r17 + 2cbb8: 2c63803a cmpltu r17,r5,r17 + 2cbbc: 4a11883a add r8,r9,r8 + 2cbc0: 8a11883a add r8,r17,r8 + 2cbc4: 2823883a mov r17,r5 + 2cbc8: 4080202c andhi r2,r8,128 + 2cbcc: 103fe026 beq r2,zero,2cb50 <_gp+0xffff0618> + 2cbd0: 84000044 addi r16,r16,1 + 2cbd4: 0081ffc4 movi r2,2047 + 2cbd8: 8080d226 beq r16,r2,2cf24 <__adddf3+0x5d8> + 2cbdc: 00bfe034 movhi r2,65408 + 2cbe0: 10bfffc4 addi r2,r2,-1 + 2cbe4: 4090703a and r8,r8,r2 + 2cbe8: 880ad07a srli r5,r17,1 + 2cbec: 400897fa slli r4,r8,31 + 2cbf0: 88c0004c andi r3,r17,1 + 2cbf4: 28e2b03a or r17,r5,r3 + 2cbf8: 4010d07a srli r8,r8,1 + 2cbfc: 2462b03a or r17,r4,r17 + 2cc00: 003f7106 br 2c9c8 <_gp+0xffff0490> + 2cc04: 4088b03a or r4,r8,r2 + 2cc08: 20014526 beq r4,zero,2d120 <__adddf3+0x7d4> + 2cc0c: 01000434 movhi r4,16 + 2cc10: 42000234 orhi r8,r8,8 + 2cc14: 213fffc4 addi r4,r4,-1 + 2cc18: 4110703a and r8,r8,r4 + 2cc1c: 003f8206 br 2ca28 <_gp+0xffff04f0> + 2cc20: 18ffffc4 addi r3,r3,-1 + 2cc24: 1800491e bne r3,zero,2cd4c <__adddf3+0x400> + 2cc28: 898bc83a sub r5,r17,r6 + 2cc2c: 8963803a cmpltu r17,r17,r5 + 2cc30: 4251c83a sub r8,r8,r9 + 2cc34: 4451c83a sub r8,r8,r17 + 2cc38: 2823883a mov r17,r5 + 2cc3c: 003f9f06 br 2cabc <_gp+0xffff0584> + 2cc40: 4984b03a or r2,r9,r6 + 2cc44: 103f6026 beq r2,zero,2c9c8 <_gp+0xffff0490> + 2cc48: 213fffc4 addi r4,r4,-1 + 2cc4c: 2000931e bne r4,zero,2ce9c <__adddf3+0x550> + 2cc50: 898d883a add r6,r17,r6 + 2cc54: 3463803a cmpltu r17,r6,r17 + 2cc58: 4251883a add r8,r8,r9 + 2cc5c: 8a11883a add r8,r17,r8 + 2cc60: 3023883a mov r17,r6 + 2cc64: 003fd806 br 2cbc8 <_gp+0xffff0690> + 2cc68: 1800541e bne r3,zero,2cdbc <__adddf3+0x470> + 2cc6c: 80800044 addi r2,r16,1 + 2cc70: 1081ffcc andi r2,r2,2047 + 2cc74: 00c00044 movi r3,1 + 2cc78: 1880a00e bge r3,r2,2cefc <__adddf3+0x5b0> + 2cc7c: 8989c83a sub r4,r17,r6 + 2cc80: 8905803a cmpltu r2,r17,r4 + 2cc84: 4267c83a sub r19,r8,r9 + 2cc88: 98a7c83a sub r19,r19,r2 + 2cc8c: 9880202c andhi r2,r19,128 + 2cc90: 10006326 beq r2,zero,2ce20 <__adddf3+0x4d4> + 2cc94: 3463c83a sub r17,r6,r17 + 2cc98: 4a07c83a sub r3,r9,r8 + 2cc9c: 344d803a cmpltu r6,r6,r17 + 2cca0: 19a7c83a sub r19,r3,r6 + 2cca4: 3825883a mov r18,r7 + 2cca8: 983f8a1e bne r19,zero,2cad4 <_gp+0xffff059c> + 2ccac: 8809883a mov r4,r17 + 2ccb0: 002ef500 call 2ef50 <__clzsi2> + 2ccb4: 10800804 addi r2,r2,32 + 2ccb8: 10fffe04 addi r3,r2,-8 + 2ccbc: 010007c4 movi r4,31 + 2ccc0: 20ff890e bge r4,r3,2cae8 <_gp+0xffff05b0> + 2ccc4: 10bff604 addi r2,r2,-40 + 2ccc8: 8884983a sll r2,r17,r2 + 2cccc: 0023883a mov r17,zero + 2ccd0: 1c3f8c0e bge r3,r16,2cb04 <_gp+0xffff05cc> + 2ccd4: 023fe034 movhi r8,65408 + 2ccd8: 423fffc4 addi r8,r8,-1 + 2ccdc: 80e1c83a sub r16,r16,r3 + 2cce0: 1210703a and r8,r2,r8 + 2cce4: 003f3806 br 2c9c8 <_gp+0xffff0490> + 2cce8: 9007883a mov r3,r18 + 2ccec: 0011883a mov r8,zero + 2ccf0: 0005883a mov r2,zero + 2ccf4: 003f4c06 br 2ca28 <_gp+0xffff04f0> + 2ccf8: 498cb03a or r6,r9,r6 + 2ccfc: 300cc03a cmpne r6,r6,zero + 2cd00: 0007883a mov r3,zero + 2cd04: 003f6806 br 2caa8 <_gp+0xffff0570> + 2cd08: 20009c1e bne r4,zero,2cf7c <__adddf3+0x630> + 2cd0c: 80800044 addi r2,r16,1 + 2cd10: 1141ffcc andi r5,r2,2047 + 2cd14: 01000044 movi r4,1 + 2cd18: 2140670e bge r4,r5,2ceb8 <__adddf3+0x56c> + 2cd1c: 0101ffc4 movi r4,2047 + 2cd20: 11007f26 beq r2,r4,2cf20 <__adddf3+0x5d4> + 2cd24: 898d883a add r6,r17,r6 + 2cd28: 4247883a add r3,r8,r9 + 2cd2c: 3451803a cmpltu r8,r6,r17 + 2cd30: 40d1883a add r8,r8,r3 + 2cd34: 402297fa slli r17,r8,31 + 2cd38: 300cd07a srli r6,r6,1 + 2cd3c: 4010d07a srli r8,r8,1 + 2cd40: 1021883a mov r16,r2 + 2cd44: 89a2b03a or r17,r17,r6 + 2cd48: 003f1f06 br 2c9c8 <_gp+0xffff0490> + 2cd4c: 0081ffc4 movi r2,2047 + 2cd50: 80bf481e bne r16,r2,2ca74 <_gp+0xffff053c> + 2cd54: 003f1c06 br 2c9c8 <_gp+0xffff0490> + 2cd58: 843ff844 addi r16,r16,-31 + 2cd5c: 01000804 movi r4,32 + 2cd60: 1406d83a srl r3,r2,r16 + 2cd64: 41005026 beq r8,r4,2cea8 <__adddf3+0x55c> + 2cd68: 01001004 movi r4,64 + 2cd6c: 2211c83a sub r8,r4,r8 + 2cd70: 1204983a sll r2,r2,r8 + 2cd74: 88a2b03a or r17,r17,r2 + 2cd78: 8822c03a cmpne r17,r17,zero + 2cd7c: 1c62b03a or r17,r3,r17 + 2cd80: 0011883a mov r8,zero + 2cd84: 0021883a mov r16,zero + 2cd88: 003f7106 br 2cb50 <_gp+0xffff0618> + 2cd8c: 193ff804 addi r4,r3,-32 + 2cd90: 00800804 movi r2,32 + 2cd94: 4908d83a srl r4,r9,r4 + 2cd98: 18804526 beq r3,r2,2ceb0 <__adddf3+0x564> + 2cd9c: 00801004 movi r2,64 + 2cda0: 10c5c83a sub r2,r2,r3 + 2cda4: 4886983a sll r3,r9,r2 + 2cda8: 198cb03a or r6,r3,r6 + 2cdac: 300cc03a cmpne r6,r6,zero + 2cdb0: 218cb03a or r6,r4,r6 + 2cdb4: 0007883a mov r3,zero + 2cdb8: 003f3b06 br 2caa8 <_gp+0xffff0570> + 2cdbc: 80002a26 beq r16,zero,2ce68 <__adddf3+0x51c> + 2cdc0: 0101ffc4 movi r4,2047 + 2cdc4: 11006826 beq r2,r4,2cf68 <__adddf3+0x61c> + 2cdc8: 00c7c83a sub r3,zero,r3 + 2cdcc: 42002034 orhi r8,r8,128 + 2cdd0: 01000e04 movi r4,56 + 2cdd4: 20c07c16 blt r4,r3,2cfc8 <__adddf3+0x67c> + 2cdd8: 010007c4 movi r4,31 + 2cddc: 20c0da16 blt r4,r3,2d148 <__adddf3+0x7fc> + 2cde0: 01000804 movi r4,32 + 2cde4: 20c9c83a sub r4,r4,r3 + 2cde8: 4114983a sll r10,r8,r4 + 2cdec: 88cad83a srl r5,r17,r3 + 2cdf0: 8908983a sll r4,r17,r4 + 2cdf4: 40c6d83a srl r3,r8,r3 + 2cdf8: 5162b03a or r17,r10,r5 + 2cdfc: 2008c03a cmpne r4,r4,zero + 2ce00: 8922b03a or r17,r17,r4 + 2ce04: 3463c83a sub r17,r6,r17 + 2ce08: 48c7c83a sub r3,r9,r3 + 2ce0c: 344d803a cmpltu r6,r6,r17 + 2ce10: 1991c83a sub r8,r3,r6 + 2ce14: 1021883a mov r16,r2 + 2ce18: 3825883a mov r18,r7 + 2ce1c: 003f2706 br 2cabc <_gp+0xffff0584> + 2ce20: 24d0b03a or r8,r4,r19 + 2ce24: 40001b1e bne r8,zero,2ce94 <__adddf3+0x548> + 2ce28: 0005883a mov r2,zero + 2ce2c: 0007883a mov r3,zero + 2ce30: 0021883a mov r16,zero + 2ce34: 003f4d06 br 2cb6c <_gp+0xffff0634> + 2ce38: 008007c4 movi r2,31 + 2ce3c: 11003c16 blt r2,r4,2cf30 <__adddf3+0x5e4> + 2ce40: 00800804 movi r2,32 + 2ce44: 1105c83a sub r2,r2,r4 + 2ce48: 488e983a sll r7,r9,r2 + 2ce4c: 310ad83a srl r5,r6,r4 + 2ce50: 3084983a sll r2,r6,r2 + 2ce54: 4912d83a srl r9,r9,r4 + 2ce58: 394ab03a or r5,r7,r5 + 2ce5c: 1004c03a cmpne r2,r2,zero + 2ce60: 288ab03a or r5,r5,r2 + 2ce64: 003f5306 br 2cbb4 <_gp+0xffff067c> + 2ce68: 4448b03a or r4,r8,r17 + 2ce6c: 20003e26 beq r4,zero,2cf68 <__adddf3+0x61c> + 2ce70: 00c6303a nor r3,zero,r3 + 2ce74: 18003a1e bne r3,zero,2cf60 <__adddf3+0x614> + 2ce78: 3463c83a sub r17,r6,r17 + 2ce7c: 4a07c83a sub r3,r9,r8 + 2ce80: 344d803a cmpltu r6,r6,r17 + 2ce84: 1991c83a sub r8,r3,r6 + 2ce88: 1021883a mov r16,r2 + 2ce8c: 3825883a mov r18,r7 + 2ce90: 003f0a06 br 2cabc <_gp+0xffff0584> + 2ce94: 2023883a mov r17,r4 + 2ce98: 003f0d06 br 2cad0 <_gp+0xffff0598> + 2ce9c: 0081ffc4 movi r2,2047 + 2cea0: 80bf3f1e bne r16,r2,2cba0 <_gp+0xffff0668> + 2cea4: 003ec806 br 2c9c8 <_gp+0xffff0490> + 2cea8: 0005883a mov r2,zero + 2ceac: 003fb106 br 2cd74 <_gp+0xffff083c> + 2ceb0: 0007883a mov r3,zero + 2ceb4: 003fbc06 br 2cda8 <_gp+0xffff0870> + 2ceb8: 4444b03a or r2,r8,r17 + 2cebc: 8000871e bne r16,zero,2d0dc <__adddf3+0x790> + 2cec0: 1000ba26 beq r2,zero,2d1ac <__adddf3+0x860> + 2cec4: 4984b03a or r2,r9,r6 + 2cec8: 103ebf26 beq r2,zero,2c9c8 <_gp+0xffff0490> + 2cecc: 8985883a add r2,r17,r6 + 2ced0: 4247883a add r3,r8,r9 + 2ced4: 1451803a cmpltu r8,r2,r17 + 2ced8: 40d1883a add r8,r8,r3 + 2cedc: 40c0202c andhi r3,r8,128 + 2cee0: 1023883a mov r17,r2 + 2cee4: 183f1a26 beq r3,zero,2cb50 <_gp+0xffff0618> + 2cee8: 00bfe034 movhi r2,65408 + 2ceec: 10bfffc4 addi r2,r2,-1 + 2cef0: 2021883a mov r16,r4 + 2cef4: 4090703a and r8,r8,r2 + 2cef8: 003eb306 br 2c9c8 <_gp+0xffff0490> + 2cefc: 4444b03a or r2,r8,r17 + 2cf00: 8000291e bne r16,zero,2cfa8 <__adddf3+0x65c> + 2cf04: 10004b1e bne r2,zero,2d034 <__adddf3+0x6e8> + 2cf08: 4990b03a or r8,r9,r6 + 2cf0c: 40008b26 beq r8,zero,2d13c <__adddf3+0x7f0> + 2cf10: 4811883a mov r8,r9 + 2cf14: 3023883a mov r17,r6 + 2cf18: 3825883a mov r18,r7 + 2cf1c: 003eaa06 br 2c9c8 <_gp+0xffff0490> + 2cf20: 1021883a mov r16,r2 + 2cf24: 0011883a mov r8,zero + 2cf28: 0005883a mov r2,zero + 2cf2c: 003f0f06 br 2cb6c <_gp+0xffff0634> + 2cf30: 217ff804 addi r5,r4,-32 + 2cf34: 00800804 movi r2,32 + 2cf38: 494ad83a srl r5,r9,r5 + 2cf3c: 20807d26 beq r4,r2,2d134 <__adddf3+0x7e8> + 2cf40: 00801004 movi r2,64 + 2cf44: 1109c83a sub r4,r2,r4 + 2cf48: 4912983a sll r9,r9,r4 + 2cf4c: 498cb03a or r6,r9,r6 + 2cf50: 300cc03a cmpne r6,r6,zero + 2cf54: 298ab03a or r5,r5,r6 + 2cf58: 0013883a mov r9,zero + 2cf5c: 003f1506 br 2cbb4 <_gp+0xffff067c> + 2cf60: 0101ffc4 movi r4,2047 + 2cf64: 113f9a1e bne r2,r4,2cdd0 <_gp+0xffff0898> + 2cf68: 4811883a mov r8,r9 + 2cf6c: 3023883a mov r17,r6 + 2cf70: 1021883a mov r16,r2 + 2cf74: 3825883a mov r18,r7 + 2cf78: 003e9306 br 2c9c8 <_gp+0xffff0490> + 2cf7c: 8000161e bne r16,zero,2cfd8 <__adddf3+0x68c> + 2cf80: 444ab03a or r5,r8,r17 + 2cf84: 28005126 beq r5,zero,2d0cc <__adddf3+0x780> + 2cf88: 0108303a nor r4,zero,r4 + 2cf8c: 20004d1e bne r4,zero,2d0c4 <__adddf3+0x778> + 2cf90: 89a3883a add r17,r17,r6 + 2cf94: 4253883a add r9,r8,r9 + 2cf98: 898d803a cmpltu r6,r17,r6 + 2cf9c: 3251883a add r8,r6,r9 + 2cfa0: 1021883a mov r16,r2 + 2cfa4: 003f0806 br 2cbc8 <_gp+0xffff0690> + 2cfa8: 1000301e bne r2,zero,2d06c <__adddf3+0x720> + 2cfac: 4984b03a or r2,r9,r6 + 2cfb0: 10007126 beq r2,zero,2d178 <__adddf3+0x82c> + 2cfb4: 4811883a mov r8,r9 + 2cfb8: 3023883a mov r17,r6 + 2cfbc: 3825883a mov r18,r7 + 2cfc0: 0401ffc4 movi r16,2047 + 2cfc4: 003e8006 br 2c9c8 <_gp+0xffff0490> + 2cfc8: 4462b03a or r17,r8,r17 + 2cfcc: 8822c03a cmpne r17,r17,zero + 2cfd0: 0007883a mov r3,zero + 2cfd4: 003f8b06 br 2ce04 <_gp+0xffff08cc> + 2cfd8: 0141ffc4 movi r5,2047 + 2cfdc: 11403b26 beq r2,r5,2d0cc <__adddf3+0x780> + 2cfe0: 0109c83a sub r4,zero,r4 + 2cfe4: 42002034 orhi r8,r8,128 + 2cfe8: 01400e04 movi r5,56 + 2cfec: 29006716 blt r5,r4,2d18c <__adddf3+0x840> + 2cff0: 014007c4 movi r5,31 + 2cff4: 29007016 blt r5,r4,2d1b8 <__adddf3+0x86c> + 2cff8: 01400804 movi r5,32 + 2cffc: 290bc83a sub r5,r5,r4 + 2d000: 4154983a sll r10,r8,r5 + 2d004: 890ed83a srl r7,r17,r4 + 2d008: 894a983a sll r5,r17,r5 + 2d00c: 4108d83a srl r4,r8,r4 + 2d010: 51e2b03a or r17,r10,r7 + 2d014: 280ac03a cmpne r5,r5,zero + 2d018: 8962b03a or r17,r17,r5 + 2d01c: 89a3883a add r17,r17,r6 + 2d020: 2253883a add r9,r4,r9 + 2d024: 898d803a cmpltu r6,r17,r6 + 2d028: 3251883a add r8,r6,r9 + 2d02c: 1021883a mov r16,r2 + 2d030: 003ee506 br 2cbc8 <_gp+0xffff0690> + 2d034: 4984b03a or r2,r9,r6 + 2d038: 103e6326 beq r2,zero,2c9c8 <_gp+0xffff0490> + 2d03c: 8987c83a sub r3,r17,r6 + 2d040: 88c9803a cmpltu r4,r17,r3 + 2d044: 4245c83a sub r2,r8,r9 + 2d048: 1105c83a sub r2,r2,r4 + 2d04c: 1100202c andhi r4,r2,128 + 2d050: 203ebb26 beq r4,zero,2cb40 <_gp+0xffff0608> + 2d054: 3463c83a sub r17,r6,r17 + 2d058: 4a07c83a sub r3,r9,r8 + 2d05c: 344d803a cmpltu r6,r6,r17 + 2d060: 1991c83a sub r8,r3,r6 + 2d064: 3825883a mov r18,r7 + 2d068: 003e5706 br 2c9c8 <_gp+0xffff0490> + 2d06c: 4984b03a or r2,r9,r6 + 2d070: 10002e26 beq r2,zero,2d12c <__adddf3+0x7e0> + 2d074: 4004d0fa srli r2,r8,3 + 2d078: 8822d0fa srli r17,r17,3 + 2d07c: 4010977a slli r8,r8,29 + 2d080: 10c0022c andhi r3,r2,8 + 2d084: 4462b03a or r17,r8,r17 + 2d088: 18000826 beq r3,zero,2d0ac <__adddf3+0x760> + 2d08c: 4808d0fa srli r4,r9,3 + 2d090: 20c0022c andhi r3,r4,8 + 2d094: 1800051e bne r3,zero,2d0ac <__adddf3+0x760> + 2d098: 300cd0fa srli r6,r6,3 + 2d09c: 4806977a slli r3,r9,29 + 2d0a0: 2005883a mov r2,r4 + 2d0a4: 3825883a mov r18,r7 + 2d0a8: 19a2b03a or r17,r3,r6 + 2d0ac: 8810d77a srli r8,r17,29 + 2d0b0: 100490fa slli r2,r2,3 + 2d0b4: 882290fa slli r17,r17,3 + 2d0b8: 0401ffc4 movi r16,2047 + 2d0bc: 4090b03a or r8,r8,r2 + 2d0c0: 003e4106 br 2c9c8 <_gp+0xffff0490> + 2d0c4: 0141ffc4 movi r5,2047 + 2d0c8: 117fc71e bne r2,r5,2cfe8 <_gp+0xffff0ab0> + 2d0cc: 4811883a mov r8,r9 + 2d0d0: 3023883a mov r17,r6 + 2d0d4: 1021883a mov r16,r2 + 2d0d8: 003e3b06 br 2c9c8 <_gp+0xffff0490> + 2d0dc: 10002f26 beq r2,zero,2d19c <__adddf3+0x850> + 2d0e0: 4984b03a or r2,r9,r6 + 2d0e4: 10001126 beq r2,zero,2d12c <__adddf3+0x7e0> + 2d0e8: 4004d0fa srli r2,r8,3 + 2d0ec: 8822d0fa srli r17,r17,3 + 2d0f0: 4010977a slli r8,r8,29 + 2d0f4: 10c0022c andhi r3,r2,8 + 2d0f8: 4462b03a or r17,r8,r17 + 2d0fc: 183feb26 beq r3,zero,2d0ac <_gp+0xffff0b74> + 2d100: 4808d0fa srli r4,r9,3 + 2d104: 20c0022c andhi r3,r4,8 + 2d108: 183fe81e bne r3,zero,2d0ac <_gp+0xffff0b74> + 2d10c: 300cd0fa srli r6,r6,3 + 2d110: 4806977a slli r3,r9,29 + 2d114: 2005883a mov r2,r4 + 2d118: 19a2b03a or r17,r3,r6 + 2d11c: 003fe306 br 2d0ac <_gp+0xffff0b74> + 2d120: 0011883a mov r8,zero + 2d124: 0005883a mov r2,zero + 2d128: 003e3f06 br 2ca28 <_gp+0xffff04f0> + 2d12c: 0401ffc4 movi r16,2047 + 2d130: 003e2506 br 2c9c8 <_gp+0xffff0490> + 2d134: 0013883a mov r9,zero + 2d138: 003f8406 br 2cf4c <_gp+0xffff0a14> + 2d13c: 0005883a mov r2,zero + 2d140: 0007883a mov r3,zero + 2d144: 003e8906 br 2cb6c <_gp+0xffff0634> + 2d148: 197ff804 addi r5,r3,-32 + 2d14c: 01000804 movi r4,32 + 2d150: 414ad83a srl r5,r8,r5 + 2d154: 19002426 beq r3,r4,2d1e8 <__adddf3+0x89c> + 2d158: 01001004 movi r4,64 + 2d15c: 20c7c83a sub r3,r4,r3 + 2d160: 40c6983a sll r3,r8,r3 + 2d164: 1c46b03a or r3,r3,r17 + 2d168: 1806c03a cmpne r3,r3,zero + 2d16c: 28e2b03a or r17,r5,r3 + 2d170: 0007883a mov r3,zero + 2d174: 003f2306 br 2ce04 <_gp+0xffff08cc> + 2d178: 0007883a mov r3,zero + 2d17c: 5811883a mov r8,r11 + 2d180: 00bfffc4 movi r2,-1 + 2d184: 0401ffc4 movi r16,2047 + 2d188: 003e7806 br 2cb6c <_gp+0xffff0634> + 2d18c: 4462b03a or r17,r8,r17 + 2d190: 8822c03a cmpne r17,r17,zero + 2d194: 0009883a mov r4,zero + 2d198: 003fa006 br 2d01c <_gp+0xffff0ae4> + 2d19c: 4811883a mov r8,r9 + 2d1a0: 3023883a mov r17,r6 + 2d1a4: 0401ffc4 movi r16,2047 + 2d1a8: 003e0706 br 2c9c8 <_gp+0xffff0490> + 2d1ac: 4811883a mov r8,r9 + 2d1b0: 3023883a mov r17,r6 + 2d1b4: 003e0406 br 2c9c8 <_gp+0xffff0490> + 2d1b8: 21fff804 addi r7,r4,-32 + 2d1bc: 01400804 movi r5,32 + 2d1c0: 41ced83a srl r7,r8,r7 + 2d1c4: 21400a26 beq r4,r5,2d1f0 <__adddf3+0x8a4> + 2d1c8: 01401004 movi r5,64 + 2d1cc: 2909c83a sub r4,r5,r4 + 2d1d0: 4108983a sll r4,r8,r4 + 2d1d4: 2448b03a or r4,r4,r17 + 2d1d8: 2008c03a cmpne r4,r4,zero + 2d1dc: 3922b03a or r17,r7,r4 + 2d1e0: 0009883a mov r4,zero + 2d1e4: 003f8d06 br 2d01c <_gp+0xffff0ae4> + 2d1e8: 0007883a mov r3,zero + 2d1ec: 003fdd06 br 2d164 <_gp+0xffff0c2c> + 2d1f0: 0009883a mov r4,zero + 2d1f4: 003ff706 br 2d1d4 <_gp+0xffff0c9c> -0002d100 <__adddf3>: - 2d100: 02c00434 movhi r11,16 - 2d104: 5affffc4 addi r11,r11,-1 - 2d108: 2806d7fa srli r3,r5,31 - 2d10c: 2ad4703a and r10,r5,r11 - 2d110: 3ad2703a and r9,r7,r11 - 2d114: 3804d53a srli r2,r7,20 - 2d118: 3018d77a srli r12,r6,29 - 2d11c: 280ad53a srli r5,r5,20 - 2d120: 501490fa slli r10,r10,3 - 2d124: 2010d77a srli r8,r4,29 - 2d128: 481290fa slli r9,r9,3 - 2d12c: 380ed7fa srli r7,r7,31 - 2d130: defffb04 addi sp,sp,-20 - 2d134: dc800215 stw r18,8(sp) - 2d138: dc400115 stw r17,4(sp) - 2d13c: dc000015 stw r16,0(sp) - 2d140: dfc00415 stw ra,16(sp) - 2d144: dcc00315 stw r19,12(sp) - 2d148: 1c803fcc andi r18,r3,255 - 2d14c: 2c01ffcc andi r16,r5,2047 - 2d150: 5210b03a or r8,r10,r8 - 2d154: 202290fa slli r17,r4,3 - 2d158: 1081ffcc andi r2,r2,2047 - 2d15c: 4b12b03a or r9,r9,r12 - 2d160: 300c90fa slli r6,r6,3 - 2d164: 91c07526 beq r18,r7,2d33c <__adddf3+0x23c> - 2d168: 8087c83a sub r3,r16,r2 - 2d16c: 00c0ab0e bge zero,r3,2d41c <__adddf3+0x31c> - 2d170: 10002a1e bne r2,zero,2d21c <__adddf3+0x11c> - 2d174: 4984b03a or r2,r9,r6 - 2d178: 1000961e bne r2,zero,2d3d4 <__adddf3+0x2d4> - 2d17c: 888001cc andi r2,r17,7 - 2d180: 10000726 beq r2,zero,2d1a0 <__adddf3+0xa0> - 2d184: 888003cc andi r2,r17,15 - 2d188: 00c00104 movi r3,4 - 2d18c: 10c00426 beq r2,r3,2d1a0 <__adddf3+0xa0> - 2d190: 88c7883a add r3,r17,r3 - 2d194: 1c63803a cmpltu r17,r3,r17 - 2d198: 4451883a add r8,r8,r17 - 2d19c: 1823883a mov r17,r3 - 2d1a0: 4080202c andhi r2,r8,128 - 2d1a4: 10005926 beq r2,zero,2d30c <__adddf3+0x20c> - 2d1a8: 84000044 addi r16,r16,1 - 2d1ac: 0081ffc4 movi r2,2047 - 2d1b0: 8080ba26 beq r16,r2,2d49c <__adddf3+0x39c> - 2d1b4: 00bfe034 movhi r2,65408 - 2d1b8: 10bfffc4 addi r2,r2,-1 - 2d1bc: 4090703a and r8,r8,r2 - 2d1c0: 4004977a slli r2,r8,29 - 2d1c4: 4010927a slli r8,r8,9 - 2d1c8: 8822d0fa srli r17,r17,3 - 2d1cc: 8401ffcc andi r16,r16,2047 - 2d1d0: 4010d33a srli r8,r8,12 - 2d1d4: 9007883a mov r3,r18 - 2d1d8: 1444b03a or r2,r2,r17 - 2d1dc: 8401ffcc andi r16,r16,2047 - 2d1e0: 8020953a slli r16,r16,20 - 2d1e4: 18c03fcc andi r3,r3,255 - 2d1e8: 01000434 movhi r4,16 - 2d1ec: 213fffc4 addi r4,r4,-1 - 2d1f0: 180697fa slli r3,r3,31 - 2d1f4: 4110703a and r8,r8,r4 - 2d1f8: 4410b03a or r8,r8,r16 - 2d1fc: 40c6b03a or r3,r8,r3 - 2d200: dfc00417 ldw ra,16(sp) - 2d204: dcc00317 ldw r19,12(sp) - 2d208: dc800217 ldw r18,8(sp) - 2d20c: dc400117 ldw r17,4(sp) - 2d210: dc000017 ldw r16,0(sp) - 2d214: dec00504 addi sp,sp,20 - 2d218: f800283a ret - 2d21c: 0081ffc4 movi r2,2047 - 2d220: 80bfd626 beq r16,r2,2d17c <__adddf3+0x7c> - 2d224: 4a402034 orhi r9,r9,128 - 2d228: 00800e04 movi r2,56 - 2d22c: 10c09f16 blt r2,r3,2d4ac <__adddf3+0x3ac> - 2d230: 008007c4 movi r2,31 - 2d234: 10c0c216 blt r2,r3,2d540 <__adddf3+0x440> - 2d238: 00800804 movi r2,32 - 2d23c: 10c5c83a sub r2,r2,r3 - 2d240: 488a983a sll r5,r9,r2 - 2d244: 30c8d83a srl r4,r6,r3 - 2d248: 3084983a sll r2,r6,r2 - 2d24c: 48c6d83a srl r3,r9,r3 - 2d250: 290cb03a or r6,r5,r4 - 2d254: 1004c03a cmpne r2,r2,zero - 2d258: 308cb03a or r6,r6,r2 - 2d25c: 898dc83a sub r6,r17,r6 - 2d260: 89a3803a cmpltu r17,r17,r6 - 2d264: 40d1c83a sub r8,r8,r3 - 2d268: 4451c83a sub r8,r8,r17 - 2d26c: 3023883a mov r17,r6 - 2d270: 4080202c andhi r2,r8,128 - 2d274: 10002326 beq r2,zero,2d304 <__adddf3+0x204> - 2d278: 04c02034 movhi r19,128 - 2d27c: 9cffffc4 addi r19,r19,-1 - 2d280: 44e6703a and r19,r8,r19 - 2d284: 98007626 beq r19,zero,2d460 <__adddf3+0x360> - 2d288: 9809883a mov r4,r19 - 2d28c: 002f7040 call 2f704 <__clzsi2> - 2d290: 10fffe04 addi r3,r2,-8 - 2d294: 010007c4 movi r4,31 - 2d298: 20c07716 blt r4,r3,2d478 <__adddf3+0x378> - 2d29c: 00800804 movi r2,32 - 2d2a0: 10c5c83a sub r2,r2,r3 - 2d2a4: 8884d83a srl r2,r17,r2 - 2d2a8: 98d0983a sll r8,r19,r3 - 2d2ac: 88e2983a sll r17,r17,r3 - 2d2b0: 1204b03a or r2,r2,r8 - 2d2b4: 1c007416 blt r3,r16,2d488 <__adddf3+0x388> - 2d2b8: 1c21c83a sub r16,r3,r16 - 2d2bc: 82000044 addi r8,r16,1 - 2d2c0: 00c007c4 movi r3,31 - 2d2c4: 1a009116 blt r3,r8,2d50c <__adddf3+0x40c> - 2d2c8: 00c00804 movi r3,32 - 2d2cc: 1a07c83a sub r3,r3,r8 - 2d2d0: 8a08d83a srl r4,r17,r8 - 2d2d4: 88e2983a sll r17,r17,r3 - 2d2d8: 10c6983a sll r3,r2,r3 - 2d2dc: 1210d83a srl r8,r2,r8 - 2d2e0: 8804c03a cmpne r2,r17,zero - 2d2e4: 1906b03a or r3,r3,r4 - 2d2e8: 18a2b03a or r17,r3,r2 - 2d2ec: 0021883a mov r16,zero - 2d2f0: 003fa206 br 2d17c <__adddf3+0x7c> - 2d2f4: 1890b03a or r8,r3,r2 - 2d2f8: 40017d26 beq r8,zero,2d8f0 <__adddf3+0x7f0> - 2d2fc: 1011883a mov r8,r2 - 2d300: 1823883a mov r17,r3 - 2d304: 888001cc andi r2,r17,7 - 2d308: 103f9e1e bne r2,zero,2d184 <__adddf3+0x84> - 2d30c: 4004977a slli r2,r8,29 - 2d310: 8822d0fa srli r17,r17,3 - 2d314: 4010d0fa srli r8,r8,3 - 2d318: 9007883a mov r3,r18 - 2d31c: 1444b03a or r2,r2,r17 - 2d320: 0101ffc4 movi r4,2047 - 2d324: 81002426 beq r16,r4,2d3b8 <__adddf3+0x2b8> - 2d328: 8120703a and r16,r16,r4 - 2d32c: 01000434 movhi r4,16 - 2d330: 213fffc4 addi r4,r4,-1 - 2d334: 4110703a and r8,r8,r4 - 2d338: 003fa806 br 2d1dc <__adddf3+0xdc> - 2d33c: 8089c83a sub r4,r16,r2 - 2d340: 01005e0e bge zero,r4,2d4bc <__adddf3+0x3bc> - 2d344: 10002b26 beq r2,zero,2d3f4 <__adddf3+0x2f4> - 2d348: 0081ffc4 movi r2,2047 - 2d34c: 80bf8b26 beq r16,r2,2d17c <__adddf3+0x7c> - 2d350: 4a402034 orhi r9,r9,128 - 2d354: 00800e04 movi r2,56 - 2d358: 1100a40e bge r2,r4,2d5ec <__adddf3+0x4ec> - 2d35c: 498cb03a or r6,r9,r6 - 2d360: 300ac03a cmpne r5,r6,zero - 2d364: 0013883a mov r9,zero - 2d368: 2c4b883a add r5,r5,r17 - 2d36c: 2c63803a cmpltu r17,r5,r17 - 2d370: 4a11883a add r8,r9,r8 - 2d374: 8a11883a add r8,r17,r8 - 2d378: 2823883a mov r17,r5 - 2d37c: 4080202c andhi r2,r8,128 - 2d380: 103fe026 beq r2,zero,2d304 <__adddf3+0x204> - 2d384: 84000044 addi r16,r16,1 - 2d388: 0081ffc4 movi r2,2047 - 2d38c: 8080d226 beq r16,r2,2d6d8 <__adddf3+0x5d8> - 2d390: 00bfe034 movhi r2,65408 - 2d394: 10bfffc4 addi r2,r2,-1 - 2d398: 4090703a and r8,r8,r2 - 2d39c: 880ad07a srli r5,r17,1 - 2d3a0: 400897fa slli r4,r8,31 - 2d3a4: 88c0004c andi r3,r17,1 - 2d3a8: 28e2b03a or r17,r5,r3 - 2d3ac: 4010d07a srli r8,r8,1 - 2d3b0: 2462b03a or r17,r4,r17 - 2d3b4: 003f7106 br 2d17c <__adddf3+0x7c> - 2d3b8: 4088b03a or r4,r8,r2 - 2d3bc: 20014526 beq r4,zero,2d8d4 <__adddf3+0x7d4> - 2d3c0: 01000434 movhi r4,16 - 2d3c4: 42000234 orhi r8,r8,8 - 2d3c8: 213fffc4 addi r4,r4,-1 - 2d3cc: 4110703a and r8,r8,r4 - 2d3d0: 003f8206 br 2d1dc <__adddf3+0xdc> - 2d3d4: 18ffffc4 addi r3,r3,-1 - 2d3d8: 1800491e bne r3,zero,2d500 <__adddf3+0x400> - 2d3dc: 898bc83a sub r5,r17,r6 - 2d3e0: 8963803a cmpltu r17,r17,r5 - 2d3e4: 4251c83a sub r8,r8,r9 - 2d3e8: 4451c83a sub r8,r8,r17 - 2d3ec: 2823883a mov r17,r5 - 2d3f0: 003f9f06 br 2d270 <__adddf3+0x170> - 2d3f4: 4984b03a or r2,r9,r6 - 2d3f8: 103f6026 beq r2,zero,2d17c <__adddf3+0x7c> - 2d3fc: 213fffc4 addi r4,r4,-1 - 2d400: 2000931e bne r4,zero,2d650 <__adddf3+0x550> - 2d404: 898d883a add r6,r17,r6 - 2d408: 3463803a cmpltu r17,r6,r17 - 2d40c: 4251883a add r8,r8,r9 - 2d410: 8a11883a add r8,r17,r8 - 2d414: 3023883a mov r17,r6 - 2d418: 003fd806 br 2d37c <__adddf3+0x27c> - 2d41c: 1800541e bne r3,zero,2d570 <__adddf3+0x470> - 2d420: 80800044 addi r2,r16,1 - 2d424: 1081ffcc andi r2,r2,2047 - 2d428: 00c00044 movi r3,1 - 2d42c: 1880a00e bge r3,r2,2d6b0 <__adddf3+0x5b0> - 2d430: 8989c83a sub r4,r17,r6 - 2d434: 8905803a cmpltu r2,r17,r4 - 2d438: 4267c83a sub r19,r8,r9 - 2d43c: 98a7c83a sub r19,r19,r2 - 2d440: 9880202c andhi r2,r19,128 - 2d444: 10006326 beq r2,zero,2d5d4 <__adddf3+0x4d4> - 2d448: 3463c83a sub r17,r6,r17 - 2d44c: 4a07c83a sub r3,r9,r8 - 2d450: 344d803a cmpltu r6,r6,r17 - 2d454: 19a7c83a sub r19,r3,r6 - 2d458: 3825883a mov r18,r7 - 2d45c: 983f8a1e bne r19,zero,2d288 <__adddf3+0x188> - 2d460: 8809883a mov r4,r17 - 2d464: 002f7040 call 2f704 <__clzsi2> - 2d468: 10800804 addi r2,r2,32 - 2d46c: 10fffe04 addi r3,r2,-8 - 2d470: 010007c4 movi r4,31 - 2d474: 20ff890e bge r4,r3,2d29c <__adddf3+0x19c> - 2d478: 10bff604 addi r2,r2,-40 - 2d47c: 8884983a sll r2,r17,r2 - 2d480: 0023883a mov r17,zero - 2d484: 1c3f8c0e bge r3,r16,2d2b8 <__adddf3+0x1b8> - 2d488: 023fe034 movhi r8,65408 - 2d48c: 423fffc4 addi r8,r8,-1 - 2d490: 80e1c83a sub r16,r16,r3 - 2d494: 1210703a and r8,r2,r8 - 2d498: 003f3806 br 2d17c <__adddf3+0x7c> - 2d49c: 9007883a mov r3,r18 - 2d4a0: 0011883a mov r8,zero - 2d4a4: 0005883a mov r2,zero - 2d4a8: 003f4c06 br 2d1dc <__adddf3+0xdc> - 2d4ac: 498cb03a or r6,r9,r6 - 2d4b0: 300cc03a cmpne r6,r6,zero - 2d4b4: 0007883a mov r3,zero - 2d4b8: 003f6806 br 2d25c <__adddf3+0x15c> - 2d4bc: 20009c1e bne r4,zero,2d730 <__adddf3+0x630> - 2d4c0: 80800044 addi r2,r16,1 - 2d4c4: 1141ffcc andi r5,r2,2047 - 2d4c8: 01000044 movi r4,1 - 2d4cc: 2140670e bge r4,r5,2d66c <__adddf3+0x56c> - 2d4d0: 0101ffc4 movi r4,2047 - 2d4d4: 11007f26 beq r2,r4,2d6d4 <__adddf3+0x5d4> - 2d4d8: 898d883a add r6,r17,r6 - 2d4dc: 4247883a add r3,r8,r9 - 2d4e0: 3451803a cmpltu r8,r6,r17 - 2d4e4: 40d1883a add r8,r8,r3 - 2d4e8: 402297fa slli r17,r8,31 - 2d4ec: 300cd07a srli r6,r6,1 - 2d4f0: 4010d07a srli r8,r8,1 - 2d4f4: 1021883a mov r16,r2 - 2d4f8: 89a2b03a or r17,r17,r6 - 2d4fc: 003f1f06 br 2d17c <__adddf3+0x7c> - 2d500: 0081ffc4 movi r2,2047 - 2d504: 80bf481e bne r16,r2,2d228 <__adddf3+0x128> - 2d508: 003f1c06 br 2d17c <__adddf3+0x7c> - 2d50c: 843ff844 addi r16,r16,-31 - 2d510: 01000804 movi r4,32 - 2d514: 1406d83a srl r3,r2,r16 - 2d518: 41005026 beq r8,r4,2d65c <__adddf3+0x55c> - 2d51c: 01001004 movi r4,64 - 2d520: 2211c83a sub r8,r4,r8 - 2d524: 1204983a sll r2,r2,r8 - 2d528: 88a2b03a or r17,r17,r2 - 2d52c: 8822c03a cmpne r17,r17,zero - 2d530: 1c62b03a or r17,r3,r17 - 2d534: 0011883a mov r8,zero - 2d538: 0021883a mov r16,zero - 2d53c: 003f7106 br 2d304 <__adddf3+0x204> - 2d540: 193ff804 addi r4,r3,-32 - 2d544: 00800804 movi r2,32 - 2d548: 4908d83a srl r4,r9,r4 - 2d54c: 18804526 beq r3,r2,2d664 <__adddf3+0x564> - 2d550: 00801004 movi r2,64 - 2d554: 10c5c83a sub r2,r2,r3 - 2d558: 4886983a sll r3,r9,r2 - 2d55c: 198cb03a or r6,r3,r6 - 2d560: 300cc03a cmpne r6,r6,zero - 2d564: 218cb03a or r6,r4,r6 - 2d568: 0007883a mov r3,zero - 2d56c: 003f3b06 br 2d25c <__adddf3+0x15c> - 2d570: 80002a26 beq r16,zero,2d61c <__adddf3+0x51c> - 2d574: 0101ffc4 movi r4,2047 - 2d578: 11006826 beq r2,r4,2d71c <__adddf3+0x61c> - 2d57c: 00c7c83a sub r3,zero,r3 - 2d580: 42002034 orhi r8,r8,128 - 2d584: 01000e04 movi r4,56 - 2d588: 20c07c16 blt r4,r3,2d77c <__adddf3+0x67c> - 2d58c: 010007c4 movi r4,31 - 2d590: 20c0da16 blt r4,r3,2d8fc <__adddf3+0x7fc> - 2d594: 01000804 movi r4,32 - 2d598: 20c9c83a sub r4,r4,r3 - 2d59c: 4114983a sll r10,r8,r4 - 2d5a0: 88cad83a srl r5,r17,r3 - 2d5a4: 8908983a sll r4,r17,r4 - 2d5a8: 40c6d83a srl r3,r8,r3 - 2d5ac: 5162b03a or r17,r10,r5 - 2d5b0: 2008c03a cmpne r4,r4,zero - 2d5b4: 8922b03a or r17,r17,r4 - 2d5b8: 3463c83a sub r17,r6,r17 - 2d5bc: 48c7c83a sub r3,r9,r3 - 2d5c0: 344d803a cmpltu r6,r6,r17 - 2d5c4: 1991c83a sub r8,r3,r6 - 2d5c8: 1021883a mov r16,r2 - 2d5cc: 3825883a mov r18,r7 - 2d5d0: 003f2706 br 2d270 <__adddf3+0x170> - 2d5d4: 24d0b03a or r8,r4,r19 - 2d5d8: 40001b1e bne r8,zero,2d648 <__adddf3+0x548> - 2d5dc: 0005883a mov r2,zero - 2d5e0: 0007883a mov r3,zero - 2d5e4: 0021883a mov r16,zero - 2d5e8: 003f4d06 br 2d320 <__adddf3+0x220> - 2d5ec: 008007c4 movi r2,31 - 2d5f0: 11003c16 blt r2,r4,2d6e4 <__adddf3+0x5e4> - 2d5f4: 00800804 movi r2,32 - 2d5f8: 1105c83a sub r2,r2,r4 - 2d5fc: 488e983a sll r7,r9,r2 - 2d600: 310ad83a srl r5,r6,r4 - 2d604: 3084983a sll r2,r6,r2 - 2d608: 4912d83a srl r9,r9,r4 - 2d60c: 394ab03a or r5,r7,r5 - 2d610: 1004c03a cmpne r2,r2,zero - 2d614: 288ab03a or r5,r5,r2 - 2d618: 003f5306 br 2d368 <__adddf3+0x268> - 2d61c: 4448b03a or r4,r8,r17 - 2d620: 20003e26 beq r4,zero,2d71c <__adddf3+0x61c> - 2d624: 00c6303a nor r3,zero,r3 - 2d628: 18003a1e bne r3,zero,2d714 <__adddf3+0x614> - 2d62c: 3463c83a sub r17,r6,r17 - 2d630: 4a07c83a sub r3,r9,r8 - 2d634: 344d803a cmpltu r6,r6,r17 - 2d638: 1991c83a sub r8,r3,r6 - 2d63c: 1021883a mov r16,r2 - 2d640: 3825883a mov r18,r7 - 2d644: 003f0a06 br 2d270 <__adddf3+0x170> - 2d648: 2023883a mov r17,r4 - 2d64c: 003f0d06 br 2d284 <__adddf3+0x184> - 2d650: 0081ffc4 movi r2,2047 - 2d654: 80bf3f1e bne r16,r2,2d354 <__adddf3+0x254> - 2d658: 003ec806 br 2d17c <__adddf3+0x7c> - 2d65c: 0005883a mov r2,zero - 2d660: 003fb106 br 2d528 <__adddf3+0x428> - 2d664: 0007883a mov r3,zero - 2d668: 003fbc06 br 2d55c <__adddf3+0x45c> - 2d66c: 4444b03a or r2,r8,r17 - 2d670: 8000871e bne r16,zero,2d890 <__adddf3+0x790> - 2d674: 1000ba26 beq r2,zero,2d960 <__adddf3+0x860> - 2d678: 4984b03a or r2,r9,r6 - 2d67c: 103ebf26 beq r2,zero,2d17c <__adddf3+0x7c> - 2d680: 8985883a add r2,r17,r6 - 2d684: 4247883a add r3,r8,r9 - 2d688: 1451803a cmpltu r8,r2,r17 - 2d68c: 40d1883a add r8,r8,r3 - 2d690: 40c0202c andhi r3,r8,128 - 2d694: 1023883a mov r17,r2 - 2d698: 183f1a26 beq r3,zero,2d304 <__adddf3+0x204> - 2d69c: 00bfe034 movhi r2,65408 - 2d6a0: 10bfffc4 addi r2,r2,-1 - 2d6a4: 2021883a mov r16,r4 - 2d6a8: 4090703a and r8,r8,r2 - 2d6ac: 003eb306 br 2d17c <__adddf3+0x7c> - 2d6b0: 4444b03a or r2,r8,r17 - 2d6b4: 8000291e bne r16,zero,2d75c <__adddf3+0x65c> - 2d6b8: 10004b1e bne r2,zero,2d7e8 <__adddf3+0x6e8> - 2d6bc: 4990b03a or r8,r9,r6 - 2d6c0: 40008b26 beq r8,zero,2d8f0 <__adddf3+0x7f0> - 2d6c4: 4811883a mov r8,r9 - 2d6c8: 3023883a mov r17,r6 - 2d6cc: 3825883a mov r18,r7 - 2d6d0: 003eaa06 br 2d17c <__adddf3+0x7c> - 2d6d4: 1021883a mov r16,r2 - 2d6d8: 0011883a mov r8,zero - 2d6dc: 0005883a mov r2,zero - 2d6e0: 003f0f06 br 2d320 <__adddf3+0x220> - 2d6e4: 217ff804 addi r5,r4,-32 - 2d6e8: 00800804 movi r2,32 - 2d6ec: 494ad83a srl r5,r9,r5 - 2d6f0: 20807d26 beq r4,r2,2d8e8 <__adddf3+0x7e8> - 2d6f4: 00801004 movi r2,64 - 2d6f8: 1109c83a sub r4,r2,r4 - 2d6fc: 4912983a sll r9,r9,r4 - 2d700: 498cb03a or r6,r9,r6 - 2d704: 300cc03a cmpne r6,r6,zero - 2d708: 298ab03a or r5,r5,r6 - 2d70c: 0013883a mov r9,zero - 2d710: 003f1506 br 2d368 <__adddf3+0x268> - 2d714: 0101ffc4 movi r4,2047 - 2d718: 113f9a1e bne r2,r4,2d584 <__adddf3+0x484> - 2d71c: 4811883a mov r8,r9 - 2d720: 3023883a mov r17,r6 - 2d724: 1021883a mov r16,r2 - 2d728: 3825883a mov r18,r7 - 2d72c: 003e9306 br 2d17c <__adddf3+0x7c> - 2d730: 8000161e bne r16,zero,2d78c <__adddf3+0x68c> - 2d734: 444ab03a or r5,r8,r17 - 2d738: 28005126 beq r5,zero,2d880 <__adddf3+0x780> - 2d73c: 0108303a nor r4,zero,r4 - 2d740: 20004d1e bne r4,zero,2d878 <__adddf3+0x778> - 2d744: 89a3883a add r17,r17,r6 - 2d748: 4253883a add r9,r8,r9 - 2d74c: 898d803a cmpltu r6,r17,r6 - 2d750: 3251883a add r8,r6,r9 - 2d754: 1021883a mov r16,r2 - 2d758: 003f0806 br 2d37c <__adddf3+0x27c> - 2d75c: 1000301e bne r2,zero,2d820 <__adddf3+0x720> - 2d760: 4984b03a or r2,r9,r6 - 2d764: 10007126 beq r2,zero,2d92c <__adddf3+0x82c> - 2d768: 4811883a mov r8,r9 - 2d76c: 3023883a mov r17,r6 - 2d770: 3825883a mov r18,r7 - 2d774: 0401ffc4 movi r16,2047 - 2d778: 003e8006 br 2d17c <__adddf3+0x7c> - 2d77c: 4462b03a or r17,r8,r17 - 2d780: 8822c03a cmpne r17,r17,zero - 2d784: 0007883a mov r3,zero - 2d788: 003f8b06 br 2d5b8 <__adddf3+0x4b8> - 2d78c: 0141ffc4 movi r5,2047 - 2d790: 11403b26 beq r2,r5,2d880 <__adddf3+0x780> - 2d794: 0109c83a sub r4,zero,r4 - 2d798: 42002034 orhi r8,r8,128 - 2d79c: 01400e04 movi r5,56 - 2d7a0: 29006716 blt r5,r4,2d940 <__adddf3+0x840> - 2d7a4: 014007c4 movi r5,31 - 2d7a8: 29007016 blt r5,r4,2d96c <__adddf3+0x86c> - 2d7ac: 01400804 movi r5,32 - 2d7b0: 290bc83a sub r5,r5,r4 - 2d7b4: 4154983a sll r10,r8,r5 - 2d7b8: 890ed83a srl r7,r17,r4 - 2d7bc: 894a983a sll r5,r17,r5 - 2d7c0: 4108d83a srl r4,r8,r4 - 2d7c4: 51e2b03a or r17,r10,r7 - 2d7c8: 280ac03a cmpne r5,r5,zero - 2d7cc: 8962b03a or r17,r17,r5 - 2d7d0: 89a3883a add r17,r17,r6 - 2d7d4: 2253883a add r9,r4,r9 - 2d7d8: 898d803a cmpltu r6,r17,r6 - 2d7dc: 3251883a add r8,r6,r9 - 2d7e0: 1021883a mov r16,r2 - 2d7e4: 003ee506 br 2d37c <__adddf3+0x27c> - 2d7e8: 4984b03a or r2,r9,r6 - 2d7ec: 103e6326 beq r2,zero,2d17c <__adddf3+0x7c> - 2d7f0: 8987c83a sub r3,r17,r6 - 2d7f4: 88c9803a cmpltu r4,r17,r3 - 2d7f8: 4245c83a sub r2,r8,r9 - 2d7fc: 1105c83a sub r2,r2,r4 - 2d800: 1100202c andhi r4,r2,128 - 2d804: 203ebb26 beq r4,zero,2d2f4 <__adddf3+0x1f4> - 2d808: 3463c83a sub r17,r6,r17 - 2d80c: 4a07c83a sub r3,r9,r8 - 2d810: 344d803a cmpltu r6,r6,r17 - 2d814: 1991c83a sub r8,r3,r6 - 2d818: 3825883a mov r18,r7 - 2d81c: 003e5706 br 2d17c <__adddf3+0x7c> - 2d820: 4984b03a or r2,r9,r6 - 2d824: 10002e26 beq r2,zero,2d8e0 <__adddf3+0x7e0> - 2d828: 4004d0fa srli r2,r8,3 - 2d82c: 8822d0fa srli r17,r17,3 - 2d830: 4010977a slli r8,r8,29 - 2d834: 10c0022c andhi r3,r2,8 - 2d838: 4462b03a or r17,r8,r17 - 2d83c: 18000826 beq r3,zero,2d860 <__adddf3+0x760> - 2d840: 4808d0fa srli r4,r9,3 - 2d844: 20c0022c andhi r3,r4,8 - 2d848: 1800051e bne r3,zero,2d860 <__adddf3+0x760> - 2d84c: 300cd0fa srli r6,r6,3 - 2d850: 4806977a slli r3,r9,29 - 2d854: 2005883a mov r2,r4 - 2d858: 3825883a mov r18,r7 - 2d85c: 19a2b03a or r17,r3,r6 - 2d860: 8810d77a srli r8,r17,29 - 2d864: 100490fa slli r2,r2,3 - 2d868: 882290fa slli r17,r17,3 - 2d86c: 0401ffc4 movi r16,2047 - 2d870: 4090b03a or r8,r8,r2 - 2d874: 003e4106 br 2d17c <__adddf3+0x7c> - 2d878: 0141ffc4 movi r5,2047 - 2d87c: 117fc71e bne r2,r5,2d79c <__adddf3+0x69c> - 2d880: 4811883a mov r8,r9 - 2d884: 3023883a mov r17,r6 - 2d888: 1021883a mov r16,r2 - 2d88c: 003e3b06 br 2d17c <__adddf3+0x7c> - 2d890: 10002f26 beq r2,zero,2d950 <__adddf3+0x850> - 2d894: 4984b03a or r2,r9,r6 - 2d898: 10001126 beq r2,zero,2d8e0 <__adddf3+0x7e0> - 2d89c: 4004d0fa srli r2,r8,3 - 2d8a0: 8822d0fa srli r17,r17,3 - 2d8a4: 4010977a slli r8,r8,29 - 2d8a8: 10c0022c andhi r3,r2,8 - 2d8ac: 4462b03a or r17,r8,r17 - 2d8b0: 183feb26 beq r3,zero,2d860 <__adddf3+0x760> - 2d8b4: 4808d0fa srli r4,r9,3 - 2d8b8: 20c0022c andhi r3,r4,8 - 2d8bc: 183fe81e bne r3,zero,2d860 <__adddf3+0x760> - 2d8c0: 300cd0fa srli r6,r6,3 - 2d8c4: 4806977a slli r3,r9,29 - 2d8c8: 2005883a mov r2,r4 - 2d8cc: 19a2b03a or r17,r3,r6 - 2d8d0: 003fe306 br 2d860 <__adddf3+0x760> - 2d8d4: 0011883a mov r8,zero - 2d8d8: 0005883a mov r2,zero - 2d8dc: 003e3f06 br 2d1dc <__adddf3+0xdc> - 2d8e0: 0401ffc4 movi r16,2047 - 2d8e4: 003e2506 br 2d17c <__adddf3+0x7c> - 2d8e8: 0013883a mov r9,zero - 2d8ec: 003f8406 br 2d700 <__adddf3+0x600> - 2d8f0: 0005883a mov r2,zero - 2d8f4: 0007883a mov r3,zero - 2d8f8: 003e8906 br 2d320 <__adddf3+0x220> - 2d8fc: 197ff804 addi r5,r3,-32 - 2d900: 01000804 movi r4,32 - 2d904: 414ad83a srl r5,r8,r5 - 2d908: 19002426 beq r3,r4,2d99c <__adddf3+0x89c> - 2d90c: 01001004 movi r4,64 - 2d910: 20c7c83a sub r3,r4,r3 - 2d914: 40c6983a sll r3,r8,r3 - 2d918: 1c46b03a or r3,r3,r17 - 2d91c: 1806c03a cmpne r3,r3,zero - 2d920: 28e2b03a or r17,r5,r3 - 2d924: 0007883a mov r3,zero - 2d928: 003f2306 br 2d5b8 <__adddf3+0x4b8> - 2d92c: 0007883a mov r3,zero - 2d930: 5811883a mov r8,r11 - 2d934: 00bfffc4 movi r2,-1 - 2d938: 0401ffc4 movi r16,2047 - 2d93c: 003e7806 br 2d320 <__adddf3+0x220> - 2d940: 4462b03a or r17,r8,r17 - 2d944: 8822c03a cmpne r17,r17,zero - 2d948: 0009883a mov r4,zero - 2d94c: 003fa006 br 2d7d0 <__adddf3+0x6d0> - 2d950: 4811883a mov r8,r9 - 2d954: 3023883a mov r17,r6 - 2d958: 0401ffc4 movi r16,2047 - 2d95c: 003e0706 br 2d17c <__adddf3+0x7c> - 2d960: 4811883a mov r8,r9 - 2d964: 3023883a mov r17,r6 - 2d968: 003e0406 br 2d17c <__adddf3+0x7c> - 2d96c: 21fff804 addi r7,r4,-32 - 2d970: 01400804 movi r5,32 - 2d974: 41ced83a srl r7,r8,r7 - 2d978: 21400a26 beq r4,r5,2d9a4 <__adddf3+0x8a4> - 2d97c: 01401004 movi r5,64 - 2d980: 2909c83a sub r4,r5,r4 - 2d984: 4108983a sll r4,r8,r4 - 2d988: 2448b03a or r4,r4,r17 - 2d98c: 2008c03a cmpne r4,r4,zero - 2d990: 3922b03a or r17,r7,r4 - 2d994: 0009883a mov r4,zero - 2d998: 003f8d06 br 2d7d0 <__adddf3+0x6d0> - 2d99c: 0007883a mov r3,zero - 2d9a0: 003fdd06 br 2d918 <__adddf3+0x818> - 2d9a4: 0009883a mov r4,zero - 2d9a8: 003ff706 br 2d988 <__adddf3+0x888> +0002d1f8 <__divdf3>: + 2d1f8: defff204 addi sp,sp,-56 + 2d1fc: dd400915 stw r21,36(sp) + 2d200: 282ad53a srli r21,r5,20 + 2d204: dd000815 stw r20,32(sp) + 2d208: 2828d7fa srli r20,r5,31 + 2d20c: dc000415 stw r16,16(sp) + 2d210: 04000434 movhi r16,16 + 2d214: df000c15 stw fp,48(sp) + 2d218: 843fffc4 addi r16,r16,-1 + 2d21c: dfc00d15 stw ra,52(sp) + 2d220: ddc00b15 stw r23,44(sp) + 2d224: dd800a15 stw r22,40(sp) + 2d228: dcc00715 stw r19,28(sp) + 2d22c: dc800615 stw r18,24(sp) + 2d230: dc400515 stw r17,20(sp) + 2d234: ad41ffcc andi r21,r21,2047 + 2d238: 2c20703a and r16,r5,r16 + 2d23c: a7003fcc andi fp,r20,255 + 2d240: a8006126 beq r21,zero,2d3c8 <__divdf3+0x1d0> + 2d244: 0081ffc4 movi r2,2047 + 2d248: 2025883a mov r18,r4 + 2d24c: a8803726 beq r21,r2,2d32c <__divdf3+0x134> + 2d250: 80800434 orhi r2,r16,16 + 2d254: 100490fa slli r2,r2,3 + 2d258: 2020d77a srli r16,r4,29 + 2d25c: 202490fa slli r18,r4,3 + 2d260: ad7f0044 addi r21,r21,-1023 + 2d264: 80a0b03a or r16,r16,r2 + 2d268: 0027883a mov r19,zero + 2d26c: 0013883a mov r9,zero + 2d270: 3804d53a srli r2,r7,20 + 2d274: 382cd7fa srli r22,r7,31 + 2d278: 04400434 movhi r17,16 + 2d27c: 8c7fffc4 addi r17,r17,-1 + 2d280: 1081ffcc andi r2,r2,2047 + 2d284: 3011883a mov r8,r6 + 2d288: 3c62703a and r17,r7,r17 + 2d28c: b5c03fcc andi r23,r22,255 + 2d290: 10006c26 beq r2,zero,2d444 <__divdf3+0x24c> + 2d294: 00c1ffc4 movi r3,2047 + 2d298: 10c06426 beq r2,r3,2d42c <__divdf3+0x234> + 2d29c: 88c00434 orhi r3,r17,16 + 2d2a0: 180690fa slli r3,r3,3 + 2d2a4: 3022d77a srli r17,r6,29 + 2d2a8: 301090fa slli r8,r6,3 + 2d2ac: 10bf0044 addi r2,r2,-1023 + 2d2b0: 88e2b03a or r17,r17,r3 + 2d2b4: 000f883a mov r7,zero + 2d2b8: a58cf03a xor r6,r20,r22 + 2d2bc: 3cc8b03a or r4,r7,r19 + 2d2c0: a8abc83a sub r21,r21,r2 + 2d2c4: 008003c4 movi r2,15 + 2d2c8: 3007883a mov r3,r6 + 2d2cc: 34c03fcc andi r19,r6,255 + 2d2d0: 11009036 bltu r2,r4,2d514 <__divdf3+0x31c> + 2d2d4: 200890ba slli r4,r4,2 + 2d2d8: 008000f4 movhi r2,3 + 2d2dc: 10b4bb04 addi r2,r2,-11540 + 2d2e0: 2089883a add r4,r4,r2 + 2d2e4: 20800017 ldw r2,0(r4) + 2d2e8: 1000683a jmp r2 + 2d2ec: 0002d514 movui zero,2900 + 2d2f0: 0002d364 muli zero,zero,2893 + 2d2f4: 0002d504 movi zero,2900 + 2d2f8: 0002d358 cmpnei zero,zero,2893 + 2d2fc: 0002d504 movi zero,2900 + 2d300: 0002d4d8 cmpnei zero,zero,2899 + 2d304: 0002d504 movi zero,2900 + 2d308: 0002d358 cmpnei zero,zero,2893 + 2d30c: 0002d364 muli zero,zero,2893 + 2d310: 0002d364 muli zero,zero,2893 + 2d314: 0002d4d8 cmpnei zero,zero,2899 + 2d318: 0002d358 cmpnei zero,zero,2893 + 2d31c: 0002d348 cmpgei zero,zero,2893 + 2d320: 0002d348 cmpgei zero,zero,2893 + 2d324: 0002d348 cmpgei zero,zero,2893 + 2d328: 0002d7f8 rdprs zero,zero,2911 + 2d32c: 2404b03a or r2,r4,r16 + 2d330: 1000661e bne r2,zero,2d4cc <__divdf3+0x2d4> + 2d334: 04c00204 movi r19,8 + 2d338: 0021883a mov r16,zero + 2d33c: 0025883a mov r18,zero + 2d340: 02400084 movi r9,2 + 2d344: 003fca06 br 2d270 <_gp+0xffff0d38> + 2d348: 8023883a mov r17,r16 + 2d34c: 9011883a mov r8,r18 + 2d350: e02f883a mov r23,fp + 2d354: 480f883a mov r7,r9 + 2d358: 00800084 movi r2,2 + 2d35c: 3881311e bne r7,r2,2d824 <__divdf3+0x62c> + 2d360: b827883a mov r19,r23 + 2d364: 98c0004c andi r3,r19,1 + 2d368: 0081ffc4 movi r2,2047 + 2d36c: 000b883a mov r5,zero + 2d370: 0025883a mov r18,zero + 2d374: 1004953a slli r2,r2,20 + 2d378: 18c03fcc andi r3,r3,255 + 2d37c: 04400434 movhi r17,16 + 2d380: 8c7fffc4 addi r17,r17,-1 + 2d384: 180697fa slli r3,r3,31 + 2d388: 2c4a703a and r5,r5,r17 + 2d38c: 288ab03a or r5,r5,r2 + 2d390: 28c6b03a or r3,r5,r3 + 2d394: 9005883a mov r2,r18 + 2d398: dfc00d17 ldw ra,52(sp) + 2d39c: df000c17 ldw fp,48(sp) + 2d3a0: ddc00b17 ldw r23,44(sp) + 2d3a4: dd800a17 ldw r22,40(sp) + 2d3a8: dd400917 ldw r21,36(sp) + 2d3ac: dd000817 ldw r20,32(sp) + 2d3b0: dcc00717 ldw r19,28(sp) + 2d3b4: dc800617 ldw r18,24(sp) + 2d3b8: dc400517 ldw r17,20(sp) + 2d3bc: dc000417 ldw r16,16(sp) + 2d3c0: dec00e04 addi sp,sp,56 + 2d3c4: f800283a ret + 2d3c8: 2404b03a or r2,r4,r16 + 2d3cc: 2027883a mov r19,r4 + 2d3d0: 10003926 beq r2,zero,2d4b8 <__divdf3+0x2c0> + 2d3d4: 80012e26 beq r16,zero,2d890 <__divdf3+0x698> + 2d3d8: 8009883a mov r4,r16 + 2d3dc: d9800315 stw r6,12(sp) + 2d3e0: d9c00215 stw r7,8(sp) + 2d3e4: 002ef500 call 2ef50 <__clzsi2> + 2d3e8: d9800317 ldw r6,12(sp) + 2d3ec: d9c00217 ldw r7,8(sp) + 2d3f0: 113ffd44 addi r4,r2,-11 + 2d3f4: 00c00704 movi r3,28 + 2d3f8: 19012116 blt r3,r4,2d880 <__divdf3+0x688> + 2d3fc: 00c00744 movi r3,29 + 2d400: 147ffe04 addi r17,r2,-8 + 2d404: 1907c83a sub r3,r3,r4 + 2d408: 8460983a sll r16,r16,r17 + 2d40c: 98c6d83a srl r3,r19,r3 + 2d410: 9c64983a sll r18,r19,r17 + 2d414: 1c20b03a or r16,r3,r16 + 2d418: 1080fcc4 addi r2,r2,1011 + 2d41c: 00abc83a sub r21,zero,r2 + 2d420: 0027883a mov r19,zero + 2d424: 0013883a mov r9,zero + 2d428: 003f9106 br 2d270 <_gp+0xffff0d38> + 2d42c: 3446b03a or r3,r6,r17 + 2d430: 18001f1e bne r3,zero,2d4b0 <__divdf3+0x2b8> + 2d434: 0023883a mov r17,zero + 2d438: 0011883a mov r8,zero + 2d43c: 01c00084 movi r7,2 + 2d440: 003f9d06 br 2d2b8 <_gp+0xffff0d80> + 2d444: 3446b03a or r3,r6,r17 + 2d448: 18001526 beq r3,zero,2d4a0 <__divdf3+0x2a8> + 2d44c: 88011b26 beq r17,zero,2d8bc <__divdf3+0x6c4> + 2d450: 8809883a mov r4,r17 + 2d454: d9800315 stw r6,12(sp) + 2d458: da400115 stw r9,4(sp) + 2d45c: 002ef500 call 2ef50 <__clzsi2> + 2d460: d9800317 ldw r6,12(sp) + 2d464: da400117 ldw r9,4(sp) + 2d468: 113ffd44 addi r4,r2,-11 + 2d46c: 00c00704 movi r3,28 + 2d470: 19010e16 blt r3,r4,2d8ac <__divdf3+0x6b4> + 2d474: 00c00744 movi r3,29 + 2d478: 123ffe04 addi r8,r2,-8 + 2d47c: 1907c83a sub r3,r3,r4 + 2d480: 8a22983a sll r17,r17,r8 + 2d484: 30c6d83a srl r3,r6,r3 + 2d488: 3210983a sll r8,r6,r8 + 2d48c: 1c62b03a or r17,r3,r17 + 2d490: 1080fcc4 addi r2,r2,1011 + 2d494: 0085c83a sub r2,zero,r2 + 2d498: 000f883a mov r7,zero + 2d49c: 003f8606 br 2d2b8 <_gp+0xffff0d80> + 2d4a0: 0023883a mov r17,zero + 2d4a4: 0011883a mov r8,zero + 2d4a8: 01c00044 movi r7,1 + 2d4ac: 003f8206 br 2d2b8 <_gp+0xffff0d80> + 2d4b0: 01c000c4 movi r7,3 + 2d4b4: 003f8006 br 2d2b8 <_gp+0xffff0d80> + 2d4b8: 04c00104 movi r19,4 + 2d4bc: 0021883a mov r16,zero + 2d4c0: 0025883a mov r18,zero + 2d4c4: 02400044 movi r9,1 + 2d4c8: 003f6906 br 2d270 <_gp+0xffff0d38> + 2d4cc: 04c00304 movi r19,12 + 2d4d0: 024000c4 movi r9,3 + 2d4d4: 003f6606 br 2d270 <_gp+0xffff0d38> + 2d4d8: 01400434 movhi r5,16 + 2d4dc: 0007883a mov r3,zero + 2d4e0: 297fffc4 addi r5,r5,-1 + 2d4e4: 04bfffc4 movi r18,-1 + 2d4e8: 0081ffc4 movi r2,2047 + 2d4ec: 003fa106 br 2d374 <_gp+0xffff0e3c> + 2d4f0: 00c00044 movi r3,1 + 2d4f4: 1887c83a sub r3,r3,r2 + 2d4f8: 01000e04 movi r4,56 + 2d4fc: 20c1210e bge r4,r3,2d984 <__divdf3+0x78c> + 2d500: 98c0004c andi r3,r19,1 + 2d504: 0005883a mov r2,zero + 2d508: 000b883a mov r5,zero + 2d50c: 0025883a mov r18,zero + 2d510: 003f9806 br 2d374 <_gp+0xffff0e3c> + 2d514: 8c00fd36 bltu r17,r16,2d90c <__divdf3+0x714> + 2d518: 8440fb26 beq r16,r17,2d908 <__divdf3+0x710> + 2d51c: 8007883a mov r3,r16 + 2d520: ad7fffc4 addi r21,r21,-1 + 2d524: 0021883a mov r16,zero + 2d528: 4004d63a srli r2,r8,24 + 2d52c: 8822923a slli r17,r17,8 + 2d530: 1809883a mov r4,r3 + 2d534: 402c923a slli r22,r8,8 + 2d538: 88b8b03a or fp,r17,r2 + 2d53c: e028d43a srli r20,fp,16 + 2d540: d8c00015 stw r3,0(sp) + 2d544: e5ffffcc andi r23,fp,65535 + 2d548: a00b883a mov r5,r20 + 2d54c: 00225dc0 call 225dc <__udivsi3> + 2d550: d8c00017 ldw r3,0(sp) + 2d554: a00b883a mov r5,r20 + 2d558: d8800315 stw r2,12(sp) + 2d55c: 1809883a mov r4,r3 + 2d560: 00226400 call 22640 <__umodsi3> + 2d564: d9800317 ldw r6,12(sp) + 2d568: 1006943a slli r3,r2,16 + 2d56c: 9004d43a srli r2,r18,16 + 2d570: b9a3383a mul r17,r23,r6 + 2d574: 10c4b03a or r2,r2,r3 + 2d578: 1440062e bgeu r2,r17,2d594 <__divdf3+0x39c> + 2d57c: 1705883a add r2,r2,fp + 2d580: 30ffffc4 addi r3,r6,-1 + 2d584: 1700ee36 bltu r2,fp,2d940 <__divdf3+0x748> + 2d588: 1440ed2e bgeu r2,r17,2d940 <__divdf3+0x748> + 2d58c: 31bfff84 addi r6,r6,-2 + 2d590: 1705883a add r2,r2,fp + 2d594: 1463c83a sub r17,r2,r17 + 2d598: a00b883a mov r5,r20 + 2d59c: 8809883a mov r4,r17 + 2d5a0: d9800315 stw r6,12(sp) + 2d5a4: 00225dc0 call 225dc <__udivsi3> + 2d5a8: a00b883a mov r5,r20 + 2d5ac: 8809883a mov r4,r17 + 2d5b0: d8800215 stw r2,8(sp) + 2d5b4: 00226400 call 22640 <__umodsi3> + 2d5b8: d9c00217 ldw r7,8(sp) + 2d5bc: 1004943a slli r2,r2,16 + 2d5c0: 94bfffcc andi r18,r18,65535 + 2d5c4: b9d1383a mul r8,r23,r7 + 2d5c8: 90a4b03a or r18,r18,r2 + 2d5cc: d9800317 ldw r6,12(sp) + 2d5d0: 9200062e bgeu r18,r8,2d5ec <__divdf3+0x3f4> + 2d5d4: 9725883a add r18,r18,fp + 2d5d8: 38bfffc4 addi r2,r7,-1 + 2d5dc: 9700d636 bltu r18,fp,2d938 <__divdf3+0x740> + 2d5e0: 9200d52e bgeu r18,r8,2d938 <__divdf3+0x740> + 2d5e4: 39ffff84 addi r7,r7,-2 + 2d5e8: 9725883a add r18,r18,fp + 2d5ec: 3004943a slli r2,r6,16 + 2d5f0: b012d43a srli r9,r22,16 + 2d5f4: b1bfffcc andi r6,r22,65535 + 2d5f8: 11e2b03a or r17,r2,r7 + 2d5fc: 8806d43a srli r3,r17,16 + 2d600: 893fffcc andi r4,r17,65535 + 2d604: 218b383a mul r5,r4,r6 + 2d608: 30c5383a mul r2,r6,r3 + 2d60c: 2249383a mul r4,r4,r9 + 2d610: 280ed43a srli r7,r5,16 + 2d614: 9225c83a sub r18,r18,r8 + 2d618: 2089883a add r4,r4,r2 + 2d61c: 3909883a add r4,r7,r4 + 2d620: 1a47383a mul r3,r3,r9 + 2d624: 2080022e bgeu r4,r2,2d630 <__divdf3+0x438> + 2d628: 00800074 movhi r2,1 + 2d62c: 1887883a add r3,r3,r2 + 2d630: 2004d43a srli r2,r4,16 + 2d634: 2008943a slli r4,r4,16 + 2d638: 297fffcc andi r5,r5,65535 + 2d63c: 10c7883a add r3,r2,r3 + 2d640: 2149883a add r4,r4,r5 + 2d644: 90c0a536 bltu r18,r3,2d8dc <__divdf3+0x6e4> + 2d648: 90c0bf26 beq r18,r3,2d948 <__divdf3+0x750> + 2d64c: 90c7c83a sub r3,r18,r3 + 2d650: 810fc83a sub r7,r16,r4 + 2d654: 81e5803a cmpltu r18,r16,r7 + 2d658: 1ca5c83a sub r18,r3,r18 + 2d65c: e480c126 beq fp,r18,2d964 <__divdf3+0x76c> + 2d660: a00b883a mov r5,r20 + 2d664: 9009883a mov r4,r18 + 2d668: d9800315 stw r6,12(sp) + 2d66c: d9c00215 stw r7,8(sp) + 2d670: da400115 stw r9,4(sp) + 2d674: 00225dc0 call 225dc <__udivsi3> + 2d678: a00b883a mov r5,r20 + 2d67c: 9009883a mov r4,r18 + 2d680: d8800015 stw r2,0(sp) + 2d684: 00226400 call 22640 <__umodsi3> + 2d688: d9c00217 ldw r7,8(sp) + 2d68c: da000017 ldw r8,0(sp) + 2d690: 1006943a slli r3,r2,16 + 2d694: 3804d43a srli r2,r7,16 + 2d698: ba21383a mul r16,r23,r8 + 2d69c: d9800317 ldw r6,12(sp) + 2d6a0: 10c4b03a or r2,r2,r3 + 2d6a4: da400117 ldw r9,4(sp) + 2d6a8: 1400062e bgeu r2,r16,2d6c4 <__divdf3+0x4cc> + 2d6ac: 1705883a add r2,r2,fp + 2d6b0: 40ffffc4 addi r3,r8,-1 + 2d6b4: 1700ad36 bltu r2,fp,2d96c <__divdf3+0x774> + 2d6b8: 1400ac2e bgeu r2,r16,2d96c <__divdf3+0x774> + 2d6bc: 423fff84 addi r8,r8,-2 + 2d6c0: 1705883a add r2,r2,fp + 2d6c4: 1421c83a sub r16,r2,r16 + 2d6c8: a00b883a mov r5,r20 + 2d6cc: 8009883a mov r4,r16 + 2d6d0: d9800315 stw r6,12(sp) + 2d6d4: d9c00215 stw r7,8(sp) + 2d6d8: da000015 stw r8,0(sp) + 2d6dc: da400115 stw r9,4(sp) + 2d6e0: 00225dc0 call 225dc <__udivsi3> + 2d6e4: 8009883a mov r4,r16 + 2d6e8: a00b883a mov r5,r20 + 2d6ec: 1025883a mov r18,r2 + 2d6f0: 00226400 call 22640 <__umodsi3> + 2d6f4: d9c00217 ldw r7,8(sp) + 2d6f8: 1004943a slli r2,r2,16 + 2d6fc: bcaf383a mul r23,r23,r18 + 2d700: 393fffcc andi r4,r7,65535 + 2d704: 2088b03a or r4,r4,r2 + 2d708: d9800317 ldw r6,12(sp) + 2d70c: da000017 ldw r8,0(sp) + 2d710: da400117 ldw r9,4(sp) + 2d714: 25c0062e bgeu r4,r23,2d730 <__divdf3+0x538> + 2d718: 2709883a add r4,r4,fp + 2d71c: 90bfffc4 addi r2,r18,-1 + 2d720: 27009436 bltu r4,fp,2d974 <__divdf3+0x77c> + 2d724: 25c0932e bgeu r4,r23,2d974 <__divdf3+0x77c> + 2d728: 94bfff84 addi r18,r18,-2 + 2d72c: 2709883a add r4,r4,fp + 2d730: 4004943a slli r2,r8,16 + 2d734: 25efc83a sub r23,r4,r23 + 2d738: 1490b03a or r8,r2,r18 + 2d73c: 4008d43a srli r4,r8,16 + 2d740: 40ffffcc andi r3,r8,65535 + 2d744: 30c5383a mul r2,r6,r3 + 2d748: 1a47383a mul r3,r3,r9 + 2d74c: 310d383a mul r6,r6,r4 + 2d750: 100ad43a srli r5,r2,16 + 2d754: 4913383a mul r9,r9,r4 + 2d758: 1987883a add r3,r3,r6 + 2d75c: 28c7883a add r3,r5,r3 + 2d760: 1980022e bgeu r3,r6,2d76c <__divdf3+0x574> + 2d764: 01000074 movhi r4,1 + 2d768: 4913883a add r9,r9,r4 + 2d76c: 1808d43a srli r4,r3,16 + 2d770: 1806943a slli r3,r3,16 + 2d774: 10bfffcc andi r2,r2,65535 + 2d778: 2253883a add r9,r4,r9 + 2d77c: 1887883a add r3,r3,r2 + 2d780: ba403836 bltu r23,r9,2d864 <__divdf3+0x66c> + 2d784: ba403626 beq r23,r9,2d860 <__divdf3+0x668> + 2d788: 42000054 ori r8,r8,1 + 2d78c: a880ffc4 addi r2,r21,1023 + 2d790: 00bf570e bge zero,r2,2d4f0 <_gp+0xffff0fb8> + 2d794: 40c001cc andi r3,r8,7 + 2d798: 18000726 beq r3,zero,2d7b8 <__divdf3+0x5c0> + 2d79c: 40c003cc andi r3,r8,15 + 2d7a0: 01000104 movi r4,4 + 2d7a4: 19000426 beq r3,r4,2d7b8 <__divdf3+0x5c0> + 2d7a8: 4107883a add r3,r8,r4 + 2d7ac: 1a11803a cmpltu r8,r3,r8 + 2d7b0: 8a23883a add r17,r17,r8 + 2d7b4: 1811883a mov r8,r3 + 2d7b8: 88c0402c andhi r3,r17,256 + 2d7bc: 18000426 beq r3,zero,2d7d0 <__divdf3+0x5d8> + 2d7c0: 00ffc034 movhi r3,65280 + 2d7c4: 18ffffc4 addi r3,r3,-1 + 2d7c8: a8810004 addi r2,r21,1024 + 2d7cc: 88e2703a and r17,r17,r3 + 2d7d0: 00c1ff84 movi r3,2046 + 2d7d4: 18bee316 blt r3,r2,2d364 <_gp+0xffff0e2c> + 2d7d8: 8824977a slli r18,r17,29 + 2d7dc: 4010d0fa srli r8,r8,3 + 2d7e0: 8822927a slli r17,r17,9 + 2d7e4: 1081ffcc andi r2,r2,2047 + 2d7e8: 9224b03a or r18,r18,r8 + 2d7ec: 880ad33a srli r5,r17,12 + 2d7f0: 98c0004c andi r3,r19,1 + 2d7f4: 003edf06 br 2d374 <_gp+0xffff0e3c> + 2d7f8: 8080022c andhi r2,r16,8 + 2d7fc: 10001226 beq r2,zero,2d848 <__divdf3+0x650> + 2d800: 8880022c andhi r2,r17,8 + 2d804: 1000101e bne r2,zero,2d848 <__divdf3+0x650> + 2d808: 00800434 movhi r2,16 + 2d80c: 89400234 orhi r5,r17,8 + 2d810: 10bfffc4 addi r2,r2,-1 + 2d814: b007883a mov r3,r22 + 2d818: 288a703a and r5,r5,r2 + 2d81c: 4025883a mov r18,r8 + 2d820: 003f3106 br 2d4e8 <_gp+0xffff0fb0> + 2d824: 008000c4 movi r2,3 + 2d828: 3880a626 beq r7,r2,2dac4 <__divdf3+0x8cc> + 2d82c: 00800044 movi r2,1 + 2d830: 3880521e bne r7,r2,2d97c <__divdf3+0x784> + 2d834: b807883a mov r3,r23 + 2d838: 0005883a mov r2,zero + 2d83c: 000b883a mov r5,zero + 2d840: 0025883a mov r18,zero + 2d844: 003ecb06 br 2d374 <_gp+0xffff0e3c> + 2d848: 00800434 movhi r2,16 + 2d84c: 81400234 orhi r5,r16,8 + 2d850: 10bfffc4 addi r2,r2,-1 + 2d854: a007883a mov r3,r20 + 2d858: 288a703a and r5,r5,r2 + 2d85c: 003f2206 br 2d4e8 <_gp+0xffff0fb0> + 2d860: 183fca26 beq r3,zero,2d78c <_gp+0xffff1254> + 2d864: e5ef883a add r23,fp,r23 + 2d868: 40bfffc4 addi r2,r8,-1 + 2d86c: bf00392e bgeu r23,fp,2d954 <__divdf3+0x75c> + 2d870: 1011883a mov r8,r2 + 2d874: ba7fc41e bne r23,r9,2d788 <_gp+0xffff1250> + 2d878: b0ffc31e bne r22,r3,2d788 <_gp+0xffff1250> + 2d87c: 003fc306 br 2d78c <_gp+0xffff1254> + 2d880: 143ff604 addi r16,r2,-40 + 2d884: 9c20983a sll r16,r19,r16 + 2d888: 0025883a mov r18,zero + 2d88c: 003ee206 br 2d418 <_gp+0xffff0ee0> + 2d890: d9800315 stw r6,12(sp) + 2d894: d9c00215 stw r7,8(sp) + 2d898: 002ef500 call 2ef50 <__clzsi2> + 2d89c: 10800804 addi r2,r2,32 + 2d8a0: d9c00217 ldw r7,8(sp) + 2d8a4: d9800317 ldw r6,12(sp) + 2d8a8: 003ed106 br 2d3f0 <_gp+0xffff0eb8> + 2d8ac: 147ff604 addi r17,r2,-40 + 2d8b0: 3462983a sll r17,r6,r17 + 2d8b4: 0011883a mov r8,zero + 2d8b8: 003ef506 br 2d490 <_gp+0xffff0f58> + 2d8bc: 3009883a mov r4,r6 + 2d8c0: d9800315 stw r6,12(sp) + 2d8c4: da400115 stw r9,4(sp) + 2d8c8: 002ef500 call 2ef50 <__clzsi2> + 2d8cc: 10800804 addi r2,r2,32 + 2d8d0: da400117 ldw r9,4(sp) + 2d8d4: d9800317 ldw r6,12(sp) + 2d8d8: 003ee306 br 2d468 <_gp+0xffff0f30> + 2d8dc: 85a1883a add r16,r16,r22 + 2d8e0: 8585803a cmpltu r2,r16,r22 + 2d8e4: 1705883a add r2,r2,fp + 2d8e8: 14a5883a add r18,r2,r18 + 2d8ec: 88bfffc4 addi r2,r17,-1 + 2d8f0: e4800c2e bgeu fp,r18,2d924 <__divdf3+0x72c> + 2d8f4: 90c03e36 bltu r18,r3,2d9f0 <__divdf3+0x7f8> + 2d8f8: 1c806926 beq r3,r18,2daa0 <__divdf3+0x8a8> + 2d8fc: 90c7c83a sub r3,r18,r3 + 2d900: 1023883a mov r17,r2 + 2d904: 003f5206 br 2d650 <_gp+0xffff1118> + 2d908: 923f0436 bltu r18,r8,2d51c <_gp+0xffff0fe4> + 2d90c: 800897fa slli r4,r16,31 + 2d910: 9004d07a srli r2,r18,1 + 2d914: 8006d07a srli r3,r16,1 + 2d918: 902097fa slli r16,r18,31 + 2d91c: 20a4b03a or r18,r4,r2 + 2d920: 003f0106 br 2d528 <_gp+0xffff0ff0> + 2d924: e4bff51e bne fp,r18,2d8fc <_gp+0xffff13c4> + 2d928: 85bff22e bgeu r16,r22,2d8f4 <_gp+0xffff13bc> + 2d92c: e0c7c83a sub r3,fp,r3 + 2d930: 1023883a mov r17,r2 + 2d934: 003f4606 br 2d650 <_gp+0xffff1118> + 2d938: 100f883a mov r7,r2 + 2d93c: 003f2b06 br 2d5ec <_gp+0xffff10b4> + 2d940: 180d883a mov r6,r3 + 2d944: 003f1306 br 2d594 <_gp+0xffff105c> + 2d948: 813fe436 bltu r16,r4,2d8dc <_gp+0xffff13a4> + 2d94c: 0007883a mov r3,zero + 2d950: 003f3f06 br 2d650 <_gp+0xffff1118> + 2d954: ba402c36 bltu r23,r9,2da08 <__divdf3+0x810> + 2d958: 4dc05426 beq r9,r23,2daac <__divdf3+0x8b4> + 2d95c: 1011883a mov r8,r2 + 2d960: 003f8906 br 2d788 <_gp+0xffff1250> + 2d964: 023fffc4 movi r8,-1 + 2d968: 003f8806 br 2d78c <_gp+0xffff1254> + 2d96c: 1811883a mov r8,r3 + 2d970: 003f5406 br 2d6c4 <_gp+0xffff118c> + 2d974: 1025883a mov r18,r2 + 2d978: 003f6d06 br 2d730 <_gp+0xffff11f8> + 2d97c: b827883a mov r19,r23 + 2d980: 003f8206 br 2d78c <_gp+0xffff1254> + 2d984: 010007c4 movi r4,31 + 2d988: 20c02616 blt r4,r3,2da24 <__divdf3+0x82c> + 2d98c: 00800804 movi r2,32 + 2d990: 10c5c83a sub r2,r2,r3 + 2d994: 888a983a sll r5,r17,r2 + 2d998: 40c8d83a srl r4,r8,r3 + 2d99c: 4084983a sll r2,r8,r2 + 2d9a0: 88e2d83a srl r17,r17,r3 + 2d9a4: 2906b03a or r3,r5,r4 + 2d9a8: 1004c03a cmpne r2,r2,zero + 2d9ac: 1886b03a or r3,r3,r2 + 2d9b0: 188001cc andi r2,r3,7 + 2d9b4: 10000726 beq r2,zero,2d9d4 <__divdf3+0x7dc> + 2d9b8: 188003cc andi r2,r3,15 + 2d9bc: 01000104 movi r4,4 + 2d9c0: 11000426 beq r2,r4,2d9d4 <__divdf3+0x7dc> + 2d9c4: 1805883a mov r2,r3 + 2d9c8: 10c00104 addi r3,r2,4 + 2d9cc: 1885803a cmpltu r2,r3,r2 + 2d9d0: 88a3883a add r17,r17,r2 + 2d9d4: 8880202c andhi r2,r17,128 + 2d9d8: 10002726 beq r2,zero,2da78 <__divdf3+0x880> + 2d9dc: 98c0004c andi r3,r19,1 + 2d9e0: 00800044 movi r2,1 + 2d9e4: 000b883a mov r5,zero + 2d9e8: 0025883a mov r18,zero + 2d9ec: 003e6106 br 2d374 <_gp+0xffff0e3c> + 2d9f0: 85a1883a add r16,r16,r22 + 2d9f4: 8585803a cmpltu r2,r16,r22 + 2d9f8: 1705883a add r2,r2,fp + 2d9fc: 14a5883a add r18,r2,r18 + 2da00: 8c7fff84 addi r17,r17,-2 + 2da04: 003f1106 br 2d64c <_gp+0xffff1114> + 2da08: b589883a add r4,r22,r22 + 2da0c: 25ad803a cmpltu r22,r4,r22 + 2da10: b739883a add fp,r22,fp + 2da14: 40bfff84 addi r2,r8,-2 + 2da18: bf2f883a add r23,r23,fp + 2da1c: 202d883a mov r22,r4 + 2da20: 003f9306 br 2d870 <_gp+0xffff1338> + 2da24: 013ff844 movi r4,-31 + 2da28: 2085c83a sub r2,r4,r2 + 2da2c: 8888d83a srl r4,r17,r2 + 2da30: 00800804 movi r2,32 + 2da34: 18802126 beq r3,r2,2dabc <__divdf3+0x8c4> + 2da38: 00801004 movi r2,64 + 2da3c: 10c5c83a sub r2,r2,r3 + 2da40: 8884983a sll r2,r17,r2 + 2da44: 1204b03a or r2,r2,r8 + 2da48: 1004c03a cmpne r2,r2,zero + 2da4c: 2084b03a or r2,r4,r2 + 2da50: 144001cc andi r17,r2,7 + 2da54: 88000d1e bne r17,zero,2da8c <__divdf3+0x894> + 2da58: 000b883a mov r5,zero + 2da5c: 1024d0fa srli r18,r2,3 + 2da60: 98c0004c andi r3,r19,1 + 2da64: 0005883a mov r2,zero + 2da68: 9464b03a or r18,r18,r17 + 2da6c: 003e4106 br 2d374 <_gp+0xffff0e3c> + 2da70: 1007883a mov r3,r2 + 2da74: 0023883a mov r17,zero + 2da78: 880a927a slli r5,r17,9 + 2da7c: 1805883a mov r2,r3 + 2da80: 8822977a slli r17,r17,29 + 2da84: 280ad33a srli r5,r5,12 + 2da88: 003ff406 br 2da5c <_gp+0xffff1524> + 2da8c: 10c003cc andi r3,r2,15 + 2da90: 01000104 movi r4,4 + 2da94: 193ff626 beq r3,r4,2da70 <_gp+0xffff1538> + 2da98: 0023883a mov r17,zero + 2da9c: 003fca06 br 2d9c8 <_gp+0xffff1490> + 2daa0: 813fd336 bltu r16,r4,2d9f0 <_gp+0xffff14b8> + 2daa4: 1023883a mov r17,r2 + 2daa8: 003fa806 br 2d94c <_gp+0xffff1414> + 2daac: b0ffd636 bltu r22,r3,2da08 <_gp+0xffff14d0> + 2dab0: 1011883a mov r8,r2 + 2dab4: b0ff341e bne r22,r3,2d788 <_gp+0xffff1250> + 2dab8: 003f3406 br 2d78c <_gp+0xffff1254> + 2dabc: 0005883a mov r2,zero + 2dac0: 003fe006 br 2da44 <_gp+0xffff150c> + 2dac4: 00800434 movhi r2,16 + 2dac8: 89400234 orhi r5,r17,8 + 2dacc: 10bfffc4 addi r2,r2,-1 + 2dad0: b807883a mov r3,r23 + 2dad4: 288a703a and r5,r5,r2 + 2dad8: 4025883a mov r18,r8 + 2dadc: 003e8206 br 2d4e8 <_gp+0xffff0fb0> -0002d9ac <__divdf3>: - 2d9ac: defff204 addi sp,sp,-56 - 2d9b0: dd400915 stw r21,36(sp) - 2d9b4: 282ad53a srli r21,r5,20 - 2d9b8: dd000815 stw r20,32(sp) - 2d9bc: 2828d7fa srli r20,r5,31 - 2d9c0: dc000415 stw r16,16(sp) - 2d9c4: 04000434 movhi r16,16 - 2d9c8: df000c15 stw fp,48(sp) - 2d9cc: 843fffc4 addi r16,r16,-1 - 2d9d0: dfc00d15 stw ra,52(sp) - 2d9d4: ddc00b15 stw r23,44(sp) - 2d9d8: dd800a15 stw r22,40(sp) - 2d9dc: dcc00715 stw r19,28(sp) - 2d9e0: dc800615 stw r18,24(sp) - 2d9e4: dc400515 stw r17,20(sp) - 2d9e8: ad41ffcc andi r21,r21,2047 - 2d9ec: 2c20703a and r16,r5,r16 - 2d9f0: a7003fcc andi fp,r20,255 - 2d9f4: a8006126 beq r21,zero,2db7c <__divdf3+0x1d0> - 2d9f8: 0081ffc4 movi r2,2047 - 2d9fc: 2025883a mov r18,r4 - 2da00: a8803726 beq r21,r2,2dae0 <__divdf3+0x134> - 2da04: 80c00434 orhi r3,r16,16 - 2da08: 180690fa slli r3,r3,3 - 2da0c: 2020d77a srli r16,r4,29 - 2da10: 202490fa slli r18,r4,3 - 2da14: ad7f0044 addi r21,r21,-1023 - 2da18: 80e0b03a or r16,r16,r3 - 2da1c: 0027883a mov r19,zero - 2da20: 0013883a mov r9,zero - 2da24: 3808d53a srli r4,r7,20 - 2da28: 382cd7fa srli r22,r7,31 - 2da2c: 04400434 movhi r17,16 - 2da30: 8c7fffc4 addi r17,r17,-1 - 2da34: 2101ffcc andi r4,r4,2047 - 2da38: 3011883a mov r8,r6 - 2da3c: 3c62703a and r17,r7,r17 - 2da40: b5c03fcc andi r23,r22,255 - 2da44: 20006c26 beq r4,zero,2dbf8 <__divdf3+0x24c> - 2da48: 0081ffc4 movi r2,2047 - 2da4c: 20806426 beq r4,r2,2dbe0 <__divdf3+0x234> - 2da50: 88c00434 orhi r3,r17,16 - 2da54: 180690fa slli r3,r3,3 - 2da58: 3022d77a srli r17,r6,29 - 2da5c: 301090fa slli r8,r6,3 - 2da60: 213f0044 addi r4,r4,-1023 - 2da64: 88e2b03a or r17,r17,r3 - 2da68: 000d883a mov r6,zero - 2da6c: a584f03a xor r2,r20,r22 - 2da70: 34cab03a or r5,r6,r19 - 2da74: 1007883a mov r3,r2 - 2da78: 14c03fcc andi r19,r2,255 - 2da7c: 008003c4 movi r2,15 - 2da80: a92bc83a sub r21,r21,r4 - 2da84: 11409036 bltu r2,r5,2dcc8 <__divdf3+0x31c> - 2da88: 280a90ba slli r5,r5,2 - 2da8c: 008000f4 movhi r2,3 - 2da90: 10b6a804 addi r2,r2,-9568 - 2da94: 288b883a add r5,r5,r2 - 2da98: 28800017 ldw r2,0(r5) - 2da9c: 1000683a jmp r2 - 2daa0: 0002dcc8 cmpgei zero,zero,2931 - 2daa4: 0002db18 cmpnei zero,zero,2924 - 2daa8: 0002dcb8 rdprs zero,zero,2930 - 2daac: 0002db0c andi zero,zero,2924 - 2dab0: 0002dcb8 rdprs zero,zero,2930 - 2dab4: 0002dc8c andi zero,zero,2930 - 2dab8: 0002dcb8 rdprs zero,zero,2930 - 2dabc: 0002db0c andi zero,zero,2924 - 2dac0: 0002db18 cmpnei zero,zero,2924 - 2dac4: 0002db18 cmpnei zero,zero,2924 - 2dac8: 0002dc8c andi zero,zero,2930 - 2dacc: 0002db0c andi zero,zero,2924 - 2dad0: 0002dafc xorhi zero,zero,2923 - 2dad4: 0002dafc xorhi zero,zero,2923 - 2dad8: 0002dafc xorhi zero,zero,2923 - 2dadc: 0002dfac andhi zero,zero,2942 - 2dae0: 2404b03a or r2,r4,r16 - 2dae4: 1000661e bne r2,zero,2dc80 <__divdf3+0x2d4> - 2dae8: 04c00204 movi r19,8 - 2daec: 0021883a mov r16,zero - 2daf0: 0025883a mov r18,zero - 2daf4: 02400084 movi r9,2 - 2daf8: 003fca06 br 2da24 <__divdf3+0x78> - 2dafc: 8023883a mov r17,r16 - 2db00: 9011883a mov r8,r18 - 2db04: e02f883a mov r23,fp - 2db08: 480d883a mov r6,r9 - 2db0c: 00800084 movi r2,2 - 2db10: 3081311e bne r6,r2,2dfd8 <__divdf3+0x62c> - 2db14: b827883a mov r19,r23 - 2db18: 98c0004c andi r3,r19,1 - 2db1c: 0081ffc4 movi r2,2047 - 2db20: 000b883a mov r5,zero - 2db24: 0025883a mov r18,zero - 2db28: 1004953a slli r2,r2,20 - 2db2c: 18c03fcc andi r3,r3,255 - 2db30: 04400434 movhi r17,16 - 2db34: 8c7fffc4 addi r17,r17,-1 - 2db38: 180697fa slli r3,r3,31 - 2db3c: 2c4a703a and r5,r5,r17 - 2db40: 288ab03a or r5,r5,r2 - 2db44: 28c6b03a or r3,r5,r3 - 2db48: 9005883a mov r2,r18 - 2db4c: dfc00d17 ldw ra,52(sp) - 2db50: df000c17 ldw fp,48(sp) - 2db54: ddc00b17 ldw r23,44(sp) - 2db58: dd800a17 ldw r22,40(sp) - 2db5c: dd400917 ldw r21,36(sp) - 2db60: dd000817 ldw r20,32(sp) - 2db64: dcc00717 ldw r19,28(sp) - 2db68: dc800617 ldw r18,24(sp) - 2db6c: dc400517 ldw r17,20(sp) - 2db70: dc000417 ldw r16,16(sp) - 2db74: dec00e04 addi sp,sp,56 - 2db78: f800283a ret - 2db7c: 2404b03a or r2,r4,r16 - 2db80: 2027883a mov r19,r4 - 2db84: 10003926 beq r2,zero,2dc6c <__divdf3+0x2c0> - 2db88: 80012e26 beq r16,zero,2e044 <__divdf3+0x698> - 2db8c: 8009883a mov r4,r16 - 2db90: d9800315 stw r6,12(sp) - 2db94: d9c00215 stw r7,8(sp) - 2db98: 002f7040 call 2f704 <__clzsi2> - 2db9c: d9800317 ldw r6,12(sp) - 2dba0: d9c00217 ldw r7,8(sp) - 2dba4: 10fffd44 addi r3,r2,-11 - 2dba8: 01000704 movi r4,28 - 2dbac: 20c12116 blt r4,r3,2e034 <__divdf3+0x688> - 2dbb0: 01000744 movi r4,29 - 2dbb4: 147ffe04 addi r17,r2,-8 - 2dbb8: 20c9c83a sub r4,r4,r3 - 2dbbc: 8460983a sll r16,r16,r17 - 2dbc0: 9908d83a srl r4,r19,r4 - 2dbc4: 9c64983a sll r18,r19,r17 - 2dbc8: 2420b03a or r16,r4,r16 - 2dbcc: 057f0084 movi r21,-1022 - 2dbd0: a8ebc83a sub r21,r21,r3 - 2dbd4: 0027883a mov r19,zero - 2dbd8: 0013883a mov r9,zero - 2dbdc: 003f9106 br 2da24 <__divdf3+0x78> - 2dbe0: 3444b03a or r2,r6,r17 - 2dbe4: 10001f1e bne r2,zero,2dc64 <__divdf3+0x2b8> - 2dbe8: 0023883a mov r17,zero - 2dbec: 0011883a mov r8,zero - 2dbf0: 01800084 movi r6,2 - 2dbf4: 003f9d06 br 2da6c <__divdf3+0xc0> - 2dbf8: 3444b03a or r2,r6,r17 - 2dbfc: 10001526 beq r2,zero,2dc54 <__divdf3+0x2a8> - 2dc00: 88011b26 beq r17,zero,2e070 <__divdf3+0x6c4> - 2dc04: 8809883a mov r4,r17 - 2dc08: d9800315 stw r6,12(sp) - 2dc0c: da400115 stw r9,4(sp) - 2dc10: 002f7040 call 2f704 <__clzsi2> - 2dc14: d9800317 ldw r6,12(sp) - 2dc18: da400117 ldw r9,4(sp) - 2dc1c: 10fffd44 addi r3,r2,-11 - 2dc20: 01000704 movi r4,28 - 2dc24: 20c10e16 blt r4,r3,2e060 <__divdf3+0x6b4> - 2dc28: 01000744 movi r4,29 - 2dc2c: 123ffe04 addi r8,r2,-8 - 2dc30: 20c9c83a sub r4,r4,r3 - 2dc34: 8a22983a sll r17,r17,r8 - 2dc38: 3108d83a srl r4,r6,r4 - 2dc3c: 3210983a sll r8,r6,r8 - 2dc40: 2462b03a or r17,r4,r17 - 2dc44: 013f0084 movi r4,-1022 - 2dc48: 20c9c83a sub r4,r4,r3 - 2dc4c: 000d883a mov r6,zero - 2dc50: 003f8606 br 2da6c <__divdf3+0xc0> - 2dc54: 0023883a mov r17,zero - 2dc58: 0011883a mov r8,zero - 2dc5c: 01800044 movi r6,1 - 2dc60: 003f8206 br 2da6c <__divdf3+0xc0> - 2dc64: 018000c4 movi r6,3 - 2dc68: 003f8006 br 2da6c <__divdf3+0xc0> - 2dc6c: 04c00104 movi r19,4 - 2dc70: 0021883a mov r16,zero - 2dc74: 0025883a mov r18,zero - 2dc78: 02400044 movi r9,1 - 2dc7c: 003f6906 br 2da24 <__divdf3+0x78> - 2dc80: 04c00304 movi r19,12 - 2dc84: 024000c4 movi r9,3 - 2dc88: 003f6606 br 2da24 <__divdf3+0x78> - 2dc8c: 01400434 movhi r5,16 - 2dc90: 0007883a mov r3,zero - 2dc94: 297fffc4 addi r5,r5,-1 - 2dc98: 04bfffc4 movi r18,-1 - 2dc9c: 0081ffc4 movi r2,2047 - 2dca0: 003fa106 br 2db28 <__divdf3+0x17c> - 2dca4: 00c00044 movi r3,1 - 2dca8: 1887c83a sub r3,r3,r2 - 2dcac: 01000e04 movi r4,56 - 2dcb0: 20c1210e bge r4,r3,2e138 <__divdf3+0x78c> - 2dcb4: 98c0004c andi r3,r19,1 - 2dcb8: 0005883a mov r2,zero - 2dcbc: 000b883a mov r5,zero - 2dcc0: 0025883a mov r18,zero - 2dcc4: 003f9806 br 2db28 <__divdf3+0x17c> - 2dcc8: 8c00fd36 bltu r17,r16,2e0c0 <__divdf3+0x714> - 2dccc: 8440fb26 beq r16,r17,2e0bc <__divdf3+0x710> - 2dcd0: 8007883a mov r3,r16 - 2dcd4: ad7fffc4 addi r21,r21,-1 - 2dcd8: 0021883a mov r16,zero - 2dcdc: 400ad63a srli r5,r8,24 - 2dce0: 8822923a slli r17,r17,8 - 2dce4: 1809883a mov r4,r3 - 2dce8: 402c923a slli r22,r8,8 - 2dcec: 8978b03a or fp,r17,r5 - 2dcf0: e028d43a srli r20,fp,16 - 2dcf4: d8c00015 stw r3,0(sp) - 2dcf8: e5ffffcc andi r23,fp,65535 - 2dcfc: a00b883a mov r5,r20 - 2dd00: 0022a200 call 22a20 <__udivsi3> - 2dd04: d8c00017 ldw r3,0(sp) - 2dd08: a00b883a mov r5,r20 - 2dd0c: d8800315 stw r2,12(sp) - 2dd10: 1809883a mov r4,r3 - 2dd14: 0022a840 call 22a84 <__umodsi3> - 2dd18: d9800317 ldw r6,12(sp) - 2dd1c: 1006943a slli r3,r2,16 - 2dd20: 9004d43a srli r2,r18,16 - 2dd24: b9a3383a mul r17,r23,r6 - 2dd28: 10c4b03a or r2,r2,r3 - 2dd2c: 1440062e bgeu r2,r17,2dd48 <__divdf3+0x39c> - 2dd30: 1705883a add r2,r2,fp - 2dd34: 30ffffc4 addi r3,r6,-1 - 2dd38: 1700ee36 bltu r2,fp,2e0f4 <__divdf3+0x748> - 2dd3c: 1440ed2e bgeu r2,r17,2e0f4 <__divdf3+0x748> - 2dd40: 31bfff84 addi r6,r6,-2 - 2dd44: 1705883a add r2,r2,fp - 2dd48: 1463c83a sub r17,r2,r17 - 2dd4c: a00b883a mov r5,r20 - 2dd50: 8809883a mov r4,r17 - 2dd54: d9800315 stw r6,12(sp) - 2dd58: 0022a200 call 22a20 <__udivsi3> - 2dd5c: a00b883a mov r5,r20 - 2dd60: 8809883a mov r4,r17 - 2dd64: d8800215 stw r2,8(sp) - 2dd68: 0022a840 call 22a84 <__umodsi3> - 2dd6c: d9c00217 ldw r7,8(sp) - 2dd70: 1004943a slli r2,r2,16 - 2dd74: 94bfffcc andi r18,r18,65535 - 2dd78: b9d1383a mul r8,r23,r7 - 2dd7c: 90a4b03a or r18,r18,r2 - 2dd80: d9800317 ldw r6,12(sp) - 2dd84: 9200062e bgeu r18,r8,2dda0 <__divdf3+0x3f4> - 2dd88: 9725883a add r18,r18,fp - 2dd8c: 38bfffc4 addi r2,r7,-1 - 2dd90: 9700d636 bltu r18,fp,2e0ec <__divdf3+0x740> - 2dd94: 9200d52e bgeu r18,r8,2e0ec <__divdf3+0x740> - 2dd98: 39ffff84 addi r7,r7,-2 - 2dd9c: 9725883a add r18,r18,fp - 2dda0: 3004943a slli r2,r6,16 - 2dda4: b012d43a srli r9,r22,16 - 2dda8: b1bfffcc andi r6,r22,65535 - 2ddac: 11e2b03a or r17,r2,r7 - 2ddb0: 8806d43a srli r3,r17,16 - 2ddb4: 893fffcc andi r4,r17,65535 - 2ddb8: 218b383a mul r5,r4,r6 - 2ddbc: 30c5383a mul r2,r6,r3 - 2ddc0: 2249383a mul r4,r4,r9 - 2ddc4: 280ed43a srli r7,r5,16 - 2ddc8: 9225c83a sub r18,r18,r8 - 2ddcc: 2089883a add r4,r4,r2 - 2ddd0: 3909883a add r4,r7,r4 - 2ddd4: 1a47383a mul r3,r3,r9 - 2ddd8: 2080022e bgeu r4,r2,2dde4 <__divdf3+0x438> - 2dddc: 00800074 movhi r2,1 - 2dde0: 1887883a add r3,r3,r2 - 2dde4: 2004d43a srli r2,r4,16 - 2dde8: 2008943a slli r4,r4,16 - 2ddec: 297fffcc andi r5,r5,65535 - 2ddf0: 10c7883a add r3,r2,r3 - 2ddf4: 2149883a add r4,r4,r5 - 2ddf8: 90c0a536 bltu r18,r3,2e090 <__divdf3+0x6e4> - 2ddfc: 90c0bf26 beq r18,r3,2e0fc <__divdf3+0x750> - 2de00: 90c7c83a sub r3,r18,r3 - 2de04: 810fc83a sub r7,r16,r4 - 2de08: 81e5803a cmpltu r18,r16,r7 - 2de0c: 1ca5c83a sub r18,r3,r18 - 2de10: e480c126 beq fp,r18,2e118 <__divdf3+0x76c> - 2de14: a00b883a mov r5,r20 - 2de18: 9009883a mov r4,r18 - 2de1c: d9800315 stw r6,12(sp) - 2de20: d9c00215 stw r7,8(sp) - 2de24: da400115 stw r9,4(sp) - 2de28: 0022a200 call 22a20 <__udivsi3> - 2de2c: a00b883a mov r5,r20 - 2de30: 9009883a mov r4,r18 - 2de34: d8800015 stw r2,0(sp) - 2de38: 0022a840 call 22a84 <__umodsi3> - 2de3c: d9c00217 ldw r7,8(sp) - 2de40: da000017 ldw r8,0(sp) - 2de44: 1006943a slli r3,r2,16 - 2de48: 3804d43a srli r2,r7,16 - 2de4c: ba21383a mul r16,r23,r8 - 2de50: d9800317 ldw r6,12(sp) - 2de54: 10c4b03a or r2,r2,r3 - 2de58: da400117 ldw r9,4(sp) - 2de5c: 1400062e bgeu r2,r16,2de78 <__divdf3+0x4cc> - 2de60: 1705883a add r2,r2,fp - 2de64: 40ffffc4 addi r3,r8,-1 - 2de68: 1700ad36 bltu r2,fp,2e120 <__divdf3+0x774> - 2de6c: 1400ac2e bgeu r2,r16,2e120 <__divdf3+0x774> - 2de70: 423fff84 addi r8,r8,-2 - 2de74: 1705883a add r2,r2,fp - 2de78: 1421c83a sub r16,r2,r16 - 2de7c: a00b883a mov r5,r20 - 2de80: 8009883a mov r4,r16 - 2de84: d9800315 stw r6,12(sp) - 2de88: d9c00215 stw r7,8(sp) - 2de8c: da000015 stw r8,0(sp) - 2de90: da400115 stw r9,4(sp) - 2de94: 0022a200 call 22a20 <__udivsi3> - 2de98: 8009883a mov r4,r16 - 2de9c: a00b883a mov r5,r20 - 2dea0: 1025883a mov r18,r2 - 2dea4: 0022a840 call 22a84 <__umodsi3> - 2dea8: d9c00217 ldw r7,8(sp) - 2deac: 1004943a slli r2,r2,16 - 2deb0: bcaf383a mul r23,r23,r18 - 2deb4: 393fffcc andi r4,r7,65535 - 2deb8: 2088b03a or r4,r4,r2 - 2debc: d9800317 ldw r6,12(sp) - 2dec0: da000017 ldw r8,0(sp) - 2dec4: da400117 ldw r9,4(sp) - 2dec8: 25c0062e bgeu r4,r23,2dee4 <__divdf3+0x538> - 2decc: 2709883a add r4,r4,fp - 2ded0: 90bfffc4 addi r2,r18,-1 - 2ded4: 27009436 bltu r4,fp,2e128 <__divdf3+0x77c> - 2ded8: 25c0932e bgeu r4,r23,2e128 <__divdf3+0x77c> - 2dedc: 94bfff84 addi r18,r18,-2 - 2dee0: 2709883a add r4,r4,fp - 2dee4: 4004943a slli r2,r8,16 - 2dee8: 25efc83a sub r23,r4,r23 - 2deec: 1490b03a or r8,r2,r18 - 2def0: 4008d43a srli r4,r8,16 - 2def4: 40ffffcc andi r3,r8,65535 - 2def8: 30c5383a mul r2,r6,r3 - 2defc: 1a47383a mul r3,r3,r9 - 2df00: 310d383a mul r6,r6,r4 - 2df04: 100ad43a srli r5,r2,16 - 2df08: 4913383a mul r9,r9,r4 - 2df0c: 1987883a add r3,r3,r6 - 2df10: 28c7883a add r3,r5,r3 - 2df14: 1980022e bgeu r3,r6,2df20 <__divdf3+0x574> - 2df18: 01000074 movhi r4,1 - 2df1c: 4913883a add r9,r9,r4 - 2df20: 1808d43a srli r4,r3,16 - 2df24: 1806943a slli r3,r3,16 - 2df28: 10bfffcc andi r2,r2,65535 - 2df2c: 2253883a add r9,r4,r9 - 2df30: 1887883a add r3,r3,r2 - 2df34: ba403836 bltu r23,r9,2e018 <__divdf3+0x66c> - 2df38: ba403626 beq r23,r9,2e014 <__divdf3+0x668> - 2df3c: 42000054 ori r8,r8,1 - 2df40: a880ffc4 addi r2,r21,1023 - 2df44: 00bf570e bge zero,r2,2dca4 <__divdf3+0x2f8> - 2df48: 40c001cc andi r3,r8,7 - 2df4c: 18000726 beq r3,zero,2df6c <__divdf3+0x5c0> - 2df50: 40c003cc andi r3,r8,15 - 2df54: 01000104 movi r4,4 - 2df58: 19000426 beq r3,r4,2df6c <__divdf3+0x5c0> - 2df5c: 4107883a add r3,r8,r4 - 2df60: 1a11803a cmpltu r8,r3,r8 - 2df64: 8a23883a add r17,r17,r8 - 2df68: 1811883a mov r8,r3 - 2df6c: 88c0402c andhi r3,r17,256 - 2df70: 18000426 beq r3,zero,2df84 <__divdf3+0x5d8> - 2df74: 00ffc034 movhi r3,65280 - 2df78: 18ffffc4 addi r3,r3,-1 - 2df7c: a8810004 addi r2,r21,1024 - 2df80: 88e2703a and r17,r17,r3 - 2df84: 00c1ff84 movi r3,2046 - 2df88: 18bee316 blt r3,r2,2db18 <__divdf3+0x16c> - 2df8c: 8824977a slli r18,r17,29 - 2df90: 4010d0fa srli r8,r8,3 - 2df94: 8822927a slli r17,r17,9 - 2df98: 1081ffcc andi r2,r2,2047 - 2df9c: 9224b03a or r18,r18,r8 - 2dfa0: 880ad33a srli r5,r17,12 - 2dfa4: 98c0004c andi r3,r19,1 - 2dfa8: 003edf06 br 2db28 <__divdf3+0x17c> - 2dfac: 8080022c andhi r2,r16,8 - 2dfb0: 10001226 beq r2,zero,2dffc <__divdf3+0x650> - 2dfb4: 8880022c andhi r2,r17,8 - 2dfb8: 1000101e bne r2,zero,2dffc <__divdf3+0x650> - 2dfbc: 00800434 movhi r2,16 - 2dfc0: 89400234 orhi r5,r17,8 - 2dfc4: 10bfffc4 addi r2,r2,-1 - 2dfc8: b007883a mov r3,r22 - 2dfcc: 288a703a and r5,r5,r2 - 2dfd0: 4025883a mov r18,r8 - 2dfd4: 003f3106 br 2dc9c <__divdf3+0x2f0> - 2dfd8: 008000c4 movi r2,3 - 2dfdc: 3080a626 beq r6,r2,2e278 <__divdf3+0x8cc> - 2dfe0: 00800044 movi r2,1 - 2dfe4: 3080521e bne r6,r2,2e130 <__divdf3+0x784> - 2dfe8: b807883a mov r3,r23 - 2dfec: 0005883a mov r2,zero - 2dff0: 000b883a mov r5,zero - 2dff4: 0025883a mov r18,zero - 2dff8: 003ecb06 br 2db28 <__divdf3+0x17c> - 2dffc: 00800434 movhi r2,16 - 2e000: 81400234 orhi r5,r16,8 - 2e004: 10bfffc4 addi r2,r2,-1 - 2e008: a007883a mov r3,r20 - 2e00c: 288a703a and r5,r5,r2 - 2e010: 003f2206 br 2dc9c <__divdf3+0x2f0> - 2e014: 183fca26 beq r3,zero,2df40 <__divdf3+0x594> - 2e018: e5ef883a add r23,fp,r23 - 2e01c: 40bfffc4 addi r2,r8,-1 - 2e020: bf00392e bgeu r23,fp,2e108 <__divdf3+0x75c> - 2e024: 1011883a mov r8,r2 - 2e028: ba7fc41e bne r23,r9,2df3c <__divdf3+0x590> - 2e02c: b0ffc31e bne r22,r3,2df3c <__divdf3+0x590> - 2e030: 003fc306 br 2df40 <__divdf3+0x594> - 2e034: 10bff604 addi r2,r2,-40 - 2e038: 98a0983a sll r16,r19,r2 - 2e03c: 0025883a mov r18,zero - 2e040: 003ee206 br 2dbcc <__divdf3+0x220> - 2e044: d9800315 stw r6,12(sp) - 2e048: d9c00215 stw r7,8(sp) - 2e04c: 002f7040 call 2f704 <__clzsi2> - 2e050: 10800804 addi r2,r2,32 - 2e054: d9c00217 ldw r7,8(sp) - 2e058: d9800317 ldw r6,12(sp) - 2e05c: 003ed106 br 2dba4 <__divdf3+0x1f8> - 2e060: 10bff604 addi r2,r2,-40 - 2e064: 30a2983a sll r17,r6,r2 - 2e068: 0011883a mov r8,zero - 2e06c: 003ef506 br 2dc44 <__divdf3+0x298> - 2e070: 3009883a mov r4,r6 - 2e074: d9800315 stw r6,12(sp) - 2e078: da400115 stw r9,4(sp) - 2e07c: 002f7040 call 2f704 <__clzsi2> - 2e080: 10800804 addi r2,r2,32 - 2e084: da400117 ldw r9,4(sp) - 2e088: d9800317 ldw r6,12(sp) - 2e08c: 003ee306 br 2dc1c <__divdf3+0x270> - 2e090: 85a1883a add r16,r16,r22 - 2e094: 8585803a cmpltu r2,r16,r22 - 2e098: 1705883a add r2,r2,fp - 2e09c: 14a5883a add r18,r2,r18 - 2e0a0: 88bfffc4 addi r2,r17,-1 - 2e0a4: e4800c2e bgeu fp,r18,2e0d8 <__divdf3+0x72c> - 2e0a8: 90c03e36 bltu r18,r3,2e1a4 <__divdf3+0x7f8> - 2e0ac: 1c806926 beq r3,r18,2e254 <__divdf3+0x8a8> - 2e0b0: 90c7c83a sub r3,r18,r3 - 2e0b4: 1023883a mov r17,r2 - 2e0b8: 003f5206 br 2de04 <__divdf3+0x458> - 2e0bc: 923f0436 bltu r18,r8,2dcd0 <__divdf3+0x324> - 2e0c0: 800897fa slli r4,r16,31 - 2e0c4: 9004d07a srli r2,r18,1 - 2e0c8: 8006d07a srli r3,r16,1 - 2e0cc: 902097fa slli r16,r18,31 - 2e0d0: 20a4b03a or r18,r4,r2 - 2e0d4: 003f0106 br 2dcdc <__divdf3+0x330> - 2e0d8: e4bff51e bne fp,r18,2e0b0 <__divdf3+0x704> - 2e0dc: 85bff22e bgeu r16,r22,2e0a8 <__divdf3+0x6fc> - 2e0e0: e0c7c83a sub r3,fp,r3 - 2e0e4: 1023883a mov r17,r2 - 2e0e8: 003f4606 br 2de04 <__divdf3+0x458> - 2e0ec: 100f883a mov r7,r2 - 2e0f0: 003f2b06 br 2dda0 <__divdf3+0x3f4> - 2e0f4: 180d883a mov r6,r3 - 2e0f8: 003f1306 br 2dd48 <__divdf3+0x39c> - 2e0fc: 813fe436 bltu r16,r4,2e090 <__divdf3+0x6e4> - 2e100: 0007883a mov r3,zero - 2e104: 003f3f06 br 2de04 <__divdf3+0x458> - 2e108: ba402c36 bltu r23,r9,2e1bc <__divdf3+0x810> - 2e10c: 4dc05426 beq r9,r23,2e260 <__divdf3+0x8b4> - 2e110: 1011883a mov r8,r2 - 2e114: 003f8906 br 2df3c <__divdf3+0x590> - 2e118: 023fffc4 movi r8,-1 - 2e11c: 003f8806 br 2df40 <__divdf3+0x594> - 2e120: 1811883a mov r8,r3 - 2e124: 003f5406 br 2de78 <__divdf3+0x4cc> - 2e128: 1025883a mov r18,r2 - 2e12c: 003f6d06 br 2dee4 <__divdf3+0x538> - 2e130: b827883a mov r19,r23 - 2e134: 003f8206 br 2df40 <__divdf3+0x594> - 2e138: 010007c4 movi r4,31 - 2e13c: 20c02616 blt r4,r3,2e1d8 <__divdf3+0x82c> - 2e140: 00800804 movi r2,32 - 2e144: 10c5c83a sub r2,r2,r3 - 2e148: 888a983a sll r5,r17,r2 - 2e14c: 40c8d83a srl r4,r8,r3 - 2e150: 4084983a sll r2,r8,r2 - 2e154: 88e2d83a srl r17,r17,r3 - 2e158: 2906b03a or r3,r5,r4 - 2e15c: 1004c03a cmpne r2,r2,zero - 2e160: 1886b03a or r3,r3,r2 - 2e164: 188001cc andi r2,r3,7 - 2e168: 10000726 beq r2,zero,2e188 <__divdf3+0x7dc> - 2e16c: 188003cc andi r2,r3,15 - 2e170: 01000104 movi r4,4 - 2e174: 11000426 beq r2,r4,2e188 <__divdf3+0x7dc> - 2e178: 1805883a mov r2,r3 - 2e17c: 10c00104 addi r3,r2,4 - 2e180: 1885803a cmpltu r2,r3,r2 - 2e184: 88a3883a add r17,r17,r2 - 2e188: 8880202c andhi r2,r17,128 - 2e18c: 10002726 beq r2,zero,2e22c <__divdf3+0x880> - 2e190: 98c0004c andi r3,r19,1 - 2e194: 00800044 movi r2,1 - 2e198: 000b883a mov r5,zero - 2e19c: 0025883a mov r18,zero - 2e1a0: 003e6106 br 2db28 <__divdf3+0x17c> - 2e1a4: 85a1883a add r16,r16,r22 - 2e1a8: 8585803a cmpltu r2,r16,r22 - 2e1ac: 1705883a add r2,r2,fp - 2e1b0: 14a5883a add r18,r2,r18 - 2e1b4: 8c7fff84 addi r17,r17,-2 - 2e1b8: 003f1106 br 2de00 <__divdf3+0x454> - 2e1bc: b589883a add r4,r22,r22 - 2e1c0: 25ad803a cmpltu r22,r4,r22 - 2e1c4: b739883a add fp,r22,fp - 2e1c8: 40bfff84 addi r2,r8,-2 - 2e1cc: bf2f883a add r23,r23,fp - 2e1d0: 202d883a mov r22,r4 - 2e1d4: 003f9306 br 2e024 <__divdf3+0x678> - 2e1d8: 013ff844 movi r4,-31 - 2e1dc: 2085c83a sub r2,r4,r2 - 2e1e0: 8888d83a srl r4,r17,r2 - 2e1e4: 00800804 movi r2,32 - 2e1e8: 18802126 beq r3,r2,2e270 <__divdf3+0x8c4> - 2e1ec: 00801004 movi r2,64 - 2e1f0: 10c5c83a sub r2,r2,r3 - 2e1f4: 8884983a sll r2,r17,r2 - 2e1f8: 1204b03a or r2,r2,r8 - 2e1fc: 1004c03a cmpne r2,r2,zero - 2e200: 2084b03a or r2,r4,r2 - 2e204: 144001cc andi r17,r2,7 - 2e208: 88000d1e bne r17,zero,2e240 <__divdf3+0x894> - 2e20c: 000b883a mov r5,zero - 2e210: 1024d0fa srli r18,r2,3 - 2e214: 98c0004c andi r3,r19,1 - 2e218: 0005883a mov r2,zero - 2e21c: 9464b03a or r18,r18,r17 - 2e220: 003e4106 br 2db28 <__divdf3+0x17c> - 2e224: 1007883a mov r3,r2 - 2e228: 0023883a mov r17,zero - 2e22c: 880a927a slli r5,r17,9 - 2e230: 1805883a mov r2,r3 - 2e234: 8822977a slli r17,r17,29 - 2e238: 280ad33a srli r5,r5,12 - 2e23c: 003ff406 br 2e210 <__divdf3+0x864> - 2e240: 10c003cc andi r3,r2,15 - 2e244: 01000104 movi r4,4 - 2e248: 193ff626 beq r3,r4,2e224 <__divdf3+0x878> - 2e24c: 0023883a mov r17,zero - 2e250: 003fca06 br 2e17c <__divdf3+0x7d0> - 2e254: 813fd336 bltu r16,r4,2e1a4 <__divdf3+0x7f8> - 2e258: 1023883a mov r17,r2 - 2e25c: 003fa806 br 2e100 <__divdf3+0x754> - 2e260: b0ffd636 bltu r22,r3,2e1bc <__divdf3+0x810> - 2e264: 1011883a mov r8,r2 - 2e268: b0ff341e bne r22,r3,2df3c <__divdf3+0x590> - 2e26c: 003f3406 br 2df40 <__divdf3+0x594> - 2e270: 0005883a mov r2,zero - 2e274: 003fe006 br 2e1f8 <__divdf3+0x84c> - 2e278: 00800434 movhi r2,16 - 2e27c: 89400234 orhi r5,r17,8 - 2e280: 10bfffc4 addi r2,r2,-1 - 2e284: b807883a mov r3,r23 - 2e288: 288a703a and r5,r5,r2 - 2e28c: 4025883a mov r18,r8 - 2e290: 003e8206 br 2dc9c <__divdf3+0x2f0> +0002dae0 <__eqdf2>: + 2dae0: 2804d53a srli r2,r5,20 + 2dae4: 3806d53a srli r3,r7,20 + 2dae8: 02000434 movhi r8,16 + 2daec: 423fffc4 addi r8,r8,-1 + 2daf0: 1081ffcc andi r2,r2,2047 + 2daf4: 0281ffc4 movi r10,2047 + 2daf8: 2a12703a and r9,r5,r8 + 2dafc: 18c1ffcc andi r3,r3,2047 + 2db00: 3a10703a and r8,r7,r8 + 2db04: 280ad7fa srli r5,r5,31 + 2db08: 380ed7fa srli r7,r7,31 + 2db0c: 12801026 beq r2,r10,2db50 <__eqdf2+0x70> + 2db10: 0281ffc4 movi r10,2047 + 2db14: 1a800a26 beq r3,r10,2db40 <__eqdf2+0x60> + 2db18: 10c00226 beq r2,r3,2db24 <__eqdf2+0x44> + 2db1c: 00800044 movi r2,1 + 2db20: f800283a ret + 2db24: 4a3ffd1e bne r9,r8,2db1c <_gp+0xffff15e4> + 2db28: 21bffc1e bne r4,r6,2db1c <_gp+0xffff15e4> + 2db2c: 29c00c26 beq r5,r7,2db60 <__eqdf2+0x80> + 2db30: 103ffa1e bne r2,zero,2db1c <_gp+0xffff15e4> + 2db34: 2244b03a or r2,r4,r9 + 2db38: 1004c03a cmpne r2,r2,zero + 2db3c: f800283a ret + 2db40: 3214b03a or r10,r6,r8 + 2db44: 503ff426 beq r10,zero,2db18 <_gp+0xffff15e0> + 2db48: 00800044 movi r2,1 + 2db4c: f800283a ret + 2db50: 2254b03a or r10,r4,r9 + 2db54: 503fee26 beq r10,zero,2db10 <_gp+0xffff15d8> + 2db58: 00800044 movi r2,1 + 2db5c: f800283a ret + 2db60: 0005883a mov r2,zero + 2db64: f800283a ret -0002e294 <__eqdf2>: - 2e294: 2804d53a srli r2,r5,20 - 2e298: 3806d53a srli r3,r7,20 - 2e29c: 02000434 movhi r8,16 - 2e2a0: 423fffc4 addi r8,r8,-1 - 2e2a4: 1081ffcc andi r2,r2,2047 - 2e2a8: 0281ffc4 movi r10,2047 - 2e2ac: 2a12703a and r9,r5,r8 - 2e2b0: 18c1ffcc andi r3,r3,2047 - 2e2b4: 3a10703a and r8,r7,r8 - 2e2b8: 280ad7fa srli r5,r5,31 - 2e2bc: 380ed7fa srli r7,r7,31 - 2e2c0: 12801026 beq r2,r10,2e304 <__eqdf2+0x70> - 2e2c4: 0281ffc4 movi r10,2047 - 2e2c8: 1a800a26 beq r3,r10,2e2f4 <__eqdf2+0x60> - 2e2cc: 10c00226 beq r2,r3,2e2d8 <__eqdf2+0x44> - 2e2d0: 00800044 movi r2,1 - 2e2d4: f800283a ret - 2e2d8: 4a3ffd1e bne r9,r8,2e2d0 <__eqdf2+0x3c> - 2e2dc: 21bffc1e bne r4,r6,2e2d0 <__eqdf2+0x3c> - 2e2e0: 29c00c26 beq r5,r7,2e314 <__eqdf2+0x80> - 2e2e4: 103ffa1e bne r2,zero,2e2d0 <__eqdf2+0x3c> - 2e2e8: 2244b03a or r2,r4,r9 - 2e2ec: 1004c03a cmpne r2,r2,zero - 2e2f0: f800283a ret - 2e2f4: 3214b03a or r10,r6,r8 - 2e2f8: 503ff426 beq r10,zero,2e2cc <__eqdf2+0x38> - 2e2fc: 00800044 movi r2,1 - 2e300: f800283a ret - 2e304: 2254b03a or r10,r4,r9 - 2e308: 503fee26 beq r10,zero,2e2c4 <__eqdf2+0x30> - 2e30c: 00800044 movi r2,1 - 2e310: f800283a ret - 2e314: 0005883a mov r2,zero - 2e318: f800283a ret +0002db68 <__gedf2>: + 2db68: 2804d53a srli r2,r5,20 + 2db6c: 3806d53a srli r3,r7,20 + 2db70: 02000434 movhi r8,16 + 2db74: 423fffc4 addi r8,r8,-1 + 2db78: 1081ffcc andi r2,r2,2047 + 2db7c: 0241ffc4 movi r9,2047 + 2db80: 2a14703a and r10,r5,r8 + 2db84: 18c1ffcc andi r3,r3,2047 + 2db88: 3a10703a and r8,r7,r8 + 2db8c: 280ad7fa srli r5,r5,31 + 2db90: 380ed7fa srli r7,r7,31 + 2db94: 12401d26 beq r2,r9,2dc0c <__gedf2+0xa4> + 2db98: 0241ffc4 movi r9,2047 + 2db9c: 1a401226 beq r3,r9,2dbe8 <__gedf2+0x80> + 2dba0: 1000081e bne r2,zero,2dbc4 <__gedf2+0x5c> + 2dba4: 2296b03a or r11,r4,r10 + 2dba8: 5813003a cmpeq r9,r11,zero + 2dbac: 1800091e bne r3,zero,2dbd4 <__gedf2+0x6c> + 2dbb0: 3218b03a or r12,r6,r8 + 2dbb4: 6000071e bne r12,zero,2dbd4 <__gedf2+0x6c> + 2dbb8: 0005883a mov r2,zero + 2dbbc: 5800101e bne r11,zero,2dc00 <__gedf2+0x98> + 2dbc0: f800283a ret + 2dbc4: 18000c1e bne r3,zero,2dbf8 <__gedf2+0x90> + 2dbc8: 3212b03a or r9,r6,r8 + 2dbcc: 48000c26 beq r9,zero,2dc00 <__gedf2+0x98> + 2dbd0: 0013883a mov r9,zero + 2dbd4: 39c03fcc andi r7,r7,255 + 2dbd8: 48000826 beq r9,zero,2dbfc <__gedf2+0x94> + 2dbdc: 38000926 beq r7,zero,2dc04 <__gedf2+0x9c> + 2dbe0: 00800044 movi r2,1 + 2dbe4: f800283a ret + 2dbe8: 3212b03a or r9,r6,r8 + 2dbec: 483fec26 beq r9,zero,2dba0 <_gp+0xffff1668> + 2dbf0: 00bfff84 movi r2,-2 + 2dbf4: f800283a ret + 2dbf8: 39c03fcc andi r7,r7,255 + 2dbfc: 29c00626 beq r5,r7,2dc18 <__gedf2+0xb0> + 2dc00: 283ff726 beq r5,zero,2dbe0 <_gp+0xffff16a8> + 2dc04: 00bfffc4 movi r2,-1 + 2dc08: f800283a ret + 2dc0c: 2292b03a or r9,r4,r10 + 2dc10: 483fe126 beq r9,zero,2db98 <_gp+0xffff1660> + 2dc14: 003ff606 br 2dbf0 <_gp+0xffff16b8> + 2dc18: 18bff916 blt r3,r2,2dc00 <_gp+0xffff16c8> + 2dc1c: 10c00316 blt r2,r3,2dc2c <__gedf2+0xc4> + 2dc20: 42bff736 bltu r8,r10,2dc00 <_gp+0xffff16c8> + 2dc24: 52000326 beq r10,r8,2dc34 <__gedf2+0xcc> + 2dc28: 5200042e bgeu r10,r8,2dc3c <__gedf2+0xd4> + 2dc2c: 283fec1e bne r5,zero,2dbe0 <_gp+0xffff16a8> + 2dc30: 003ff406 br 2dc04 <_gp+0xffff16cc> + 2dc34: 313ff236 bltu r6,r4,2dc00 <_gp+0xffff16c8> + 2dc38: 21bffc36 bltu r4,r6,2dc2c <_gp+0xffff16f4> + 2dc3c: 0005883a mov r2,zero + 2dc40: f800283a ret -0002e31c <__gedf2>: - 2e31c: 2804d53a srli r2,r5,20 - 2e320: 3806d53a srli r3,r7,20 - 2e324: 02000434 movhi r8,16 - 2e328: 423fffc4 addi r8,r8,-1 - 2e32c: 1081ffcc andi r2,r2,2047 - 2e330: 0241ffc4 movi r9,2047 - 2e334: 2a14703a and r10,r5,r8 - 2e338: 18c1ffcc andi r3,r3,2047 - 2e33c: 3a10703a and r8,r7,r8 - 2e340: 280ad7fa srli r5,r5,31 - 2e344: 380ed7fa srli r7,r7,31 - 2e348: 12401d26 beq r2,r9,2e3c0 <__gedf2+0xa4> - 2e34c: 0241ffc4 movi r9,2047 - 2e350: 1a401226 beq r3,r9,2e39c <__gedf2+0x80> - 2e354: 1000081e bne r2,zero,2e378 <__gedf2+0x5c> - 2e358: 2296b03a or r11,r4,r10 - 2e35c: 5813003a cmpeq r9,r11,zero - 2e360: 1800091e bne r3,zero,2e388 <__gedf2+0x6c> - 2e364: 3218b03a or r12,r6,r8 - 2e368: 6000071e bne r12,zero,2e388 <__gedf2+0x6c> - 2e36c: 0005883a mov r2,zero - 2e370: 5800101e bne r11,zero,2e3b4 <__gedf2+0x98> - 2e374: f800283a ret - 2e378: 18000c1e bne r3,zero,2e3ac <__gedf2+0x90> - 2e37c: 3212b03a or r9,r6,r8 - 2e380: 48000c26 beq r9,zero,2e3b4 <__gedf2+0x98> - 2e384: 0013883a mov r9,zero - 2e388: 39c03fcc andi r7,r7,255 - 2e38c: 48000826 beq r9,zero,2e3b0 <__gedf2+0x94> - 2e390: 38000926 beq r7,zero,2e3b8 <__gedf2+0x9c> - 2e394: 00800044 movi r2,1 - 2e398: f800283a ret - 2e39c: 3212b03a or r9,r6,r8 - 2e3a0: 483fec26 beq r9,zero,2e354 <__gedf2+0x38> - 2e3a4: 00bfff84 movi r2,-2 - 2e3a8: f800283a ret - 2e3ac: 39c03fcc andi r7,r7,255 - 2e3b0: 29c00626 beq r5,r7,2e3cc <__gedf2+0xb0> - 2e3b4: 283ff726 beq r5,zero,2e394 <__gedf2+0x78> - 2e3b8: 00bfffc4 movi r2,-1 - 2e3bc: f800283a ret - 2e3c0: 2292b03a or r9,r4,r10 - 2e3c4: 483fe126 beq r9,zero,2e34c <__gedf2+0x30> - 2e3c8: 003ff606 br 2e3a4 <__gedf2+0x88> - 2e3cc: 18bff916 blt r3,r2,2e3b4 <__gedf2+0x98> - 2e3d0: 10c00316 blt r2,r3,2e3e0 <__gedf2+0xc4> - 2e3d4: 42bff736 bltu r8,r10,2e3b4 <__gedf2+0x98> - 2e3d8: 52000326 beq r10,r8,2e3e8 <__gedf2+0xcc> - 2e3dc: 5200042e bgeu r10,r8,2e3f0 <__gedf2+0xd4> - 2e3e0: 283fec1e bne r5,zero,2e394 <__gedf2+0x78> - 2e3e4: 003ff406 br 2e3b8 <__gedf2+0x9c> - 2e3e8: 313ff236 bltu r6,r4,2e3b4 <__gedf2+0x98> - 2e3ec: 21bffc36 bltu r4,r6,2e3e0 <__gedf2+0xc4> +0002dc44 <__ledf2>: + 2dc44: 2804d53a srli r2,r5,20 + 2dc48: 3810d53a srli r8,r7,20 + 2dc4c: 00c00434 movhi r3,16 + 2dc50: 18ffffc4 addi r3,r3,-1 + 2dc54: 1081ffcc andi r2,r2,2047 + 2dc58: 0241ffc4 movi r9,2047 + 2dc5c: 28d4703a and r10,r5,r3 + 2dc60: 4201ffcc andi r8,r8,2047 + 2dc64: 38c6703a and r3,r7,r3 + 2dc68: 280ad7fa srli r5,r5,31 + 2dc6c: 380ed7fa srli r7,r7,31 + 2dc70: 12401f26 beq r2,r9,2dcf0 <__ledf2+0xac> + 2dc74: 0241ffc4 movi r9,2047 + 2dc78: 42401426 beq r8,r9,2dccc <__ledf2+0x88> + 2dc7c: 1000091e bne r2,zero,2dca4 <__ledf2+0x60> + 2dc80: 2296b03a or r11,r4,r10 + 2dc84: 5813003a cmpeq r9,r11,zero + 2dc88: 29403fcc andi r5,r5,255 + 2dc8c: 40000a1e bne r8,zero,2dcb8 <__ledf2+0x74> + 2dc90: 30d8b03a or r12,r6,r3 + 2dc94: 6000081e bne r12,zero,2dcb8 <__ledf2+0x74> + 2dc98: 0005883a mov r2,zero + 2dc9c: 5800111e bne r11,zero,2dce4 <__ledf2+0xa0> + 2dca0: f800283a ret + 2dca4: 29403fcc andi r5,r5,255 + 2dca8: 40000c1e bne r8,zero,2dcdc <__ledf2+0x98> + 2dcac: 30d2b03a or r9,r6,r3 + 2dcb0: 48000c26 beq r9,zero,2dce4 <__ledf2+0xa0> + 2dcb4: 0013883a mov r9,zero + 2dcb8: 39c03fcc andi r7,r7,255 + 2dcbc: 48000826 beq r9,zero,2dce0 <__ledf2+0x9c> + 2dcc0: 38001126 beq r7,zero,2dd08 <__ledf2+0xc4> + 2dcc4: 00800044 movi r2,1 + 2dcc8: f800283a ret + 2dccc: 30d2b03a or r9,r6,r3 + 2dcd0: 483fea26 beq r9,zero,2dc7c <_gp+0xffff1744> + 2dcd4: 00800084 movi r2,2 + 2dcd8: f800283a ret + 2dcdc: 39c03fcc andi r7,r7,255 + 2dce0: 39400726 beq r7,r5,2dd00 <__ledf2+0xbc> + 2dce4: 2800081e bne r5,zero,2dd08 <__ledf2+0xc4> + 2dce8: 00800044 movi r2,1 + 2dcec: f800283a ret + 2dcf0: 2292b03a or r9,r4,r10 + 2dcf4: 483fdf26 beq r9,zero,2dc74 <_gp+0xffff173c> + 2dcf8: 00800084 movi r2,2 + 2dcfc: f800283a ret + 2dd00: 4080030e bge r8,r2,2dd10 <__ledf2+0xcc> + 2dd04: 383fef26 beq r7,zero,2dcc4 <_gp+0xffff178c> + 2dd08: 00bfffc4 movi r2,-1 + 2dd0c: f800283a ret + 2dd10: 123feb16 blt r2,r8,2dcc0 <_gp+0xffff1788> + 2dd14: 1abff336 bltu r3,r10,2dce4 <_gp+0xffff17ac> + 2dd18: 50c00326 beq r10,r3,2dd28 <__ledf2+0xe4> + 2dd1c: 50c0042e bgeu r10,r3,2dd30 <__ledf2+0xec> + 2dd20: 283fe81e bne r5,zero,2dcc4 <_gp+0xffff178c> + 2dd24: 003ff806 br 2dd08 <_gp+0xffff17d0> + 2dd28: 313fee36 bltu r6,r4,2dce4 <_gp+0xffff17ac> + 2dd2c: 21bffc36 bltu r4,r6,2dd20 <_gp+0xffff17e8> + 2dd30: 0005883a mov r2,zero + 2dd34: f800283a ret + +0002dd38 <__muldf3>: + 2dd38: defff304 addi sp,sp,-52 + 2dd3c: 2804d53a srli r2,r5,20 + 2dd40: dd800915 stw r22,36(sp) + 2dd44: 282cd7fa srli r22,r5,31 + 2dd48: dc000315 stw r16,12(sp) + 2dd4c: 04000434 movhi r16,16 + 2dd50: dd400815 stw r21,32(sp) + 2dd54: dc800515 stw r18,20(sp) + 2dd58: 843fffc4 addi r16,r16,-1 + 2dd5c: dfc00c15 stw ra,48(sp) + 2dd60: df000b15 stw fp,44(sp) + 2dd64: ddc00a15 stw r23,40(sp) + 2dd68: dd000715 stw r20,28(sp) + 2dd6c: dcc00615 stw r19,24(sp) + 2dd70: dc400415 stw r17,16(sp) + 2dd74: 1481ffcc andi r18,r2,2047 + 2dd78: 2c20703a and r16,r5,r16 + 2dd7c: b02b883a mov r21,r22 + 2dd80: b2403fcc andi r9,r22,255 + 2dd84: 90006026 beq r18,zero,2df08 <__muldf3+0x1d0> + 2dd88: 0081ffc4 movi r2,2047 + 2dd8c: 2029883a mov r20,r4 + 2dd90: 90803626 beq r18,r2,2de6c <__muldf3+0x134> + 2dd94: 80800434 orhi r2,r16,16 + 2dd98: 100490fa slli r2,r2,3 + 2dd9c: 2020d77a srli r16,r4,29 + 2dda0: 202890fa slli r20,r4,3 + 2dda4: 94bf0044 addi r18,r18,-1023 + 2dda8: 80a0b03a or r16,r16,r2 + 2ddac: 0027883a mov r19,zero + 2ddb0: 0039883a mov fp,zero + 2ddb4: 3804d53a srli r2,r7,20 + 2ddb8: 382ed7fa srli r23,r7,31 + 2ddbc: 04400434 movhi r17,16 + 2ddc0: 8c7fffc4 addi r17,r17,-1 + 2ddc4: 1081ffcc andi r2,r2,2047 + 2ddc8: 3011883a mov r8,r6 + 2ddcc: 3c62703a and r17,r7,r17 + 2ddd0: ba803fcc andi r10,r23,255 + 2ddd4: 10006d26 beq r2,zero,2df8c <__muldf3+0x254> + 2ddd8: 00c1ffc4 movi r3,2047 + 2dddc: 10c06526 beq r2,r3,2df74 <__muldf3+0x23c> + 2dde0: 88c00434 orhi r3,r17,16 + 2dde4: 180690fa slli r3,r3,3 + 2dde8: 3022d77a srli r17,r6,29 + 2ddec: 301090fa slli r8,r6,3 + 2ddf0: 10bf0044 addi r2,r2,-1023 + 2ddf4: 88e2b03a or r17,r17,r3 + 2ddf8: 000b883a mov r5,zero + 2ddfc: 9085883a add r2,r18,r2 + 2de00: 2cc8b03a or r4,r5,r19 + 2de04: 00c003c4 movi r3,15 + 2de08: bdacf03a xor r22,r23,r22 + 2de0c: 12c00044 addi r11,r2,1 + 2de10: 19009936 bltu r3,r4,2e078 <__muldf3+0x340> + 2de14: 200890ba slli r4,r4,2 + 2de18: 00c000f4 movhi r3,3 + 2de1c: 18f78b04 addi r3,r3,-8660 + 2de20: 20c9883a add r4,r4,r3 + 2de24: 20c00017 ldw r3,0(r4) + 2de28: 1800683a jmp r3 + 2de2c: 0002e078 rdprs zero,zero,2945 + 2de30: 0002de8c andi zero,zero,2938 + 2de34: 0002de8c andi zero,zero,2938 + 2de38: 0002de88 cmpgei zero,zero,2938 + 2de3c: 0002e054 movui zero,2945 + 2de40: 0002e054 movui zero,2945 + 2de44: 0002e03c xorhi zero,zero,2944 + 2de48: 0002de88 cmpgei zero,zero,2938 + 2de4c: 0002e054 movui zero,2945 + 2de50: 0002e03c xorhi zero,zero,2944 + 2de54: 0002e054 movui zero,2945 + 2de58: 0002de88 cmpgei zero,zero,2938 + 2de5c: 0002e064 muli zero,zero,2945 + 2de60: 0002e064 muli zero,zero,2945 + 2de64: 0002e064 muli zero,zero,2945 + 2de68: 0002e280 call 2e28 <__alt_mem_onchip_memory2_0-0x1d1d8> + 2de6c: 2404b03a or r2,r4,r16 + 2de70: 10006f1e bne r2,zero,2e030 <__muldf3+0x2f8> + 2de74: 04c00204 movi r19,8 + 2de78: 0021883a mov r16,zero + 2de7c: 0029883a mov r20,zero + 2de80: 07000084 movi fp,2 + 2de84: 003fcb06 br 2ddb4 <_gp+0xffff187c> + 2de88: 502d883a mov r22,r10 + 2de8c: 00800084 movi r2,2 + 2de90: 28805726 beq r5,r2,2dff0 <__muldf3+0x2b8> + 2de94: 008000c4 movi r2,3 + 2de98: 28816626 beq r5,r2,2e434 <__muldf3+0x6fc> + 2de9c: 00800044 movi r2,1 + 2dea0: 2881411e bne r5,r2,2e3a8 <__muldf3+0x670> + 2dea4: b02b883a mov r21,r22 + 2dea8: 0005883a mov r2,zero + 2deac: 000b883a mov r5,zero + 2deb0: 0029883a mov r20,zero + 2deb4: 1004953a slli r2,r2,20 + 2deb8: a8c03fcc andi r3,r21,255 + 2debc: 04400434 movhi r17,16 + 2dec0: 8c7fffc4 addi r17,r17,-1 + 2dec4: 180697fa slli r3,r3,31 + 2dec8: 2c4a703a and r5,r5,r17 + 2decc: 288ab03a or r5,r5,r2 + 2ded0: 28c6b03a or r3,r5,r3 + 2ded4: a005883a mov r2,r20 + 2ded8: dfc00c17 ldw ra,48(sp) + 2dedc: df000b17 ldw fp,44(sp) + 2dee0: ddc00a17 ldw r23,40(sp) + 2dee4: dd800917 ldw r22,36(sp) + 2dee8: dd400817 ldw r21,32(sp) + 2deec: dd000717 ldw r20,28(sp) + 2def0: dcc00617 ldw r19,24(sp) + 2def4: dc800517 ldw r18,20(sp) + 2def8: dc400417 ldw r17,16(sp) + 2defc: dc000317 ldw r16,12(sp) + 2df00: dec00d04 addi sp,sp,52 + 2df04: f800283a ret + 2df08: 2404b03a or r2,r4,r16 + 2df0c: 2027883a mov r19,r4 + 2df10: 10004226 beq r2,zero,2e01c <__muldf3+0x2e4> + 2df14: 8000fc26 beq r16,zero,2e308 <__muldf3+0x5d0> + 2df18: 8009883a mov r4,r16 + 2df1c: d9800215 stw r6,8(sp) + 2df20: d9c00015 stw r7,0(sp) + 2df24: da400115 stw r9,4(sp) + 2df28: 002ef500 call 2ef50 <__clzsi2> + 2df2c: d9800217 ldw r6,8(sp) + 2df30: d9c00017 ldw r7,0(sp) + 2df34: da400117 ldw r9,4(sp) + 2df38: 113ffd44 addi r4,r2,-11 + 2df3c: 00c00704 movi r3,28 + 2df40: 1900ed16 blt r3,r4,2e2f8 <__muldf3+0x5c0> + 2df44: 00c00744 movi r3,29 + 2df48: 147ffe04 addi r17,r2,-8 + 2df4c: 1907c83a sub r3,r3,r4 + 2df50: 8460983a sll r16,r16,r17 + 2df54: 98c6d83a srl r3,r19,r3 + 2df58: 9c68983a sll r20,r19,r17 + 2df5c: 1c20b03a or r16,r3,r16 + 2df60: 1080fcc4 addi r2,r2,1011 + 2df64: 00a5c83a sub r18,zero,r2 + 2df68: 0027883a mov r19,zero + 2df6c: 0039883a mov fp,zero + 2df70: 003f9006 br 2ddb4 <_gp+0xffff187c> + 2df74: 3446b03a or r3,r6,r17 + 2df78: 1800261e bne r3,zero,2e014 <__muldf3+0x2dc> + 2df7c: 0023883a mov r17,zero + 2df80: 0011883a mov r8,zero + 2df84: 01400084 movi r5,2 + 2df88: 003f9c06 br 2ddfc <_gp+0xffff18c4> + 2df8c: 3446b03a or r3,r6,r17 + 2df90: 18001c26 beq r3,zero,2e004 <__muldf3+0x2cc> + 2df94: 8800ce26 beq r17,zero,2e2d0 <__muldf3+0x598> + 2df98: 8809883a mov r4,r17 + 2df9c: d9800215 stw r6,8(sp) + 2dfa0: da400115 stw r9,4(sp) + 2dfa4: da800015 stw r10,0(sp) + 2dfa8: 002ef500 call 2ef50 <__clzsi2> + 2dfac: d9800217 ldw r6,8(sp) + 2dfb0: da400117 ldw r9,4(sp) + 2dfb4: da800017 ldw r10,0(sp) + 2dfb8: 113ffd44 addi r4,r2,-11 + 2dfbc: 00c00704 movi r3,28 + 2dfc0: 1900bf16 blt r3,r4,2e2c0 <__muldf3+0x588> + 2dfc4: 00c00744 movi r3,29 + 2dfc8: 123ffe04 addi r8,r2,-8 + 2dfcc: 1907c83a sub r3,r3,r4 + 2dfd0: 8a22983a sll r17,r17,r8 + 2dfd4: 30c6d83a srl r3,r6,r3 + 2dfd8: 3210983a sll r8,r6,r8 + 2dfdc: 1c62b03a or r17,r3,r17 + 2dfe0: 1080fcc4 addi r2,r2,1011 + 2dfe4: 0085c83a sub r2,zero,r2 + 2dfe8: 000b883a mov r5,zero + 2dfec: 003f8306 br 2ddfc <_gp+0xffff18c4> + 2dff0: b02b883a mov r21,r22 + 2dff4: 0081ffc4 movi r2,2047 + 2dff8: 000b883a mov r5,zero + 2dffc: 0029883a mov r20,zero + 2e000: 003fac06 br 2deb4 <_gp+0xffff197c> + 2e004: 0023883a mov r17,zero + 2e008: 0011883a mov r8,zero + 2e00c: 01400044 movi r5,1 + 2e010: 003f7a06 br 2ddfc <_gp+0xffff18c4> + 2e014: 014000c4 movi r5,3 + 2e018: 003f7806 br 2ddfc <_gp+0xffff18c4> + 2e01c: 04c00104 movi r19,4 + 2e020: 0021883a mov r16,zero + 2e024: 0029883a mov r20,zero + 2e028: 07000044 movi fp,1 + 2e02c: 003f6106 br 2ddb4 <_gp+0xffff187c> + 2e030: 04c00304 movi r19,12 + 2e034: 070000c4 movi fp,3 + 2e038: 003f5e06 br 2ddb4 <_gp+0xffff187c> + 2e03c: 01400434 movhi r5,16 + 2e040: 002b883a mov r21,zero + 2e044: 297fffc4 addi r5,r5,-1 + 2e048: 053fffc4 movi r20,-1 + 2e04c: 0081ffc4 movi r2,2047 + 2e050: 003f9806 br 2deb4 <_gp+0xffff197c> + 2e054: 8023883a mov r17,r16 + 2e058: a011883a mov r8,r20 + 2e05c: e00b883a mov r5,fp + 2e060: 003f8a06 br 2de8c <_gp+0xffff1954> + 2e064: 8023883a mov r17,r16 + 2e068: a011883a mov r8,r20 + 2e06c: 482d883a mov r22,r9 + 2e070: e00b883a mov r5,fp + 2e074: 003f8506 br 2de8c <_gp+0xffff1954> + 2e078: a00ad43a srli r5,r20,16 + 2e07c: 401ad43a srli r13,r8,16 + 2e080: a53fffcc andi r20,r20,65535 + 2e084: 423fffcc andi r8,r8,65535 + 2e088: 4519383a mul r12,r8,r20 + 2e08c: 4147383a mul r3,r8,r5 + 2e090: 6d09383a mul r4,r13,r20 + 2e094: 600cd43a srli r6,r12,16 + 2e098: 2b5d383a mul r14,r5,r13 + 2e09c: 20c9883a add r4,r4,r3 + 2e0a0: 310d883a add r6,r6,r4 + 2e0a4: 30c0022e bgeu r6,r3,2e0b0 <__muldf3+0x378> + 2e0a8: 00c00074 movhi r3,1 + 2e0ac: 70dd883a add r14,r14,r3 + 2e0b0: 8826d43a srli r19,r17,16 + 2e0b4: 8bffffcc andi r15,r17,65535 + 2e0b8: 7d23383a mul r17,r15,r20 + 2e0bc: 7949383a mul r4,r15,r5 + 2e0c0: 9d29383a mul r20,r19,r20 + 2e0c4: 8814d43a srli r10,r17,16 + 2e0c8: 3012943a slli r9,r6,16 + 2e0cc: a129883a add r20,r20,r4 + 2e0d0: 633fffcc andi r12,r12,65535 + 2e0d4: 5515883a add r10,r10,r20 + 2e0d8: 3006d43a srli r3,r6,16 + 2e0dc: 4b13883a add r9,r9,r12 + 2e0e0: 2ccb383a mul r5,r5,r19 + 2e0e4: 5100022e bgeu r10,r4,2e0f0 <__muldf3+0x3b8> + 2e0e8: 01000074 movhi r4,1 + 2e0ec: 290b883a add r5,r5,r4 + 2e0f0: 802ad43a srli r21,r16,16 + 2e0f4: 843fffcc andi r16,r16,65535 + 2e0f8: 440d383a mul r6,r8,r16 + 2e0fc: 4565383a mul r18,r8,r21 + 2e100: 8349383a mul r4,r16,r13 + 2e104: 500e943a slli r7,r10,16 + 2e108: 3010d43a srli r8,r6,16 + 2e10c: 5028d43a srli r20,r10,16 + 2e110: 2489883a add r4,r4,r18 + 2e114: 8abfffcc andi r10,r17,65535 + 2e118: 3a95883a add r10,r7,r10 + 2e11c: 4119883a add r12,r8,r4 + 2e120: a169883a add r20,r20,r5 + 2e124: 1a87883a add r3,r3,r10 + 2e128: 6d5b383a mul r13,r13,r21 + 2e12c: 6480022e bgeu r12,r18,2e138 <__muldf3+0x400> + 2e130: 01000074 movhi r4,1 + 2e134: 691b883a add r13,r13,r4 + 2e138: 7c25383a mul r18,r15,r16 + 2e13c: 7d4b383a mul r5,r15,r21 + 2e140: 84cf383a mul r7,r16,r19 + 2e144: 901ed43a srli r15,r18,16 + 2e148: 6008d43a srli r4,r12,16 + 2e14c: 6010943a slli r8,r12,16 + 2e150: 394f883a add r7,r7,r5 + 2e154: 333fffcc andi r12,r6,65535 + 2e158: 79df883a add r15,r15,r7 + 2e15c: 235b883a add r13,r4,r13 + 2e160: 9d63383a mul r17,r19,r21 + 2e164: 4309883a add r4,r8,r12 + 2e168: 7940022e bgeu r15,r5,2e174 <__muldf3+0x43c> + 2e16c: 01400074 movhi r5,1 + 2e170: 8963883a add r17,r17,r5 + 2e174: 780a943a slli r5,r15,16 + 2e178: 91bfffcc andi r6,r18,65535 + 2e17c: 70c7883a add r3,r14,r3 + 2e180: 298d883a add r6,r5,r6 + 2e184: 1a8f803a cmpltu r7,r3,r10 + 2e188: 350b883a add r5,r6,r20 + 2e18c: 20c7883a add r3,r4,r3 + 2e190: 3955883a add r10,r7,r5 + 2e194: 1909803a cmpltu r4,r3,r4 + 2e198: 6a91883a add r8,r13,r10 + 2e19c: 780cd43a srli r6,r15,16 + 2e1a0: 2219883a add r12,r4,r8 + 2e1a4: 2d0b803a cmpltu r5,r5,r20 + 2e1a8: 51cf803a cmpltu r7,r10,r7 + 2e1ac: 29ceb03a or r7,r5,r7 + 2e1b0: 4351803a cmpltu r8,r8,r13 + 2e1b4: 610b803a cmpltu r5,r12,r4 + 2e1b8: 4148b03a or r4,r8,r5 + 2e1bc: 398f883a add r7,r7,r6 + 2e1c0: 3909883a add r4,r7,r4 + 2e1c4: 1810927a slli r8,r3,9 + 2e1c8: 2449883a add r4,r4,r17 + 2e1cc: 2008927a slli r4,r4,9 + 2e1d0: 6022d5fa srli r17,r12,23 + 2e1d4: 1806d5fa srli r3,r3,23 + 2e1d8: 4252b03a or r9,r8,r9 + 2e1dc: 600a927a slli r5,r12,9 + 2e1e0: 4810c03a cmpne r8,r9,zero + 2e1e4: 2462b03a or r17,r4,r17 + 2e1e8: 40c6b03a or r3,r8,r3 + 2e1ec: 8900402c andhi r4,r17,256 + 2e1f0: 1950b03a or r8,r3,r5 + 2e1f4: 20000726 beq r4,zero,2e214 <__muldf3+0x4dc> + 2e1f8: 4006d07a srli r3,r8,1 + 2e1fc: 880497fa slli r2,r17,31 + 2e200: 4200004c andi r8,r8,1 + 2e204: 8822d07a srli r17,r17,1 + 2e208: 1a10b03a or r8,r3,r8 + 2e20c: 1210b03a or r8,r2,r8 + 2e210: 5805883a mov r2,r11 + 2e214: 1140ffc4 addi r5,r2,1023 + 2e218: 0140440e bge zero,r5,2e32c <__muldf3+0x5f4> + 2e21c: 40c001cc andi r3,r8,7 + 2e220: 18000726 beq r3,zero,2e240 <__muldf3+0x508> + 2e224: 40c003cc andi r3,r8,15 + 2e228: 01000104 movi r4,4 + 2e22c: 19000426 beq r3,r4,2e240 <__muldf3+0x508> + 2e230: 4107883a add r3,r8,r4 + 2e234: 1a11803a cmpltu r8,r3,r8 + 2e238: 8a23883a add r17,r17,r8 + 2e23c: 1811883a mov r8,r3 + 2e240: 88c0402c andhi r3,r17,256 + 2e244: 18000426 beq r3,zero,2e258 <__muldf3+0x520> + 2e248: 11410004 addi r5,r2,1024 + 2e24c: 00bfc034 movhi r2,65280 + 2e250: 10bfffc4 addi r2,r2,-1 + 2e254: 88a2703a and r17,r17,r2 + 2e258: 0081ff84 movi r2,2046 + 2e25c: 117f6416 blt r2,r5,2dff0 <_gp+0xffff1ab8> + 2e260: 8828977a slli r20,r17,29 + 2e264: 4010d0fa srli r8,r8,3 + 2e268: 8822927a slli r17,r17,9 + 2e26c: 2881ffcc andi r2,r5,2047 + 2e270: a228b03a or r20,r20,r8 + 2e274: 880ad33a srli r5,r17,12 + 2e278: b02b883a mov r21,r22 + 2e27c: 003f0d06 br 2deb4 <_gp+0xffff197c> + 2e280: 8080022c andhi r2,r16,8 + 2e284: 10000926 beq r2,zero,2e2ac <__muldf3+0x574> + 2e288: 8880022c andhi r2,r17,8 + 2e28c: 1000071e bne r2,zero,2e2ac <__muldf3+0x574> + 2e290: 00800434 movhi r2,16 + 2e294: 89400234 orhi r5,r17,8 + 2e298: 10bfffc4 addi r2,r2,-1 + 2e29c: b82b883a mov r21,r23 + 2e2a0: 288a703a and r5,r5,r2 + 2e2a4: 4029883a mov r20,r8 + 2e2a8: 003f6806 br 2e04c <_gp+0xffff1b14> + 2e2ac: 00800434 movhi r2,16 + 2e2b0: 81400234 orhi r5,r16,8 + 2e2b4: 10bfffc4 addi r2,r2,-1 + 2e2b8: 288a703a and r5,r5,r2 + 2e2bc: 003f6306 br 2e04c <_gp+0xffff1b14> + 2e2c0: 147ff604 addi r17,r2,-40 + 2e2c4: 3462983a sll r17,r6,r17 + 2e2c8: 0011883a mov r8,zero + 2e2cc: 003f4406 br 2dfe0 <_gp+0xffff1aa8> + 2e2d0: 3009883a mov r4,r6 + 2e2d4: d9800215 stw r6,8(sp) + 2e2d8: da400115 stw r9,4(sp) + 2e2dc: da800015 stw r10,0(sp) + 2e2e0: 002ef500 call 2ef50 <__clzsi2> + 2e2e4: 10800804 addi r2,r2,32 + 2e2e8: da800017 ldw r10,0(sp) + 2e2ec: da400117 ldw r9,4(sp) + 2e2f0: d9800217 ldw r6,8(sp) + 2e2f4: 003f3006 br 2dfb8 <_gp+0xffff1a80> + 2e2f8: 143ff604 addi r16,r2,-40 + 2e2fc: 9c20983a sll r16,r19,r16 + 2e300: 0029883a mov r20,zero + 2e304: 003f1606 br 2df60 <_gp+0xffff1a28> + 2e308: d9800215 stw r6,8(sp) + 2e30c: d9c00015 stw r7,0(sp) + 2e310: da400115 stw r9,4(sp) + 2e314: 002ef500 call 2ef50 <__clzsi2> + 2e318: 10800804 addi r2,r2,32 + 2e31c: da400117 ldw r9,4(sp) + 2e320: d9c00017 ldw r7,0(sp) + 2e324: d9800217 ldw r6,8(sp) + 2e328: 003f0306 br 2df38 <_gp+0xffff1a00> + 2e32c: 00c00044 movi r3,1 + 2e330: 1947c83a sub r3,r3,r5 + 2e334: 00800e04 movi r2,56 + 2e338: 10feda16 blt r2,r3,2dea4 <_gp+0xffff196c> + 2e33c: 008007c4 movi r2,31 + 2e340: 10c01b16 blt r2,r3,2e3b0 <__muldf3+0x678> + 2e344: 00800804 movi r2,32 + 2e348: 10c5c83a sub r2,r2,r3 + 2e34c: 888a983a sll r5,r17,r2 + 2e350: 40c8d83a srl r4,r8,r3 + 2e354: 4084983a sll r2,r8,r2 + 2e358: 88e2d83a srl r17,r17,r3 + 2e35c: 2906b03a or r3,r5,r4 + 2e360: 1004c03a cmpne r2,r2,zero + 2e364: 1886b03a or r3,r3,r2 + 2e368: 188001cc andi r2,r3,7 + 2e36c: 10000726 beq r2,zero,2e38c <__muldf3+0x654> + 2e370: 188003cc andi r2,r3,15 + 2e374: 01000104 movi r4,4 + 2e378: 11000426 beq r2,r4,2e38c <__muldf3+0x654> + 2e37c: 1805883a mov r2,r3 + 2e380: 10c00104 addi r3,r2,4 + 2e384: 1885803a cmpltu r2,r3,r2 + 2e388: 88a3883a add r17,r17,r2 + 2e38c: 8880202c andhi r2,r17,128 + 2e390: 10001c26 beq r2,zero,2e404 <__muldf3+0x6cc> + 2e394: b02b883a mov r21,r22 + 2e398: 00800044 movi r2,1 + 2e39c: 000b883a mov r5,zero + 2e3a0: 0029883a mov r20,zero + 2e3a4: 003ec306 br 2deb4 <_gp+0xffff197c> + 2e3a8: 5805883a mov r2,r11 + 2e3ac: 003f9906 br 2e214 <_gp+0xffff1cdc> + 2e3b0: 00bff844 movi r2,-31 + 2e3b4: 1145c83a sub r2,r2,r5 + 2e3b8: 8888d83a srl r4,r17,r2 + 2e3bc: 00800804 movi r2,32 + 2e3c0: 18801a26 beq r3,r2,2e42c <__muldf3+0x6f4> + 2e3c4: 00801004 movi r2,64 + 2e3c8: 10c5c83a sub r2,r2,r3 + 2e3cc: 8884983a sll r2,r17,r2 + 2e3d0: 1204b03a or r2,r2,r8 + 2e3d4: 1004c03a cmpne r2,r2,zero + 2e3d8: 2084b03a or r2,r4,r2 + 2e3dc: 144001cc andi r17,r2,7 + 2e3e0: 88000d1e bne r17,zero,2e418 <__muldf3+0x6e0> + 2e3e4: 000b883a mov r5,zero + 2e3e8: 1028d0fa srli r20,r2,3 + 2e3ec: b02b883a mov r21,r22 2e3f0: 0005883a mov r2,zero - 2e3f4: f800283a ret + 2e3f4: a468b03a or r20,r20,r17 + 2e3f8: 003eae06 br 2deb4 <_gp+0xffff197c> + 2e3fc: 1007883a mov r3,r2 + 2e400: 0023883a mov r17,zero + 2e404: 880a927a slli r5,r17,9 + 2e408: 1805883a mov r2,r3 + 2e40c: 8822977a slli r17,r17,29 + 2e410: 280ad33a srli r5,r5,12 + 2e414: 003ff406 br 2e3e8 <_gp+0xffff1eb0> + 2e418: 10c003cc andi r3,r2,15 + 2e41c: 01000104 movi r4,4 + 2e420: 193ff626 beq r3,r4,2e3fc <_gp+0xffff1ec4> + 2e424: 0023883a mov r17,zero + 2e428: 003fd506 br 2e380 <_gp+0xffff1e48> + 2e42c: 0005883a mov r2,zero + 2e430: 003fe706 br 2e3d0 <_gp+0xffff1e98> + 2e434: 00800434 movhi r2,16 + 2e438: 89400234 orhi r5,r17,8 + 2e43c: 10bfffc4 addi r2,r2,-1 + 2e440: b02b883a mov r21,r22 + 2e444: 288a703a and r5,r5,r2 + 2e448: 4029883a mov r20,r8 + 2e44c: 003eff06 br 2e04c <_gp+0xffff1b14> -0002e3f8 <__ledf2>: - 2e3f8: 2804d53a srli r2,r5,20 - 2e3fc: 3810d53a srli r8,r7,20 - 2e400: 00c00434 movhi r3,16 - 2e404: 18ffffc4 addi r3,r3,-1 - 2e408: 1081ffcc andi r2,r2,2047 - 2e40c: 0241ffc4 movi r9,2047 - 2e410: 28d4703a and r10,r5,r3 - 2e414: 4201ffcc andi r8,r8,2047 - 2e418: 38c6703a and r3,r7,r3 - 2e41c: 280ad7fa srli r5,r5,31 - 2e420: 380ed7fa srli r7,r7,31 - 2e424: 12401f26 beq r2,r9,2e4a4 <__ledf2+0xac> - 2e428: 0241ffc4 movi r9,2047 - 2e42c: 42401426 beq r8,r9,2e480 <__ledf2+0x88> - 2e430: 1000091e bne r2,zero,2e458 <__ledf2+0x60> - 2e434: 2296b03a or r11,r4,r10 - 2e438: 5813003a cmpeq r9,r11,zero - 2e43c: 29403fcc andi r5,r5,255 - 2e440: 40000a1e bne r8,zero,2e46c <__ledf2+0x74> - 2e444: 30d8b03a or r12,r6,r3 - 2e448: 6000081e bne r12,zero,2e46c <__ledf2+0x74> - 2e44c: 0005883a mov r2,zero - 2e450: 5800111e bne r11,zero,2e498 <__ledf2+0xa0> - 2e454: f800283a ret - 2e458: 29403fcc andi r5,r5,255 - 2e45c: 40000c1e bne r8,zero,2e490 <__ledf2+0x98> - 2e460: 30d2b03a or r9,r6,r3 - 2e464: 48000c26 beq r9,zero,2e498 <__ledf2+0xa0> - 2e468: 0013883a mov r9,zero - 2e46c: 39c03fcc andi r7,r7,255 - 2e470: 48000826 beq r9,zero,2e494 <__ledf2+0x9c> - 2e474: 38001126 beq r7,zero,2e4bc <__ledf2+0xc4> - 2e478: 00800044 movi r2,1 - 2e47c: f800283a ret - 2e480: 30d2b03a or r9,r6,r3 - 2e484: 483fea26 beq r9,zero,2e430 <__ledf2+0x38> - 2e488: 00800084 movi r2,2 - 2e48c: f800283a ret - 2e490: 39c03fcc andi r7,r7,255 - 2e494: 39400726 beq r7,r5,2e4b4 <__ledf2+0xbc> - 2e498: 2800081e bne r5,zero,2e4bc <__ledf2+0xc4> - 2e49c: 00800044 movi r2,1 - 2e4a0: f800283a ret - 2e4a4: 2292b03a or r9,r4,r10 - 2e4a8: 483fdf26 beq r9,zero,2e428 <__ledf2+0x30> - 2e4ac: 00800084 movi r2,2 - 2e4b0: f800283a ret - 2e4b4: 4080030e bge r8,r2,2e4c4 <__ledf2+0xcc> - 2e4b8: 383fef26 beq r7,zero,2e478 <__ledf2+0x80> - 2e4bc: 00bfffc4 movi r2,-1 - 2e4c0: f800283a ret - 2e4c4: 123feb16 blt r2,r8,2e474 <__ledf2+0x7c> - 2e4c8: 1abff336 bltu r3,r10,2e498 <__ledf2+0xa0> - 2e4cc: 50c00326 beq r10,r3,2e4dc <__ledf2+0xe4> - 2e4d0: 50c0042e bgeu r10,r3,2e4e4 <__ledf2+0xec> - 2e4d4: 283fe81e bne r5,zero,2e478 <__ledf2+0x80> - 2e4d8: 003ff806 br 2e4bc <__ledf2+0xc4> - 2e4dc: 313fee36 bltu r6,r4,2e498 <__ledf2+0xa0> - 2e4e0: 21bffc36 bltu r4,r6,2e4d4 <__ledf2+0xdc> - 2e4e4: 0005883a mov r2,zero - 2e4e8: f800283a ret +0002e450 <__subdf3>: + 2e450: 02000434 movhi r8,16 + 2e454: 423fffc4 addi r8,r8,-1 + 2e458: defffb04 addi sp,sp,-20 + 2e45c: 2a14703a and r10,r5,r8 + 2e460: 3812d53a srli r9,r7,20 + 2e464: 3a10703a and r8,r7,r8 + 2e468: 2006d77a srli r3,r4,29 + 2e46c: 3004d77a srli r2,r6,29 + 2e470: dc000015 stw r16,0(sp) + 2e474: 501490fa slli r10,r10,3 + 2e478: 2820d53a srli r16,r5,20 + 2e47c: 401090fa slli r8,r8,3 + 2e480: dc800215 stw r18,8(sp) + 2e484: dc400115 stw r17,4(sp) + 2e488: dfc00415 stw ra,16(sp) + 2e48c: 202290fa slli r17,r4,3 + 2e490: dcc00315 stw r19,12(sp) + 2e494: 4a41ffcc andi r9,r9,2047 + 2e498: 0101ffc4 movi r4,2047 + 2e49c: 2824d7fa srli r18,r5,31 + 2e4a0: 8401ffcc andi r16,r16,2047 + 2e4a4: 50c6b03a or r3,r10,r3 + 2e4a8: 380ed7fa srli r7,r7,31 + 2e4ac: 408ab03a or r5,r8,r2 + 2e4b0: 300c90fa slli r6,r6,3 + 2e4b4: 49009626 beq r9,r4,2e710 <__subdf3+0x2c0> + 2e4b8: 39c0005c xori r7,r7,1 + 2e4bc: 8245c83a sub r2,r16,r9 + 2e4c0: 3c807426 beq r7,r18,2e694 <__subdf3+0x244> + 2e4c4: 0080af0e bge zero,r2,2e784 <__subdf3+0x334> + 2e4c8: 48002a1e bne r9,zero,2e574 <__subdf3+0x124> + 2e4cc: 2988b03a or r4,r5,r6 + 2e4d0: 20009a1e bne r4,zero,2e73c <__subdf3+0x2ec> + 2e4d4: 888001cc andi r2,r17,7 + 2e4d8: 10000726 beq r2,zero,2e4f8 <__subdf3+0xa8> + 2e4dc: 888003cc andi r2,r17,15 + 2e4e0: 01000104 movi r4,4 + 2e4e4: 11000426 beq r2,r4,2e4f8 <__subdf3+0xa8> + 2e4e8: 890b883a add r5,r17,r4 + 2e4ec: 2c63803a cmpltu r17,r5,r17 + 2e4f0: 1c47883a add r3,r3,r17 + 2e4f4: 2823883a mov r17,r5 + 2e4f8: 1880202c andhi r2,r3,128 + 2e4fc: 10005926 beq r2,zero,2e664 <__subdf3+0x214> + 2e500: 84000044 addi r16,r16,1 + 2e504: 0081ffc4 movi r2,2047 + 2e508: 8080be26 beq r16,r2,2e804 <__subdf3+0x3b4> + 2e50c: 017fe034 movhi r5,65408 + 2e510: 297fffc4 addi r5,r5,-1 + 2e514: 1946703a and r3,r3,r5 + 2e518: 1804977a slli r2,r3,29 + 2e51c: 1806927a slli r3,r3,9 + 2e520: 8822d0fa srli r17,r17,3 + 2e524: 8401ffcc andi r16,r16,2047 + 2e528: 180ad33a srli r5,r3,12 + 2e52c: 9100004c andi r4,r18,1 + 2e530: 1444b03a or r2,r2,r17 + 2e534: 80c1ffcc andi r3,r16,2047 + 2e538: 1820953a slli r16,r3,20 + 2e53c: 20c03fcc andi r3,r4,255 + 2e540: 180897fa slli r4,r3,31 + 2e544: 00c00434 movhi r3,16 + 2e548: 18ffffc4 addi r3,r3,-1 + 2e54c: 28c6703a and r3,r5,r3 + 2e550: 1c06b03a or r3,r3,r16 + 2e554: 1906b03a or r3,r3,r4 + 2e558: dfc00417 ldw ra,16(sp) + 2e55c: dcc00317 ldw r19,12(sp) + 2e560: dc800217 ldw r18,8(sp) + 2e564: dc400117 ldw r17,4(sp) + 2e568: dc000017 ldw r16,0(sp) + 2e56c: dec00504 addi sp,sp,20 + 2e570: f800283a ret + 2e574: 0101ffc4 movi r4,2047 + 2e578: 813fd626 beq r16,r4,2e4d4 <_gp+0xffff1f9c> + 2e57c: 29402034 orhi r5,r5,128 + 2e580: 01000e04 movi r4,56 + 2e584: 2080a316 blt r4,r2,2e814 <__subdf3+0x3c4> + 2e588: 010007c4 movi r4,31 + 2e58c: 2080c616 blt r4,r2,2e8a8 <__subdf3+0x458> + 2e590: 01000804 movi r4,32 + 2e594: 2089c83a sub r4,r4,r2 + 2e598: 2910983a sll r8,r5,r4 + 2e59c: 308ed83a srl r7,r6,r2 + 2e5a0: 3108983a sll r4,r6,r4 + 2e5a4: 2884d83a srl r2,r5,r2 + 2e5a8: 41ccb03a or r6,r8,r7 + 2e5ac: 2008c03a cmpne r4,r4,zero + 2e5b0: 310cb03a or r6,r6,r4 + 2e5b4: 898dc83a sub r6,r17,r6 + 2e5b8: 89a3803a cmpltu r17,r17,r6 + 2e5bc: 1887c83a sub r3,r3,r2 + 2e5c0: 1c47c83a sub r3,r3,r17 + 2e5c4: 3023883a mov r17,r6 + 2e5c8: 1880202c andhi r2,r3,128 + 2e5cc: 10002326 beq r2,zero,2e65c <__subdf3+0x20c> + 2e5d0: 04c02034 movhi r19,128 + 2e5d4: 9cffffc4 addi r19,r19,-1 + 2e5d8: 1ce6703a and r19,r3,r19 + 2e5dc: 98007a26 beq r19,zero,2e7c8 <__subdf3+0x378> + 2e5e0: 9809883a mov r4,r19 + 2e5e4: 002ef500 call 2ef50 <__clzsi2> + 2e5e8: 113ffe04 addi r4,r2,-8 + 2e5ec: 00c007c4 movi r3,31 + 2e5f0: 19007b16 blt r3,r4,2e7e0 <__subdf3+0x390> + 2e5f4: 00800804 movi r2,32 + 2e5f8: 1105c83a sub r2,r2,r4 + 2e5fc: 8884d83a srl r2,r17,r2 + 2e600: 9906983a sll r3,r19,r4 + 2e604: 8922983a sll r17,r17,r4 + 2e608: 10c4b03a or r2,r2,r3 + 2e60c: 24007816 blt r4,r16,2e7f0 <__subdf3+0x3a0> + 2e610: 2421c83a sub r16,r4,r16 + 2e614: 80c00044 addi r3,r16,1 + 2e618: 010007c4 movi r4,31 + 2e61c: 20c09516 blt r4,r3,2e874 <__subdf3+0x424> + 2e620: 01400804 movi r5,32 + 2e624: 28cbc83a sub r5,r5,r3 + 2e628: 88c8d83a srl r4,r17,r3 + 2e62c: 8962983a sll r17,r17,r5 + 2e630: 114a983a sll r5,r2,r5 + 2e634: 10c6d83a srl r3,r2,r3 + 2e638: 8804c03a cmpne r2,r17,zero + 2e63c: 290ab03a or r5,r5,r4 + 2e640: 28a2b03a or r17,r5,r2 + 2e644: 0021883a mov r16,zero + 2e648: 003fa206 br 2e4d4 <_gp+0xffff1f9c> + 2e64c: 2090b03a or r8,r4,r2 + 2e650: 40018e26 beq r8,zero,2ec8c <__subdf3+0x83c> + 2e654: 1007883a mov r3,r2 + 2e658: 2023883a mov r17,r4 + 2e65c: 888001cc andi r2,r17,7 + 2e660: 103f9e1e bne r2,zero,2e4dc <_gp+0xffff1fa4> + 2e664: 1804977a slli r2,r3,29 + 2e668: 8822d0fa srli r17,r17,3 + 2e66c: 1810d0fa srli r8,r3,3 + 2e670: 9100004c andi r4,r18,1 + 2e674: 1444b03a or r2,r2,r17 + 2e678: 00c1ffc4 movi r3,2047 + 2e67c: 80c02826 beq r16,r3,2e720 <__subdf3+0x2d0> + 2e680: 01400434 movhi r5,16 + 2e684: 297fffc4 addi r5,r5,-1 + 2e688: 80e0703a and r16,r16,r3 + 2e68c: 414a703a and r5,r8,r5 + 2e690: 003fa806 br 2e534 <_gp+0xffff1ffc> + 2e694: 0080630e bge zero,r2,2e824 <__subdf3+0x3d4> + 2e698: 48003026 beq r9,zero,2e75c <__subdf3+0x30c> + 2e69c: 0101ffc4 movi r4,2047 + 2e6a0: 813f8c26 beq r16,r4,2e4d4 <_gp+0xffff1f9c> + 2e6a4: 29402034 orhi r5,r5,128 + 2e6a8: 01000e04 movi r4,56 + 2e6ac: 2080a90e bge r4,r2,2e954 <__subdf3+0x504> + 2e6b0: 298cb03a or r6,r5,r6 + 2e6b4: 3012c03a cmpne r9,r6,zero + 2e6b8: 0005883a mov r2,zero + 2e6bc: 4c53883a add r9,r9,r17 + 2e6c0: 4c63803a cmpltu r17,r9,r17 + 2e6c4: 10c7883a add r3,r2,r3 + 2e6c8: 88c7883a add r3,r17,r3 + 2e6cc: 4823883a mov r17,r9 + 2e6d0: 1880202c andhi r2,r3,128 + 2e6d4: 1000d026 beq r2,zero,2ea18 <__subdf3+0x5c8> + 2e6d8: 84000044 addi r16,r16,1 + 2e6dc: 0081ffc4 movi r2,2047 + 2e6e0: 8080fe26 beq r16,r2,2eadc <__subdf3+0x68c> + 2e6e4: 00bfe034 movhi r2,65408 + 2e6e8: 10bfffc4 addi r2,r2,-1 + 2e6ec: 1886703a and r3,r3,r2 + 2e6f0: 880ad07a srli r5,r17,1 + 2e6f4: 180497fa slli r2,r3,31 + 2e6f8: 8900004c andi r4,r17,1 + 2e6fc: 2922b03a or r17,r5,r4 + 2e700: 1806d07a srli r3,r3,1 + 2e704: 1462b03a or r17,r2,r17 + 2e708: 3825883a mov r18,r7 + 2e70c: 003f7106 br 2e4d4 <_gp+0xffff1f9c> + 2e710: 2984b03a or r2,r5,r6 + 2e714: 103f6826 beq r2,zero,2e4b8 <_gp+0xffff1f80> + 2e718: 39c03fcc andi r7,r7,255 + 2e71c: 003f6706 br 2e4bc <_gp+0xffff1f84> + 2e720: 4086b03a or r3,r8,r2 + 2e724: 18015226 beq r3,zero,2ec70 <__subdf3+0x820> + 2e728: 00c00434 movhi r3,16 + 2e72c: 41400234 orhi r5,r8,8 + 2e730: 18ffffc4 addi r3,r3,-1 + 2e734: 28ca703a and r5,r5,r3 + 2e738: 003f7e06 br 2e534 <_gp+0xffff1ffc> + 2e73c: 10bfffc4 addi r2,r2,-1 + 2e740: 1000491e bne r2,zero,2e868 <__subdf3+0x418> + 2e744: 898fc83a sub r7,r17,r6 + 2e748: 89e3803a cmpltu r17,r17,r7 + 2e74c: 1947c83a sub r3,r3,r5 + 2e750: 1c47c83a sub r3,r3,r17 + 2e754: 3823883a mov r17,r7 + 2e758: 003f9b06 br 2e5c8 <_gp+0xffff2090> + 2e75c: 2988b03a or r4,r5,r6 + 2e760: 203f5c26 beq r4,zero,2e4d4 <_gp+0xffff1f9c> + 2e764: 10bfffc4 addi r2,r2,-1 + 2e768: 1000931e bne r2,zero,2e9b8 <__subdf3+0x568> + 2e76c: 898d883a add r6,r17,r6 + 2e770: 3463803a cmpltu r17,r6,r17 + 2e774: 1947883a add r3,r3,r5 + 2e778: 88c7883a add r3,r17,r3 + 2e77c: 3023883a mov r17,r6 + 2e780: 003fd306 br 2e6d0 <_gp+0xffff2198> + 2e784: 1000541e bne r2,zero,2e8d8 <__subdf3+0x488> + 2e788: 80800044 addi r2,r16,1 + 2e78c: 1081ffcc andi r2,r2,2047 + 2e790: 01000044 movi r4,1 + 2e794: 2080a20e bge r4,r2,2ea20 <__subdf3+0x5d0> + 2e798: 8989c83a sub r4,r17,r6 + 2e79c: 8905803a cmpltu r2,r17,r4 + 2e7a0: 1967c83a sub r19,r3,r5 + 2e7a4: 98a7c83a sub r19,r19,r2 + 2e7a8: 9880202c andhi r2,r19,128 + 2e7ac: 10006326 beq r2,zero,2e93c <__subdf3+0x4ec> + 2e7b0: 3463c83a sub r17,r6,r17 + 2e7b4: 28c7c83a sub r3,r5,r3 + 2e7b8: 344d803a cmpltu r6,r6,r17 + 2e7bc: 19a7c83a sub r19,r3,r6 + 2e7c0: 3825883a mov r18,r7 + 2e7c4: 983f861e bne r19,zero,2e5e0 <_gp+0xffff20a8> + 2e7c8: 8809883a mov r4,r17 + 2e7cc: 002ef500 call 2ef50 <__clzsi2> + 2e7d0: 10800804 addi r2,r2,32 + 2e7d4: 113ffe04 addi r4,r2,-8 + 2e7d8: 00c007c4 movi r3,31 + 2e7dc: 193f850e bge r3,r4,2e5f4 <_gp+0xffff20bc> + 2e7e0: 10bff604 addi r2,r2,-40 + 2e7e4: 8884983a sll r2,r17,r2 + 2e7e8: 0023883a mov r17,zero + 2e7ec: 243f880e bge r4,r16,2e610 <_gp+0xffff20d8> + 2e7f0: 00ffe034 movhi r3,65408 + 2e7f4: 18ffffc4 addi r3,r3,-1 + 2e7f8: 8121c83a sub r16,r16,r4 + 2e7fc: 10c6703a and r3,r2,r3 + 2e800: 003f3406 br 2e4d4 <_gp+0xffff1f9c> + 2e804: 9100004c andi r4,r18,1 + 2e808: 000b883a mov r5,zero + 2e80c: 0005883a mov r2,zero + 2e810: 003f4806 br 2e534 <_gp+0xffff1ffc> + 2e814: 298cb03a or r6,r5,r6 + 2e818: 300cc03a cmpne r6,r6,zero + 2e81c: 0005883a mov r2,zero + 2e820: 003f6406 br 2e5b4 <_gp+0xffff207c> + 2e824: 10009a1e bne r2,zero,2ea90 <__subdf3+0x640> + 2e828: 82400044 addi r9,r16,1 + 2e82c: 4881ffcc andi r2,r9,2047 + 2e830: 02800044 movi r10,1 + 2e834: 5080670e bge r10,r2,2e9d4 <__subdf3+0x584> + 2e838: 0081ffc4 movi r2,2047 + 2e83c: 4880af26 beq r9,r2,2eafc <__subdf3+0x6ac> + 2e840: 898d883a add r6,r17,r6 + 2e844: 1945883a add r2,r3,r5 + 2e848: 3447803a cmpltu r3,r6,r17 + 2e84c: 1887883a add r3,r3,r2 + 2e850: 182297fa slli r17,r3,31 + 2e854: 300cd07a srli r6,r6,1 + 2e858: 1806d07a srli r3,r3,1 + 2e85c: 4821883a mov r16,r9 + 2e860: 89a2b03a or r17,r17,r6 + 2e864: 003f1b06 br 2e4d4 <_gp+0xffff1f9c> + 2e868: 0101ffc4 movi r4,2047 + 2e86c: 813f441e bne r16,r4,2e580 <_gp+0xffff2048> + 2e870: 003f1806 br 2e4d4 <_gp+0xffff1f9c> + 2e874: 843ff844 addi r16,r16,-31 + 2e878: 01400804 movi r5,32 + 2e87c: 1408d83a srl r4,r2,r16 + 2e880: 19405026 beq r3,r5,2e9c4 <__subdf3+0x574> + 2e884: 01401004 movi r5,64 + 2e888: 28c7c83a sub r3,r5,r3 + 2e88c: 10c4983a sll r2,r2,r3 + 2e890: 88a2b03a or r17,r17,r2 + 2e894: 8822c03a cmpne r17,r17,zero + 2e898: 2462b03a or r17,r4,r17 + 2e89c: 0007883a mov r3,zero + 2e8a0: 0021883a mov r16,zero + 2e8a4: 003f6d06 br 2e65c <_gp+0xffff2124> + 2e8a8: 11fff804 addi r7,r2,-32 + 2e8ac: 01000804 movi r4,32 + 2e8b0: 29ced83a srl r7,r5,r7 + 2e8b4: 11004526 beq r2,r4,2e9cc <__subdf3+0x57c> + 2e8b8: 01001004 movi r4,64 + 2e8bc: 2089c83a sub r4,r4,r2 + 2e8c0: 2904983a sll r2,r5,r4 + 2e8c4: 118cb03a or r6,r2,r6 + 2e8c8: 300cc03a cmpne r6,r6,zero + 2e8cc: 398cb03a or r6,r7,r6 + 2e8d0: 0005883a mov r2,zero + 2e8d4: 003f3706 br 2e5b4 <_gp+0xffff207c> + 2e8d8: 80002a26 beq r16,zero,2e984 <__subdf3+0x534> + 2e8dc: 0101ffc4 movi r4,2047 + 2e8e0: 49006626 beq r9,r4,2ea7c <__subdf3+0x62c> + 2e8e4: 0085c83a sub r2,zero,r2 + 2e8e8: 18c02034 orhi r3,r3,128 + 2e8ec: 01000e04 movi r4,56 + 2e8f0: 20807e16 blt r4,r2,2eaec <__subdf3+0x69c> + 2e8f4: 010007c4 movi r4,31 + 2e8f8: 2080e716 blt r4,r2,2ec98 <__subdf3+0x848> + 2e8fc: 01000804 movi r4,32 + 2e900: 2089c83a sub r4,r4,r2 + 2e904: 1914983a sll r10,r3,r4 + 2e908: 8890d83a srl r8,r17,r2 + 2e90c: 8908983a sll r4,r17,r4 + 2e910: 1884d83a srl r2,r3,r2 + 2e914: 5222b03a or r17,r10,r8 + 2e918: 2006c03a cmpne r3,r4,zero + 2e91c: 88e2b03a or r17,r17,r3 + 2e920: 3463c83a sub r17,r6,r17 + 2e924: 2885c83a sub r2,r5,r2 + 2e928: 344d803a cmpltu r6,r6,r17 + 2e92c: 1187c83a sub r3,r2,r6 + 2e930: 4821883a mov r16,r9 + 2e934: 3825883a mov r18,r7 + 2e938: 003f2306 br 2e5c8 <_gp+0xffff2090> + 2e93c: 24d0b03a or r8,r4,r19 + 2e940: 40001b1e bne r8,zero,2e9b0 <__subdf3+0x560> + 2e944: 0005883a mov r2,zero + 2e948: 0009883a mov r4,zero + 2e94c: 0021883a mov r16,zero + 2e950: 003f4906 br 2e678 <_gp+0xffff2140> + 2e954: 010007c4 movi r4,31 + 2e958: 20803a16 blt r4,r2,2ea44 <__subdf3+0x5f4> + 2e95c: 01000804 movi r4,32 + 2e960: 2089c83a sub r4,r4,r2 + 2e964: 2912983a sll r9,r5,r4 + 2e968: 3090d83a srl r8,r6,r2 + 2e96c: 3108983a sll r4,r6,r4 + 2e970: 2884d83a srl r2,r5,r2 + 2e974: 4a12b03a or r9,r9,r8 + 2e978: 2008c03a cmpne r4,r4,zero + 2e97c: 4912b03a or r9,r9,r4 + 2e980: 003f4e06 br 2e6bc <_gp+0xffff2184> + 2e984: 1c48b03a or r4,r3,r17 + 2e988: 20003c26 beq r4,zero,2ea7c <__subdf3+0x62c> + 2e98c: 0084303a nor r2,zero,r2 + 2e990: 1000381e bne r2,zero,2ea74 <__subdf3+0x624> + 2e994: 3463c83a sub r17,r6,r17 + 2e998: 28c5c83a sub r2,r5,r3 + 2e99c: 344d803a cmpltu r6,r6,r17 + 2e9a0: 1187c83a sub r3,r2,r6 + 2e9a4: 4821883a mov r16,r9 + 2e9a8: 3825883a mov r18,r7 + 2e9ac: 003f0606 br 2e5c8 <_gp+0xffff2090> + 2e9b0: 2023883a mov r17,r4 + 2e9b4: 003f0906 br 2e5dc <_gp+0xffff20a4> + 2e9b8: 0101ffc4 movi r4,2047 + 2e9bc: 813f3a1e bne r16,r4,2e6a8 <_gp+0xffff2170> + 2e9c0: 003ec406 br 2e4d4 <_gp+0xffff1f9c> + 2e9c4: 0005883a mov r2,zero + 2e9c8: 003fb106 br 2e890 <_gp+0xffff2358> + 2e9cc: 0005883a mov r2,zero + 2e9d0: 003fbc06 br 2e8c4 <_gp+0xffff238c> + 2e9d4: 1c44b03a or r2,r3,r17 + 2e9d8: 80008e1e bne r16,zero,2ec14 <__subdf3+0x7c4> + 2e9dc: 1000c826 beq r2,zero,2ed00 <__subdf3+0x8b0> + 2e9e0: 2984b03a or r2,r5,r6 + 2e9e4: 103ebb26 beq r2,zero,2e4d4 <_gp+0xffff1f9c> + 2e9e8: 8989883a add r4,r17,r6 + 2e9ec: 1945883a add r2,r3,r5 + 2e9f0: 2447803a cmpltu r3,r4,r17 + 2e9f4: 1887883a add r3,r3,r2 + 2e9f8: 1880202c andhi r2,r3,128 + 2e9fc: 2023883a mov r17,r4 + 2ea00: 103f1626 beq r2,zero,2e65c <_gp+0xffff2124> + 2ea04: 00bfe034 movhi r2,65408 + 2ea08: 10bfffc4 addi r2,r2,-1 + 2ea0c: 5021883a mov r16,r10 + 2ea10: 1886703a and r3,r3,r2 + 2ea14: 003eaf06 br 2e4d4 <_gp+0xffff1f9c> + 2ea18: 3825883a mov r18,r7 + 2ea1c: 003f0f06 br 2e65c <_gp+0xffff2124> + 2ea20: 1c44b03a or r2,r3,r17 + 2ea24: 8000251e bne r16,zero,2eabc <__subdf3+0x66c> + 2ea28: 1000661e bne r2,zero,2ebc4 <__subdf3+0x774> + 2ea2c: 2990b03a or r8,r5,r6 + 2ea30: 40009626 beq r8,zero,2ec8c <__subdf3+0x83c> + 2ea34: 2807883a mov r3,r5 + 2ea38: 3023883a mov r17,r6 + 2ea3c: 3825883a mov r18,r7 + 2ea40: 003ea406 br 2e4d4 <_gp+0xffff1f9c> + 2ea44: 127ff804 addi r9,r2,-32 + 2ea48: 01000804 movi r4,32 + 2ea4c: 2a52d83a srl r9,r5,r9 + 2ea50: 11008c26 beq r2,r4,2ec84 <__subdf3+0x834> + 2ea54: 01001004 movi r4,64 + 2ea58: 2085c83a sub r2,r4,r2 + 2ea5c: 2884983a sll r2,r5,r2 + 2ea60: 118cb03a or r6,r2,r6 + 2ea64: 300cc03a cmpne r6,r6,zero + 2ea68: 4992b03a or r9,r9,r6 + 2ea6c: 0005883a mov r2,zero + 2ea70: 003f1206 br 2e6bc <_gp+0xffff2184> + 2ea74: 0101ffc4 movi r4,2047 + 2ea78: 493f9c1e bne r9,r4,2e8ec <_gp+0xffff23b4> + 2ea7c: 2807883a mov r3,r5 + 2ea80: 3023883a mov r17,r6 + 2ea84: 4821883a mov r16,r9 + 2ea88: 3825883a mov r18,r7 + 2ea8c: 003e9106 br 2e4d4 <_gp+0xffff1f9c> + 2ea90: 80001f1e bne r16,zero,2eb10 <__subdf3+0x6c0> + 2ea94: 1c48b03a or r4,r3,r17 + 2ea98: 20005a26 beq r4,zero,2ec04 <__subdf3+0x7b4> + 2ea9c: 0084303a nor r2,zero,r2 + 2eaa0: 1000561e bne r2,zero,2ebfc <__subdf3+0x7ac> + 2eaa4: 89a3883a add r17,r17,r6 + 2eaa8: 1945883a add r2,r3,r5 + 2eaac: 898d803a cmpltu r6,r17,r6 + 2eab0: 3087883a add r3,r6,r2 + 2eab4: 4821883a mov r16,r9 + 2eab8: 003f0506 br 2e6d0 <_gp+0xffff2198> + 2eabc: 10002b1e bne r2,zero,2eb6c <__subdf3+0x71c> + 2eac0: 2984b03a or r2,r5,r6 + 2eac4: 10008026 beq r2,zero,2ecc8 <__subdf3+0x878> + 2eac8: 2807883a mov r3,r5 + 2eacc: 3023883a mov r17,r6 + 2ead0: 3825883a mov r18,r7 + 2ead4: 0401ffc4 movi r16,2047 + 2ead8: 003e7e06 br 2e4d4 <_gp+0xffff1f9c> + 2eadc: 3809883a mov r4,r7 + 2eae0: 0011883a mov r8,zero + 2eae4: 0005883a mov r2,zero + 2eae8: 003ee306 br 2e678 <_gp+0xffff2140> + 2eaec: 1c62b03a or r17,r3,r17 + 2eaf0: 8822c03a cmpne r17,r17,zero + 2eaf4: 0005883a mov r2,zero + 2eaf8: 003f8906 br 2e920 <_gp+0xffff23e8> + 2eafc: 3809883a mov r4,r7 + 2eb00: 4821883a mov r16,r9 + 2eb04: 0011883a mov r8,zero + 2eb08: 0005883a mov r2,zero + 2eb0c: 003eda06 br 2e678 <_gp+0xffff2140> + 2eb10: 0101ffc4 movi r4,2047 + 2eb14: 49003b26 beq r9,r4,2ec04 <__subdf3+0x7b4> + 2eb18: 0085c83a sub r2,zero,r2 + 2eb1c: 18c02034 orhi r3,r3,128 + 2eb20: 01000e04 movi r4,56 + 2eb24: 20806e16 blt r4,r2,2ece0 <__subdf3+0x890> + 2eb28: 010007c4 movi r4,31 + 2eb2c: 20807716 blt r4,r2,2ed0c <__subdf3+0x8bc> + 2eb30: 01000804 movi r4,32 + 2eb34: 2089c83a sub r4,r4,r2 + 2eb38: 1914983a sll r10,r3,r4 + 2eb3c: 8890d83a srl r8,r17,r2 + 2eb40: 8908983a sll r4,r17,r4 + 2eb44: 1884d83a srl r2,r3,r2 + 2eb48: 5222b03a or r17,r10,r8 + 2eb4c: 2006c03a cmpne r3,r4,zero + 2eb50: 88e2b03a or r17,r17,r3 + 2eb54: 89a3883a add r17,r17,r6 + 2eb58: 1145883a add r2,r2,r5 + 2eb5c: 898d803a cmpltu r6,r17,r6 + 2eb60: 3087883a add r3,r6,r2 + 2eb64: 4821883a mov r16,r9 + 2eb68: 003ed906 br 2e6d0 <_gp+0xffff2198> + 2eb6c: 2984b03a or r2,r5,r6 + 2eb70: 10004226 beq r2,zero,2ec7c <__subdf3+0x82c> + 2eb74: 1808d0fa srli r4,r3,3 + 2eb78: 8822d0fa srli r17,r17,3 + 2eb7c: 1806977a slli r3,r3,29 + 2eb80: 2080022c andhi r2,r4,8 + 2eb84: 1c62b03a or r17,r3,r17 + 2eb88: 10000826 beq r2,zero,2ebac <__subdf3+0x75c> + 2eb8c: 2812d0fa srli r9,r5,3 + 2eb90: 4880022c andhi r2,r9,8 + 2eb94: 1000051e bne r2,zero,2ebac <__subdf3+0x75c> + 2eb98: 300cd0fa srli r6,r6,3 + 2eb9c: 2804977a slli r2,r5,29 + 2eba0: 4809883a mov r4,r9 + 2eba4: 3825883a mov r18,r7 + 2eba8: 11a2b03a or r17,r2,r6 + 2ebac: 8806d77a srli r3,r17,29 + 2ebb0: 200890fa slli r4,r4,3 + 2ebb4: 882290fa slli r17,r17,3 + 2ebb8: 0401ffc4 movi r16,2047 + 2ebbc: 1906b03a or r3,r3,r4 + 2ebc0: 003e4406 br 2e4d4 <_gp+0xffff1f9c> + 2ebc4: 2984b03a or r2,r5,r6 + 2ebc8: 103e4226 beq r2,zero,2e4d4 <_gp+0xffff1f9c> + 2ebcc: 8989c83a sub r4,r17,r6 + 2ebd0: 8911803a cmpltu r8,r17,r4 + 2ebd4: 1945c83a sub r2,r3,r5 + 2ebd8: 1205c83a sub r2,r2,r8 + 2ebdc: 1200202c andhi r8,r2,128 + 2ebe0: 403e9a26 beq r8,zero,2e64c <_gp+0xffff2114> + 2ebe4: 3463c83a sub r17,r6,r17 + 2ebe8: 28c5c83a sub r2,r5,r3 + 2ebec: 344d803a cmpltu r6,r6,r17 + 2ebf0: 1187c83a sub r3,r2,r6 + 2ebf4: 3825883a mov r18,r7 + 2ebf8: 003e3606 br 2e4d4 <_gp+0xffff1f9c> + 2ebfc: 0101ffc4 movi r4,2047 + 2ec00: 493fc71e bne r9,r4,2eb20 <_gp+0xffff25e8> + 2ec04: 2807883a mov r3,r5 + 2ec08: 3023883a mov r17,r6 + 2ec0c: 4821883a mov r16,r9 + 2ec10: 003e3006 br 2e4d4 <_gp+0xffff1f9c> + 2ec14: 10003626 beq r2,zero,2ecf0 <__subdf3+0x8a0> + 2ec18: 2984b03a or r2,r5,r6 + 2ec1c: 10001726 beq r2,zero,2ec7c <__subdf3+0x82c> + 2ec20: 1808d0fa srli r4,r3,3 + 2ec24: 8822d0fa srli r17,r17,3 + 2ec28: 1806977a slli r3,r3,29 + 2ec2c: 2080022c andhi r2,r4,8 + 2ec30: 1c62b03a or r17,r3,r17 + 2ec34: 10000726 beq r2,zero,2ec54 <__subdf3+0x804> + 2ec38: 2812d0fa srli r9,r5,3 + 2ec3c: 4880022c andhi r2,r9,8 + 2ec40: 1000041e bne r2,zero,2ec54 <__subdf3+0x804> + 2ec44: 300cd0fa srli r6,r6,3 + 2ec48: 2804977a slli r2,r5,29 + 2ec4c: 4809883a mov r4,r9 + 2ec50: 11a2b03a or r17,r2,r6 + 2ec54: 8806d77a srli r3,r17,29 + 2ec58: 200890fa slli r4,r4,3 + 2ec5c: 882290fa slli r17,r17,3 + 2ec60: 3825883a mov r18,r7 + 2ec64: 1906b03a or r3,r3,r4 + 2ec68: 0401ffc4 movi r16,2047 + 2ec6c: 003e1906 br 2e4d4 <_gp+0xffff1f9c> + 2ec70: 000b883a mov r5,zero + 2ec74: 0005883a mov r2,zero + 2ec78: 003e2e06 br 2e534 <_gp+0xffff1ffc> + 2ec7c: 0401ffc4 movi r16,2047 + 2ec80: 003e1406 br 2e4d4 <_gp+0xffff1f9c> + 2ec84: 0005883a mov r2,zero + 2ec88: 003f7506 br 2ea60 <_gp+0xffff2528> + 2ec8c: 0005883a mov r2,zero + 2ec90: 0009883a mov r4,zero + 2ec94: 003e7806 br 2e678 <_gp+0xffff2140> + 2ec98: 123ff804 addi r8,r2,-32 + 2ec9c: 01000804 movi r4,32 + 2eca0: 1a10d83a srl r8,r3,r8 + 2eca4: 11002526 beq r2,r4,2ed3c <__subdf3+0x8ec> + 2eca8: 01001004 movi r4,64 + 2ecac: 2085c83a sub r2,r4,r2 + 2ecb0: 1884983a sll r2,r3,r2 + 2ecb4: 1444b03a or r2,r2,r17 + 2ecb8: 1004c03a cmpne r2,r2,zero + 2ecbc: 40a2b03a or r17,r8,r2 + 2ecc0: 0005883a mov r2,zero + 2ecc4: 003f1606 br 2e920 <_gp+0xffff23e8> + 2ecc8: 02000434 movhi r8,16 + 2eccc: 0009883a mov r4,zero + 2ecd0: 423fffc4 addi r8,r8,-1 + 2ecd4: 00bfffc4 movi r2,-1 + 2ecd8: 0401ffc4 movi r16,2047 + 2ecdc: 003e6606 br 2e678 <_gp+0xffff2140> + 2ece0: 1c62b03a or r17,r3,r17 + 2ece4: 8822c03a cmpne r17,r17,zero + 2ece8: 0005883a mov r2,zero + 2ecec: 003f9906 br 2eb54 <_gp+0xffff261c> + 2ecf0: 2807883a mov r3,r5 + 2ecf4: 3023883a mov r17,r6 + 2ecf8: 0401ffc4 movi r16,2047 + 2ecfc: 003df506 br 2e4d4 <_gp+0xffff1f9c> + 2ed00: 2807883a mov r3,r5 + 2ed04: 3023883a mov r17,r6 + 2ed08: 003df206 br 2e4d4 <_gp+0xffff1f9c> + 2ed0c: 123ff804 addi r8,r2,-32 + 2ed10: 01000804 movi r4,32 + 2ed14: 1a10d83a srl r8,r3,r8 + 2ed18: 11000a26 beq r2,r4,2ed44 <__subdf3+0x8f4> + 2ed1c: 01001004 movi r4,64 + 2ed20: 2085c83a sub r2,r4,r2 + 2ed24: 1884983a sll r2,r3,r2 + 2ed28: 1444b03a or r2,r2,r17 + 2ed2c: 1004c03a cmpne r2,r2,zero + 2ed30: 40a2b03a or r17,r8,r2 + 2ed34: 0005883a mov r2,zero + 2ed38: 003f8606 br 2eb54 <_gp+0xffff261c> + 2ed3c: 0005883a mov r2,zero + 2ed40: 003fdc06 br 2ecb4 <_gp+0xffff277c> + 2ed44: 0005883a mov r2,zero + 2ed48: 003ff706 br 2ed28 <_gp+0xffff27f0> -0002e4ec <__muldf3>: - 2e4ec: defff304 addi sp,sp,-52 - 2e4f0: dd400815 stw r21,32(sp) - 2e4f4: 282ad53a srli r21,r5,20 - 2e4f8: dd800915 stw r22,36(sp) - 2e4fc: 282cd7fa srli r22,r5,31 - 2e500: dc000315 stw r16,12(sp) - 2e504: 04000434 movhi r16,16 - 2e508: dd000715 stw r20,28(sp) - 2e50c: 843fffc4 addi r16,r16,-1 - 2e510: dfc00c15 stw ra,48(sp) - 2e514: df000b15 stw fp,44(sp) - 2e518: ddc00a15 stw r23,40(sp) - 2e51c: dcc00615 stw r19,24(sp) - 2e520: dc800515 stw r18,20(sp) - 2e524: dc400415 stw r17,16(sp) - 2e528: ad41ffcc andi r21,r21,2047 - 2e52c: 2c20703a and r16,r5,r16 - 2e530: b029883a mov r20,r22 - 2e534: b2403fcc andi r9,r22,255 - 2e538: a8006026 beq r21,zero,2e6bc <__muldf3+0x1d0> - 2e53c: 0081ffc4 movi r2,2047 - 2e540: 2027883a mov r19,r4 - 2e544: a8803626 beq r21,r2,2e620 <__muldf3+0x134> - 2e548: 80c00434 orhi r3,r16,16 - 2e54c: 180690fa slli r3,r3,3 - 2e550: 2020d77a srli r16,r4,29 - 2e554: 202690fa slli r19,r4,3 - 2e558: ad7f0044 addi r21,r21,-1023 - 2e55c: 80e0b03a or r16,r16,r3 - 2e560: 0025883a mov r18,zero - 2e564: 0039883a mov fp,zero - 2e568: 3808d53a srli r4,r7,20 - 2e56c: 382ed7fa srli r23,r7,31 - 2e570: 04400434 movhi r17,16 - 2e574: 8c7fffc4 addi r17,r17,-1 - 2e578: 2101ffcc andi r4,r4,2047 - 2e57c: 3011883a mov r8,r6 - 2e580: 3c62703a and r17,r7,r17 - 2e584: ba803fcc andi r10,r23,255 - 2e588: 20006d26 beq r4,zero,2e740 <__muldf3+0x254> - 2e58c: 0081ffc4 movi r2,2047 - 2e590: 20806526 beq r4,r2,2e728 <__muldf3+0x23c> - 2e594: 88c00434 orhi r3,r17,16 - 2e598: 180690fa slli r3,r3,3 - 2e59c: 3022d77a srli r17,r6,29 - 2e5a0: 301090fa slli r8,r6,3 - 2e5a4: 213f0044 addi r4,r4,-1023 - 2e5a8: 88e2b03a or r17,r17,r3 - 2e5ac: 000b883a mov r5,zero - 2e5b0: a909883a add r4,r21,r4 - 2e5b4: 2c84b03a or r2,r5,r18 - 2e5b8: 00c003c4 movi r3,15 - 2e5bc: bdacf03a xor r22,r23,r22 - 2e5c0: 22c00044 addi r11,r4,1 - 2e5c4: 18809936 bltu r3,r2,2e82c <__muldf3+0x340> - 2e5c8: 100490ba slli r2,r2,2 - 2e5cc: 00c000f4 movhi r3,3 - 2e5d0: 18f97804 addi r3,r3,-6688 - 2e5d4: 10c5883a add r2,r2,r3 - 2e5d8: 10800017 ldw r2,0(r2) - 2e5dc: 1000683a jmp r2 - 2e5e0: 0002e82c andhi zero,zero,2976 - 2e5e4: 0002e640 call 2e64 <__alt_mem_onchip_memory2_0-0x1d19c> - 2e5e8: 0002e640 call 2e64 <__alt_mem_onchip_memory2_0-0x1d19c> - 2e5ec: 0002e63c xorhi zero,zero,2968 - 2e5f0: 0002e808 cmpgei zero,zero,2976 - 2e5f4: 0002e808 cmpgei zero,zero,2976 - 2e5f8: 0002e7f0 cmpltui zero,zero,2975 - 2e5fc: 0002e63c xorhi zero,zero,2968 - 2e600: 0002e808 cmpgei zero,zero,2976 - 2e604: 0002e7f0 cmpltui zero,zero,2975 - 2e608: 0002e808 cmpgei zero,zero,2976 - 2e60c: 0002e63c xorhi zero,zero,2968 - 2e610: 0002e818 cmpnei zero,zero,2976 - 2e614: 0002e818 cmpnei zero,zero,2976 - 2e618: 0002e818 cmpnei zero,zero,2976 - 2e61c: 0002ea34 movhi zero,2984 - 2e620: 2404b03a or r2,r4,r16 - 2e624: 10006f1e bne r2,zero,2e7e4 <__muldf3+0x2f8> - 2e628: 04800204 movi r18,8 - 2e62c: 0021883a mov r16,zero - 2e630: 0027883a mov r19,zero - 2e634: 07000084 movi fp,2 - 2e638: 003fcb06 br 2e568 <__muldf3+0x7c> - 2e63c: 502d883a mov r22,r10 - 2e640: 00800084 movi r2,2 - 2e644: 28805726 beq r5,r2,2e7a4 <__muldf3+0x2b8> - 2e648: 008000c4 movi r2,3 - 2e64c: 28816626 beq r5,r2,2ebe8 <__muldf3+0x6fc> - 2e650: 00800044 movi r2,1 - 2e654: 2881411e bne r5,r2,2eb5c <__muldf3+0x670> - 2e658: b029883a mov r20,r22 - 2e65c: 0005883a mov r2,zero - 2e660: 000b883a mov r5,zero - 2e664: 0027883a mov r19,zero - 2e668: 1004953a slli r2,r2,20 - 2e66c: a0c03fcc andi r3,r20,255 - 2e670: 04400434 movhi r17,16 - 2e674: 8c7fffc4 addi r17,r17,-1 - 2e678: 180697fa slli r3,r3,31 - 2e67c: 2c4a703a and r5,r5,r17 - 2e680: 288ab03a or r5,r5,r2 - 2e684: 28c6b03a or r3,r5,r3 - 2e688: 9805883a mov r2,r19 - 2e68c: dfc00c17 ldw ra,48(sp) - 2e690: df000b17 ldw fp,44(sp) - 2e694: ddc00a17 ldw r23,40(sp) - 2e698: dd800917 ldw r22,36(sp) - 2e69c: dd400817 ldw r21,32(sp) - 2e6a0: dd000717 ldw r20,28(sp) - 2e6a4: dcc00617 ldw r19,24(sp) - 2e6a8: dc800517 ldw r18,20(sp) - 2e6ac: dc400417 ldw r17,16(sp) - 2e6b0: dc000317 ldw r16,12(sp) - 2e6b4: dec00d04 addi sp,sp,52 - 2e6b8: f800283a ret - 2e6bc: 2404b03a or r2,r4,r16 - 2e6c0: 2025883a mov r18,r4 - 2e6c4: 10004226 beq r2,zero,2e7d0 <__muldf3+0x2e4> - 2e6c8: 8000fc26 beq r16,zero,2eabc <__muldf3+0x5d0> - 2e6cc: 8009883a mov r4,r16 - 2e6d0: d9800215 stw r6,8(sp) - 2e6d4: d9c00015 stw r7,0(sp) - 2e6d8: da400115 stw r9,4(sp) - 2e6dc: 002f7040 call 2f704 <__clzsi2> - 2e6e0: d9800217 ldw r6,8(sp) - 2e6e4: d9c00017 ldw r7,0(sp) - 2e6e8: da400117 ldw r9,4(sp) - 2e6ec: 10fffd44 addi r3,r2,-11 - 2e6f0: 01000704 movi r4,28 - 2e6f4: 20c0ed16 blt r4,r3,2eaac <__muldf3+0x5c0> - 2e6f8: 01000744 movi r4,29 - 2e6fc: 147ffe04 addi r17,r2,-8 - 2e700: 20c9c83a sub r4,r4,r3 - 2e704: 8460983a sll r16,r16,r17 - 2e708: 9108d83a srl r4,r18,r4 - 2e70c: 9466983a sll r19,r18,r17 - 2e710: 2420b03a or r16,r4,r16 - 2e714: 013f0084 movi r4,-1022 - 2e718: 20ebc83a sub r21,r4,r3 - 2e71c: 0025883a mov r18,zero - 2e720: 0039883a mov fp,zero - 2e724: 003f9006 br 2e568 <__muldf3+0x7c> - 2e728: 3444b03a or r2,r6,r17 - 2e72c: 1000261e bne r2,zero,2e7c8 <__muldf3+0x2dc> - 2e730: 0023883a mov r17,zero - 2e734: 0011883a mov r8,zero - 2e738: 01400084 movi r5,2 - 2e73c: 003f9c06 br 2e5b0 <__muldf3+0xc4> - 2e740: 3444b03a or r2,r6,r17 - 2e744: 10001c26 beq r2,zero,2e7b8 <__muldf3+0x2cc> - 2e748: 8800ce26 beq r17,zero,2ea84 <__muldf3+0x598> - 2e74c: 8809883a mov r4,r17 - 2e750: d9800215 stw r6,8(sp) - 2e754: da400115 stw r9,4(sp) - 2e758: da800015 stw r10,0(sp) - 2e75c: 002f7040 call 2f704 <__clzsi2> - 2e760: d9800217 ldw r6,8(sp) - 2e764: da400117 ldw r9,4(sp) - 2e768: da800017 ldw r10,0(sp) - 2e76c: 113ffd44 addi r4,r2,-11 - 2e770: 00c00704 movi r3,28 - 2e774: 1900bf16 blt r3,r4,2ea74 <__muldf3+0x588> - 2e778: 00c00744 movi r3,29 - 2e77c: 123ffe04 addi r8,r2,-8 - 2e780: 1907c83a sub r3,r3,r4 - 2e784: 8a22983a sll r17,r17,r8 - 2e788: 30c6d83a srl r3,r6,r3 - 2e78c: 3210983a sll r8,r6,r8 - 2e790: 1c62b03a or r17,r3,r17 - 2e794: 017f0084 movi r5,-1022 - 2e798: 2909c83a sub r4,r5,r4 - 2e79c: 000b883a mov r5,zero - 2e7a0: 003f8306 br 2e5b0 <__muldf3+0xc4> - 2e7a4: b029883a mov r20,r22 - 2e7a8: 0081ffc4 movi r2,2047 - 2e7ac: 000b883a mov r5,zero - 2e7b0: 0027883a mov r19,zero - 2e7b4: 003fac06 br 2e668 <__muldf3+0x17c> - 2e7b8: 0023883a mov r17,zero - 2e7bc: 0011883a mov r8,zero - 2e7c0: 01400044 movi r5,1 - 2e7c4: 003f7a06 br 2e5b0 <__muldf3+0xc4> - 2e7c8: 014000c4 movi r5,3 - 2e7cc: 003f7806 br 2e5b0 <__muldf3+0xc4> - 2e7d0: 04800104 movi r18,4 - 2e7d4: 0021883a mov r16,zero - 2e7d8: 0027883a mov r19,zero - 2e7dc: 07000044 movi fp,1 - 2e7e0: 003f6106 br 2e568 <__muldf3+0x7c> - 2e7e4: 04800304 movi r18,12 - 2e7e8: 070000c4 movi fp,3 - 2e7ec: 003f5e06 br 2e568 <__muldf3+0x7c> - 2e7f0: 01400434 movhi r5,16 - 2e7f4: 0029883a mov r20,zero - 2e7f8: 297fffc4 addi r5,r5,-1 - 2e7fc: 04ffffc4 movi r19,-1 - 2e800: 0081ffc4 movi r2,2047 - 2e804: 003f9806 br 2e668 <__muldf3+0x17c> - 2e808: 8023883a mov r17,r16 - 2e80c: 9811883a mov r8,r19 - 2e810: e00b883a mov r5,fp - 2e814: 003f8a06 br 2e640 <__muldf3+0x154> - 2e818: 8023883a mov r17,r16 - 2e81c: 9811883a mov r8,r19 - 2e820: 482d883a mov r22,r9 - 2e824: e00b883a mov r5,fp - 2e828: 003f8506 br 2e640 <__muldf3+0x154> - 2e82c: 980ad43a srli r5,r19,16 - 2e830: 401ad43a srli r13,r8,16 - 2e834: 9cffffcc andi r19,r19,65535 - 2e838: 423fffcc andi r8,r8,65535 - 2e83c: 44d9383a mul r12,r8,r19 - 2e840: 4145383a mul r2,r8,r5 - 2e844: 6ccd383a mul r6,r13,r19 - 2e848: 6006d43a srli r3,r12,16 - 2e84c: 2b5d383a mul r14,r5,r13 - 2e850: 308d883a add r6,r6,r2 - 2e854: 198d883a add r6,r3,r6 - 2e858: 3080022e bgeu r6,r2,2e864 <__muldf3+0x378> - 2e85c: 00800074 movhi r2,1 - 2e860: 709d883a add r14,r14,r2 - 2e864: 8828d43a srli r20,r17,16 - 2e868: 8bffffcc andi r15,r17,65535 - 2e86c: 7ce3383a mul r17,r15,r19 - 2e870: 7945383a mul r2,r15,r5 - 2e874: a4e7383a mul r19,r20,r19 - 2e878: 8814d43a srli r10,r17,16 - 2e87c: 3012943a slli r9,r6,16 - 2e880: 98a7883a add r19,r19,r2 - 2e884: 633fffcc andi r12,r12,65535 - 2e888: 54d5883a add r10,r10,r19 - 2e88c: 3006d43a srli r3,r6,16 - 2e890: 4b13883a add r9,r9,r12 - 2e894: 2d0b383a mul r5,r5,r20 - 2e898: 5080022e bgeu r10,r2,2e8a4 <__muldf3+0x3b8> - 2e89c: 00800074 movhi r2,1 - 2e8a0: 288b883a add r5,r5,r2 - 2e8a4: 802ad43a srli r21,r16,16 - 2e8a8: 843fffcc andi r16,r16,65535 - 2e8ac: 440d383a mul r6,r8,r16 - 2e8b0: 4565383a mul r18,r8,r21 - 2e8b4: 8359383a mul r12,r16,r13 - 2e8b8: 500e943a slli r7,r10,16 - 2e8bc: 3010d43a srli r8,r6,16 - 2e8c0: 5026d43a srli r19,r10,16 - 2e8c4: 6499883a add r12,r12,r18 - 2e8c8: 8abfffcc andi r10,r17,65535 - 2e8cc: 3a95883a add r10,r7,r10 - 2e8d0: 4319883a add r12,r8,r12 - 2e8d4: 9967883a add r19,r19,r5 - 2e8d8: 1a87883a add r3,r3,r10 - 2e8dc: 6d5b383a mul r13,r13,r21 - 2e8e0: 6480022e bgeu r12,r18,2e8ec <__muldf3+0x400> - 2e8e4: 00800074 movhi r2,1 - 2e8e8: 689b883a add r13,r13,r2 - 2e8ec: 7c25383a mul r18,r15,r16 - 2e8f0: 7d4b383a mul r5,r15,r21 - 2e8f4: 850f383a mul r7,r16,r20 - 2e8f8: 901ed43a srli r15,r18,16 - 2e8fc: 6004d43a srli r2,r12,16 - 2e900: 6010943a slli r8,r12,16 - 2e904: 394f883a add r7,r7,r5 - 2e908: 333fffcc andi r12,r6,65535 - 2e90c: 79df883a add r15,r15,r7 - 2e910: 135b883a add r13,r2,r13 - 2e914: a563383a mul r17,r20,r21 - 2e918: 4305883a add r2,r8,r12 - 2e91c: 7940022e bgeu r15,r5,2e928 <__muldf3+0x43c> - 2e920: 01400074 movhi r5,1 - 2e924: 8963883a add r17,r17,r5 - 2e928: 780a943a slli r5,r15,16 - 2e92c: 91bfffcc andi r6,r18,65535 - 2e930: 70c7883a add r3,r14,r3 - 2e934: 298d883a add r6,r5,r6 - 2e938: 1a8f803a cmpltu r7,r3,r10 - 2e93c: 34cb883a add r5,r6,r19 - 2e940: 10c7883a add r3,r2,r3 - 2e944: 3955883a add r10,r7,r5 - 2e948: 1885803a cmpltu r2,r3,r2 - 2e94c: 6a91883a add r8,r13,r10 - 2e950: 780cd43a srli r6,r15,16 - 2e954: 1219883a add r12,r2,r8 - 2e958: 2ccb803a cmpltu r5,r5,r19 - 2e95c: 51cf803a cmpltu r7,r10,r7 - 2e960: 29ceb03a or r7,r5,r7 - 2e964: 4351803a cmpltu r8,r8,r13 - 2e968: 608b803a cmpltu r5,r12,r2 - 2e96c: 4144b03a or r2,r8,r5 - 2e970: 398f883a add r7,r7,r6 - 2e974: 3885883a add r2,r7,r2 - 2e978: 1810927a slli r8,r3,9 - 2e97c: 1445883a add r2,r2,r17 - 2e980: 1004927a slli r2,r2,9 - 2e984: 6022d5fa srli r17,r12,23 - 2e988: 1806d5fa srli r3,r3,23 - 2e98c: 4252b03a or r9,r8,r9 - 2e990: 600a927a slli r5,r12,9 - 2e994: 4810c03a cmpne r8,r9,zero - 2e998: 1462b03a or r17,r2,r17 - 2e99c: 40c6b03a or r3,r8,r3 - 2e9a0: 8880402c andhi r2,r17,256 - 2e9a4: 1950b03a or r8,r3,r5 - 2e9a8: 10000726 beq r2,zero,2e9c8 <__muldf3+0x4dc> - 2e9ac: 4006d07a srli r3,r8,1 - 2e9b0: 880497fa slli r2,r17,31 - 2e9b4: 4200004c andi r8,r8,1 - 2e9b8: 8822d07a srli r17,r17,1 - 2e9bc: 1a10b03a or r8,r3,r8 - 2e9c0: 1210b03a or r8,r2,r8 - 2e9c4: 5809883a mov r4,r11 - 2e9c8: 2080ffc4 addi r2,r4,1023 - 2e9cc: 0080440e bge zero,r2,2eae0 <__muldf3+0x5f4> - 2e9d0: 40c001cc andi r3,r8,7 - 2e9d4: 18000726 beq r3,zero,2e9f4 <__muldf3+0x508> - 2e9d8: 40c003cc andi r3,r8,15 - 2e9dc: 01400104 movi r5,4 - 2e9e0: 19400426 beq r3,r5,2e9f4 <__muldf3+0x508> - 2e9e4: 4147883a add r3,r8,r5 - 2e9e8: 1a11803a cmpltu r8,r3,r8 - 2e9ec: 8a23883a add r17,r17,r8 - 2e9f0: 1811883a mov r8,r3 - 2e9f4: 88c0402c andhi r3,r17,256 - 2e9f8: 18000426 beq r3,zero,2ea0c <__muldf3+0x520> - 2e9fc: 00ffc034 movhi r3,65280 - 2ea00: 18ffffc4 addi r3,r3,-1 - 2ea04: 20810004 addi r2,r4,1024 - 2ea08: 88e2703a and r17,r17,r3 - 2ea0c: 00c1ff84 movi r3,2046 - 2ea10: 18bf6416 blt r3,r2,2e7a4 <__muldf3+0x2b8> - 2ea14: 8826977a slli r19,r17,29 - 2ea18: 4010d0fa srli r8,r8,3 - 2ea1c: 8822927a slli r17,r17,9 - 2ea20: 1081ffcc andi r2,r2,2047 - 2ea24: 9a26b03a or r19,r19,r8 - 2ea28: 880ad33a srli r5,r17,12 - 2ea2c: b029883a mov r20,r22 - 2ea30: 003f0d06 br 2e668 <__muldf3+0x17c> - 2ea34: 8080022c andhi r2,r16,8 - 2ea38: 10000926 beq r2,zero,2ea60 <__muldf3+0x574> - 2ea3c: 8880022c andhi r2,r17,8 - 2ea40: 1000071e bne r2,zero,2ea60 <__muldf3+0x574> - 2ea44: 00800434 movhi r2,16 - 2ea48: 89400234 orhi r5,r17,8 - 2ea4c: 10bfffc4 addi r2,r2,-1 - 2ea50: b829883a mov r20,r23 - 2ea54: 288a703a and r5,r5,r2 - 2ea58: 4027883a mov r19,r8 - 2ea5c: 003f6806 br 2e800 <__muldf3+0x314> - 2ea60: 00800434 movhi r2,16 - 2ea64: 81400234 orhi r5,r16,8 - 2ea68: 10bfffc4 addi r2,r2,-1 - 2ea6c: 288a703a and r5,r5,r2 - 2ea70: 003f6306 br 2e800 <__muldf3+0x314> - 2ea74: 10bff604 addi r2,r2,-40 - 2ea78: 30a2983a sll r17,r6,r2 - 2ea7c: 0011883a mov r8,zero - 2ea80: 003f4406 br 2e794 <__muldf3+0x2a8> - 2ea84: 3009883a mov r4,r6 - 2ea88: d9800215 stw r6,8(sp) - 2ea8c: da400115 stw r9,4(sp) - 2ea90: da800015 stw r10,0(sp) - 2ea94: 002f7040 call 2f704 <__clzsi2> - 2ea98: 10800804 addi r2,r2,32 - 2ea9c: da800017 ldw r10,0(sp) - 2eaa0: da400117 ldw r9,4(sp) - 2eaa4: d9800217 ldw r6,8(sp) - 2eaa8: 003f3006 br 2e76c <__muldf3+0x280> - 2eaac: 10bff604 addi r2,r2,-40 - 2eab0: 90a0983a sll r16,r18,r2 - 2eab4: 0027883a mov r19,zero - 2eab8: 003f1606 br 2e714 <__muldf3+0x228> - 2eabc: d9800215 stw r6,8(sp) - 2eac0: d9c00015 stw r7,0(sp) - 2eac4: da400115 stw r9,4(sp) - 2eac8: 002f7040 call 2f704 <__clzsi2> - 2eacc: 10800804 addi r2,r2,32 - 2ead0: da400117 ldw r9,4(sp) - 2ead4: d9c00017 ldw r7,0(sp) - 2ead8: d9800217 ldw r6,8(sp) - 2eadc: 003f0306 br 2e6ec <__muldf3+0x200> - 2eae0: 00c00044 movi r3,1 - 2eae4: 1887c83a sub r3,r3,r2 - 2eae8: 01000e04 movi r4,56 - 2eaec: 20feda16 blt r4,r3,2e658 <__muldf3+0x16c> - 2eaf0: 010007c4 movi r4,31 - 2eaf4: 20c01b16 blt r4,r3,2eb64 <__muldf3+0x678> - 2eaf8: 00800804 movi r2,32 - 2eafc: 10c5c83a sub r2,r2,r3 - 2eb00: 888a983a sll r5,r17,r2 - 2eb04: 40c8d83a srl r4,r8,r3 - 2eb08: 4084983a sll r2,r8,r2 - 2eb0c: 88e2d83a srl r17,r17,r3 - 2eb10: 2906b03a or r3,r5,r4 - 2eb14: 1004c03a cmpne r2,r2,zero - 2eb18: 1886b03a or r3,r3,r2 - 2eb1c: 188001cc andi r2,r3,7 - 2eb20: 10000726 beq r2,zero,2eb40 <__muldf3+0x654> - 2eb24: 188003cc andi r2,r3,15 - 2eb28: 01000104 movi r4,4 - 2eb2c: 11000426 beq r2,r4,2eb40 <__muldf3+0x654> - 2eb30: 1805883a mov r2,r3 - 2eb34: 10c00104 addi r3,r2,4 - 2eb38: 1885803a cmpltu r2,r3,r2 - 2eb3c: 88a3883a add r17,r17,r2 - 2eb40: 8880202c andhi r2,r17,128 - 2eb44: 10001c26 beq r2,zero,2ebb8 <__muldf3+0x6cc> - 2eb48: b029883a mov r20,r22 - 2eb4c: 00800044 movi r2,1 - 2eb50: 000b883a mov r5,zero - 2eb54: 0027883a mov r19,zero - 2eb58: 003ec306 br 2e668 <__muldf3+0x17c> - 2eb5c: 5809883a mov r4,r11 - 2eb60: 003f9906 br 2e9c8 <__muldf3+0x4dc> - 2eb64: 013ff844 movi r4,-31 - 2eb68: 2085c83a sub r2,r4,r2 - 2eb6c: 8888d83a srl r4,r17,r2 - 2eb70: 00800804 movi r2,32 - 2eb74: 18801a26 beq r3,r2,2ebe0 <__muldf3+0x6f4> - 2eb78: 00801004 movi r2,64 - 2eb7c: 10c5c83a sub r2,r2,r3 - 2eb80: 8884983a sll r2,r17,r2 - 2eb84: 1204b03a or r2,r2,r8 - 2eb88: 1004c03a cmpne r2,r2,zero - 2eb8c: 2084b03a or r2,r4,r2 - 2eb90: 144001cc andi r17,r2,7 - 2eb94: 88000d1e bne r17,zero,2ebcc <__muldf3+0x6e0> - 2eb98: 000b883a mov r5,zero - 2eb9c: 1026d0fa srli r19,r2,3 - 2eba0: b029883a mov r20,r22 - 2eba4: 0005883a mov r2,zero - 2eba8: 9c66b03a or r19,r19,r17 - 2ebac: 003eae06 br 2e668 <__muldf3+0x17c> - 2ebb0: 1007883a mov r3,r2 - 2ebb4: 0023883a mov r17,zero - 2ebb8: 880a927a slli r5,r17,9 - 2ebbc: 1805883a mov r2,r3 - 2ebc0: 8822977a slli r17,r17,29 - 2ebc4: 280ad33a srli r5,r5,12 - 2ebc8: 003ff406 br 2eb9c <__muldf3+0x6b0> - 2ebcc: 10c003cc andi r3,r2,15 - 2ebd0: 01000104 movi r4,4 - 2ebd4: 193ff626 beq r3,r4,2ebb0 <__muldf3+0x6c4> - 2ebd8: 0023883a mov r17,zero - 2ebdc: 003fd506 br 2eb34 <__muldf3+0x648> - 2ebe0: 0005883a mov r2,zero - 2ebe4: 003fe706 br 2eb84 <__muldf3+0x698> - 2ebe8: 00800434 movhi r2,16 - 2ebec: 89400234 orhi r5,r17,8 - 2ebf0: 10bfffc4 addi r2,r2,-1 - 2ebf4: b029883a mov r20,r22 - 2ebf8: 288a703a and r5,r5,r2 - 2ebfc: 4027883a mov r19,r8 - 2ec00: 003eff06 br 2e800 <__muldf3+0x314> +0002ed4c <__fixdfsi>: + 2ed4c: 280cd53a srli r6,r5,20 + 2ed50: 00c00434 movhi r3,16 + 2ed54: 18ffffc4 addi r3,r3,-1 + 2ed58: 3181ffcc andi r6,r6,2047 + 2ed5c: 01c0ff84 movi r7,1022 + 2ed60: 28c6703a and r3,r5,r3 + 2ed64: 280ad7fa srli r5,r5,31 + 2ed68: 3980120e bge r7,r6,2edb4 <__fixdfsi+0x68> + 2ed6c: 00810744 movi r2,1053 + 2ed70: 11800c16 blt r2,r6,2eda4 <__fixdfsi+0x58> + 2ed74: 00810cc4 movi r2,1075 + 2ed78: 1185c83a sub r2,r2,r6 + 2ed7c: 01c007c4 movi r7,31 + 2ed80: 18c00434 orhi r3,r3,16 + 2ed84: 38800d16 blt r7,r2,2edbc <__fixdfsi+0x70> + 2ed88: 31befb44 addi r6,r6,-1043 + 2ed8c: 2084d83a srl r2,r4,r2 + 2ed90: 1986983a sll r3,r3,r6 + 2ed94: 1884b03a or r2,r3,r2 + 2ed98: 28000726 beq r5,zero,2edb8 <__fixdfsi+0x6c> + 2ed9c: 0085c83a sub r2,zero,r2 + 2eda0: f800283a ret + 2eda4: 00a00034 movhi r2,32768 + 2eda8: 10bfffc4 addi r2,r2,-1 + 2edac: 2885883a add r2,r5,r2 + 2edb0: f800283a ret + 2edb4: 0005883a mov r2,zero + 2edb8: f800283a ret + 2edbc: 008104c4 movi r2,1043 + 2edc0: 1185c83a sub r2,r2,r6 + 2edc4: 1884d83a srl r2,r3,r2 + 2edc8: 003ff306 br 2ed98 <_gp+0xffff2860> -0002ec04 <__subdf3>: - 2ec04: 02000434 movhi r8,16 - 2ec08: 423fffc4 addi r8,r8,-1 - 2ec0c: defffb04 addi sp,sp,-20 - 2ec10: 2a14703a and r10,r5,r8 - 2ec14: 3812d53a srli r9,r7,20 - 2ec18: 3a10703a and r8,r7,r8 - 2ec1c: 2006d77a srli r3,r4,29 - 2ec20: 3004d77a srli r2,r6,29 - 2ec24: dc000015 stw r16,0(sp) - 2ec28: 501490fa slli r10,r10,3 - 2ec2c: 2820d53a srli r16,r5,20 - 2ec30: 401090fa slli r8,r8,3 - 2ec34: dc800215 stw r18,8(sp) - 2ec38: dc400115 stw r17,4(sp) - 2ec3c: dfc00415 stw ra,16(sp) - 2ec40: 202290fa slli r17,r4,3 - 2ec44: dcc00315 stw r19,12(sp) - 2ec48: 4a41ffcc andi r9,r9,2047 - 2ec4c: 0101ffc4 movi r4,2047 - 2ec50: 2824d7fa srli r18,r5,31 - 2ec54: 8401ffcc andi r16,r16,2047 - 2ec58: 50c6b03a or r3,r10,r3 - 2ec5c: 380ed7fa srli r7,r7,31 - 2ec60: 408ab03a or r5,r8,r2 - 2ec64: 300c90fa slli r6,r6,3 - 2ec68: 49009626 beq r9,r4,2eec4 <__subdf3+0x2c0> - 2ec6c: 39c0005c xori r7,r7,1 - 2ec70: 8245c83a sub r2,r16,r9 - 2ec74: 3c807426 beq r7,r18,2ee48 <__subdf3+0x244> - 2ec78: 0080af0e bge zero,r2,2ef38 <__subdf3+0x334> - 2ec7c: 48002a1e bne r9,zero,2ed28 <__subdf3+0x124> - 2ec80: 2988b03a or r4,r5,r6 - 2ec84: 20009a1e bne r4,zero,2eef0 <__subdf3+0x2ec> - 2ec88: 888001cc andi r2,r17,7 - 2ec8c: 10000726 beq r2,zero,2ecac <__subdf3+0xa8> - 2ec90: 888003cc andi r2,r17,15 - 2ec94: 01000104 movi r4,4 - 2ec98: 11000426 beq r2,r4,2ecac <__subdf3+0xa8> - 2ec9c: 890b883a add r5,r17,r4 - 2eca0: 2c63803a cmpltu r17,r5,r17 - 2eca4: 1c47883a add r3,r3,r17 - 2eca8: 2823883a mov r17,r5 - 2ecac: 1880202c andhi r2,r3,128 - 2ecb0: 10005926 beq r2,zero,2ee18 <__subdf3+0x214> - 2ecb4: 84000044 addi r16,r16,1 - 2ecb8: 0081ffc4 movi r2,2047 - 2ecbc: 8080be26 beq r16,r2,2efb8 <__subdf3+0x3b4> - 2ecc0: 017fe034 movhi r5,65408 - 2ecc4: 297fffc4 addi r5,r5,-1 - 2ecc8: 1946703a and r3,r3,r5 - 2eccc: 1804977a slli r2,r3,29 - 2ecd0: 1806927a slli r3,r3,9 - 2ecd4: 8822d0fa srli r17,r17,3 - 2ecd8: 8401ffcc andi r16,r16,2047 - 2ecdc: 180ad33a srli r5,r3,12 - 2ece0: 9100004c andi r4,r18,1 - 2ece4: 1444b03a or r2,r2,r17 - 2ece8: 80c1ffcc andi r3,r16,2047 - 2ecec: 1820953a slli r16,r3,20 - 2ecf0: 20c03fcc andi r3,r4,255 - 2ecf4: 180897fa slli r4,r3,31 - 2ecf8: 00c00434 movhi r3,16 - 2ecfc: 18ffffc4 addi r3,r3,-1 - 2ed00: 28c6703a and r3,r5,r3 - 2ed04: 1c06b03a or r3,r3,r16 - 2ed08: 1906b03a or r3,r3,r4 - 2ed0c: dfc00417 ldw ra,16(sp) - 2ed10: dcc00317 ldw r19,12(sp) - 2ed14: dc800217 ldw r18,8(sp) - 2ed18: dc400117 ldw r17,4(sp) - 2ed1c: dc000017 ldw r16,0(sp) - 2ed20: dec00504 addi sp,sp,20 - 2ed24: f800283a ret - 2ed28: 0101ffc4 movi r4,2047 - 2ed2c: 813fd626 beq r16,r4,2ec88 <__subdf3+0x84> - 2ed30: 29402034 orhi r5,r5,128 - 2ed34: 01000e04 movi r4,56 - 2ed38: 2080a316 blt r4,r2,2efc8 <__subdf3+0x3c4> - 2ed3c: 010007c4 movi r4,31 - 2ed40: 2080c616 blt r4,r2,2f05c <__subdf3+0x458> - 2ed44: 01000804 movi r4,32 - 2ed48: 2089c83a sub r4,r4,r2 - 2ed4c: 2910983a sll r8,r5,r4 - 2ed50: 308ed83a srl r7,r6,r2 - 2ed54: 3108983a sll r4,r6,r4 - 2ed58: 2884d83a srl r2,r5,r2 - 2ed5c: 41ccb03a or r6,r8,r7 - 2ed60: 2008c03a cmpne r4,r4,zero - 2ed64: 310cb03a or r6,r6,r4 - 2ed68: 898dc83a sub r6,r17,r6 - 2ed6c: 89a3803a cmpltu r17,r17,r6 - 2ed70: 1887c83a sub r3,r3,r2 - 2ed74: 1c47c83a sub r3,r3,r17 - 2ed78: 3023883a mov r17,r6 - 2ed7c: 1880202c andhi r2,r3,128 - 2ed80: 10002326 beq r2,zero,2ee10 <__subdf3+0x20c> - 2ed84: 04c02034 movhi r19,128 - 2ed88: 9cffffc4 addi r19,r19,-1 - 2ed8c: 1ce6703a and r19,r3,r19 - 2ed90: 98007a26 beq r19,zero,2ef7c <__subdf3+0x378> - 2ed94: 9809883a mov r4,r19 - 2ed98: 002f7040 call 2f704 <__clzsi2> - 2ed9c: 113ffe04 addi r4,r2,-8 - 2eda0: 00c007c4 movi r3,31 - 2eda4: 19007b16 blt r3,r4,2ef94 <__subdf3+0x390> - 2eda8: 00800804 movi r2,32 - 2edac: 1105c83a sub r2,r2,r4 - 2edb0: 8884d83a srl r2,r17,r2 - 2edb4: 9906983a sll r3,r19,r4 - 2edb8: 8922983a sll r17,r17,r4 - 2edbc: 10c4b03a or r2,r2,r3 - 2edc0: 24007816 blt r4,r16,2efa4 <__subdf3+0x3a0> - 2edc4: 2421c83a sub r16,r4,r16 - 2edc8: 80c00044 addi r3,r16,1 - 2edcc: 010007c4 movi r4,31 - 2edd0: 20c09516 blt r4,r3,2f028 <__subdf3+0x424> - 2edd4: 01400804 movi r5,32 - 2edd8: 28cbc83a sub r5,r5,r3 - 2eddc: 88c8d83a srl r4,r17,r3 - 2ede0: 8962983a sll r17,r17,r5 - 2ede4: 114a983a sll r5,r2,r5 - 2ede8: 10c6d83a srl r3,r2,r3 - 2edec: 8804c03a cmpne r2,r17,zero - 2edf0: 290ab03a or r5,r5,r4 - 2edf4: 28a2b03a or r17,r5,r2 - 2edf8: 0021883a mov r16,zero - 2edfc: 003fa206 br 2ec88 <__subdf3+0x84> - 2ee00: 2090b03a or r8,r4,r2 - 2ee04: 40018e26 beq r8,zero,2f440 <__subdf3+0x83c> - 2ee08: 1007883a mov r3,r2 - 2ee0c: 2023883a mov r17,r4 - 2ee10: 888001cc andi r2,r17,7 - 2ee14: 103f9e1e bne r2,zero,2ec90 <__subdf3+0x8c> - 2ee18: 1804977a slli r2,r3,29 - 2ee1c: 8822d0fa srli r17,r17,3 - 2ee20: 1810d0fa srli r8,r3,3 - 2ee24: 9100004c andi r4,r18,1 - 2ee28: 1444b03a or r2,r2,r17 - 2ee2c: 00c1ffc4 movi r3,2047 - 2ee30: 80c02826 beq r16,r3,2eed4 <__subdf3+0x2d0> - 2ee34: 01400434 movhi r5,16 - 2ee38: 297fffc4 addi r5,r5,-1 - 2ee3c: 80e0703a and r16,r16,r3 - 2ee40: 414a703a and r5,r8,r5 - 2ee44: 003fa806 br 2ece8 <__subdf3+0xe4> - 2ee48: 0080630e bge zero,r2,2efd8 <__subdf3+0x3d4> - 2ee4c: 48003026 beq r9,zero,2ef10 <__subdf3+0x30c> - 2ee50: 0101ffc4 movi r4,2047 - 2ee54: 813f8c26 beq r16,r4,2ec88 <__subdf3+0x84> - 2ee58: 29402034 orhi r5,r5,128 - 2ee5c: 01000e04 movi r4,56 - 2ee60: 2080a90e bge r4,r2,2f108 <__subdf3+0x504> - 2ee64: 298cb03a or r6,r5,r6 - 2ee68: 3012c03a cmpne r9,r6,zero - 2ee6c: 0005883a mov r2,zero - 2ee70: 4c53883a add r9,r9,r17 - 2ee74: 4c63803a cmpltu r17,r9,r17 - 2ee78: 10c7883a add r3,r2,r3 - 2ee7c: 88c7883a add r3,r17,r3 - 2ee80: 4823883a mov r17,r9 - 2ee84: 1880202c andhi r2,r3,128 - 2ee88: 1000d026 beq r2,zero,2f1cc <__subdf3+0x5c8> - 2ee8c: 84000044 addi r16,r16,1 - 2ee90: 0081ffc4 movi r2,2047 - 2ee94: 8080fe26 beq r16,r2,2f290 <__subdf3+0x68c> - 2ee98: 00bfe034 movhi r2,65408 - 2ee9c: 10bfffc4 addi r2,r2,-1 - 2eea0: 1886703a and r3,r3,r2 - 2eea4: 880ad07a srli r5,r17,1 - 2eea8: 180497fa slli r2,r3,31 - 2eeac: 8900004c andi r4,r17,1 - 2eeb0: 2922b03a or r17,r5,r4 - 2eeb4: 1806d07a srli r3,r3,1 - 2eeb8: 1462b03a or r17,r2,r17 - 2eebc: 3825883a mov r18,r7 - 2eec0: 003f7106 br 2ec88 <__subdf3+0x84> - 2eec4: 2984b03a or r2,r5,r6 - 2eec8: 103f6826 beq r2,zero,2ec6c <__subdf3+0x68> - 2eecc: 39c03fcc andi r7,r7,255 - 2eed0: 003f6706 br 2ec70 <__subdf3+0x6c> - 2eed4: 4086b03a or r3,r8,r2 - 2eed8: 18015226 beq r3,zero,2f424 <__subdf3+0x820> - 2eedc: 00c00434 movhi r3,16 - 2eee0: 41400234 orhi r5,r8,8 - 2eee4: 18ffffc4 addi r3,r3,-1 - 2eee8: 28ca703a and r5,r5,r3 - 2eeec: 003f7e06 br 2ece8 <__subdf3+0xe4> - 2eef0: 10bfffc4 addi r2,r2,-1 - 2eef4: 1000491e bne r2,zero,2f01c <__subdf3+0x418> - 2eef8: 898fc83a sub r7,r17,r6 - 2eefc: 89e3803a cmpltu r17,r17,r7 - 2ef00: 1947c83a sub r3,r3,r5 - 2ef04: 1c47c83a sub r3,r3,r17 - 2ef08: 3823883a mov r17,r7 - 2ef0c: 003f9b06 br 2ed7c <__subdf3+0x178> - 2ef10: 2988b03a or r4,r5,r6 - 2ef14: 203f5c26 beq r4,zero,2ec88 <__subdf3+0x84> - 2ef18: 10bfffc4 addi r2,r2,-1 - 2ef1c: 1000931e bne r2,zero,2f16c <__subdf3+0x568> - 2ef20: 898d883a add r6,r17,r6 - 2ef24: 3463803a cmpltu r17,r6,r17 - 2ef28: 1947883a add r3,r3,r5 - 2ef2c: 88c7883a add r3,r17,r3 - 2ef30: 3023883a mov r17,r6 - 2ef34: 003fd306 br 2ee84 <__subdf3+0x280> - 2ef38: 1000541e bne r2,zero,2f08c <__subdf3+0x488> - 2ef3c: 80800044 addi r2,r16,1 - 2ef40: 1081ffcc andi r2,r2,2047 - 2ef44: 01000044 movi r4,1 - 2ef48: 2080a20e bge r4,r2,2f1d4 <__subdf3+0x5d0> - 2ef4c: 8989c83a sub r4,r17,r6 - 2ef50: 8905803a cmpltu r2,r17,r4 - 2ef54: 1967c83a sub r19,r3,r5 - 2ef58: 98a7c83a sub r19,r19,r2 - 2ef5c: 9880202c andhi r2,r19,128 - 2ef60: 10006326 beq r2,zero,2f0f0 <__subdf3+0x4ec> - 2ef64: 3463c83a sub r17,r6,r17 - 2ef68: 28c7c83a sub r3,r5,r3 - 2ef6c: 344d803a cmpltu r6,r6,r17 - 2ef70: 19a7c83a sub r19,r3,r6 - 2ef74: 3825883a mov r18,r7 - 2ef78: 983f861e bne r19,zero,2ed94 <__subdf3+0x190> - 2ef7c: 8809883a mov r4,r17 - 2ef80: 002f7040 call 2f704 <__clzsi2> - 2ef84: 10800804 addi r2,r2,32 - 2ef88: 113ffe04 addi r4,r2,-8 - 2ef8c: 00c007c4 movi r3,31 - 2ef90: 193f850e bge r3,r4,2eda8 <__subdf3+0x1a4> - 2ef94: 10bff604 addi r2,r2,-40 - 2ef98: 8884983a sll r2,r17,r2 - 2ef9c: 0023883a mov r17,zero - 2efa0: 243f880e bge r4,r16,2edc4 <__subdf3+0x1c0> - 2efa4: 00ffe034 movhi r3,65408 - 2efa8: 18ffffc4 addi r3,r3,-1 - 2efac: 8121c83a sub r16,r16,r4 - 2efb0: 10c6703a and r3,r2,r3 - 2efb4: 003f3406 br 2ec88 <__subdf3+0x84> - 2efb8: 9100004c andi r4,r18,1 - 2efbc: 000b883a mov r5,zero - 2efc0: 0005883a mov r2,zero - 2efc4: 003f4806 br 2ece8 <__subdf3+0xe4> - 2efc8: 298cb03a or r6,r5,r6 - 2efcc: 300cc03a cmpne r6,r6,zero - 2efd0: 0005883a mov r2,zero - 2efd4: 003f6406 br 2ed68 <__subdf3+0x164> - 2efd8: 10009a1e bne r2,zero,2f244 <__subdf3+0x640> - 2efdc: 82400044 addi r9,r16,1 - 2efe0: 4881ffcc andi r2,r9,2047 - 2efe4: 02800044 movi r10,1 - 2efe8: 5080670e bge r10,r2,2f188 <__subdf3+0x584> - 2efec: 0081ffc4 movi r2,2047 - 2eff0: 4880af26 beq r9,r2,2f2b0 <__subdf3+0x6ac> - 2eff4: 898d883a add r6,r17,r6 - 2eff8: 1945883a add r2,r3,r5 - 2effc: 3447803a cmpltu r3,r6,r17 - 2f000: 1887883a add r3,r3,r2 - 2f004: 182297fa slli r17,r3,31 - 2f008: 300cd07a srli r6,r6,1 - 2f00c: 1806d07a srli r3,r3,1 - 2f010: 4821883a mov r16,r9 - 2f014: 89a2b03a or r17,r17,r6 - 2f018: 003f1b06 br 2ec88 <__subdf3+0x84> - 2f01c: 0101ffc4 movi r4,2047 - 2f020: 813f441e bne r16,r4,2ed34 <__subdf3+0x130> - 2f024: 003f1806 br 2ec88 <__subdf3+0x84> - 2f028: 843ff844 addi r16,r16,-31 - 2f02c: 01400804 movi r5,32 - 2f030: 1408d83a srl r4,r2,r16 - 2f034: 19405026 beq r3,r5,2f178 <__subdf3+0x574> - 2f038: 01401004 movi r5,64 - 2f03c: 28c7c83a sub r3,r5,r3 - 2f040: 10c4983a sll r2,r2,r3 - 2f044: 88a2b03a or r17,r17,r2 - 2f048: 8822c03a cmpne r17,r17,zero - 2f04c: 2462b03a or r17,r4,r17 - 2f050: 0007883a mov r3,zero - 2f054: 0021883a mov r16,zero - 2f058: 003f6d06 br 2ee10 <__subdf3+0x20c> - 2f05c: 11fff804 addi r7,r2,-32 - 2f060: 01000804 movi r4,32 - 2f064: 29ced83a srl r7,r5,r7 - 2f068: 11004526 beq r2,r4,2f180 <__subdf3+0x57c> - 2f06c: 01001004 movi r4,64 - 2f070: 2089c83a sub r4,r4,r2 - 2f074: 2904983a sll r2,r5,r4 - 2f078: 118cb03a or r6,r2,r6 - 2f07c: 300cc03a cmpne r6,r6,zero - 2f080: 398cb03a or r6,r7,r6 - 2f084: 0005883a mov r2,zero - 2f088: 003f3706 br 2ed68 <__subdf3+0x164> - 2f08c: 80002a26 beq r16,zero,2f138 <__subdf3+0x534> - 2f090: 0101ffc4 movi r4,2047 - 2f094: 49006626 beq r9,r4,2f230 <__subdf3+0x62c> - 2f098: 0085c83a sub r2,zero,r2 - 2f09c: 18c02034 orhi r3,r3,128 - 2f0a0: 01000e04 movi r4,56 - 2f0a4: 20807e16 blt r4,r2,2f2a0 <__subdf3+0x69c> - 2f0a8: 010007c4 movi r4,31 - 2f0ac: 2080e716 blt r4,r2,2f44c <__subdf3+0x848> - 2f0b0: 01000804 movi r4,32 - 2f0b4: 2089c83a sub r4,r4,r2 - 2f0b8: 1914983a sll r10,r3,r4 - 2f0bc: 8890d83a srl r8,r17,r2 - 2f0c0: 8908983a sll r4,r17,r4 - 2f0c4: 1884d83a srl r2,r3,r2 - 2f0c8: 5222b03a or r17,r10,r8 - 2f0cc: 2006c03a cmpne r3,r4,zero - 2f0d0: 88e2b03a or r17,r17,r3 - 2f0d4: 3463c83a sub r17,r6,r17 - 2f0d8: 2885c83a sub r2,r5,r2 - 2f0dc: 344d803a cmpltu r6,r6,r17 - 2f0e0: 1187c83a sub r3,r2,r6 - 2f0e4: 4821883a mov r16,r9 - 2f0e8: 3825883a mov r18,r7 - 2f0ec: 003f2306 br 2ed7c <__subdf3+0x178> - 2f0f0: 24d0b03a or r8,r4,r19 - 2f0f4: 40001b1e bne r8,zero,2f164 <__subdf3+0x560> - 2f0f8: 0005883a mov r2,zero - 2f0fc: 0009883a mov r4,zero - 2f100: 0021883a mov r16,zero - 2f104: 003f4906 br 2ee2c <__subdf3+0x228> - 2f108: 010007c4 movi r4,31 - 2f10c: 20803a16 blt r4,r2,2f1f8 <__subdf3+0x5f4> - 2f110: 01000804 movi r4,32 - 2f114: 2089c83a sub r4,r4,r2 - 2f118: 2912983a sll r9,r5,r4 - 2f11c: 3090d83a srl r8,r6,r2 - 2f120: 3108983a sll r4,r6,r4 - 2f124: 2884d83a srl r2,r5,r2 - 2f128: 4a12b03a or r9,r9,r8 - 2f12c: 2008c03a cmpne r4,r4,zero - 2f130: 4912b03a or r9,r9,r4 - 2f134: 003f4e06 br 2ee70 <__subdf3+0x26c> - 2f138: 1c48b03a or r4,r3,r17 - 2f13c: 20003c26 beq r4,zero,2f230 <__subdf3+0x62c> - 2f140: 0084303a nor r2,zero,r2 - 2f144: 1000381e bne r2,zero,2f228 <__subdf3+0x624> - 2f148: 3463c83a sub r17,r6,r17 - 2f14c: 28c5c83a sub r2,r5,r3 - 2f150: 344d803a cmpltu r6,r6,r17 - 2f154: 1187c83a sub r3,r2,r6 - 2f158: 4821883a mov r16,r9 - 2f15c: 3825883a mov r18,r7 - 2f160: 003f0606 br 2ed7c <__subdf3+0x178> - 2f164: 2023883a mov r17,r4 - 2f168: 003f0906 br 2ed90 <__subdf3+0x18c> - 2f16c: 0101ffc4 movi r4,2047 - 2f170: 813f3a1e bne r16,r4,2ee5c <__subdf3+0x258> - 2f174: 003ec406 br 2ec88 <__subdf3+0x84> - 2f178: 0005883a mov r2,zero - 2f17c: 003fb106 br 2f044 <__subdf3+0x440> - 2f180: 0005883a mov r2,zero - 2f184: 003fbc06 br 2f078 <__subdf3+0x474> - 2f188: 1c44b03a or r2,r3,r17 - 2f18c: 80008e1e bne r16,zero,2f3c8 <__subdf3+0x7c4> - 2f190: 1000c826 beq r2,zero,2f4b4 <__subdf3+0x8b0> - 2f194: 2984b03a or r2,r5,r6 - 2f198: 103ebb26 beq r2,zero,2ec88 <__subdf3+0x84> - 2f19c: 8989883a add r4,r17,r6 - 2f1a0: 1945883a add r2,r3,r5 - 2f1a4: 2447803a cmpltu r3,r4,r17 - 2f1a8: 1887883a add r3,r3,r2 - 2f1ac: 1880202c andhi r2,r3,128 - 2f1b0: 2023883a mov r17,r4 - 2f1b4: 103f1626 beq r2,zero,2ee10 <__subdf3+0x20c> - 2f1b8: 00bfe034 movhi r2,65408 - 2f1bc: 10bfffc4 addi r2,r2,-1 - 2f1c0: 5021883a mov r16,r10 - 2f1c4: 1886703a and r3,r3,r2 - 2f1c8: 003eaf06 br 2ec88 <__subdf3+0x84> - 2f1cc: 3825883a mov r18,r7 - 2f1d0: 003f0f06 br 2ee10 <__subdf3+0x20c> - 2f1d4: 1c44b03a or r2,r3,r17 - 2f1d8: 8000251e bne r16,zero,2f270 <__subdf3+0x66c> - 2f1dc: 1000661e bne r2,zero,2f378 <__subdf3+0x774> - 2f1e0: 2990b03a or r8,r5,r6 - 2f1e4: 40009626 beq r8,zero,2f440 <__subdf3+0x83c> - 2f1e8: 2807883a mov r3,r5 - 2f1ec: 3023883a mov r17,r6 - 2f1f0: 3825883a mov r18,r7 - 2f1f4: 003ea406 br 2ec88 <__subdf3+0x84> - 2f1f8: 127ff804 addi r9,r2,-32 - 2f1fc: 01000804 movi r4,32 - 2f200: 2a52d83a srl r9,r5,r9 - 2f204: 11008c26 beq r2,r4,2f438 <__subdf3+0x834> - 2f208: 01001004 movi r4,64 - 2f20c: 2085c83a sub r2,r4,r2 - 2f210: 2884983a sll r2,r5,r2 - 2f214: 118cb03a or r6,r2,r6 - 2f218: 300cc03a cmpne r6,r6,zero - 2f21c: 4992b03a or r9,r9,r6 - 2f220: 0005883a mov r2,zero - 2f224: 003f1206 br 2ee70 <__subdf3+0x26c> - 2f228: 0101ffc4 movi r4,2047 - 2f22c: 493f9c1e bne r9,r4,2f0a0 <__subdf3+0x49c> - 2f230: 2807883a mov r3,r5 - 2f234: 3023883a mov r17,r6 - 2f238: 4821883a mov r16,r9 - 2f23c: 3825883a mov r18,r7 - 2f240: 003e9106 br 2ec88 <__subdf3+0x84> - 2f244: 80001f1e bne r16,zero,2f2c4 <__subdf3+0x6c0> - 2f248: 1c48b03a or r4,r3,r17 - 2f24c: 20005a26 beq r4,zero,2f3b8 <__subdf3+0x7b4> - 2f250: 0084303a nor r2,zero,r2 - 2f254: 1000561e bne r2,zero,2f3b0 <__subdf3+0x7ac> - 2f258: 89a3883a add r17,r17,r6 - 2f25c: 1945883a add r2,r3,r5 - 2f260: 898d803a cmpltu r6,r17,r6 - 2f264: 3087883a add r3,r6,r2 - 2f268: 4821883a mov r16,r9 - 2f26c: 003f0506 br 2ee84 <__subdf3+0x280> - 2f270: 10002b1e bne r2,zero,2f320 <__subdf3+0x71c> - 2f274: 2984b03a or r2,r5,r6 - 2f278: 10008026 beq r2,zero,2f47c <__subdf3+0x878> - 2f27c: 2807883a mov r3,r5 - 2f280: 3023883a mov r17,r6 - 2f284: 3825883a mov r18,r7 - 2f288: 0401ffc4 movi r16,2047 - 2f28c: 003e7e06 br 2ec88 <__subdf3+0x84> - 2f290: 3809883a mov r4,r7 - 2f294: 0011883a mov r8,zero - 2f298: 0005883a mov r2,zero - 2f29c: 003ee306 br 2ee2c <__subdf3+0x228> - 2f2a0: 1c62b03a or r17,r3,r17 - 2f2a4: 8822c03a cmpne r17,r17,zero - 2f2a8: 0005883a mov r2,zero - 2f2ac: 003f8906 br 2f0d4 <__subdf3+0x4d0> - 2f2b0: 3809883a mov r4,r7 - 2f2b4: 4821883a mov r16,r9 - 2f2b8: 0011883a mov r8,zero - 2f2bc: 0005883a mov r2,zero - 2f2c0: 003eda06 br 2ee2c <__subdf3+0x228> - 2f2c4: 0101ffc4 movi r4,2047 - 2f2c8: 49003b26 beq r9,r4,2f3b8 <__subdf3+0x7b4> - 2f2cc: 0085c83a sub r2,zero,r2 - 2f2d0: 18c02034 orhi r3,r3,128 - 2f2d4: 01000e04 movi r4,56 - 2f2d8: 20806e16 blt r4,r2,2f494 <__subdf3+0x890> - 2f2dc: 010007c4 movi r4,31 - 2f2e0: 20807716 blt r4,r2,2f4c0 <__subdf3+0x8bc> - 2f2e4: 01000804 movi r4,32 - 2f2e8: 2089c83a sub r4,r4,r2 - 2f2ec: 1914983a sll r10,r3,r4 - 2f2f0: 8890d83a srl r8,r17,r2 - 2f2f4: 8908983a sll r4,r17,r4 - 2f2f8: 1884d83a srl r2,r3,r2 - 2f2fc: 5222b03a or r17,r10,r8 - 2f300: 2006c03a cmpne r3,r4,zero - 2f304: 88e2b03a or r17,r17,r3 - 2f308: 89a3883a add r17,r17,r6 - 2f30c: 1145883a add r2,r2,r5 - 2f310: 898d803a cmpltu r6,r17,r6 - 2f314: 3087883a add r3,r6,r2 - 2f318: 4821883a mov r16,r9 - 2f31c: 003ed906 br 2ee84 <__subdf3+0x280> - 2f320: 2984b03a or r2,r5,r6 - 2f324: 10004226 beq r2,zero,2f430 <__subdf3+0x82c> - 2f328: 1808d0fa srli r4,r3,3 - 2f32c: 8822d0fa srli r17,r17,3 - 2f330: 1806977a slli r3,r3,29 - 2f334: 2080022c andhi r2,r4,8 - 2f338: 1c62b03a or r17,r3,r17 - 2f33c: 10000826 beq r2,zero,2f360 <__subdf3+0x75c> - 2f340: 2812d0fa srli r9,r5,3 - 2f344: 4880022c andhi r2,r9,8 - 2f348: 1000051e bne r2,zero,2f360 <__subdf3+0x75c> - 2f34c: 300cd0fa srli r6,r6,3 - 2f350: 2804977a slli r2,r5,29 - 2f354: 4809883a mov r4,r9 - 2f358: 3825883a mov r18,r7 - 2f35c: 11a2b03a or r17,r2,r6 - 2f360: 8806d77a srli r3,r17,29 - 2f364: 200890fa slli r4,r4,3 - 2f368: 882290fa slli r17,r17,3 - 2f36c: 0401ffc4 movi r16,2047 - 2f370: 1906b03a or r3,r3,r4 - 2f374: 003e4406 br 2ec88 <__subdf3+0x84> - 2f378: 2984b03a or r2,r5,r6 - 2f37c: 103e4226 beq r2,zero,2ec88 <__subdf3+0x84> - 2f380: 8989c83a sub r4,r17,r6 - 2f384: 8911803a cmpltu r8,r17,r4 - 2f388: 1945c83a sub r2,r3,r5 - 2f38c: 1205c83a sub r2,r2,r8 - 2f390: 1200202c andhi r8,r2,128 - 2f394: 403e9a26 beq r8,zero,2ee00 <__subdf3+0x1fc> - 2f398: 3463c83a sub r17,r6,r17 - 2f39c: 28c5c83a sub r2,r5,r3 - 2f3a0: 344d803a cmpltu r6,r6,r17 - 2f3a4: 1187c83a sub r3,r2,r6 - 2f3a8: 3825883a mov r18,r7 - 2f3ac: 003e3606 br 2ec88 <__subdf3+0x84> - 2f3b0: 0101ffc4 movi r4,2047 - 2f3b4: 493fc71e bne r9,r4,2f2d4 <__subdf3+0x6d0> - 2f3b8: 2807883a mov r3,r5 - 2f3bc: 3023883a mov r17,r6 - 2f3c0: 4821883a mov r16,r9 - 2f3c4: 003e3006 br 2ec88 <__subdf3+0x84> - 2f3c8: 10003626 beq r2,zero,2f4a4 <__subdf3+0x8a0> - 2f3cc: 2984b03a or r2,r5,r6 - 2f3d0: 10001726 beq r2,zero,2f430 <__subdf3+0x82c> - 2f3d4: 1808d0fa srli r4,r3,3 - 2f3d8: 8822d0fa srli r17,r17,3 - 2f3dc: 1806977a slli r3,r3,29 - 2f3e0: 2080022c andhi r2,r4,8 - 2f3e4: 1c62b03a or r17,r3,r17 - 2f3e8: 10000726 beq r2,zero,2f408 <__subdf3+0x804> - 2f3ec: 2812d0fa srli r9,r5,3 - 2f3f0: 4880022c andhi r2,r9,8 - 2f3f4: 1000041e bne r2,zero,2f408 <__subdf3+0x804> - 2f3f8: 300cd0fa srli r6,r6,3 - 2f3fc: 2804977a slli r2,r5,29 - 2f400: 4809883a mov r4,r9 - 2f404: 11a2b03a or r17,r2,r6 - 2f408: 8806d77a srli r3,r17,29 - 2f40c: 200890fa slli r4,r4,3 - 2f410: 882290fa slli r17,r17,3 - 2f414: 3825883a mov r18,r7 - 2f418: 1906b03a or r3,r3,r4 - 2f41c: 0401ffc4 movi r16,2047 - 2f420: 003e1906 br 2ec88 <__subdf3+0x84> - 2f424: 000b883a mov r5,zero - 2f428: 0005883a mov r2,zero - 2f42c: 003e2e06 br 2ece8 <__subdf3+0xe4> - 2f430: 0401ffc4 movi r16,2047 - 2f434: 003e1406 br 2ec88 <__subdf3+0x84> - 2f438: 0005883a mov r2,zero - 2f43c: 003f7506 br 2f214 <__subdf3+0x610> - 2f440: 0005883a mov r2,zero - 2f444: 0009883a mov r4,zero - 2f448: 003e7806 br 2ee2c <__subdf3+0x228> - 2f44c: 123ff804 addi r8,r2,-32 - 2f450: 01000804 movi r4,32 - 2f454: 1a10d83a srl r8,r3,r8 - 2f458: 11002526 beq r2,r4,2f4f0 <__subdf3+0x8ec> - 2f45c: 01001004 movi r4,64 - 2f460: 2085c83a sub r2,r4,r2 - 2f464: 1884983a sll r2,r3,r2 - 2f468: 1444b03a or r2,r2,r17 - 2f46c: 1004c03a cmpne r2,r2,zero - 2f470: 40a2b03a or r17,r8,r2 - 2f474: 0005883a mov r2,zero - 2f478: 003f1606 br 2f0d4 <__subdf3+0x4d0> - 2f47c: 02000434 movhi r8,16 - 2f480: 0009883a mov r4,zero - 2f484: 423fffc4 addi r8,r8,-1 - 2f488: 00bfffc4 movi r2,-1 - 2f48c: 0401ffc4 movi r16,2047 - 2f490: 003e6606 br 2ee2c <__subdf3+0x228> - 2f494: 1c62b03a or r17,r3,r17 - 2f498: 8822c03a cmpne r17,r17,zero - 2f49c: 0005883a mov r2,zero - 2f4a0: 003f9906 br 2f308 <__subdf3+0x704> - 2f4a4: 2807883a mov r3,r5 - 2f4a8: 3023883a mov r17,r6 - 2f4ac: 0401ffc4 movi r16,2047 - 2f4b0: 003df506 br 2ec88 <__subdf3+0x84> - 2f4b4: 2807883a mov r3,r5 - 2f4b8: 3023883a mov r17,r6 - 2f4bc: 003df206 br 2ec88 <__subdf3+0x84> - 2f4c0: 123ff804 addi r8,r2,-32 - 2f4c4: 01000804 movi r4,32 - 2f4c8: 1a10d83a srl r8,r3,r8 - 2f4cc: 11000a26 beq r2,r4,2f4f8 <__subdf3+0x8f4> - 2f4d0: 01001004 movi r4,64 - 2f4d4: 2085c83a sub r2,r4,r2 - 2f4d8: 1884983a sll r2,r3,r2 - 2f4dc: 1444b03a or r2,r2,r17 - 2f4e0: 1004c03a cmpne r2,r2,zero - 2f4e4: 40a2b03a or r17,r8,r2 - 2f4e8: 0005883a mov r2,zero - 2f4ec: 003f8606 br 2f308 <__subdf3+0x704> - 2f4f0: 0005883a mov r2,zero - 2f4f4: 003fdc06 br 2f468 <__subdf3+0x864> - 2f4f8: 0005883a mov r2,zero - 2f4fc: 003ff706 br 2f4dc <__subdf3+0x8d8> +0002edcc <__floatsidf>: + 2edcc: defffd04 addi sp,sp,-12 + 2edd0: dfc00215 stw ra,8(sp) + 2edd4: dc400115 stw r17,4(sp) + 2edd8: dc000015 stw r16,0(sp) + 2eddc: 20002b26 beq r4,zero,2ee8c <__floatsidf+0xc0> + 2ede0: 2023883a mov r17,r4 + 2ede4: 2020d7fa srli r16,r4,31 + 2ede8: 20002d16 blt r4,zero,2eea0 <__floatsidf+0xd4> + 2edec: 8809883a mov r4,r17 + 2edf0: 002ef500 call 2ef50 <__clzsi2> + 2edf4: 01410784 movi r5,1054 + 2edf8: 288bc83a sub r5,r5,r2 + 2edfc: 01010cc4 movi r4,1075 + 2ee00: 2149c83a sub r4,r4,r5 + 2ee04: 00c007c4 movi r3,31 + 2ee08: 1900160e bge r3,r4,2ee64 <__floatsidf+0x98> + 2ee0c: 00c104c4 movi r3,1043 + 2ee10: 1947c83a sub r3,r3,r5 + 2ee14: 88c6983a sll r3,r17,r3 + 2ee18: 00800434 movhi r2,16 + 2ee1c: 10bfffc4 addi r2,r2,-1 + 2ee20: 1886703a and r3,r3,r2 + 2ee24: 2941ffcc andi r5,r5,2047 + 2ee28: 800d883a mov r6,r16 + 2ee2c: 0005883a mov r2,zero + 2ee30: 280a953a slli r5,r5,20 + 2ee34: 31803fcc andi r6,r6,255 + 2ee38: 01000434 movhi r4,16 + 2ee3c: 300c97fa slli r6,r6,31 + 2ee40: 213fffc4 addi r4,r4,-1 + 2ee44: 1906703a and r3,r3,r4 + 2ee48: 1946b03a or r3,r3,r5 + 2ee4c: 1986b03a or r3,r3,r6 + 2ee50: dfc00217 ldw ra,8(sp) + 2ee54: dc400117 ldw r17,4(sp) + 2ee58: dc000017 ldw r16,0(sp) + 2ee5c: dec00304 addi sp,sp,12 + 2ee60: f800283a ret + 2ee64: 00c002c4 movi r3,11 + 2ee68: 1887c83a sub r3,r3,r2 + 2ee6c: 88c6d83a srl r3,r17,r3 + 2ee70: 8904983a sll r2,r17,r4 + 2ee74: 01000434 movhi r4,16 + 2ee78: 213fffc4 addi r4,r4,-1 + 2ee7c: 2941ffcc andi r5,r5,2047 + 2ee80: 1906703a and r3,r3,r4 + 2ee84: 800d883a mov r6,r16 + 2ee88: 003fe906 br 2ee30 <_gp+0xffff28f8> + 2ee8c: 000d883a mov r6,zero + 2ee90: 000b883a mov r5,zero + 2ee94: 0007883a mov r3,zero + 2ee98: 0005883a mov r2,zero + 2ee9c: 003fe406 br 2ee30 <_gp+0xffff28f8> + 2eea0: 0123c83a sub r17,zero,r4 + 2eea4: 003fd106 br 2edec <_gp+0xffff28b4> -0002f500 <__fixdfsi>: - 2f500: 280cd53a srli r6,r5,20 - 2f504: 00c00434 movhi r3,16 - 2f508: 18ffffc4 addi r3,r3,-1 - 2f50c: 3181ffcc andi r6,r6,2047 - 2f510: 01c0ff84 movi r7,1022 - 2f514: 28c6703a and r3,r5,r3 - 2f518: 280ad7fa srli r5,r5,31 - 2f51c: 3980120e bge r7,r6,2f568 <__fixdfsi+0x68> - 2f520: 00810744 movi r2,1053 - 2f524: 11800c16 blt r2,r6,2f558 <__fixdfsi+0x58> - 2f528: 00810cc4 movi r2,1075 - 2f52c: 1185c83a sub r2,r2,r6 - 2f530: 01c007c4 movi r7,31 - 2f534: 18c00434 orhi r3,r3,16 - 2f538: 38800d16 blt r7,r2,2f570 <__fixdfsi+0x70> - 2f53c: 31befb44 addi r6,r6,-1043 - 2f540: 2084d83a srl r2,r4,r2 - 2f544: 1986983a sll r3,r3,r6 - 2f548: 1884b03a or r2,r3,r2 - 2f54c: 28000726 beq r5,zero,2f56c <__fixdfsi+0x6c> - 2f550: 0085c83a sub r2,zero,r2 - 2f554: f800283a ret - 2f558: 00a00034 movhi r2,32768 - 2f55c: 10bfffc4 addi r2,r2,-1 - 2f560: 2885883a add r2,r5,r2 - 2f564: f800283a ret - 2f568: 0005883a mov r2,zero - 2f56c: f800283a ret - 2f570: 008104c4 movi r2,1043 - 2f574: 1185c83a sub r2,r2,r6 - 2f578: 1884d83a srl r2,r3,r2 - 2f57c: 003ff306 br 2f54c <__fixdfsi+0x4c> +0002eea8 <__floatunsidf>: + 2eea8: defffe04 addi sp,sp,-8 + 2eeac: dc000015 stw r16,0(sp) + 2eeb0: dfc00115 stw ra,4(sp) + 2eeb4: 2021883a mov r16,r4 + 2eeb8: 20002226 beq r4,zero,2ef44 <__floatunsidf+0x9c> + 2eebc: 002ef500 call 2ef50 <__clzsi2> + 2eec0: 01010784 movi r4,1054 + 2eec4: 2089c83a sub r4,r4,r2 + 2eec8: 01810cc4 movi r6,1075 + 2eecc: 310dc83a sub r6,r6,r4 + 2eed0: 00c007c4 movi r3,31 + 2eed4: 1980120e bge r3,r6,2ef20 <__floatunsidf+0x78> + 2eed8: 00c104c4 movi r3,1043 + 2eedc: 1907c83a sub r3,r3,r4 + 2eee0: 80ca983a sll r5,r16,r3 + 2eee4: 00800434 movhi r2,16 + 2eee8: 10bfffc4 addi r2,r2,-1 + 2eeec: 2101ffcc andi r4,r4,2047 + 2eef0: 0021883a mov r16,zero + 2eef4: 288a703a and r5,r5,r2 + 2eef8: 2008953a slli r4,r4,20 + 2eefc: 00c00434 movhi r3,16 + 2ef00: 18ffffc4 addi r3,r3,-1 + 2ef04: 28c6703a and r3,r5,r3 + 2ef08: 8005883a mov r2,r16 + 2ef0c: 1906b03a or r3,r3,r4 + 2ef10: dfc00117 ldw ra,4(sp) + 2ef14: dc000017 ldw r16,0(sp) + 2ef18: dec00204 addi sp,sp,8 + 2ef1c: f800283a ret + 2ef20: 00c002c4 movi r3,11 + 2ef24: 188bc83a sub r5,r3,r2 + 2ef28: 814ad83a srl r5,r16,r5 + 2ef2c: 00c00434 movhi r3,16 + 2ef30: 18ffffc4 addi r3,r3,-1 + 2ef34: 81a0983a sll r16,r16,r6 + 2ef38: 2101ffcc andi r4,r4,2047 + 2ef3c: 28ca703a and r5,r5,r3 + 2ef40: 003fed06 br 2eef8 <_gp+0xffff29c0> + 2ef44: 0009883a mov r4,zero + 2ef48: 000b883a mov r5,zero + 2ef4c: 003fea06 br 2eef8 <_gp+0xffff29c0> -0002f580 <__floatsidf>: - 2f580: defffd04 addi sp,sp,-12 - 2f584: dfc00215 stw ra,8(sp) - 2f588: dc400115 stw r17,4(sp) - 2f58c: dc000015 stw r16,0(sp) - 2f590: 20002b26 beq r4,zero,2f640 <__floatsidf+0xc0> - 2f594: 2023883a mov r17,r4 - 2f598: 2020d7fa srli r16,r4,31 - 2f59c: 20002d16 blt r4,zero,2f654 <__floatsidf+0xd4> - 2f5a0: 8809883a mov r4,r17 - 2f5a4: 002f7040 call 2f704 <__clzsi2> - 2f5a8: 01410784 movi r5,1054 - 2f5ac: 288bc83a sub r5,r5,r2 - 2f5b0: 01010cc4 movi r4,1075 - 2f5b4: 2149c83a sub r4,r4,r5 - 2f5b8: 00c007c4 movi r3,31 - 2f5bc: 1900160e bge r3,r4,2f618 <__floatsidf+0x98> - 2f5c0: 00c104c4 movi r3,1043 - 2f5c4: 1947c83a sub r3,r3,r5 - 2f5c8: 88c6983a sll r3,r17,r3 - 2f5cc: 00800434 movhi r2,16 - 2f5d0: 10bfffc4 addi r2,r2,-1 - 2f5d4: 1886703a and r3,r3,r2 - 2f5d8: 2941ffcc andi r5,r5,2047 - 2f5dc: 800d883a mov r6,r16 - 2f5e0: 0005883a mov r2,zero - 2f5e4: 280a953a slli r5,r5,20 - 2f5e8: 31803fcc andi r6,r6,255 - 2f5ec: 01000434 movhi r4,16 - 2f5f0: 300c97fa slli r6,r6,31 - 2f5f4: 213fffc4 addi r4,r4,-1 - 2f5f8: 1906703a and r3,r3,r4 - 2f5fc: 1946b03a or r3,r3,r5 - 2f600: 1986b03a or r3,r3,r6 - 2f604: dfc00217 ldw ra,8(sp) - 2f608: dc400117 ldw r17,4(sp) - 2f60c: dc000017 ldw r16,0(sp) - 2f610: dec00304 addi sp,sp,12 - 2f614: f800283a ret - 2f618: 00c002c4 movi r3,11 - 2f61c: 1887c83a sub r3,r3,r2 - 2f620: 88c6d83a srl r3,r17,r3 - 2f624: 8904983a sll r2,r17,r4 - 2f628: 01000434 movhi r4,16 - 2f62c: 213fffc4 addi r4,r4,-1 - 2f630: 2941ffcc andi r5,r5,2047 - 2f634: 1906703a and r3,r3,r4 - 2f638: 800d883a mov r6,r16 - 2f63c: 003fe906 br 2f5e4 <__floatsidf+0x64> - 2f640: 000d883a mov r6,zero - 2f644: 000b883a mov r5,zero - 2f648: 0007883a mov r3,zero - 2f64c: 0005883a mov r2,zero - 2f650: 003fe406 br 2f5e4 <__floatsidf+0x64> - 2f654: 0123c83a sub r17,zero,r4 - 2f658: 003fd106 br 2f5a0 <__floatsidf+0x20> +0002ef50 <__clzsi2>: + 2ef50: 00bfffd4 movui r2,65535 + 2ef54: 11000536 bltu r2,r4,2ef6c <__clzsi2+0x1c> + 2ef58: 00803fc4 movi r2,255 + 2ef5c: 11000f36 bltu r2,r4,2ef9c <__clzsi2+0x4c> + 2ef60: 00800804 movi r2,32 + 2ef64: 0007883a mov r3,zero + 2ef68: 00000506 br 2ef80 <__clzsi2+0x30> + 2ef6c: 00804034 movhi r2,256 + 2ef70: 10bfffc4 addi r2,r2,-1 + 2ef74: 11000c2e bgeu r2,r4,2efa8 <__clzsi2+0x58> + 2ef78: 00800204 movi r2,8 + 2ef7c: 00c00604 movi r3,24 + 2ef80: 20c8d83a srl r4,r4,r3 + 2ef84: 00c000f4 movhi r3,3 + 2ef88: 18c86e04 addi r3,r3,8632 + 2ef8c: 1909883a add r4,r3,r4 + 2ef90: 20c00003 ldbu r3,0(r4) + 2ef94: 10c5c83a sub r2,r2,r3 + 2ef98: f800283a ret + 2ef9c: 00800604 movi r2,24 + 2efa0: 00c00204 movi r3,8 + 2efa4: 003ff606 br 2ef80 <_gp+0xffff2a48> + 2efa8: 00800404 movi r2,16 + 2efac: 1007883a mov r3,r2 + 2efb0: 003ff306 br 2ef80 <_gp+0xffff2a48> -0002f65c <__floatunsidf>: - 2f65c: defffe04 addi sp,sp,-8 - 2f660: dc000015 stw r16,0(sp) - 2f664: dfc00115 stw ra,4(sp) - 2f668: 2021883a mov r16,r4 - 2f66c: 20002226 beq r4,zero,2f6f8 <__floatunsidf+0x9c> - 2f670: 002f7040 call 2f704 <__clzsi2> - 2f674: 01010784 movi r4,1054 - 2f678: 2089c83a sub r4,r4,r2 - 2f67c: 01810cc4 movi r6,1075 - 2f680: 310dc83a sub r6,r6,r4 - 2f684: 00c007c4 movi r3,31 - 2f688: 1980120e bge r3,r6,2f6d4 <__floatunsidf+0x78> - 2f68c: 00c104c4 movi r3,1043 - 2f690: 1907c83a sub r3,r3,r4 - 2f694: 80ca983a sll r5,r16,r3 - 2f698: 00800434 movhi r2,16 - 2f69c: 10bfffc4 addi r2,r2,-1 - 2f6a0: 2101ffcc andi r4,r4,2047 - 2f6a4: 0021883a mov r16,zero - 2f6a8: 288a703a and r5,r5,r2 - 2f6ac: 2008953a slli r4,r4,20 - 2f6b0: 00c00434 movhi r3,16 - 2f6b4: 18ffffc4 addi r3,r3,-1 - 2f6b8: 28c6703a and r3,r5,r3 - 2f6bc: 8005883a mov r2,r16 - 2f6c0: 1906b03a or r3,r3,r4 - 2f6c4: dfc00117 ldw ra,4(sp) - 2f6c8: dc000017 ldw r16,0(sp) - 2f6cc: dec00204 addi sp,sp,8 - 2f6d0: f800283a ret - 2f6d4: 00c002c4 movi r3,11 - 2f6d8: 188bc83a sub r5,r3,r2 - 2f6dc: 814ad83a srl r5,r16,r5 - 2f6e0: 00c00434 movhi r3,16 - 2f6e4: 18ffffc4 addi r3,r3,-1 - 2f6e8: 81a0983a sll r16,r16,r6 - 2f6ec: 2101ffcc andi r4,r4,2047 - 2f6f0: 28ca703a and r5,r5,r3 - 2f6f4: 003fed06 br 2f6ac <__floatunsidf+0x50> - 2f6f8: 0009883a mov r4,zero - 2f6fc: 000b883a mov r5,zero - 2f700: 003fea06 br 2f6ac <__floatunsidf+0x50> - -0002f704 <__clzsi2>: - 2f704: 00bfffd4 movui r2,65535 - 2f708: 11000536 bltu r2,r4,2f720 <__clzsi2+0x1c> - 2f70c: 00803fc4 movi r2,255 - 2f710: 11000f36 bltu r2,r4,2f750 <__clzsi2+0x4c> - 2f714: 00800804 movi r2,32 - 2f718: 0007883a mov r3,zero - 2f71c: 00000506 br 2f734 <__clzsi2+0x30> - 2f720: 00804034 movhi r2,256 - 2f724: 10bfffc4 addi r2,r2,-1 - 2f728: 11000c2e bgeu r2,r4,2f75c <__clzsi2+0x58> - 2f72c: 00800204 movi r2,8 - 2f730: 00c00604 movi r3,24 - 2f734: 20c8d83a srl r4,r4,r3 - 2f738: 00c000f4 movhi r3,3 - 2f73c: 18cabb04 addi r3,r3,10988 - 2f740: 1909883a add r4,r3,r4 - 2f744: 20c00003 ldbu r3,0(r4) - 2f748: 10c5c83a sub r2,r2,r3 - 2f74c: f800283a ret - 2f750: 00800604 movi r2,24 - 2f754: 00c00204 movi r3,8 - 2f758: 003ff606 br 2f734 <__clzsi2+0x30> - 2f75c: 00800404 movi r2,16 - 2f760: 1007883a mov r3,r2 - 2f764: 003ff306 br 2f734 <__clzsi2+0x30> - -0002f768 : +0002efb4 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2f768: defffe04 addi sp,sp,-8 - 2f76c: dfc00115 stw ra,4(sp) - 2f770: df000015 stw fp,0(sp) - 2f774: d839883a mov fp,sp + 2efb4: defffe04 addi sp,sp,-8 + 2efb8: dfc00115 stw ra,4(sp) + 2efbc: df000015 stw fp,0(sp) + 2efc0: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2f778: 008000f4 movhi r2,3 - 2f77c: 1093a904 addi r2,r2,20132 - 2f780: 10800017 ldw r2,0(r2) - 2f784: 10000526 beq r2,zero,2f79c - 2f788: 008000f4 movhi r2,3 - 2f78c: 1093a904 addi r2,r2,20132 - 2f790: 10800017 ldw r2,0(r2) - 2f794: 103ee83a callr r2 - 2f798: 00000206 br 2f7a4 - 2f79c: 008000f4 movhi r2,3 - 2f7a0: 109c5904 addi r2,r2,29028 + 2efc4: 008000f4 movhi r2,3 + 2efc8: 10915c04 addi r2,r2,17776 + 2efcc: 10800017 ldw r2,0(r2) + 2efd0: 10000526 beq r2,zero,2efe8 + 2efd4: 008000f4 movhi r2,3 + 2efd8: 10915c04 addi r2,r2,17776 + 2efdc: 10800017 ldw r2,0(r2) + 2efe0: 103ee83a callr r2 + 2efe4: 00000206 br 2eff0 + 2efe8: 008000f4 movhi r2,3 + 2efec: 109a0c04 addi r2,r2,26672 } - 2f7a4: e037883a mov sp,fp - 2f7a8: dfc00117 ldw ra,4(sp) - 2f7ac: df000017 ldw fp,0(sp) - 2f7b0: dec00204 addi sp,sp,8 - 2f7b4: f800283a ret + 2eff0: e037883a mov sp,fp + 2eff4: dfc00117 ldw ra,4(sp) + 2eff8: df000017 ldw fp,0(sp) + 2effc: dec00204 addi sp,sp,8 + 2f000: f800283a ret -0002f7b8 : +0002f004 : * * ALT_CLOSE is mapped onto the close() system call in alt_syscall.h */ int ALT_CLOSE (int fildes) { - 2f7b8: defffb04 addi sp,sp,-20 - 2f7bc: dfc00415 stw ra,16(sp) - 2f7c0: df000315 stw fp,12(sp) - 2f7c4: df000304 addi fp,sp,12 - 2f7c8: e13fff15 stw r4,-4(fp) + 2f004: defffb04 addi sp,sp,-20 + 2f008: dfc00415 stw ra,16(sp) + 2f00c: df000315 stw fp,12(sp) + 2f010: df000304 addi fp,sp,12 + 2f014: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (fildes < 0) ? NULL : &alt_fd_list[fildes]; - 2f7cc: e0bfff17 ldw r2,-4(fp) - 2f7d0: 10000616 blt r2,zero,2f7ec - 2f7d4: e0bfff17 ldw r2,-4(fp) - 2f7d8: 10c00324 muli r3,r2,12 - 2f7dc: 008000f4 movhi r2,3 - 2f7e0: 108f2304 addi r2,r2,15500 - 2f7e4: 1885883a add r2,r3,r2 - 2f7e8: 00000106 br 2f7f0 - 2f7ec: 0005883a mov r2,zero - 2f7f0: e0bffd15 stw r2,-12(fp) + 2f018: e0bfff17 ldw r2,-4(fp) + 2f01c: 10000616 blt r2,zero,2f038 + 2f020: e0bfff17 ldw r2,-4(fp) + 2f024: 10c00324 muli r3,r2,12 + 2f028: 008000f4 movhi r2,3 + 2f02c: 108cd604 addi r2,r2,13144 + 2f030: 1885883a add r2,r3,r2 + 2f034: 00000106 br 2f03c + 2f038: 0005883a mov r2,zero + 2f03c: e0bffd15 stw r2,-12(fp) if (fd) - 2f7f4: e0bffd17 ldw r2,-12(fp) - 2f7f8: 10001926 beq r2,zero,2f860 + 2f040: e0bffd17 ldw r2,-12(fp) + 2f044: 10001926 beq r2,zero,2f0ac /* * If the associated file system/device has a close function, call it so * that any necessary cleanup code can run. */ rval = (fd->dev->close) ? fd->dev->close(fd) : 0; - 2f7fc: e0bffd17 ldw r2,-12(fp) - 2f800: 10800017 ldw r2,0(r2) - 2f804: 10800417 ldw r2,16(r2) - 2f808: 10000626 beq r2,zero,2f824 - 2f80c: e0bffd17 ldw r2,-12(fp) - 2f810: 10800017 ldw r2,0(r2) - 2f814: 10800417 ldw r2,16(r2) - 2f818: e13ffd17 ldw r4,-12(fp) - 2f81c: 103ee83a callr r2 - 2f820: 00000106 br 2f828 - 2f824: 0005883a mov r2,zero - 2f828: e0bffe15 stw r2,-8(fp) + 2f048: e0bffd17 ldw r2,-12(fp) + 2f04c: 10800017 ldw r2,0(r2) + 2f050: 10800417 ldw r2,16(r2) + 2f054: 10000626 beq r2,zero,2f070 + 2f058: e0bffd17 ldw r2,-12(fp) + 2f05c: 10800017 ldw r2,0(r2) + 2f060: 10800417 ldw r2,16(r2) + 2f064: e13ffd17 ldw r4,-12(fp) + 2f068: 103ee83a callr r2 + 2f06c: 00000106 br 2f074 + 2f070: 0005883a mov r2,zero + 2f074: e0bffe15 stw r2,-8(fp) /* Free the file descriptor structure and return. */ alt_release_fd (fildes); - 2f82c: e13fff17 ldw r4,-4(fp) - 2f830: 00300380 call 30038 + 2f078: e13fff17 ldw r4,-4(fp) + 2f07c: 002f7140 call 2f714 if (rval < 0) - 2f834: e0bffe17 ldw r2,-8(fp) - 2f838: 1000070e bge r2,zero,2f858 + 2f080: e0bffe17 ldw r2,-8(fp) + 2f084: 1000070e bge r2,zero,2f0a4 { ALT_ERRNO = -rval; - 2f83c: 002f7680 call 2f768 - 2f840: 1007883a mov r3,r2 - 2f844: e0bffe17 ldw r2,-8(fp) - 2f848: 0085c83a sub r2,zero,r2 - 2f84c: 18800015 stw r2,0(r3) + 2f088: 002efb40 call 2efb4 + 2f08c: 1007883a mov r3,r2 + 2f090: e0bffe17 ldw r2,-8(fp) + 2f094: 0085c83a sub r2,zero,r2 + 2f098: 18800015 stw r2,0(r3) return -1; - 2f850: 00bfffc4 movi r2,-1 - 2f854: 00000706 br 2f874 + 2f09c: 00bfffc4 movi r2,-1 + 2f0a0: 00000706 br 2f0c0 } return 0; - 2f858: 0005883a mov r2,zero - 2f85c: 00000506 br 2f874 + 2f0a4: 0005883a mov r2,zero + 2f0a8: 00000506 br 2f0c0 } else { ALT_ERRNO = EBADFD; - 2f860: 002f7680 call 2f768 - 2f864: 1007883a mov r3,r2 - 2f868: 00801444 movi r2,81 - 2f86c: 18800015 stw r2,0(r3) + 2f0ac: 002efb40 call 2efb4 + 2f0b0: 1007883a mov r3,r2 + 2f0b4: 00801444 movi r2,81 + 2f0b8: 18800015 stw r2,0(r3) return -1; - 2f870: 00bfffc4 movi r2,-1 + 2f0bc: 00bfffc4 movi r2,-1 } } - 2f874: e037883a mov sp,fp - 2f878: dfc00117 ldw ra,4(sp) - 2f87c: df000017 ldw fp,0(sp) - 2f880: dec00204 addi sp,sp,8 - 2f884: f800283a ret + 2f0c0: e037883a mov sp,fp + 2f0c4: dfc00117 ldw ra,4(sp) + 2f0c8: df000017 ldw fp,0(sp) + 2f0cc: dec00204 addi sp,sp,8 + 2f0d0: f800283a ret -0002f888 : +0002f0d4 : * by the alt_dev_null device. It simple discards all data passed to it, and * indicates that the data has been successfully transmitted. */ static int alt_dev_null_write (alt_fd* fd, const char* ptr, int len) { - 2f888: defffc04 addi sp,sp,-16 - 2f88c: df000315 stw fp,12(sp) - 2f890: df000304 addi fp,sp,12 - 2f894: e13ffd15 stw r4,-12(fp) - 2f898: e17ffe15 stw r5,-8(fp) - 2f89c: e1bfff15 stw r6,-4(fp) + 2f0d4: defffc04 addi sp,sp,-16 + 2f0d8: df000315 stw fp,12(sp) + 2f0dc: df000304 addi fp,sp,12 + 2f0e0: e13ffd15 stw r4,-12(fp) + 2f0e4: e17ffe15 stw r5,-8(fp) + 2f0e8: e1bfff15 stw r6,-4(fp) return len; - 2f8a0: e0bfff17 ldw r2,-4(fp) + 2f0ec: e0bfff17 ldw r2,-4(fp) } - 2f8a4: e037883a mov sp,fp - 2f8a8: df000017 ldw fp,0(sp) - 2f8ac: dec00104 addi sp,sp,4 - 2f8b0: f800283a ret + 2f0f0: e037883a mov sp,fp + 2f0f4: df000017 ldw fp,0(sp) + 2f0f8: dec00104 addi sp,sp,4 + 2f0fc: f800283a ret -0002f8b4 : +0002f100 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2f8b4: defffe04 addi sp,sp,-8 - 2f8b8: dfc00115 stw ra,4(sp) - 2f8bc: df000015 stw fp,0(sp) - 2f8c0: d839883a mov fp,sp + 2f100: defffe04 addi sp,sp,-8 + 2f104: dfc00115 stw ra,4(sp) + 2f108: df000015 stw fp,0(sp) + 2f10c: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2f8c4: 008000f4 movhi r2,3 - 2f8c8: 1093a904 addi r2,r2,20132 - 2f8cc: 10800017 ldw r2,0(r2) - 2f8d0: 10000526 beq r2,zero,2f8e8 - 2f8d4: 008000f4 movhi r2,3 - 2f8d8: 1093a904 addi r2,r2,20132 - 2f8dc: 10800017 ldw r2,0(r2) - 2f8e0: 103ee83a callr r2 - 2f8e4: 00000206 br 2f8f0 - 2f8e8: 008000f4 movhi r2,3 - 2f8ec: 109c5904 addi r2,r2,29028 + 2f110: 008000f4 movhi r2,3 + 2f114: 10915c04 addi r2,r2,17776 + 2f118: 10800017 ldw r2,0(r2) + 2f11c: 10000526 beq r2,zero,2f134 + 2f120: 008000f4 movhi r2,3 + 2f124: 10915c04 addi r2,r2,17776 + 2f128: 10800017 ldw r2,0(r2) + 2f12c: 103ee83a callr r2 + 2f130: 00000206 br 2f13c + 2f134: 008000f4 movhi r2,3 + 2f138: 109a0c04 addi r2,r2,26672 } - 2f8f0: e037883a mov sp,fp - 2f8f4: dfc00117 ldw ra,4(sp) - 2f8f8: df000017 ldw fp,0(sp) - 2f8fc: dec00204 addi sp,sp,8 - 2f900: f800283a ret + 2f13c: e037883a mov sp,fp + 2f140: dfc00117 ldw ra,4(sp) + 2f144: df000017 ldw fp,0(sp) + 2f148: dec00204 addi sp,sp,8 + 2f14c: f800283a ret -0002f904 : - * - * ALT_FCNTL is mapped onto the fcntl() system call in alt_syscall.h - */ - -int ALT_FCNTL (int file, int cmd, ...) -{ - 2f904: defff704 addi sp,sp,-36 - 2f908: dfc00615 stw ra,24(sp) - 2f90c: df000515 stw fp,20(sp) - 2f910: df000504 addi fp,sp,20 - 2f914: e13ffe15 stw r4,-8(fp) - 2f918: e17fff15 stw r5,-4(fp) - 2f91c: e1800215 stw r6,8(fp) - 2f920: e1c00315 stw r7,12(fp) - * A common error case is that when the file descriptor was created, the call - * to open() failed resulting in a negative file descriptor. This is trapped - * below so that we don't try and process an invalid file descriptor. - */ - - fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2f924: e0bffe17 ldw r2,-8(fp) - 2f928: 10000616 blt r2,zero,2f944 - 2f92c: e0bffe17 ldw r2,-8(fp) - 2f930: 10c00324 muli r3,r2,12 - 2f934: 008000f4 movhi r2,3 - 2f938: 108f2304 addi r2,r2,15500 - 2f93c: 1885883a add r2,r3,r2 - 2f940: 00000106 br 2f948 - 2f944: 0005883a mov r2,zero - 2f948: e0bffb15 stw r2,-20(fp) - - if (fd) - 2f94c: e0bffb17 ldw r2,-20(fp) - 2f950: 10002a26 beq r2,zero,2f9fc - { - switch (cmd) - 2f954: e0bfff17 ldw r2,-4(fp) - 2f958: 10c000e0 cmpeqi r3,r2,3 - 2f95c: 1800031e bne r3,zero,2f96c - 2f960: 10800120 cmpeqi r2,r2,4 - 2f964: 1000071e bne r2,zero,2f984 - 2f968: 00001e06 br 2f9e4 - { - case F_GETFL: - return fd->fd_flags & ~((alt_u32) ALT_FD_FLAGS_MASK); - 2f96c: e0bffb17 ldw r2,-20(fp) - 2f970: 10c00217 ldw r3,8(r2) - 2f974: 00900034 movhi r2,16384 - 2f978: 10bfffc4 addi r2,r2,-1 - 2f97c: 1884703a and r2,r3,r2 - 2f980: 00002306 br 2fa10 - case F_SETFL: - va_start(argp, cmd); - 2f984: e0800204 addi r2,fp,8 - 2f988: e0bffd15 stw r2,-12(fp) - flags = va_arg(argp, long); - 2f98c: e0bffd17 ldw r2,-12(fp) - 2f990: 10c00104 addi r3,r2,4 - 2f994: e0fffd15 stw r3,-12(fp) - 2f998: 10800017 ldw r2,0(r2) - 2f99c: e0bffc15 stw r2,-16(fp) - fd->fd_flags &= ~ALT_FCNTL_FLAGS_MASK; - 2f9a0: e0bffb17 ldw r2,-20(fp) - 2f9a4: 10c00217 ldw r3,8(r2) - 2f9a8: 00affdc4 movi r2,-16393 - 2f9ac: 1886703a and r3,r3,r2 - 2f9b0: e0bffb17 ldw r2,-20(fp) - 2f9b4: 10c00215 stw r3,8(r2) - fd->fd_flags |= (flags & ALT_FCNTL_FLAGS_MASK); - 2f9b8: e0bffb17 ldw r2,-20(fp) - 2f9bc: 10800217 ldw r2,8(r2) - 2f9c0: 1007883a mov r3,r2 - 2f9c4: e0bffc17 ldw r2,-16(fp) - 2f9c8: 1090020c andi r2,r2,16392 - 2f9cc: 1884b03a or r2,r3,r2 - 2f9d0: 1007883a mov r3,r2 - 2f9d4: e0bffb17 ldw r2,-20(fp) - 2f9d8: 10c00215 stw r3,8(r2) - va_end(argp); - return 0; - 2f9dc: 0005883a mov r2,zero - 2f9e0: 00000b06 br 2fa10 - default: - ALT_ERRNO = EINVAL; - 2f9e4: 002f8b40 call 2f8b4 - 2f9e8: 1007883a mov r3,r2 - 2f9ec: 00800584 movi r2,22 - 2f9f0: 18800015 stw r2,0(r3) - return -1; - 2f9f4: 00bfffc4 movi r2,-1 - 2f9f8: 00000506 br 2fa10 - } - } - - ALT_ERRNO = EBADFD; - 2f9fc: 002f8b40 call 2f8b4 - 2fa00: 1007883a mov r3,r2 - 2fa04: 00801444 movi r2,81 - 2fa08: 18800015 stw r2,0(r3) - return -1; - 2fa0c: 00bfffc4 movi r2,-1 -} - 2fa10: e037883a mov sp,fp - 2fa14: dfc00117 ldw ra,4(sp) - 2fa18: df000017 ldw fp,0(sp) - 2fa1c: dec00404 addi sp,sp,16 - 2fa20: f800283a ret - -0002fa24 : -#undef errno - -extern int errno; - -static ALT_INLINE int* alt_get_errno(void) -{ - 2fa24: defffe04 addi sp,sp,-8 - 2fa28: dfc00115 stw ra,4(sp) - 2fa2c: df000015 stw fp,0(sp) - 2fa30: d839883a mov fp,sp - return ((alt_errno) ? alt_errno() : &errno); - 2fa34: 008000f4 movhi r2,3 - 2fa38: 1093a904 addi r2,r2,20132 - 2fa3c: 10800017 ldw r2,0(r2) - 2fa40: 10000526 beq r2,zero,2fa58 - 2fa44: 008000f4 movhi r2,3 - 2fa48: 1093a904 addi r2,r2,20132 - 2fa4c: 10800017 ldw r2,0(r2) - 2fa50: 103ee83a callr r2 - 2fa54: 00000206 br 2fa60 - 2fa58: 008000f4 movhi r2,3 - 2fa5c: 109c5904 addi r2,r2,29028 -} - 2fa60: e037883a mov sp,fp - 2fa64: dfc00117 ldw ra,4(sp) - 2fa68: df000017 ldw fp,0(sp) - 2fa6c: dec00204 addi sp,sp,8 - 2fa70: f800283a ret - -0002fa74 : +0002f150 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_FSTAT (int file, struct stat *st) { - 2fa74: defffb04 addi sp,sp,-20 - 2fa78: dfc00415 stw ra,16(sp) - 2fa7c: df000315 stw fp,12(sp) - 2fa80: df000304 addi fp,sp,12 - 2fa84: e13ffe15 stw r4,-8(fp) - 2fa88: e17fff15 stw r5,-4(fp) + 2f150: defffb04 addi sp,sp,-20 + 2f154: dfc00415 stw ra,16(sp) + 2f158: df000315 stw fp,12(sp) + 2f15c: df000304 addi fp,sp,12 + 2f160: e13ffe15 stw r4,-8(fp) + 2f164: e17fff15 stw r5,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2fa8c: e0bffe17 ldw r2,-8(fp) - 2fa90: 10000616 blt r2,zero,2faac - 2fa94: e0bffe17 ldw r2,-8(fp) - 2fa98: 10c00324 muli r3,r2,12 - 2fa9c: 008000f4 movhi r2,3 - 2faa0: 108f2304 addi r2,r2,15500 - 2faa4: 1885883a add r2,r3,r2 - 2faa8: 00000106 br 2fab0 - 2faac: 0005883a mov r2,zero - 2fab0: e0bffd15 stw r2,-12(fp) + 2f168: e0bffe17 ldw r2,-8(fp) + 2f16c: 10000616 blt r2,zero,2f188 + 2f170: e0bffe17 ldw r2,-8(fp) + 2f174: 10c00324 muli r3,r2,12 + 2f178: 008000f4 movhi r2,3 + 2f17c: 108cd604 addi r2,r2,13144 + 2f180: 1885883a add r2,r3,r2 + 2f184: 00000106 br 2f18c + 2f188: 0005883a mov r2,zero + 2f18c: e0bffd15 stw r2,-12(fp) if (fd) - 2fab4: e0bffd17 ldw r2,-12(fp) - 2fab8: 10001026 beq r2,zero,2fafc + 2f190: e0bffd17 ldw r2,-12(fp) + 2f194: 10001026 beq r2,zero,2f1d8 { /* Call the drivers fstat() function to fill out the "st" structure. */ if (fd->dev->fstat) - 2fabc: e0bffd17 ldw r2,-12(fp) - 2fac0: 10800017 ldw r2,0(r2) - 2fac4: 10800817 ldw r2,32(r2) - 2fac8: 10000726 beq r2,zero,2fae8 + 2f198: e0bffd17 ldw r2,-12(fp) + 2f19c: 10800017 ldw r2,0(r2) + 2f1a0: 10800817 ldw r2,32(r2) + 2f1a4: 10000726 beq r2,zero,2f1c4 { return fd->dev->fstat(fd, st); - 2facc: e0bffd17 ldw r2,-12(fp) - 2fad0: 10800017 ldw r2,0(r2) - 2fad4: 10800817 ldw r2,32(r2) - 2fad8: e17fff17 ldw r5,-4(fp) - 2fadc: e13ffd17 ldw r4,-12(fp) - 2fae0: 103ee83a callr r2 - 2fae4: 00000a06 br 2fb10 + 2f1a8: e0bffd17 ldw r2,-12(fp) + 2f1ac: 10800017 ldw r2,0(r2) + 2f1b0: 10800817 ldw r2,32(r2) + 2f1b4: e17fff17 ldw r5,-4(fp) + 2f1b8: e13ffd17 ldw r4,-12(fp) + 2f1bc: 103ee83a callr r2 + 2f1c0: 00000a06 br 2f1ec * device. */ else { st->st_mode = _IFCHR; - 2fae8: e0bfff17 ldw r2,-4(fp) - 2faec: 00c80004 movi r3,8192 - 2faf0: 10c00115 stw r3,4(r2) + 2f1c4: e0bfff17 ldw r2,-4(fp) + 2f1c8: 00c80004 movi r3,8192 + 2f1cc: 10c00115 stw r3,4(r2) return 0; - 2faf4: 0005883a mov r2,zero - 2faf8: 00000506 br 2fb10 + 2f1d0: 0005883a mov r2,zero + 2f1d4: 00000506 br 2f1ec } } else { ALT_ERRNO = EBADFD; - 2fafc: 002fa240 call 2fa24 - 2fb00: 1007883a mov r3,r2 - 2fb04: 00801444 movi r2,81 - 2fb08: 18800015 stw r2,0(r3) + 2f1d8: 002f1000 call 2f100 + 2f1dc: 1007883a mov r3,r2 + 2f1e0: 00801444 movi r2,81 + 2f1e4: 18800015 stw r2,0(r3) return -1; - 2fb0c: 00bfffc4 movi r2,-1 + 2f1e8: 00bfffc4 movi r2,-1 } } - 2fb10: e037883a mov sp,fp - 2fb14: dfc00117 ldw ra,4(sp) - 2fb18: df000017 ldw fp,0(sp) - 2fb1c: dec00204 addi sp,sp,8 - 2fb20: f800283a ret + 2f1ec: e037883a mov sp,fp + 2f1f0: dfc00117 ldw ra,4(sp) + 2f1f4: df000017 ldw fp,0(sp) + 2f1f8: dec00204 addi sp,sp,8 + 2f1fc: f800283a ret -0002fb24 : +0002f200 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2fb24: defffe04 addi sp,sp,-8 - 2fb28: dfc00115 stw ra,4(sp) - 2fb2c: df000015 stw fp,0(sp) - 2fb30: d839883a mov fp,sp + 2f200: defffe04 addi sp,sp,-8 + 2f204: dfc00115 stw ra,4(sp) + 2f208: df000015 stw fp,0(sp) + 2f20c: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2fb34: 008000f4 movhi r2,3 - 2fb38: 1093a904 addi r2,r2,20132 - 2fb3c: 10800017 ldw r2,0(r2) - 2fb40: 10000526 beq r2,zero,2fb58 - 2fb44: 008000f4 movhi r2,3 - 2fb48: 1093a904 addi r2,r2,20132 - 2fb4c: 10800017 ldw r2,0(r2) - 2fb50: 103ee83a callr r2 - 2fb54: 00000206 br 2fb60 - 2fb58: 008000f4 movhi r2,3 - 2fb5c: 109c5904 addi r2,r2,29028 + 2f210: 008000f4 movhi r2,3 + 2f214: 10915c04 addi r2,r2,17776 + 2f218: 10800017 ldw r2,0(r2) + 2f21c: 10000526 beq r2,zero,2f234 + 2f220: 008000f4 movhi r2,3 + 2f224: 10915c04 addi r2,r2,17776 + 2f228: 10800017 ldw r2,0(r2) + 2f22c: 103ee83a callr r2 + 2f230: 00000206 br 2f23c + 2f234: 008000f4 movhi r2,3 + 2f238: 109a0c04 addi r2,r2,26672 } - 2fb60: e037883a mov sp,fp - 2fb64: dfc00117 ldw ra,4(sp) - 2fb68: df000017 ldw fp,0(sp) - 2fb6c: dec00204 addi sp,sp,8 - 2fb70: f800283a ret + 2f23c: e037883a mov sp,fp + 2f240: dfc00117 ldw ra,4(sp) + 2f244: df000017 ldw fp,0(sp) + 2f248: dec00204 addi sp,sp,8 + 2f24c: f800283a ret -0002fb74 : +0002f250 : * * ALT_ISATTY is mapped onto the isatty() system call in alt_syscall.h */ int ALT_ISATTY (int file) { - 2fb74: deffed04 addi sp,sp,-76 - 2fb78: dfc01215 stw ra,72(sp) - 2fb7c: df001115 stw fp,68(sp) - 2fb80: df001104 addi fp,sp,68 - 2fb84: e13fff15 stw r4,-4(fp) + 2f250: deffed04 addi sp,sp,-76 + 2f254: dfc01215 stw ra,72(sp) + 2f258: df001115 stw fp,68(sp) + 2f25c: df001104 addi fp,sp,68 + 2f260: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2fb88: e0bfff17 ldw r2,-4(fp) - 2fb8c: 10000616 blt r2,zero,2fba8 - 2fb90: e0bfff17 ldw r2,-4(fp) - 2fb94: 10c00324 muli r3,r2,12 - 2fb98: 008000f4 movhi r2,3 - 2fb9c: 108f2304 addi r2,r2,15500 - 2fba0: 1885883a add r2,r3,r2 - 2fba4: 00000106 br 2fbac - 2fba8: 0005883a mov r2,zero - 2fbac: e0bfef15 stw r2,-68(fp) + 2f264: e0bfff17 ldw r2,-4(fp) + 2f268: 10000616 blt r2,zero,2f284 + 2f26c: e0bfff17 ldw r2,-4(fp) + 2f270: 10c00324 muli r3,r2,12 + 2f274: 008000f4 movhi r2,3 + 2f278: 108cd604 addi r2,r2,13144 + 2f27c: 1885883a add r2,r3,r2 + 2f280: 00000106 br 2f288 + 2f284: 0005883a mov r2,zero + 2f288: e0bfef15 stw r2,-68(fp) if (fd) - 2fbb0: e0bfef17 ldw r2,-68(fp) - 2fbb4: 10000e26 beq r2,zero,2fbf0 + 2f28c: e0bfef17 ldw r2,-68(fp) + 2f290: 10000e26 beq r2,zero,2f2cc /* * If a device driver does not provide an fstat() function, then it is * treated as a terminal device by default. */ if (!fd->dev->fstat) - 2fbb8: e0bfef17 ldw r2,-68(fp) - 2fbbc: 10800017 ldw r2,0(r2) - 2fbc0: 10800817 ldw r2,32(r2) - 2fbc4: 1000021e bne r2,zero,2fbd0 + 2f294: e0bfef17 ldw r2,-68(fp) + 2f298: 10800017 ldw r2,0(r2) + 2f29c: 10800817 ldw r2,32(r2) + 2f2a0: 1000021e bne r2,zero,2f2ac { return 1; - 2fbc8: 00800044 movi r2,1 - 2fbcc: 00000d06 br 2fc04 + 2f2a4: 00800044 movi r2,1 + 2f2a8: 00000d06 br 2f2e0 * this is called so that the device can identify itself. */ else { fstat (file, &stat); - 2fbd0: e0bff004 addi r2,fp,-64 - 2fbd4: 100b883a mov r5,r2 - 2fbd8: e13fff17 ldw r4,-4(fp) - 2fbdc: 002fa740 call 2fa74 + 2f2ac: e0bff004 addi r2,fp,-64 + 2f2b0: 100b883a mov r5,r2 + 2f2b4: e13fff17 ldw r4,-4(fp) + 2f2b8: 002f1500 call 2f150 return (stat.st_mode == _IFCHR) ? 1 : 0; - 2fbe0: e0bff117 ldw r2,-60(fp) - 2fbe4: 10880020 cmpeqi r2,r2,8192 - 2fbe8: 10803fcc andi r2,r2,255 - 2fbec: 00000506 br 2fc04 + 2f2bc: e0bff117 ldw r2,-60(fp) + 2f2c0: 10880020 cmpeqi r2,r2,8192 + 2f2c4: 10803fcc andi r2,r2,255 + 2f2c8: 00000506 br 2f2e0 } } else { ALT_ERRNO = EBADFD; - 2fbf0: 002fb240 call 2fb24 - 2fbf4: 1007883a mov r3,r2 - 2fbf8: 00801444 movi r2,81 - 2fbfc: 18800015 stw r2,0(r3) + 2f2cc: 002f2000 call 2f200 + 2f2d0: 1007883a mov r3,r2 + 2f2d4: 00801444 movi r2,81 + 2f2d8: 18800015 stw r2,0(r3) return 0; - 2fc00: 0005883a mov r2,zero + 2f2dc: 0005883a mov r2,zero } } - 2fc04: e037883a mov sp,fp - 2fc08: dfc00117 ldw ra,4(sp) - 2fc0c: df000017 ldw fp,0(sp) - 2fc10: dec00204 addi sp,sp,8 - 2fc14: f800283a ret + 2f2e0: e037883a mov sp,fp + 2f2e4: dfc00117 ldw ra,4(sp) + 2f2e8: df000017 ldw fp,0(sp) + 2f2ec: dec00204 addi sp,sp,8 + 2f2f0: f800283a ret -0002fc18 : +0002f2f4 : */ static void ALT_INLINE alt_load_section (alt_u32* from, alt_u32* to, alt_u32* end) { - 2fc18: defffc04 addi sp,sp,-16 - 2fc1c: df000315 stw fp,12(sp) - 2fc20: df000304 addi fp,sp,12 - 2fc24: e13ffd15 stw r4,-12(fp) - 2fc28: e17ffe15 stw r5,-8(fp) - 2fc2c: e1bfff15 stw r6,-4(fp) + 2f2f4: defffc04 addi sp,sp,-16 + 2f2f8: df000315 stw fp,12(sp) + 2f2fc: df000304 addi fp,sp,12 + 2f300: e13ffd15 stw r4,-12(fp) + 2f304: e17ffe15 stw r5,-8(fp) + 2f308: e1bfff15 stw r6,-4(fp) if (to != from) - 2fc30: e0fffe17 ldw r3,-8(fp) - 2fc34: e0bffd17 ldw r2,-12(fp) - 2fc38: 18800c26 beq r3,r2,2fc6c + 2f30c: e0fffe17 ldw r3,-8(fp) + 2f310: e0bffd17 ldw r2,-12(fp) + 2f314: 18800c26 beq r3,r2,2f348 { while( to != end ) - 2fc3c: 00000806 br 2fc60 + 2f318: 00000806 br 2f33c { *to++ = *from++; - 2fc40: e0bffe17 ldw r2,-8(fp) - 2fc44: 10c00104 addi r3,r2,4 - 2fc48: e0fffe15 stw r3,-8(fp) - 2fc4c: e0fffd17 ldw r3,-12(fp) - 2fc50: 19000104 addi r4,r3,4 - 2fc54: e13ffd15 stw r4,-12(fp) - 2fc58: 18c00017 ldw r3,0(r3) - 2fc5c: 10c00015 stw r3,0(r2) + 2f31c: e0bffe17 ldw r2,-8(fp) + 2f320: 10c00104 addi r3,r2,4 + 2f324: e0fffe15 stw r3,-8(fp) + 2f328: e0fffd17 ldw r3,-12(fp) + 2f32c: 19000104 addi r4,r3,4 + 2f330: e13ffd15 stw r4,-12(fp) + 2f334: 18c00017 ldw r3,0(r3) + 2f338: 10c00015 stw r3,0(r2) alt_u32* to, alt_u32* end) { if (to != from) { while( to != end ) - 2fc60: e0fffe17 ldw r3,-8(fp) - 2fc64: e0bfff17 ldw r2,-4(fp) - 2fc68: 18bff51e bne r3,r2,2fc40 + 2f33c: e0fffe17 ldw r3,-8(fp) + 2f340: e0bfff17 ldw r2,-4(fp) + 2f344: 18bff51e bne r3,r2,2f31c <_gp+0xffff2de4> { *to++ = *from++; } } } - 2fc6c: 0001883a nop - 2fc70: e037883a mov sp,fp - 2fc74: df000017 ldw fp,0(sp) - 2fc78: dec00104 addi sp,sp,4 - 2fc7c: f800283a ret + 2f348: 0001883a nop + 2f34c: e037883a mov sp,fp + 2f350: df000017 ldw fp,0(sp) + 2f354: dec00104 addi sp,sp,4 + 2f358: f800283a ret -0002fc80 : +0002f35c : * there is no bootloader, so this application is responsible for loading to * RAM any sections that are required. */ void alt_load (void) { - 2fc80: defffe04 addi sp,sp,-8 - 2fc84: dfc00115 stw ra,4(sp) - 2fc88: df000015 stw fp,0(sp) - 2fc8c: d839883a mov fp,sp + 2f35c: defffe04 addi sp,sp,-8 + 2f360: dfc00115 stw ra,4(sp) + 2f364: df000015 stw fp,0(sp) + 2f368: d839883a mov fp,sp /* * Copy the .rwdata section. */ alt_load_section (&__flash_rwdata_start, - 2fc90: 018000f4 movhi r6,3 - 2fc94: 3193ae04 addi r6,r6,20152 - 2fc98: 014000f4 movhi r5,3 - 2fc9c: 294b0604 addi r5,r5,11288 - 2fca0: 010000f4 movhi r4,3 - 2fca4: 2113ae04 addi r4,r4,20152 - 2fca8: 002fc180 call 2fc18 + 2f36c: 018000f4 movhi r6,3 + 2f370: 31916104 addi r6,r6,17796 + 2f374: 014000f4 movhi r5,3 + 2f378: 2948b904 addi r5,r5,8932 + 2f37c: 010000f4 movhi r4,3 + 2f380: 21116104 addi r4,r4,17796 + 2f384: 002f2f40 call 2f2f4 /* * Copy the exception handler. */ alt_load_section (&__flash_exceptions_start, - 2fcac: 018000b4 movhi r6,2 - 2fcb0: 31809104 addi r6,r6,580 - 2fcb4: 014000b4 movhi r5,2 - 2fcb8: 29400804 addi r5,r5,32 - 2fcbc: 010000b4 movhi r4,2 - 2fcc0: 21000804 addi r4,r4,32 - 2fcc4: 002fc180 call 2fc18 + 2f388: 018000b4 movhi r6,2 + 2f38c: 31809104 addi r6,r6,580 + 2f390: 014000b4 movhi r5,2 + 2f394: 29400804 addi r5,r5,32 + 2f398: 010000b4 movhi r4,2 + 2f39c: 21000804 addi r4,r4,32 + 2f3a0: 002f2f40 call 2f2f4 /* * Copy the .rodata section. */ alt_load_section (&__flash_rodata_start, - 2fcc8: 018000f4 movhi r6,3 - 2fccc: 318b0604 addi r6,r6,11288 - 2fcd0: 014000f4 movhi r5,3 - 2fcd4: 2948d004 addi r5,r5,9024 - 2fcd8: 010000f4 movhi r4,3 - 2fcdc: 2108d004 addi r4,r4,9024 - 2fce0: 002fc180 call 2fc18 + 2f3a4: 018000f4 movhi r6,3 + 2f3a8: 3188b904 addi r6,r6,8932 + 2f3ac: 014000f4 movhi r5,3 + 2f3b0: 29468704 addi r5,r5,6684 + 2f3b4: 010000f4 movhi r4,3 + 2f3b8: 21068704 addi r4,r4,6684 + 2f3bc: 002f2f40 call 2f2f4 /* * Now ensure that the caches are in synch. */ alt_dcache_flush_all(); - 2fce4: 00313500 call 31350 + 2f3c0: 0030a2c0 call 30a2c alt_icache_flush_all(); - 2fce8: 003154c0 call 3154c + 2f3c4: 0030c280 call 30c28 } - 2fcec: 0001883a nop - 2fcf0: e037883a mov sp,fp - 2fcf4: dfc00117 ldw ra,4(sp) - 2fcf8: df000017 ldw fp,0(sp) - 2fcfc: dec00204 addi sp,sp,8 - 2fd00: f800283a ret + 2f3c8: 0001883a nop + 2f3cc: e037883a mov sp,fp + 2f3d0: dfc00117 ldw ra,4(sp) + 2f3d4: df000017 ldw fp,0(sp) + 2f3d8: dec00204 addi sp,sp,8 + 2f3dc: f800283a ret -0002fd04 : +0002f3e0 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2fd04: defffe04 addi sp,sp,-8 - 2fd08: dfc00115 stw ra,4(sp) - 2fd0c: df000015 stw fp,0(sp) - 2fd10: d839883a mov fp,sp + 2f3e0: defffe04 addi sp,sp,-8 + 2f3e4: dfc00115 stw ra,4(sp) + 2f3e8: df000015 stw fp,0(sp) + 2f3ec: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2fd14: 008000f4 movhi r2,3 - 2fd18: 1093a904 addi r2,r2,20132 - 2fd1c: 10800017 ldw r2,0(r2) - 2fd20: 10000526 beq r2,zero,2fd38 - 2fd24: 008000f4 movhi r2,3 - 2fd28: 1093a904 addi r2,r2,20132 - 2fd2c: 10800017 ldw r2,0(r2) - 2fd30: 103ee83a callr r2 - 2fd34: 00000206 br 2fd40 - 2fd38: 008000f4 movhi r2,3 - 2fd3c: 109c5904 addi r2,r2,29028 + 2f3f0: 008000f4 movhi r2,3 + 2f3f4: 10915c04 addi r2,r2,17776 + 2f3f8: 10800017 ldw r2,0(r2) + 2f3fc: 10000526 beq r2,zero,2f414 + 2f400: 008000f4 movhi r2,3 + 2f404: 10915c04 addi r2,r2,17776 + 2f408: 10800017 ldw r2,0(r2) + 2f40c: 103ee83a callr r2 + 2f410: 00000206 br 2f41c + 2f414: 008000f4 movhi r2,3 + 2f418: 109a0c04 addi r2,r2,26672 } - 2fd40: e037883a mov sp,fp - 2fd44: dfc00117 ldw ra,4(sp) - 2fd48: df000017 ldw fp,0(sp) - 2fd4c: dec00204 addi sp,sp,8 - 2fd50: f800283a ret + 2f41c: e037883a mov sp,fp + 2f420: dfc00117 ldw ra,4(sp) + 2f424: df000017 ldw fp,0(sp) + 2f428: dec00204 addi sp,sp,8 + 2f42c: f800283a ret -0002fd54 : +0002f430 : * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h * */ off_t ALT_LSEEK (int file, off_t ptr, int dir) { - 2fd54: defff904 addi sp,sp,-28 - 2fd58: dfc00615 stw ra,24(sp) - 2fd5c: df000515 stw fp,20(sp) - 2fd60: df000504 addi fp,sp,20 - 2fd64: e13ffd15 stw r4,-12(fp) - 2fd68: e17ffe15 stw r5,-8(fp) - 2fd6c: e1bfff15 stw r6,-4(fp) + 2f430: defff904 addi sp,sp,-28 + 2f434: dfc00615 stw ra,24(sp) + 2f438: df000515 stw fp,20(sp) + 2f43c: df000504 addi fp,sp,20 + 2f440: e13ffd15 stw r4,-12(fp) + 2f444: e17ffe15 stw r5,-8(fp) + 2f448: e1bfff15 stw r6,-4(fp) alt_fd* fd; off_t rc = 0; - 2fd70: e03ffb15 stw zero,-20(fp) + 2f44c: e03ffb15 stw zero,-20(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2fd74: e0bffd17 ldw r2,-12(fp) - 2fd78: 10000616 blt r2,zero,2fd94 - 2fd7c: e0bffd17 ldw r2,-12(fp) - 2fd80: 10c00324 muli r3,r2,12 - 2fd84: 008000f4 movhi r2,3 - 2fd88: 108f2304 addi r2,r2,15500 - 2fd8c: 1885883a add r2,r3,r2 - 2fd90: 00000106 br 2fd98 - 2fd94: 0005883a mov r2,zero - 2fd98: e0bffc15 stw r2,-16(fp) + 2f450: e0bffd17 ldw r2,-12(fp) + 2f454: 10000616 blt r2,zero,2f470 + 2f458: e0bffd17 ldw r2,-12(fp) + 2f45c: 10c00324 muli r3,r2,12 + 2f460: 008000f4 movhi r2,3 + 2f464: 108cd604 addi r2,r2,13144 + 2f468: 1885883a add r2,r3,r2 + 2f46c: 00000106 br 2f474 + 2f470: 0005883a mov r2,zero + 2f474: e0bffc15 stw r2,-16(fp) if (fd) - 2fd9c: e0bffc17 ldw r2,-16(fp) - 2fda0: 10001026 beq r2,zero,2fde4 + 2f478: e0bffc17 ldw r2,-16(fp) + 2f47c: 10001026 beq r2,zero,2f4c0 /* * If the device driver provides an implementation of the lseek() function, * then call that to process the request. */ if (fd->dev->lseek) - 2fda4: e0bffc17 ldw r2,-16(fp) - 2fda8: 10800017 ldw r2,0(r2) - 2fdac: 10800717 ldw r2,28(r2) - 2fdb0: 10000926 beq r2,zero,2fdd8 + 2f480: e0bffc17 ldw r2,-16(fp) + 2f484: 10800017 ldw r2,0(r2) + 2f488: 10800717 ldw r2,28(r2) + 2f48c: 10000926 beq r2,zero,2f4b4 { rc = fd->dev->lseek(fd, ptr, dir); - 2fdb4: e0bffc17 ldw r2,-16(fp) - 2fdb8: 10800017 ldw r2,0(r2) - 2fdbc: 10800717 ldw r2,28(r2) - 2fdc0: e1bfff17 ldw r6,-4(fp) - 2fdc4: e17ffe17 ldw r5,-8(fp) - 2fdc8: e13ffc17 ldw r4,-16(fp) - 2fdcc: 103ee83a callr r2 - 2fdd0: e0bffb15 stw r2,-20(fp) - 2fdd4: 00000506 br 2fdec + 2f490: e0bffc17 ldw r2,-16(fp) + 2f494: 10800017 ldw r2,0(r2) + 2f498: 10800717 ldw r2,28(r2) + 2f49c: e1bfff17 ldw r6,-4(fp) + 2f4a0: e17ffe17 ldw r5,-8(fp) + 2f4a4: e13ffc17 ldw r4,-16(fp) + 2f4a8: 103ee83a callr r2 + 2f4ac: e0bffb15 stw r2,-20(fp) + 2f4b0: 00000506 br 2f4c8 * Otherwise return an error. */ else { rc = -ENOTSUP; - 2fdd8: 00bfde84 movi r2,-134 - 2fddc: e0bffb15 stw r2,-20(fp) - 2fde0: 00000206 br 2fdec + 2f4b4: 00bfde84 movi r2,-134 + 2f4b8: e0bffb15 stw r2,-20(fp) + 2f4bc: 00000206 br 2f4c8 } } else { rc = -EBADFD; - 2fde4: 00bfebc4 movi r2,-81 - 2fde8: e0bffb15 stw r2,-20(fp) + 2f4c0: 00bfebc4 movi r2,-81 + 2f4c4: e0bffb15 stw r2,-20(fp) } if (rc < 0) - 2fdec: e0bffb17 ldw r2,-20(fp) - 2fdf0: 1000070e bge r2,zero,2fe10 + 2f4c8: e0bffb17 ldw r2,-20(fp) + 2f4cc: 1000070e bge r2,zero,2f4ec { ALT_ERRNO = -rc; - 2fdf4: 002fd040 call 2fd04 - 2fdf8: 1007883a mov r3,r2 - 2fdfc: e0bffb17 ldw r2,-20(fp) - 2fe00: 0085c83a sub r2,zero,r2 - 2fe04: 18800015 stw r2,0(r3) + 2f4d0: 002f3e00 call 2f3e0 + 2f4d4: 1007883a mov r3,r2 + 2f4d8: e0bffb17 ldw r2,-20(fp) + 2f4dc: 0085c83a sub r2,zero,r2 + 2f4e0: 18800015 stw r2,0(r3) rc = -1; - 2fe08: 00bfffc4 movi r2,-1 - 2fe0c: e0bffb15 stw r2,-20(fp) + 2f4e4: 00bfffc4 movi r2,-1 + 2f4e8: e0bffb15 stw r2,-20(fp) } return rc; - 2fe10: e0bffb17 ldw r2,-20(fp) + 2f4ec: e0bffb17 ldw r2,-20(fp) } - 2fe14: e037883a mov sp,fp - 2fe18: dfc00117 ldw ra,4(sp) - 2fe1c: df000017 ldw fp,0(sp) - 2fe20: dec00204 addi sp,sp,8 - 2fe24: f800283a ret + 2f4f0: e037883a mov sp,fp + 2f4f4: dfc00117 ldw ra,4(sp) + 2f4f8: df000017 ldw fp,0(sp) + 2f4fc: dec00204 addi sp,sp,8 + 2f500: f800283a ret -0002fe28 : +0002f504 : * devices/filesystems/components in the system; and call the entry point for * the users application, i.e. main(). */ void alt_main (void) { - 2fe28: defffd04 addi sp,sp,-12 - 2fe2c: dfc00215 stw ra,8(sp) - 2fe30: df000115 stw fp,4(sp) - 2fe34: df000104 addi fp,sp,4 + 2f504: defffd04 addi sp,sp,-12 + 2f508: dfc00215 stw ra,8(sp) + 2f50c: df000115 stw fp,4(sp) + 2f510: df000104 addi fp,sp,4 #endif /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); /* Initialize the interrupt controller. */ alt_irq_init (NULL); - 2fe38: 0009883a mov r4,zero - 2fe3c: 00304a00 call 304a0 + 2f514: 0009883a mov r4,zero + 2f518: 002fb7c0 call 2fb7c /* Initialize the operating system */ ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); ALT_OS_INIT(); - 2fe40: 0001883a nop + 2f51c: 0001883a nop ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); ALT_SEM_CREATE (&alt_fd_list_lock, 1); /* Initialize the device drivers/software components. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); alt_sys_init(); - 2fe44: 00304d80 call 304d8 + 2f520: 002fbb40 call 2fbb4 * devices be present (not equal to /dev/null) and if direct drivers * aren't being used. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); - 2fe48: 018000f4 movhi r6,3 - 2fe4c: 318afe04 addi r6,r6,11256 - 2fe50: 014000f4 movhi r5,3 - 2fe54: 294afe04 addi r5,r5,11256 - 2fe58: 010000f4 movhi r4,3 - 2fe5c: 210afe04 addi r4,r4,11256 - 2fe60: 00319140 call 31914 + 2f524: 018000f4 movhi r6,3 + 2f528: 3188b104 addi r6,r6,8900 + 2f52c: 014000f4 movhi r5,3 + 2f530: 2948b104 addi r5,r5,8900 + 2f534: 010000f4 movhi r4,3 + 2f538: 2108b104 addi r4,r4,8900 + 2f53c: 0030ff00 call 30ff0 /* * Call the C++ constructors */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); _do_ctors (); - 2fe64: 003148c0 call 3148c <_do_ctors> + 2f540: 0030b680 call 30b68 <_do_ctors> * redefined as _exit()). This is in the interest of reducing code footprint, * in that the atexit() overhead is removed when it's not needed. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); atexit (_do_dtors); - 2fe68: 010000f4 movhi r4,3 - 2fe6c: 21053b04 addi r4,r4,5356 - 2fe70: 0031fac0 call 31fac + 2f544: 010000f4 movhi r4,3 + 2f548: 2102f204 addi r4,r4,3016 + 2f54c: 00316880 call 31688 ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); #ifdef ALT_NO_EXIT main (alt_argc, alt_argv, alt_envp); #else result = main (alt_argc, alt_argv, alt_envp); - 2fe74: d0a8c217 ldw r2,-23800(gp) - 2fe78: d0e8c317 ldw r3,-23796(gp) - 2fe7c: d128c417 ldw r4,-23792(gp) - 2fe80: 200d883a mov r6,r4 - 2fe84: 180b883a mov r5,r3 - 2fe88: 1009883a mov r4,r2 - 2fe8c: 00217440 call 21744
      - 2fe90: e0bfff15 stw r2,-4(fp) + 2f550: d0a8c217 ldw r2,-23800(gp) + 2f554: d0e8c317 ldw r3,-23796(gp) + 2f558: d128c417 ldw r4,-23792(gp) + 2f55c: 200d883a mov r6,r4 + 2f560: 180b883a mov r5,r3 + 2f564: 1009883a mov r4,r2 + 2f568: 00217440 call 21744
      + 2f56c: e0bfff15 stw r2,-4(fp) close(STDOUT_FILENO); - 2fe94: 01000044 movi r4,1 - 2fe98: 002f7b80 call 2f7b8 + 2f570: 01000044 movi r4,1 + 2f574: 002f0040 call 2f004 exit (result); - 2fe9c: e13fff17 ldw r4,-4(fp) - 2fea0: 0031fc00 call 31fc0 + 2f578: e13fff17 ldw r4,-4(fp) + 2f57c: 003169c0 call 3169c -0002fea4 <__malloc_lock>: +0002f580 <__malloc_lock>: * configuration is single threaded, so there is nothing to do here. Note that * this requires that malloc is never called by an interrupt service routine. */ void __malloc_lock ( struct _reent *_r ) { - 2fea4: defffe04 addi sp,sp,-8 - 2fea8: df000115 stw fp,4(sp) - 2feac: df000104 addi fp,sp,4 - 2feb0: e13fff15 stw r4,-4(fp) + 2f580: defffe04 addi sp,sp,-8 + 2f584: df000115 stw fp,4(sp) + 2f588: df000104 addi fp,sp,4 + 2f58c: e13fff15 stw r4,-4(fp) } - 2feb4: 0001883a nop - 2feb8: e037883a mov sp,fp - 2febc: df000017 ldw fp,0(sp) - 2fec0: dec00104 addi sp,sp,4 - 2fec4: f800283a ret + 2f590: 0001883a nop + 2f594: e037883a mov sp,fp + 2f598: df000017 ldw fp,0(sp) + 2f59c: dec00104 addi sp,sp,4 + 2f5a0: f800283a ret -0002fec8 <__malloc_unlock>: +0002f5a4 <__malloc_unlock>: /* * */ void __malloc_unlock ( struct _reent *_r ) { - 2fec8: defffe04 addi sp,sp,-8 - 2fecc: df000115 stw fp,4(sp) - 2fed0: df000104 addi fp,sp,4 - 2fed4: e13fff15 stw r4,-4(fp) + 2f5a4: defffe04 addi sp,sp,-8 + 2f5a8: df000115 stw fp,4(sp) + 2f5ac: df000104 addi fp,sp,4 + 2f5b0: e13fff15 stw r4,-4(fp) } - 2fed8: 0001883a nop - 2fedc: e037883a mov sp,fp - 2fee0: df000017 ldw fp,0(sp) - 2fee4: dec00104 addi sp,sp,4 - 2fee8: f800283a ret + 2f5b4: 0001883a nop + 2f5b8: e037883a mov sp,fp + 2f5bc: df000017 ldw fp,0(sp) + 2f5c0: dec00104 addi sp,sp,4 + 2f5c4: f800283a ret -0002feec : +0002f5c8 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2feec: defffe04 addi sp,sp,-8 - 2fef0: dfc00115 stw ra,4(sp) - 2fef4: df000015 stw fp,0(sp) - 2fef8: d839883a mov fp,sp + 2f5c8: defffe04 addi sp,sp,-8 + 2f5cc: dfc00115 stw ra,4(sp) + 2f5d0: df000015 stw fp,0(sp) + 2f5d4: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2fefc: 008000f4 movhi r2,3 - 2ff00: 1093a904 addi r2,r2,20132 - 2ff04: 10800017 ldw r2,0(r2) - 2ff08: 10000526 beq r2,zero,2ff20 - 2ff0c: 008000f4 movhi r2,3 - 2ff10: 1093a904 addi r2,r2,20132 - 2ff14: 10800017 ldw r2,0(r2) - 2ff18: 103ee83a callr r2 - 2ff1c: 00000206 br 2ff28 - 2ff20: 008000f4 movhi r2,3 - 2ff24: 109c5904 addi r2,r2,29028 + 2f5d8: 008000f4 movhi r2,3 + 2f5dc: 10915c04 addi r2,r2,17776 + 2f5e0: 10800017 ldw r2,0(r2) + 2f5e4: 10000526 beq r2,zero,2f5fc + 2f5e8: 008000f4 movhi r2,3 + 2f5ec: 10915c04 addi r2,r2,17776 + 2f5f0: 10800017 ldw r2,0(r2) + 2f5f4: 103ee83a callr r2 + 2f5f8: 00000206 br 2f604 + 2f5fc: 008000f4 movhi r2,3 + 2f600: 109a0c04 addi r2,r2,26672 } - 2ff28: e037883a mov sp,fp - 2ff2c: dfc00117 ldw ra,4(sp) - 2ff30: df000017 ldw fp,0(sp) - 2ff34: dec00204 addi sp,sp,8 - 2ff38: f800283a ret + 2f604: e037883a mov sp,fp + 2f608: dfc00117 ldw ra,4(sp) + 2f60c: df000017 ldw fp,0(sp) + 2f610: dec00204 addi sp,sp,8 + 2f614: f800283a ret -0002ff3c : +0002f618 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_READ (int file, void *ptr, size_t len) { - 2ff3c: defff904 addi sp,sp,-28 - 2ff40: dfc00615 stw ra,24(sp) - 2ff44: df000515 stw fp,20(sp) - 2ff48: df000504 addi fp,sp,20 - 2ff4c: e13ffd15 stw r4,-12(fp) - 2ff50: e17ffe15 stw r5,-8(fp) - 2ff54: e1bfff15 stw r6,-4(fp) + 2f618: defff904 addi sp,sp,-28 + 2f61c: dfc00615 stw ra,24(sp) + 2f620: df000515 stw fp,20(sp) + 2f624: df000504 addi fp,sp,20 + 2f628: e13ffd15 stw r4,-12(fp) + 2f62c: e17ffe15 stw r5,-8(fp) + 2f630: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2ff58: e0bffd17 ldw r2,-12(fp) - 2ff5c: 10000616 blt r2,zero,2ff78 - 2ff60: e0bffd17 ldw r2,-12(fp) - 2ff64: 10c00324 muli r3,r2,12 - 2ff68: 008000f4 movhi r2,3 - 2ff6c: 108f2304 addi r2,r2,15500 - 2ff70: 1885883a add r2,r3,r2 - 2ff74: 00000106 br 2ff7c - 2ff78: 0005883a mov r2,zero - 2ff7c: e0bffb15 stw r2,-20(fp) + 2f634: e0bffd17 ldw r2,-12(fp) + 2f638: 10000616 blt r2,zero,2f654 + 2f63c: e0bffd17 ldw r2,-12(fp) + 2f640: 10c00324 muli r3,r2,12 + 2f644: 008000f4 movhi r2,3 + 2f648: 108cd604 addi r2,r2,13144 + 2f64c: 1885883a add r2,r3,r2 + 2f650: 00000106 br 2f658 + 2f654: 0005883a mov r2,zero + 2f658: e0bffb15 stw r2,-20(fp) if (fd) - 2ff80: e0bffb17 ldw r2,-20(fp) - 2ff84: 10002226 beq r2,zero,30010 + 2f65c: e0bffb17 ldw r2,-20(fp) + 2f660: 10002226 beq r2,zero,2f6ec * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 2ff88: e0bffb17 ldw r2,-20(fp) - 2ff8c: 10800217 ldw r2,8(r2) - 2ff90: 108000cc andi r2,r2,3 - 2ff94: 10800060 cmpeqi r2,r2,1 - 2ff98: 1000181e bne r2,zero,2fffc + 2f664: e0bffb17 ldw r2,-20(fp) + 2f668: 10800217 ldw r2,8(r2) + 2f66c: 108000cc andi r2,r2,3 + 2f670: 10800060 cmpeqi r2,r2,1 + 2f674: 1000181e bne r2,zero,2f6d8 (fd->dev->read)) - 2ff9c: e0bffb17 ldw r2,-20(fp) - 2ffa0: 10800017 ldw r2,0(r2) - 2ffa4: 10800517 ldw r2,20(r2) + 2f678: e0bffb17 ldw r2,-20(fp) + 2f67c: 10800017 ldw r2,0(r2) + 2f680: 10800517 ldw r2,20(r2) * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 2ffa8: 10001426 beq r2,zero,2fffc + 2f684: 10001426 beq r2,zero,2f6d8 (fd->dev->read)) { if ((rval = fd->dev->read(fd, ptr, len)) < 0) - 2ffac: e0bffb17 ldw r2,-20(fp) - 2ffb0: 10800017 ldw r2,0(r2) - 2ffb4: 10800517 ldw r2,20(r2) - 2ffb8: e0ffff17 ldw r3,-4(fp) - 2ffbc: 180d883a mov r6,r3 - 2ffc0: e17ffe17 ldw r5,-8(fp) - 2ffc4: e13ffb17 ldw r4,-20(fp) - 2ffc8: 103ee83a callr r2 - 2ffcc: e0bffc15 stw r2,-16(fp) - 2ffd0: e0bffc17 ldw r2,-16(fp) - 2ffd4: 1000070e bge r2,zero,2fff4 + 2f688: e0bffb17 ldw r2,-20(fp) + 2f68c: 10800017 ldw r2,0(r2) + 2f690: 10800517 ldw r2,20(r2) + 2f694: e0ffff17 ldw r3,-4(fp) + 2f698: 180d883a mov r6,r3 + 2f69c: e17ffe17 ldw r5,-8(fp) + 2f6a0: e13ffb17 ldw r4,-20(fp) + 2f6a4: 103ee83a callr r2 + 2f6a8: e0bffc15 stw r2,-16(fp) + 2f6ac: e0bffc17 ldw r2,-16(fp) + 2f6b0: 1000070e bge r2,zero,2f6d0 { ALT_ERRNO = -rval; - 2ffd8: 002feec0 call 2feec - 2ffdc: 1007883a mov r3,r2 - 2ffe0: e0bffc17 ldw r2,-16(fp) - 2ffe4: 0085c83a sub r2,zero,r2 - 2ffe8: 18800015 stw r2,0(r3) + 2f6b4: 002f5c80 call 2f5c8 + 2f6b8: 1007883a mov r3,r2 + 2f6bc: e0bffc17 ldw r2,-16(fp) + 2f6c0: 0085c83a sub r2,zero,r2 + 2f6c4: 18800015 stw r2,0(r3) return -1; - 2ffec: 00bfffc4 movi r2,-1 - 2fff0: 00000c06 br 30024 + 2f6c8: 00bfffc4 movi r2,-1 + 2f6cc: 00000c06 br 2f700 } return rval; - 2fff4: e0bffc17 ldw r2,-16(fp) - 2fff8: 00000a06 br 30024 + 2f6d0: e0bffc17 ldw r2,-16(fp) + 2f6d4: 00000a06 br 2f700 } else { ALT_ERRNO = EACCES; - 2fffc: 002feec0 call 2feec - 30000: 1007883a mov r3,r2 - 30004: 00800344 movi r2,13 - 30008: 18800015 stw r2,0(r3) - 3000c: 00000406 br 30020 + 2f6d8: 002f5c80 call 2f5c8 + 2f6dc: 1007883a mov r3,r2 + 2f6e0: 00800344 movi r2,13 + 2f6e4: 18800015 stw r2,0(r3) + 2f6e8: 00000406 br 2f6fc } } else { ALT_ERRNO = EBADFD; - 30010: 002feec0 call 2feec - 30014: 1007883a mov r3,r2 - 30018: 00801444 movi r2,81 - 3001c: 18800015 stw r2,0(r3) + 2f6ec: 002f5c80 call 2f5c8 + 2f6f0: 1007883a mov r3,r2 + 2f6f4: 00801444 movi r2,81 + 2f6f8: 18800015 stw r2,0(r3) } return -1; - 30020: 00bfffc4 movi r2,-1 + 2f6fc: 00bfffc4 movi r2,-1 } - 30024: e037883a mov sp,fp - 30028: dfc00117 ldw ra,4(sp) - 3002c: df000017 ldw fp,0(sp) - 30030: dec00204 addi sp,sp,8 - 30034: f800283a ret + 2f700: e037883a mov sp,fp + 2f704: dfc00117 ldw ra,4(sp) + 2f708: df000017 ldw fp,0(sp) + 2f70c: dec00204 addi sp,sp,8 + 2f710: f800283a ret -00030038 : +0002f714 : * File descriptors correcponding to standard in, standard out and standard * error cannont be released backed to the pool. They are always reserved. */ void alt_release_fd (int fd) { - 30038: defffe04 addi sp,sp,-8 - 3003c: df000115 stw fp,4(sp) - 30040: df000104 addi fp,sp,4 - 30044: e13fff15 stw r4,-4(fp) + 2f714: defffe04 addi sp,sp,-8 + 2f718: df000115 stw fp,4(sp) + 2f71c: df000104 addi fp,sp,4 + 2f720: e13fff15 stw r4,-4(fp) if (fd > 2) - 30048: e0bfff17 ldw r2,-4(fp) - 3004c: 108000d0 cmplti r2,r2,3 - 30050: 10000d1e bne r2,zero,30088 + 2f724: e0bfff17 ldw r2,-4(fp) + 2f728: 108000d0 cmplti r2,r2,3 + 2f72c: 10000d1e bne r2,zero,2f764 { alt_fd_list[fd].fd_flags = 0; - 30054: 008000f4 movhi r2,3 - 30058: 108f2304 addi r2,r2,15500 - 3005c: e0ffff17 ldw r3,-4(fp) - 30060: 18c00324 muli r3,r3,12 - 30064: 10c5883a add r2,r2,r3 - 30068: 10800204 addi r2,r2,8 - 3006c: 10000015 stw zero,0(r2) + 2f730: 008000f4 movhi r2,3 + 2f734: 108cd604 addi r2,r2,13144 + 2f738: e0ffff17 ldw r3,-4(fp) + 2f73c: 18c00324 muli r3,r3,12 + 2f740: 10c5883a add r2,r2,r3 + 2f744: 10800204 addi r2,r2,8 + 2f748: 10000015 stw zero,0(r2) alt_fd_list[fd].dev = 0; - 30070: 008000f4 movhi r2,3 - 30074: 108f2304 addi r2,r2,15500 - 30078: e0ffff17 ldw r3,-4(fp) - 3007c: 18c00324 muli r3,r3,12 - 30080: 10c5883a add r2,r2,r3 - 30084: 10000015 stw zero,0(r2) + 2f74c: 008000f4 movhi r2,3 + 2f750: 108cd604 addi r2,r2,13144 + 2f754: e0ffff17 ldw r3,-4(fp) + 2f758: 18c00324 muli r3,r3,12 + 2f75c: 10c5883a add r2,r2,r3 + 2f760: 10000015 stw zero,0(r2) } } - 30088: 0001883a nop - 3008c: e037883a mov sp,fp - 30090: df000017 ldw fp,0(sp) - 30094: dec00104 addi sp,sp,4 - 30098: f800283a ret + 2f764: 0001883a nop + 2f768: e037883a mov sp,fp + 2f76c: df000017 ldw fp,0(sp) + 2f770: dec00104 addi sp,sp,4 + 2f774: f800283a ret -0003009c : +0002f778 : #endif caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); caddr_t ALT_SBRK (int incr) { - 3009c: defff904 addi sp,sp,-28 - 300a0: df000615 stw fp,24(sp) - 300a4: df000604 addi fp,sp,24 - 300a8: e13fff15 stw r4,-4(fp) + 2f778: defff904 addi sp,sp,-28 + 2f77c: df000615 stw fp,24(sp) + 2f780: df000604 addi fp,sp,24 + 2f784: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 300ac: 0005303a rdctl r2,status - 300b0: e0bffe15 stw r2,-8(fp) + 2f788: 0005303a rdctl r2,status + 2f78c: e0bffe15 stw r2,-8(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 300b4: e0fffe17 ldw r3,-8(fp) - 300b8: 00bfff84 movi r2,-2 - 300bc: 1884703a and r2,r3,r2 - 300c0: 1001703a wrctl status,r2 + 2f790: e0fffe17 ldw r3,-8(fp) + 2f794: 00bfff84 movi r2,-2 + 2f798: 1884703a and r2,r3,r2 + 2f79c: 1001703a wrctl status,r2 return context; - 300c4: e0bffe17 ldw r2,-8(fp) + 2f7a0: e0bffe17 ldw r2,-8(fp) alt_irq_context context; char *prev_heap_end; context = alt_irq_disable_all(); - 300c8: e0bffb15 stw r2,-20(fp) + 2f7a4: e0bffb15 stw r2,-20(fp) /* Always return data aligned on a word boundary */ heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); - 300cc: d0a00f17 ldw r2,-32708(gp) - 300d0: 10c000c4 addi r3,r2,3 - 300d4: 00bfff04 movi r2,-4 - 300d8: 1884703a and r2,r3,r2 - 300dc: d0a00f15 stw r2,-32708(gp) + 2f7a8: d0a00f17 ldw r2,-32708(gp) + 2f7ac: 10c000c4 addi r3,r2,3 + 2f7b0: 00bfff04 movi r2,-4 + 2f7b4: 1884703a and r2,r3,r2 + 2f7b8: d0a00f15 stw r2,-32708(gp) if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { alt_irq_enable_all(context); return (caddr_t)-1; } #else if ((heap_end + incr) > __alt_heap_limit) { - 300e0: d0e00f17 ldw r3,-32708(gp) - 300e4: e0bfff17 ldw r2,-4(fp) - 300e8: 1887883a add r3,r3,r2 - 300ec: 00800134 movhi r2,4 - 300f0: 10a1a804 addi r2,r2,-31072 - 300f4: 10c0062e bgeu r2,r3,30110 - 300f8: e0bffb17 ldw r2,-20(fp) - 300fc: e0bffa15 stw r2,-24(fp) + 2f7bc: d0e00f17 ldw r3,-32708(gp) + 2f7c0: e0bfff17 ldw r2,-4(fp) + 2f7c4: 1887883a add r3,r3,r2 + 2f7c8: 00800134 movhi r2,4 + 2f7cc: 10a1a804 addi r2,r2,-31072 + 2f7d0: 10c0062e bgeu r2,r3,2f7ec + 2f7d4: e0bffb17 ldw r2,-20(fp) + 2f7d8: e0bffa15 stw r2,-24(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 30100: e0bffa17 ldw r2,-24(fp) - 30104: 1001703a wrctl status,r2 + 2f7dc: e0bffa17 ldw r2,-24(fp) + 2f7e0: 1001703a wrctl status,r2 alt_irq_enable_all(context); return (caddr_t)-1; - 30108: 00bfffc4 movi r2,-1 - 3010c: 00000b06 br 3013c + 2f7e4: 00bfffc4 movi r2,-1 + 2f7e8: 00000b06 br 2f818 } #endif prev_heap_end = heap_end; - 30110: d0a00f17 ldw r2,-32708(gp) - 30114: e0bffd15 stw r2,-12(fp) + 2f7ec: d0a00f17 ldw r2,-32708(gp) + 2f7f0: e0bffd15 stw r2,-12(fp) heap_end += incr; - 30118: d0e00f17 ldw r3,-32708(gp) - 3011c: e0bfff17 ldw r2,-4(fp) - 30120: 1885883a add r2,r3,r2 - 30124: d0a00f15 stw r2,-32708(gp) - 30128: e0bffb17 ldw r2,-20(fp) - 3012c: e0bffc15 stw r2,-16(fp) - 30130: e0bffc17 ldw r2,-16(fp) - 30134: 1001703a wrctl status,r2 + 2f7f4: d0e00f17 ldw r3,-32708(gp) + 2f7f8: e0bfff17 ldw r2,-4(fp) + 2f7fc: 1885883a add r2,r3,r2 + 2f800: d0a00f15 stw r2,-32708(gp) + 2f804: e0bffb17 ldw r2,-20(fp) + 2f808: e0bffc15 stw r2,-16(fp) + 2f80c: e0bffc17 ldw r2,-16(fp) + 2f810: 1001703a wrctl status,r2 #endif alt_irq_enable_all(context); return (caddr_t) prev_heap_end; - 30138: e0bffd17 ldw r2,-12(fp) + 2f814: e0bffd17 ldw r2,-12(fp) } - 3013c: e037883a mov sp,fp - 30140: df000017 ldw fp,0(sp) - 30144: dec00104 addi sp,sp,4 - 30148: f800283a ret + 2f818: e037883a mov sp,fp + 2f81c: df000017 ldw fp,0(sp) + 2f820: dec00104 addi sp,sp,4 + 2f824: f800283a ret -0003014c : +0002f828 : * alarms. Alternatively an alarm can unregister itself by returning zero when * the alarm executes. */ void alt_alarm_stop (alt_alarm* alarm) { - 3014c: defffa04 addi sp,sp,-24 - 30150: df000515 stw fp,20(sp) - 30154: df000504 addi fp,sp,20 - 30158: e13fff15 stw r4,-4(fp) + 2f828: defffa04 addi sp,sp,-24 + 2f82c: df000515 stw fp,20(sp) + 2f830: df000504 addi fp,sp,20 + 2f834: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 3015c: 0005303a rdctl r2,status - 30160: e0bffc15 stw r2,-16(fp) + 2f838: 0005303a rdctl r2,status + 2f83c: e0bffc15 stw r2,-16(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30164: e0fffc17 ldw r3,-16(fp) - 30168: 00bfff84 movi r2,-2 - 3016c: 1884703a and r2,r3,r2 - 30170: 1001703a wrctl status,r2 + 2f840: e0fffc17 ldw r3,-16(fp) + 2f844: 00bfff84 movi r2,-2 + 2f848: 1884703a and r2,r3,r2 + 2f84c: 1001703a wrctl status,r2 return context; - 30174: e0bffc17 ldw r2,-16(fp) + 2f850: e0bffc17 ldw r2,-16(fp) alt_irq_context irq_context; irq_context = alt_irq_disable_all(); - 30178: e0bffb15 stw r2,-20(fp) + 2f854: e0bffb15 stw r2,-20(fp) alt_llist_remove (&alarm->llist); - 3017c: e0bfff17 ldw r2,-4(fp) - 30180: e0bffd15 stw r2,-12(fp) + 2f858: e0bfff17 ldw r2,-4(fp) + 2f85c: e0bffd15 stw r2,-12(fp) * input argument is the element to remove. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) { entry->next->previous = entry->previous; - 30184: e0bffd17 ldw r2,-12(fp) - 30188: 10800017 ldw r2,0(r2) - 3018c: e0fffd17 ldw r3,-12(fp) - 30190: 18c00117 ldw r3,4(r3) - 30194: 10c00115 stw r3,4(r2) + 2f860: e0bffd17 ldw r2,-12(fp) + 2f864: 10800017 ldw r2,0(r2) + 2f868: e0fffd17 ldw r3,-12(fp) + 2f86c: 18c00117 ldw r3,4(r3) + 2f870: 10c00115 stw r3,4(r2) entry->previous->next = entry->next; - 30198: e0bffd17 ldw r2,-12(fp) - 3019c: 10800117 ldw r2,4(r2) - 301a0: e0fffd17 ldw r3,-12(fp) - 301a4: 18c00017 ldw r3,0(r3) - 301a8: 10c00015 stw r3,0(r2) + 2f874: e0bffd17 ldw r2,-12(fp) + 2f878: 10800117 ldw r2,4(r2) + 2f87c: e0fffd17 ldw r3,-12(fp) + 2f880: 18c00017 ldw r3,0(r3) + 2f884: 10c00015 stw r3,0(r2) /* * Set the entry to point to itself, so that any further calls to * alt_llist_remove() are harmless. */ entry->previous = entry; - 301ac: e0bffd17 ldw r2,-12(fp) - 301b0: e0fffd17 ldw r3,-12(fp) - 301b4: 10c00115 stw r3,4(r2) + 2f888: e0bffd17 ldw r2,-12(fp) + 2f88c: e0fffd17 ldw r3,-12(fp) + 2f890: 10c00115 stw r3,4(r2) entry->next = entry; - 301b8: e0bffd17 ldw r2,-12(fp) - 301bc: e0fffd17 ldw r3,-12(fp) - 301c0: 10c00015 stw r3,0(r2) - 301c4: e0bffb17 ldw r2,-20(fp) - 301c8: e0bffe15 stw r2,-8(fp) + 2f894: e0bffd17 ldw r2,-12(fp) + 2f898: e0fffd17 ldw r3,-12(fp) + 2f89c: 10c00015 stw r3,0(r2) + 2f8a0: e0bffb17 ldw r2,-20(fp) + 2f8a4: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 301cc: e0bffe17 ldw r2,-8(fp) - 301d0: 1001703a wrctl status,r2 + 2f8a8: e0bffe17 ldw r2,-8(fp) + 2f8ac: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); } - 301d4: 0001883a nop - 301d8: e037883a mov sp,fp - 301dc: df000017 ldw fp,0(sp) - 301e0: dec00104 addi sp,sp,4 - 301e4: f800283a ret + 2f8b0: 0001883a nop + 2f8b4: e037883a mov sp,fp + 2f8b8: df000017 ldw fp,0(sp) + 2f8bc: dec00104 addi sp,sp,4 + 2f8c0: f800283a ret -000301e8 : +0002f8c4 : * * alt_tick() is expected to run at interrupt level. */ void alt_tick (void) { - 301e8: defffb04 addi sp,sp,-20 - 301ec: dfc00415 stw ra,16(sp) - 301f0: df000315 stw fp,12(sp) - 301f4: df000304 addi fp,sp,12 + 2f8c4: defffb04 addi sp,sp,-20 + 2f8c8: dfc00415 stw ra,16(sp) + 2f8cc: df000315 stw fp,12(sp) + 2f8d0: df000304 addi fp,sp,12 alt_alarm* next; alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; - 301f8: d0a01017 ldw r2,-32704(gp) - 301fc: e0bffd15 stw r2,-12(fp) + 2f8d4: d0a01017 ldw r2,-32704(gp) + 2f8d8: e0bffd15 stw r2,-12(fp) alt_u32 next_callback; /* update the tick counter */ _alt_nticks++; - 30200: d0a8c617 ldw r2,-23784(gp) - 30204: 10800044 addi r2,r2,1 - 30208: d0a8c615 stw r2,-23784(gp) + 2f8dc: d0a8c617 ldw r2,-23784(gp) + 2f8e0: 10800044 addi r2,r2,1 + 2f8e4: d0a8c615 stw r2,-23784(gp) /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 3020c: 00002e06 br 302c8 + 2f8e8: 00002e06 br 2f9a4 { next = (alt_alarm*) alarm->llist.next; - 30210: e0bffd17 ldw r2,-12(fp) - 30214: 10800017 ldw r2,0(r2) - 30218: e0bffe15 stw r2,-8(fp) + 2f8ec: e0bffd17 ldw r2,-12(fp) + 2f8f0: 10800017 ldw r2,0(r2) + 2f8f4: e0bffe15 stw r2,-8(fp) /* * Upon the tick-counter rolling over it is safe to clear the * roll-over flag; once the flag is cleared this (or subsequnt) * tick events are enabled to generate an alarm event. */ if ((alarm->rollover) && (_alt_nticks == 0)) - 3021c: e0bffd17 ldw r2,-12(fp) - 30220: 10800403 ldbu r2,16(r2) - 30224: 10803fcc andi r2,r2,255 - 30228: 10000426 beq r2,zero,3023c - 3022c: d0a8c617 ldw r2,-23784(gp) - 30230: 1000021e bne r2,zero,3023c + 2f8f8: e0bffd17 ldw r2,-12(fp) + 2f8fc: 10800403 ldbu r2,16(r2) + 2f900: 10803fcc andi r2,r2,255 + 2f904: 10000426 beq r2,zero,2f918 + 2f908: d0a8c617 ldw r2,-23784(gp) + 2f90c: 1000021e bne r2,zero,2f918 { alarm->rollover = 0; - 30234: e0bffd17 ldw r2,-12(fp) - 30238: 10000405 stb zero,16(r2) + 2f910: e0bffd17 ldw r2,-12(fp) + 2f914: 10000405 stb zero,16(r2) } /* if the alarm period has expired, make the callback */ if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) - 3023c: e0bffd17 ldw r2,-12(fp) - 30240: 10800217 ldw r2,8(r2) - 30244: d0e8c617 ldw r3,-23784(gp) - 30248: 18801d36 bltu r3,r2,302c0 - 3024c: e0bffd17 ldw r2,-12(fp) - 30250: 10800403 ldbu r2,16(r2) - 30254: 10803fcc andi r2,r2,255 - 30258: 1000191e bne r2,zero,302c0 + 2f918: e0bffd17 ldw r2,-12(fp) + 2f91c: 10800217 ldw r2,8(r2) + 2f920: d0e8c617 ldw r3,-23784(gp) + 2f924: 18801d36 bltu r3,r2,2f99c + 2f928: e0bffd17 ldw r2,-12(fp) + 2f92c: 10800403 ldbu r2,16(r2) + 2f930: 10803fcc andi r2,r2,255 + 2f934: 1000191e bne r2,zero,2f99c { next_callback = alarm->callback (alarm->context); - 3025c: e0bffd17 ldw r2,-12(fp) - 30260: 10800317 ldw r2,12(r2) - 30264: e0fffd17 ldw r3,-12(fp) - 30268: 18c00517 ldw r3,20(r3) - 3026c: 1809883a mov r4,r3 - 30270: 103ee83a callr r2 - 30274: e0bfff15 stw r2,-4(fp) + 2f938: e0bffd17 ldw r2,-12(fp) + 2f93c: 10800317 ldw r2,12(r2) + 2f940: e0fffd17 ldw r3,-12(fp) + 2f944: 18c00517 ldw r3,20(r3) + 2f948: 1809883a mov r4,r3 + 2f94c: 103ee83a callr r2 + 2f950: e0bfff15 stw r2,-4(fp) /* deactivate the alarm if the return value is zero */ if (next_callback == 0) - 30278: e0bfff17 ldw r2,-4(fp) - 3027c: 1000031e bne r2,zero,3028c + 2f954: e0bfff17 ldw r2,-4(fp) + 2f958: 1000031e bne r2,zero,2f968 { alt_alarm_stop (alarm); - 30280: e13ffd17 ldw r4,-12(fp) - 30284: 003014c0 call 3014c - 30288: 00000d06 br 302c0 + 2f95c: e13ffd17 ldw r4,-12(fp) + 2f960: 002f8280 call 2f828 + 2f964: 00000d06 br 2f99c } else { alarm->time += next_callback; - 3028c: e0bffd17 ldw r2,-12(fp) - 30290: 10c00217 ldw r3,8(r2) - 30294: e0bfff17 ldw r2,-4(fp) - 30298: 1887883a add r3,r3,r2 - 3029c: e0bffd17 ldw r2,-12(fp) - 302a0: 10c00215 stw r3,8(r2) + 2f968: e0bffd17 ldw r2,-12(fp) + 2f96c: 10c00217 ldw r3,8(r2) + 2f970: e0bfff17 ldw r2,-4(fp) + 2f974: 1887883a add r3,r3,r2 + 2f978: e0bffd17 ldw r2,-12(fp) + 2f97c: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < _alt_nticks) - 302a4: e0bffd17 ldw r2,-12(fp) - 302a8: 10c00217 ldw r3,8(r2) - 302ac: d0a8c617 ldw r2,-23784(gp) - 302b0: 1880032e bgeu r3,r2,302c0 + 2f980: e0bffd17 ldw r2,-12(fp) + 2f984: 10c00217 ldw r3,8(r2) + 2f988: d0a8c617 ldw r2,-23784(gp) + 2f98c: 1880032e bgeu r3,r2,2f99c { alarm->rollover = 1; - 302b4: e0bffd17 ldw r2,-12(fp) - 302b8: 00c00044 movi r3,1 - 302bc: 10c00405 stb r3,16(r2) + 2f990: e0bffd17 ldw r2,-12(fp) + 2f994: 00c00044 movi r3,1 + 2f998: 10c00405 stb r3,16(r2) } } } alarm = next; - 302c0: e0bffe17 ldw r2,-8(fp) - 302c4: e0bffd15 stw r2,-12(fp) + 2f99c: e0bffe17 ldw r2,-8(fp) + 2f9a0: e0bffd15 stw r2,-12(fp) _alt_nticks++; /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 302c8: e0fffd17 ldw r3,-12(fp) - 302cc: d0a01004 addi r2,gp,-32704 - 302d0: 18bfcf1e bne r3,r2,30210 + 2f9a4: e0fffd17 ldw r3,-12(fp) + 2f9a8: d0a01004 addi r2,gp,-32704 + 2f9ac: 18bfcf1e bne r3,r2,2f8ec <_gp+0xffff33b4> /* * Update the operating system specific timer facilities. */ ALT_OS_TIME_TICK(); - 302d4: 0001883a nop + 2f9b0: 0001883a nop } - 302d8: 0001883a nop - 302dc: e037883a mov sp,fp - 302e0: dfc00117 ldw ra,4(sp) - 302e4: df000017 ldw fp,0(sp) - 302e8: dec00204 addi sp,sp,8 - 302ec: f800283a ret + 2f9b4: 0001883a nop + 2f9b8: e037883a mov sp,fp + 2f9bc: dfc00117 ldw ra,4(sp) + 2f9c0: df000017 ldw fp,0(sp) + 2f9c4: dec00204 addi sp,sp,8 + 2f9c8: f800283a ret -000302f0 : +0002f9cc : #if defined (__GNUC__) && __GNUC__ >= 4 int ALT_USLEEP (useconds_t us) #else unsigned int ALT_USLEEP (unsigned int us) #endif { - 302f0: defffd04 addi sp,sp,-12 - 302f4: dfc00215 stw ra,8(sp) - 302f8: df000115 stw fp,4(sp) - 302fc: df000104 addi fp,sp,4 - 30300: e13fff15 stw r4,-4(fp) + 2f9cc: defffd04 addi sp,sp,-12 + 2f9d0: dfc00215 stw ra,8(sp) + 2f9d4: df000115 stw fp,4(sp) + 2f9d8: df000104 addi fp,sp,4 + 2f9dc: e13fff15 stw r4,-4(fp) return alt_busy_sleep(us); - 30304: e13fff17 ldw r4,-4(fp) - 30308: 00311f80 call 311f8 + 2f9e0: e13fff17 ldw r4,-4(fp) + 2f9e4: 00308d40 call 308d4 } - 3030c: e037883a mov sp,fp - 30310: dfc00117 ldw ra,4(sp) - 30314: df000017 ldw fp,0(sp) - 30318: dec00204 addi sp,sp,8 - 3031c: f800283a ret + 2f9e8: e037883a mov sp,fp + 2f9ec: dfc00117 ldw ra,4(sp) + 2f9f0: df000017 ldw fp,0(sp) + 2f9f4: dec00204 addi sp,sp,8 + 2f9f8: f800283a ret -00030320 : +0002f9fc : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 30320: defffe04 addi sp,sp,-8 - 30324: dfc00115 stw ra,4(sp) - 30328: df000015 stw fp,0(sp) - 3032c: d839883a mov fp,sp + 2f9fc: defffe04 addi sp,sp,-8 + 2fa00: dfc00115 stw ra,4(sp) + 2fa04: df000015 stw fp,0(sp) + 2fa08: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 30330: 008000f4 movhi r2,3 - 30334: 1093a904 addi r2,r2,20132 - 30338: 10800017 ldw r2,0(r2) - 3033c: 10000526 beq r2,zero,30354 - 30340: 008000f4 movhi r2,3 - 30344: 1093a904 addi r2,r2,20132 - 30348: 10800017 ldw r2,0(r2) - 3034c: 103ee83a callr r2 - 30350: 00000206 br 3035c - 30354: 008000f4 movhi r2,3 - 30358: 109c5904 addi r2,r2,29028 + 2fa0c: 008000f4 movhi r2,3 + 2fa10: 10915c04 addi r2,r2,17776 + 2fa14: 10800017 ldw r2,0(r2) + 2fa18: 10000526 beq r2,zero,2fa30 + 2fa1c: 008000f4 movhi r2,3 + 2fa20: 10915c04 addi r2,r2,17776 + 2fa24: 10800017 ldw r2,0(r2) + 2fa28: 103ee83a callr r2 + 2fa2c: 00000206 br 2fa38 + 2fa30: 008000f4 movhi r2,3 + 2fa34: 109a0c04 addi r2,r2,26672 } - 3035c: e037883a mov sp,fp - 30360: dfc00117 ldw ra,4(sp) - 30364: df000017 ldw fp,0(sp) - 30368: dec00204 addi sp,sp,8 - 3036c: f800283a ret + 2fa38: e037883a mov sp,fp + 2fa3c: dfc00117 ldw ra,4(sp) + 2fa40: df000017 ldw fp,0(sp) + 2fa44: dec00204 addi sp,sp,8 + 2fa48: f800283a ret -00030370 : +0002fa4c : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_WRITE (int file, const void *ptr, size_t len) { - 30370: defff904 addi sp,sp,-28 - 30374: dfc00615 stw ra,24(sp) - 30378: df000515 stw fp,20(sp) - 3037c: df000504 addi fp,sp,20 - 30380: e13ffd15 stw r4,-12(fp) - 30384: e17ffe15 stw r5,-8(fp) - 30388: e1bfff15 stw r6,-4(fp) + 2fa4c: defff904 addi sp,sp,-28 + 2fa50: dfc00615 stw ra,24(sp) + 2fa54: df000515 stw fp,20(sp) + 2fa58: df000504 addi fp,sp,20 + 2fa5c: e13ffd15 stw r4,-12(fp) + 2fa60: e17ffe15 stw r5,-8(fp) + 2fa64: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 3038c: e0bffd17 ldw r2,-12(fp) - 30390: 10000616 blt r2,zero,303ac - 30394: e0bffd17 ldw r2,-12(fp) - 30398: 10c00324 muli r3,r2,12 - 3039c: 008000f4 movhi r2,3 - 303a0: 108f2304 addi r2,r2,15500 - 303a4: 1885883a add r2,r3,r2 - 303a8: 00000106 br 303b0 - 303ac: 0005883a mov r2,zero - 303b0: e0bffb15 stw r2,-20(fp) + 2fa68: e0bffd17 ldw r2,-12(fp) + 2fa6c: 10000616 blt r2,zero,2fa88 + 2fa70: e0bffd17 ldw r2,-12(fp) + 2fa74: 10c00324 muli r3,r2,12 + 2fa78: 008000f4 movhi r2,3 + 2fa7c: 108cd604 addi r2,r2,13144 + 2fa80: 1885883a add r2,r3,r2 + 2fa84: 00000106 br 2fa8c + 2fa88: 0005883a mov r2,zero + 2fa8c: e0bffb15 stw r2,-20(fp) if (fd) - 303b4: e0bffb17 ldw r2,-20(fp) - 303b8: 10002126 beq r2,zero,30440 + 2fa90: e0bffb17 ldw r2,-20(fp) + 2fa94: 10002126 beq r2,zero,2fb1c * If the file has not been opened with write access, or if the driver does * not provide an implementation of write(), generate an error. Otherwise * call the drivers write() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) - 303bc: e0bffb17 ldw r2,-20(fp) - 303c0: 10800217 ldw r2,8(r2) - 303c4: 108000cc andi r2,r2,3 - 303c8: 10001826 beq r2,zero,3042c - 303cc: e0bffb17 ldw r2,-20(fp) - 303d0: 10800017 ldw r2,0(r2) - 303d4: 10800617 ldw r2,24(r2) - 303d8: 10001426 beq r2,zero,3042c + 2fa98: e0bffb17 ldw r2,-20(fp) + 2fa9c: 10800217 ldw r2,8(r2) + 2faa0: 108000cc andi r2,r2,3 + 2faa4: 10001826 beq r2,zero,2fb08 + 2faa8: e0bffb17 ldw r2,-20(fp) + 2faac: 10800017 ldw r2,0(r2) + 2fab0: 10800617 ldw r2,24(r2) + 2fab4: 10001426 beq r2,zero,2fb08 { /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_WRITE_FUNCTION(ptr,len); if ((rval = fd->dev->write(fd, ptr, len)) < 0) - 303dc: e0bffb17 ldw r2,-20(fp) - 303e0: 10800017 ldw r2,0(r2) - 303e4: 10800617 ldw r2,24(r2) - 303e8: e0ffff17 ldw r3,-4(fp) - 303ec: 180d883a mov r6,r3 - 303f0: e17ffe17 ldw r5,-8(fp) - 303f4: e13ffb17 ldw r4,-20(fp) - 303f8: 103ee83a callr r2 - 303fc: e0bffc15 stw r2,-16(fp) - 30400: e0bffc17 ldw r2,-16(fp) - 30404: 1000070e bge r2,zero,30424 + 2fab8: e0bffb17 ldw r2,-20(fp) + 2fabc: 10800017 ldw r2,0(r2) + 2fac0: 10800617 ldw r2,24(r2) + 2fac4: e0ffff17 ldw r3,-4(fp) + 2fac8: 180d883a mov r6,r3 + 2facc: e17ffe17 ldw r5,-8(fp) + 2fad0: e13ffb17 ldw r4,-20(fp) + 2fad4: 103ee83a callr r2 + 2fad8: e0bffc15 stw r2,-16(fp) + 2fadc: e0bffc17 ldw r2,-16(fp) + 2fae0: 1000070e bge r2,zero,2fb00 { ALT_ERRNO = -rval; - 30408: 00303200 call 30320 - 3040c: 1007883a mov r3,r2 - 30410: e0bffc17 ldw r2,-16(fp) - 30414: 0085c83a sub r2,zero,r2 - 30418: 18800015 stw r2,0(r3) + 2fae4: 002f9fc0 call 2f9fc + 2fae8: 1007883a mov r3,r2 + 2faec: e0bffc17 ldw r2,-16(fp) + 2faf0: 0085c83a sub r2,zero,r2 + 2faf4: 18800015 stw r2,0(r3) return -1; - 3041c: 00bfffc4 movi r2,-1 - 30420: 00000c06 br 30454 + 2faf8: 00bfffc4 movi r2,-1 + 2fafc: 00000c06 br 2fb30 } return rval; - 30424: e0bffc17 ldw r2,-16(fp) - 30428: 00000a06 br 30454 + 2fb00: e0bffc17 ldw r2,-16(fp) + 2fb04: 00000a06 br 2fb30 } else { ALT_ERRNO = EACCES; - 3042c: 00303200 call 30320 - 30430: 1007883a mov r3,r2 - 30434: 00800344 movi r2,13 - 30438: 18800015 stw r2,0(r3) - 3043c: 00000406 br 30450 + 2fb08: 002f9fc0 call 2f9fc + 2fb0c: 1007883a mov r3,r2 + 2fb10: 00800344 movi r2,13 + 2fb14: 18800015 stw r2,0(r3) + 2fb18: 00000406 br 2fb2c } } else { ALT_ERRNO = EBADFD; - 30440: 00303200 call 30320 - 30444: 1007883a mov r3,r2 - 30448: 00801444 movi r2,81 - 3044c: 18800015 stw r2,0(r3) + 2fb1c: 002f9fc0 call 2f9fc + 2fb20: 1007883a mov r3,r2 + 2fb24: 00801444 movi r2,81 + 2fb28: 18800015 stw r2,0(r3) } return -1; - 30450: 00bfffc4 movi r2,-1 + 2fb2c: 00bfffc4 movi r2,-1 } - 30454: e037883a mov sp,fp - 30458: dfc00117 ldw ra,4(sp) - 3045c: df000017 ldw fp,0(sp) - 30460: dec00204 addi sp,sp,8 - 30464: f800283a ret + 2fb30: e037883a mov sp,fp + 2fb34: dfc00117 ldw ra,4(sp) + 2fb38: df000017 ldw fp,0(sp) + 2fb3c: dec00204 addi sp,sp,8 + 2fb40: f800283a ret -00030468 : +0002fb44 : */ extern int alt_fs_reg (alt_dev* dev); static ALT_INLINE int alt_dev_reg (alt_dev* dev) { - 30468: defffd04 addi sp,sp,-12 - 3046c: dfc00215 stw ra,8(sp) - 30470: df000115 stw fp,4(sp) - 30474: df000104 addi fp,sp,4 - 30478: e13fff15 stw r4,-4(fp) + 2fb44: defffd04 addi sp,sp,-12 + 2fb48: dfc00215 stw ra,8(sp) + 2fb4c: df000115 stw fp,4(sp) + 2fb50: df000104 addi fp,sp,4 + 2fb54: e13fff15 stw r4,-4(fp) extern alt_llist alt_dev_list; return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); - 3047c: 014000f4 movhi r5,3 - 30480: 2953a604 addi r5,r5,20120 - 30484: e13fff17 ldw r4,-4(fp) - 30488: 00313e80 call 313e8 + 2fb58: 014000f4 movhi r5,3 + 2fb5c: 29515904 addi r5,r5,17764 + 2fb60: e13fff17 ldw r4,-4(fp) + 2fb64: 0030ac40 call 30ac4 } - 3048c: e037883a mov sp,fp - 30490: dfc00117 ldw ra,4(sp) - 30494: df000017 ldw fp,0(sp) - 30498: dec00204 addi sp,sp,8 - 3049c: f800283a ret + 2fb68: e037883a mov sp,fp + 2fb6c: dfc00117 ldw ra,4(sp) + 2fb70: df000017 ldw fp,0(sp) + 2fb74: dec00204 addi sp,sp,8 + 2fb78: f800283a ret -000304a0 : +0002fb7c : * The "base" parameter is ignored and only * present for backwards-compatibility. */ void alt_irq_init ( const void* base ) { - 304a0: defffd04 addi sp,sp,-12 - 304a4: dfc00215 stw ra,8(sp) - 304a8: df000115 stw fp,4(sp) - 304ac: df000104 addi fp,sp,4 - 304b0: e13fff15 stw r4,-4(fp) + 2fb7c: defffd04 addi sp,sp,-12 + 2fb80: dfc00215 stw ra,8(sp) + 2fb84: df000115 stw fp,4(sp) + 2fb88: df000104 addi fp,sp,4 + 2fb8c: e13fff15 stw r4,-4(fp) ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_GEN2, nios2_gen2); - 304b4: 0031c040 call 31c04 + 2fb90: 00312e00 call 312e0 * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_irq_cpu_enable_interrupts (void) { NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK - 304b8: 00800044 movi r2,1 - 304bc: 1001703a wrctl status,r2 + 2fb94: 00800044 movi r2,1 + 2fb98: 1001703a wrctl status,r2 alt_irq_cpu_enable_interrupts(); } - 304c0: 0001883a nop - 304c4: e037883a mov sp,fp - 304c8: dfc00117 ldw ra,4(sp) - 304cc: df000017 ldw fp,0(sp) - 304d0: dec00204 addi sp,sp,8 - 304d4: f800283a ret + 2fb9c: 0001883a nop + 2fba0: e037883a mov sp,fp + 2fba4: dfc00117 ldw ra,4(sp) + 2fba8: df000017 ldw fp,0(sp) + 2fbac: dec00204 addi sp,sp,8 + 2fbb0: f800283a ret -000304d8 : +0002fbb4 : * Initialize the non-interrupt controller devices. * Called after alt_irq_init(). */ void alt_sys_init( void ) { - 304d8: defffe04 addi sp,sp,-8 - 304dc: dfc00115 stw ra,4(sp) - 304e0: df000015 stw fp,0(sp) - 304e4: d839883a mov fp,sp + 2fbb4: defffe04 addi sp,sp,-8 + 2fbb8: dfc00115 stw ra,4(sp) + 2fbbc: df000015 stw fp,0(sp) + 2fbc0: d839883a mov fp,sp ALTERA_AVALON_TIMER_INIT ( TIMER, timer); - 304e8: 01c0fa04 movi r7,1000 - 304ec: 018000c4 movi r6,3 - 304f0: 000b883a mov r5,zero - 304f4: 01000134 movhi r4,4 - 304f8: 21040004 addi r4,r4,4096 - 304fc: 003102c0 call 3102c + 2fbc4: 01c0fa04 movi r7,1000 + 2fbc8: 018000c4 movi r6,3 + 2fbcc: 000b883a mov r5,zero + 2fbd0: 01000134 movhi r4,4 + 2fbd4: 21040004 addi r4,r4,4096 + 2fbd8: 00307080 call 30708 ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); - 30500: 01800084 movi r6,2 - 30504: 000b883a mov r5,zero - 30508: 010000f4 movhi r4,3 - 3050c: 210f8d04 addi r4,r4,15924 - 30510: 00306a00 call 306a0 - 30514: 010000f4 movhi r4,3 - 30518: 210f8304 addi r4,r4,15884 - 3051c: 00304680 call 30468 + 2fbdc: 01800084 movi r6,2 + 2fbe0: 000b883a mov r5,zero + 2fbe4: 010000f4 movhi r4,3 + 2fbe8: 210d4004 addi r4,r4,13568 + 2fbec: 002fd7c0 call 2fd7c + 2fbf0: 010000f4 movhi r4,3 + 2fbf4: 210d3604 addi r4,r4,13528 + 2fbf8: 002fb440 call 2fb44 ALTERA_AVALON_SYSID_QSYS_INIT ( SYSID_QSYS, sysid_qsys); - 30520: 0001883a nop + 2fbfc: 0001883a nop } - 30524: 0001883a nop - 30528: e037883a mov sp,fp - 3052c: dfc00117 ldw ra,4(sp) - 30530: df000017 ldw fp,0(sp) - 30534: dec00204 addi sp,sp,8 - 30538: f800283a ret + 2fc00: 0001883a nop + 2fc04: e037883a mov sp,fp + 2fc08: dfc00117 ldw ra,4(sp) + 2fc0c: df000017 ldw fp,0(sp) + 2fc10: dec00204 addi sp,sp,8 + 2fc14: f800283a ret -0003053c : +0002fc18 : * */ int altera_avalon_jtag_uart_read_fd(alt_fd* fd, char* buffer, int space) { - 3053c: defffa04 addi sp,sp,-24 - 30540: dfc00515 stw ra,20(sp) - 30544: df000415 stw fp,16(sp) - 30548: df000404 addi fp,sp,16 - 3054c: e13ffd15 stw r4,-12(fp) - 30550: e17ffe15 stw r5,-8(fp) - 30554: e1bfff15 stw r6,-4(fp) + 2fc18: defffa04 addi sp,sp,-24 + 2fc1c: dfc00515 stw ra,20(sp) + 2fc20: df000415 stw fp,16(sp) + 2fc24: df000404 addi fp,sp,16 + 2fc28: e13ffd15 stw r4,-12(fp) + 2fc2c: e17ffe15 stw r5,-8(fp) + 2fc30: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 30558: e0bffd17 ldw r2,-12(fp) - 3055c: 10800017 ldw r2,0(r2) - 30560: e0bffc15 stw r2,-16(fp) + 2fc34: e0bffd17 ldw r2,-12(fp) + 2fc38: 10800017 ldw r2,0(r2) + 2fc3c: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_read(&dev->state, buffer, space, - 30564: e0bffc17 ldw r2,-16(fp) - 30568: 10c00a04 addi r3,r2,40 - 3056c: e0bffd17 ldw r2,-12(fp) - 30570: 10800217 ldw r2,8(r2) - 30574: 100f883a mov r7,r2 - 30578: e1bfff17 ldw r6,-4(fp) - 3057c: e17ffe17 ldw r5,-8(fp) - 30580: 1809883a mov r4,r3 - 30584: 0030b740 call 30b74 + 2fc40: e0bffc17 ldw r2,-16(fp) + 2fc44: 10c00a04 addi r3,r2,40 + 2fc48: e0bffd17 ldw r2,-12(fp) + 2fc4c: 10800217 ldw r2,8(r2) + 2fc50: 100f883a mov r7,r2 + 2fc54: e1bfff17 ldw r6,-4(fp) + 2fc58: e17ffe17 ldw r5,-8(fp) + 2fc5c: 1809883a mov r4,r3 + 2fc60: 00302500 call 30250 fd->fd_flags); } - 30588: e037883a mov sp,fp - 3058c: dfc00117 ldw ra,4(sp) - 30590: df000017 ldw fp,0(sp) - 30594: dec00204 addi sp,sp,8 - 30598: f800283a ret + 2fc64: e037883a mov sp,fp + 2fc68: dfc00117 ldw ra,4(sp) + 2fc6c: df000017 ldw fp,0(sp) + 2fc70: dec00204 addi sp,sp,8 + 2fc74: f800283a ret -0003059c : +0002fc78 : int altera_avalon_jtag_uart_write_fd(alt_fd* fd, const char* buffer, int space) { - 3059c: defffa04 addi sp,sp,-24 - 305a0: dfc00515 stw ra,20(sp) - 305a4: df000415 stw fp,16(sp) - 305a8: df000404 addi fp,sp,16 - 305ac: e13ffd15 stw r4,-12(fp) - 305b0: e17ffe15 stw r5,-8(fp) - 305b4: e1bfff15 stw r6,-4(fp) + 2fc78: defffa04 addi sp,sp,-24 + 2fc7c: dfc00515 stw ra,20(sp) + 2fc80: df000415 stw fp,16(sp) + 2fc84: df000404 addi fp,sp,16 + 2fc88: e13ffd15 stw r4,-12(fp) + 2fc8c: e17ffe15 stw r5,-8(fp) + 2fc90: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 305b8: e0bffd17 ldw r2,-12(fp) - 305bc: 10800017 ldw r2,0(r2) - 305c0: e0bffc15 stw r2,-16(fp) + 2fc94: e0bffd17 ldw r2,-12(fp) + 2fc98: 10800017 ldw r2,0(r2) + 2fc9c: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_write(&dev->state, buffer, space, - 305c4: e0bffc17 ldw r2,-16(fp) - 305c8: 10c00a04 addi r3,r2,40 - 305cc: e0bffd17 ldw r2,-12(fp) - 305d0: 10800217 ldw r2,8(r2) - 305d4: 100f883a mov r7,r2 - 305d8: e1bfff17 ldw r6,-4(fp) - 305dc: e17ffe17 ldw r5,-8(fp) - 305e0: 1809883a mov r4,r3 - 305e4: 0030d900 call 30d90 + 2fca0: e0bffc17 ldw r2,-16(fp) + 2fca4: 10c00a04 addi r3,r2,40 + 2fca8: e0bffd17 ldw r2,-12(fp) + 2fcac: 10800217 ldw r2,8(r2) + 2fcb0: 100f883a mov r7,r2 + 2fcb4: e1bfff17 ldw r6,-4(fp) + 2fcb8: e17ffe17 ldw r5,-8(fp) + 2fcbc: 1809883a mov r4,r3 + 2fcc0: 003046c0 call 3046c fd->fd_flags); } - 305e8: e037883a mov sp,fp - 305ec: dfc00117 ldw ra,4(sp) - 305f0: df000017 ldw fp,0(sp) - 305f4: dec00204 addi sp,sp,8 - 305f8: f800283a ret + 2fcc4: e037883a mov sp,fp + 2fcc8: dfc00117 ldw ra,4(sp) + 2fccc: df000017 ldw fp,0(sp) + 2fcd0: dec00204 addi sp,sp,8 + 2fcd4: f800283a ret -000305fc : +0002fcd8 : #ifndef ALTERA_AVALON_JTAG_UART_SMALL int altera_avalon_jtag_uart_close_fd(alt_fd* fd) { - 305fc: defffc04 addi sp,sp,-16 - 30600: dfc00315 stw ra,12(sp) - 30604: df000215 stw fp,8(sp) - 30608: df000204 addi fp,sp,8 - 3060c: e13fff15 stw r4,-4(fp) + 2fcd8: defffc04 addi sp,sp,-16 + 2fcdc: dfc00315 stw ra,12(sp) + 2fce0: df000215 stw fp,8(sp) + 2fce4: df000204 addi fp,sp,8 + 2fce8: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 30610: e0bfff17 ldw r2,-4(fp) - 30614: 10800017 ldw r2,0(r2) - 30618: e0bffe15 stw r2,-8(fp) + 2fcec: e0bfff17 ldw r2,-4(fp) + 2fcf0: 10800017 ldw r2,0(r2) + 2fcf4: e0bffe15 stw r2,-8(fp) return altera_avalon_jtag_uart_close(&dev->state, fd->fd_flags); - 3061c: e0bffe17 ldw r2,-8(fp) - 30620: 10c00a04 addi r3,r2,40 - 30624: e0bfff17 ldw r2,-4(fp) - 30628: 10800217 ldw r2,8(r2) - 3062c: 100b883a mov r5,r2 - 30630: 1809883a mov r4,r3 - 30634: 0030a1c0 call 30a1c + 2fcf8: e0bffe17 ldw r2,-8(fp) + 2fcfc: 10c00a04 addi r3,r2,40 + 2fd00: e0bfff17 ldw r2,-4(fp) + 2fd04: 10800217 ldw r2,8(r2) + 2fd08: 100b883a mov r5,r2 + 2fd0c: 1809883a mov r4,r3 + 2fd10: 00300f80 call 300f8 } - 30638: e037883a mov sp,fp - 3063c: dfc00117 ldw ra,4(sp) - 30640: df000017 ldw fp,0(sp) - 30644: dec00204 addi sp,sp,8 - 30648: f800283a ret + 2fd14: e037883a mov sp,fp + 2fd18: dfc00117 ldw ra,4(sp) + 2fd1c: df000017 ldw fp,0(sp) + 2fd20: dec00204 addi sp,sp,8 + 2fd24: f800283a ret -0003064c : +0002fd28 : int altera_avalon_jtag_uart_ioctl_fd(alt_fd* fd, int req, void* arg) { - 3064c: defffa04 addi sp,sp,-24 - 30650: dfc00515 stw ra,20(sp) - 30654: df000415 stw fp,16(sp) - 30658: df000404 addi fp,sp,16 - 3065c: e13ffd15 stw r4,-12(fp) - 30660: e17ffe15 stw r5,-8(fp) - 30664: e1bfff15 stw r6,-4(fp) + 2fd28: defffa04 addi sp,sp,-24 + 2fd2c: dfc00515 stw ra,20(sp) + 2fd30: df000415 stw fp,16(sp) + 2fd34: df000404 addi fp,sp,16 + 2fd38: e13ffd15 stw r4,-12(fp) + 2fd3c: e17ffe15 stw r5,-8(fp) + 2fd40: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 30668: e0bffd17 ldw r2,-12(fp) - 3066c: 10800017 ldw r2,0(r2) - 30670: e0bffc15 stw r2,-16(fp) + 2fd44: e0bffd17 ldw r2,-12(fp) + 2fd48: 10800017 ldw r2,0(r2) + 2fd4c: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_ioctl(&dev->state, req, arg); - 30674: e0bffc17 ldw r2,-16(fp) - 30678: 10800a04 addi r2,r2,40 - 3067c: e1bfff17 ldw r6,-4(fp) - 30680: e17ffe17 ldw r5,-8(fp) - 30684: 1009883a mov r4,r2 - 30688: 0030a840 call 30a84 + 2fd50: e0bffc17 ldw r2,-16(fp) + 2fd54: 10800a04 addi r2,r2,40 + 2fd58: e1bfff17 ldw r6,-4(fp) + 2fd5c: e17ffe17 ldw r5,-8(fp) + 2fd60: 1009883a mov r4,r2 + 2fd64: 00301600 call 30160 } - 3068c: e037883a mov sp,fp - 30690: dfc00117 ldw ra,4(sp) - 30694: df000017 ldw fp,0(sp) - 30698: dec00204 addi sp,sp,8 - 3069c: f800283a ret + 2fd68: e037883a mov sp,fp + 2fd6c: dfc00117 ldw ra,4(sp) + 2fd70: df000017 ldw fp,0(sp) + 2fd74: dec00204 addi sp,sp,8 + 2fd78: f800283a ret -000306a0 : +0002fd7c : * Return 1 on sucessful IRQ register and 0 on failure. */ void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, int irq_controller_id, int irq) { - 306a0: defffa04 addi sp,sp,-24 - 306a4: dfc00515 stw ra,20(sp) - 306a8: df000415 stw fp,16(sp) - 306ac: df000404 addi fp,sp,16 - 306b0: e13ffd15 stw r4,-12(fp) - 306b4: e17ffe15 stw r5,-8(fp) - 306b8: e1bfff15 stw r6,-4(fp) + 2fd7c: defffa04 addi sp,sp,-24 + 2fd80: dfc00515 stw ra,20(sp) + 2fd84: df000415 stw fp,16(sp) + 2fd88: df000404 addi fp,sp,16 + 2fd8c: e13ffd15 stw r4,-12(fp) + 2fd90: e17ffe15 stw r5,-8(fp) + 2fd94: e1bfff15 stw r6,-4(fp) ALT_FLAG_CREATE(&sp->events, 0); ALT_SEM_CREATE(&sp->read_lock, 1); ALT_SEM_CREATE(&sp->write_lock, 1); /* enable read interrupts at the device */ sp->irq_enable = ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 306bc: e0bffd17 ldw r2,-12(fp) - 306c0: 00c00044 movi r3,1 - 306c4: 10c00815 stw r3,32(r2) + 2fd98: e0bffd17 ldw r2,-12(fp) + 2fd9c: 00c00044 movi r3,1 + 2fda0: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 306c8: e0bffd17 ldw r2,-12(fp) - 306cc: 10800017 ldw r2,0(r2) - 306d0: 10800104 addi r2,r2,4 - 306d4: 1007883a mov r3,r2 - 306d8: e0bffd17 ldw r2,-12(fp) - 306dc: 10800817 ldw r2,32(r2) - 306e0: 18800035 stwio r2,0(r3) + 2fda4: e0bffd17 ldw r2,-12(fp) + 2fda8: 10800017 ldw r2,0(r2) + 2fdac: 10800104 addi r2,r2,4 + 2fdb0: 1007883a mov r3,r2 + 2fdb4: e0bffd17 ldw r2,-12(fp) + 2fdb8: 10800817 ldw r2,32(r2) + 2fdbc: 18800035 stwio r2,0(r3) /* register the interrupt handler */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, altera_avalon_jtag_uart_irq, - 306e4: e0bffe17 ldw r2,-8(fp) - 306e8: e0ffff17 ldw r3,-4(fp) - 306ec: d8000015 stw zero,0(sp) - 306f0: e1fffd17 ldw r7,-12(fp) - 306f4: 018000f4 movhi r6,3 - 306f8: 3181da04 addi r6,r6,1896 - 306fc: 180b883a mov r5,r3 - 30700: 1009883a mov r4,r2 - 30704: 00315800 call 31580 + 2fdc0: e0bffe17 ldw r2,-8(fp) + 2fdc4: e0ffff17 ldw r3,-4(fp) + 2fdc8: d8000015 stw zero,0(sp) + 2fdcc: e1fffd17 ldw r7,-12(fp) + 2fdd0: 018000f4 movhi r6,3 + 2fdd4: 31bf9104 addi r6,r6,-444 + 2fdd8: 180b883a mov r5,r3 + 2fddc: 1009883a mov r4,r2 + 2fde0: 0030c5c0 call 30c5c #else alt_irq_register(irq, sp, altera_avalon_jtag_uart_irq); #endif /* Register an alarm to go off every second to check for presence of host */ sp->host_inactive = 0; - 30708: e0bffd17 ldw r2,-12(fp) - 3070c: 10000915 stw zero,36(r2) + 2fde4: e0bffd17 ldw r2,-12(fp) + 2fde8: 10000915 stw zero,36(r2) if (alt_alarm_start(&sp->alarm, alt_ticks_per_second(), - 30710: e0bffd17 ldw r2,-12(fp) - 30714: 10c00204 addi r3,r2,8 + 2fdec: e0bffd17 ldw r2,-12(fp) + 2fdf0: 10c00204 addi r3,r2,8 * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 30718: 008000f4 movhi r2,3 - 3071c: 109c6004 addi r2,r2,29056 - 30720: 10800017 ldw r2,0(r2) - 30724: e1fffd17 ldw r7,-12(fp) - 30728: 018000f4 movhi r6,3 - 3072c: 31825d04 addi r6,r6,2420 - 30730: 100b883a mov r5,r2 - 30734: 1809883a mov r4,r3 - 30738: 00310b80 call 310b8 - 3073c: 1000040e bge r2,zero,30750 + 2fdf4: 008000f4 movhi r2,3 + 2fdf8: 109a1304 addi r2,r2,26700 + 2fdfc: 10800017 ldw r2,0(r2) + 2fe00: e1fffd17 ldw r7,-12(fp) + 2fe04: 018000f4 movhi r6,3 + 2fe08: 31801404 addi r6,r6,80 + 2fe0c: 100b883a mov r5,r2 + 2fe10: 1809883a mov r4,r3 + 2fe14: 00307940 call 30794 + 2fe18: 1000040e bge r2,zero,2fe2c &altera_avalon_jtag_uart_timeout, sp) < 0) { /* If we can't set the alarm then record "don't know if host present" * and behave as though the host is present. */ sp->timeout = INT_MAX; - 30740: e0fffd17 ldw r3,-12(fp) - 30744: 00a00034 movhi r2,32768 - 30748: 10bfffc4 addi r2,r2,-1 - 3074c: 18800115 stw r2,4(r3) + 2fe1c: e0fffd17 ldw r3,-12(fp) + 2fe20: 00a00034 movhi r2,32768 + 2fe24: 10bfffc4 addi r2,r2,-1 + 2fe28: 18800115 stw r2,4(r3) } /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ALARM_REGISTER(sp, sp->base); } - 30750: 0001883a nop - 30754: e037883a mov sp,fp - 30758: dfc00117 ldw ra,4(sp) - 3075c: df000017 ldw fp,0(sp) - 30760: dec00204 addi sp,sp,8 - 30764: f800283a ret + 2fe2c: 0001883a nop + 2fe30: e037883a mov sp,fp + 2fe34: dfc00117 ldw ra,4(sp) + 2fe38: df000017 ldw fp,0(sp) + 2fe3c: dec00204 addi sp,sp,8 + 2fe40: f800283a ret -00030768 : +0002fe44 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void altera_avalon_jtag_uart_irq(void* context) #else static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) #endif { - 30768: defff804 addi sp,sp,-32 - 3076c: df000715 stw fp,28(sp) - 30770: df000704 addi fp,sp,28 - 30774: e13fff15 stw r4,-4(fp) + 2fe44: defff804 addi sp,sp,-32 + 2fe48: df000715 stw fp,28(sp) + 2fe4c: df000704 addi fp,sp,28 + 2fe50: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state*) context; - 30778: e0bfff17 ldw r2,-4(fp) - 3077c: e0bffb15 stw r2,-20(fp) + 2fe54: e0bfff17 ldw r2,-4(fp) + 2fe58: e0bffb15 stw r2,-20(fp) unsigned int base = sp->base; - 30780: e0bffb17 ldw r2,-20(fp) - 30784: 10800017 ldw r2,0(r2) - 30788: e0bffc15 stw r2,-16(fp) + 2fe5c: e0bffb17 ldw r2,-20(fp) + 2fe60: 10800017 ldw r2,0(r2) + 2fe64: e0bffc15 stw r2,-16(fp) /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ISR_FUNCTION(base, sp); for ( ; ; ) { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3078c: e0bffc17 ldw r2,-16(fp) - 30790: 10800104 addi r2,r2,4 - 30794: 10800037 ldwio r2,0(r2) - 30798: e0bffd15 stw r2,-12(fp) + 2fe68: e0bffc17 ldw r2,-16(fp) + 2fe6c: 10800104 addi r2,r2,4 + 2fe70: 10800037 ldwio r2,0(r2) + 2fe74: e0bffd15 stw r2,-12(fp) /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) - 3079c: e0bffd17 ldw r2,-12(fp) - 307a0: 1080c00c andi r2,r2,768 - 307a4: 10006d26 beq r2,zero,3095c + 2fe78: e0bffd17 ldw r2,-12(fp) + 2fe7c: 1080c00c andi r2,r2,768 + 2fe80: 10006d26 beq r2,zero,30038 break; if (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) - 307a8: e0bffd17 ldw r2,-12(fp) - 307ac: 1080400c andi r2,r2,256 - 307b0: 10003526 beq r2,zero,30888 + 2fe84: e0bffd17 ldw r2,-12(fp) + 2fe88: 1080400c andi r2,r2,256 + 2fe8c: 10003526 beq r2,zero,2ff64 { /* process a read irq. Start by assuming that there is data in the * receive FIFO (otherwise why would we have been interrupted?) */ unsigned int data = 1 << ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST; - 307b4: 00800074 movhi r2,1 - 307b8: e0bff915 stw r2,-28(fp) + 2fe90: 00800074 movhi r2,1 + 2fe94: e0bff915 stw r2,-28(fp) for ( ; ; ) { /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 307bc: e0bffb17 ldw r2,-20(fp) - 307c0: 10800a17 ldw r2,40(r2) - 307c4: 10800044 addi r2,r2,1 - 307c8: 1081ffcc andi r2,r2,2047 - 307cc: e0bffe15 stw r2,-8(fp) + 2fe98: e0bffb17 ldw r2,-20(fp) + 2fe9c: 10800a17 ldw r2,40(r2) + 2fea0: 10800044 addi r2,r2,1 + 2fea4: 1081ffcc andi r2,r2,2047 + 2fea8: e0bffe15 stw r2,-8(fp) if (next == sp->rx_out) - 307d0: e0bffb17 ldw r2,-20(fp) - 307d4: 10c00b17 ldw r3,44(r2) - 307d8: e0bffe17 ldw r2,-8(fp) - 307dc: 18801526 beq r3,r2,30834 + 2feac: e0bffb17 ldw r2,-20(fp) + 2feb0: 10c00b17 ldw r3,44(r2) + 2feb4: e0bffe17 ldw r2,-8(fp) + 2feb8: 18801526 beq r3,r2,2ff10 break; /* Try to remove a character from the FIFO and find out whether there * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); - 307e0: e0bffc17 ldw r2,-16(fp) - 307e4: 10800037 ldwio r2,0(r2) - 307e8: e0bff915 stw r2,-28(fp) + 2febc: e0bffc17 ldw r2,-16(fp) + 2fec0: 10800037 ldwio r2,0(r2) + 2fec4: e0bff915 stw r2,-28(fp) if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) - 307ec: e0bff917 ldw r2,-28(fp) - 307f0: 10a0000c andi r2,r2,32768 - 307f4: 10001126 beq r2,zero,3083c + 2fec8: e0bff917 ldw r2,-28(fp) + 2fecc: 10a0000c andi r2,r2,32768 + 2fed0: 10001126 beq r2,zero,2ff18 break; sp->rx_buf[sp->rx_in] = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; - 307f8: e0bffb17 ldw r2,-20(fp) - 307fc: 10800a17 ldw r2,40(r2) - 30800: e0fff917 ldw r3,-28(fp) - 30804: 1809883a mov r4,r3 - 30808: e0fffb17 ldw r3,-20(fp) - 3080c: 1885883a add r2,r3,r2 - 30810: 10800e04 addi r2,r2,56 - 30814: 11000005 stb r4,0(r2) + 2fed4: e0bffb17 ldw r2,-20(fp) + 2fed8: 10800a17 ldw r2,40(r2) + 2fedc: e0fff917 ldw r3,-28(fp) + 2fee0: 1809883a mov r4,r3 + 2fee4: e0fffb17 ldw r3,-20(fp) + 2fee8: 1885883a add r2,r3,r2 + 2feec: 10800e04 addi r2,r2,56 + 2fef0: 11000005 stb r4,0(r2) sp->rx_in = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 30818: e0bffb17 ldw r2,-20(fp) - 3081c: 10800a17 ldw r2,40(r2) - 30820: 10800044 addi r2,r2,1 - 30824: 10c1ffcc andi r3,r2,2047 - 30828: e0bffb17 ldw r2,-20(fp) - 3082c: 10c00a15 stw r3,40(r2) + 2fef4: e0bffb17 ldw r2,-20(fp) + 2fef8: 10800a17 ldw r2,40(r2) + 2fefc: 10800044 addi r2,r2,1 + 2ff00: 10c1ffcc andi r3,r2,2047 + 2ff04: e0bffb17 ldw r2,-20(fp) + 2ff08: 10c00a15 stw r3,40(r2) /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } - 30830: 003fe206 br 307bc + 2ff0c: 003fe206 br 2fe98 <_gp+0xffff3960> /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; if (next == sp->rx_out) break; - 30834: 0001883a nop - 30838: 00000106 br 30840 + 2ff10: 0001883a nop + 2ff14: 00000106 br 2ff1c * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) break; - 3083c: 0001883a nop + 2ff18: 0001883a nop /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } if (data & ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK) - 30840: e0bff917 ldw r2,-28(fp) - 30844: 10bfffec andhi r2,r2,65535 - 30848: 10000f26 beq r2,zero,30888 + 2ff1c: e0bff917 ldw r2,-28(fp) + 2ff20: 10bfffec andhi r2,r2,65535 + 2ff24: 10000f26 beq r2,zero,2ff64 { /* If there is still data available here then the buffer is full * so turn off receive interrupts until some space becomes available. */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 3084c: e0bffb17 ldw r2,-20(fp) - 30850: 10c00817 ldw r3,32(r2) - 30854: 00bfff84 movi r2,-2 - 30858: 1886703a and r3,r3,r2 - 3085c: e0bffb17 ldw r2,-20(fp) - 30860: 10c00815 stw r3,32(r2) + 2ff28: e0bffb17 ldw r2,-20(fp) + 2ff2c: 10c00817 ldw r3,32(r2) + 2ff30: 00bfff84 movi r2,-2 + 2ff34: 1886703a and r3,r3,r2 + 2ff38: e0bffb17 ldw r2,-20(fp) + 2ff3c: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, sp->irq_enable); - 30864: e0bffc17 ldw r2,-16(fp) - 30868: 10800104 addi r2,r2,4 - 3086c: 1007883a mov r3,r2 - 30870: e0bffb17 ldw r2,-20(fp) - 30874: 10800817 ldw r2,32(r2) - 30878: 18800035 stwio r2,0(r3) + 2ff40: e0bffc17 ldw r2,-16(fp) + 2ff44: 10800104 addi r2,r2,4 + 2ff48: 1007883a mov r3,r2 + 2ff4c: e0bffb17 ldw r2,-20(fp) + 2ff50: 10800817 ldw r2,32(r2) + 2ff54: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3087c: e0bffc17 ldw r2,-16(fp) - 30880: 10800104 addi r2,r2,4 - 30884: 10800037 ldwio r2,0(r2) + 2ff58: e0bffc17 ldw r2,-16(fp) + 2ff5c: 10800104 addi r2,r2,4 + 2ff60: 10800037 ldwio r2,0(r2) } } if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) - 30888: e0bffd17 ldw r2,-12(fp) - 3088c: 1080800c andi r2,r2,512 - 30890: 103fbe26 beq r2,zero,3078c + 2ff64: e0bffd17 ldw r2,-12(fp) + 2ff68: 1080800c andi r2,r2,512 + 2ff6c: 103fbe26 beq r2,zero,2fe68 <_gp+0xffff3930> { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; - 30894: e0bffd17 ldw r2,-12(fp) - 30898: 1004d43a srli r2,r2,16 - 3089c: e0bffa15 stw r2,-24(fp) + 2ff70: e0bffd17 ldw r2,-12(fp) + 2ff74: 1004d43a srli r2,r2,16 + 2ff78: e0bffa15 stw r2,-24(fp) while (space > 0 && sp->tx_out != sp->tx_in) - 308a0: 00001406 br 308f4 + 2ff7c: 00001406 br 2ffd0 { IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, sp->tx_buf[sp->tx_out]); - 308a4: e0bffc17 ldw r2,-16(fp) - 308a8: e0fffb17 ldw r3,-20(fp) - 308ac: 18c00d17 ldw r3,52(r3) - 308b0: e13ffb17 ldw r4,-20(fp) - 308b4: 20c7883a add r3,r4,r3 - 308b8: 18c20e04 addi r3,r3,2104 - 308bc: 18c00003 ldbu r3,0(r3) - 308c0: 18c03fcc andi r3,r3,255 - 308c4: 18c0201c xori r3,r3,128 - 308c8: 18ffe004 addi r3,r3,-128 - 308cc: 10c00035 stwio r3,0(r2) + 2ff80: e0bffc17 ldw r2,-16(fp) + 2ff84: e0fffb17 ldw r3,-20(fp) + 2ff88: 18c00d17 ldw r3,52(r3) + 2ff8c: e13ffb17 ldw r4,-20(fp) + 2ff90: 20c7883a add r3,r4,r3 + 2ff94: 18c20e04 addi r3,r3,2104 + 2ff98: 18c00003 ldbu r3,0(r3) + 2ff9c: 18c03fcc andi r3,r3,255 + 2ffa0: 18c0201c xori r3,r3,128 + 2ffa4: 18ffe004 addi r3,r3,-128 + 2ffa8: 10c00035 stwio r3,0(r2) sp->tx_out = (sp->tx_out + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 308d0: e0bffb17 ldw r2,-20(fp) - 308d4: 10800d17 ldw r2,52(r2) - 308d8: 10800044 addi r2,r2,1 - 308dc: 10c1ffcc andi r3,r2,2047 - 308e0: e0bffb17 ldw r2,-20(fp) - 308e4: 10c00d15 stw r3,52(r2) + 2ffac: e0bffb17 ldw r2,-20(fp) + 2ffb0: 10800d17 ldw r2,52(r2) + 2ffb4: 10800044 addi r2,r2,1 + 2ffb8: 10c1ffcc andi r3,r2,2047 + 2ffbc: e0bffb17 ldw r2,-20(fp) + 2ffc0: 10c00d15 stw r3,52(r2) /* Post an event to notify jtag_uart_write that a character has been written */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; - 308e8: e0bffa17 ldw r2,-24(fp) - 308ec: 10bfffc4 addi r2,r2,-1 - 308f0: e0bffa15 stw r2,-24(fp) + 2ffc4: e0bffa17 ldw r2,-24(fp) + 2ffc8: 10bfffc4 addi r2,r2,-1 + 2ffcc: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; while (space > 0 && sp->tx_out != sp->tx_in) - 308f4: e0bffa17 ldw r2,-24(fp) - 308f8: 10000526 beq r2,zero,30910 - 308fc: e0bffb17 ldw r2,-20(fp) - 30900: 10c00d17 ldw r3,52(r2) - 30904: e0bffb17 ldw r2,-20(fp) - 30908: 10800c17 ldw r2,48(r2) - 3090c: 18bfe51e bne r3,r2,308a4 + 2ffd0: e0bffa17 ldw r2,-24(fp) + 2ffd4: 10000526 beq r2,zero,2ffec + 2ffd8: e0bffb17 ldw r2,-20(fp) + 2ffdc: 10c00d17 ldw r3,52(r2) + 2ffe0: e0bffb17 ldw r2,-20(fp) + 2ffe4: 10800c17 ldw r2,48(r2) + 2ffe8: 18bfe51e bne r3,r2,2ff80 <_gp+0xffff3a48> ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; } if (space > 0) - 30910: e0bffa17 ldw r2,-24(fp) - 30914: 103f9d26 beq r2,zero,3078c + 2ffec: e0bffa17 ldw r2,-24(fp) + 2fff0: 103f9d26 beq r2,zero,2fe68 <_gp+0xffff3930> { /* If we don't have any more data available then turn off the TX interrupt */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 30918: e0bffb17 ldw r2,-20(fp) - 3091c: 10c00817 ldw r3,32(r2) - 30920: 00bfff44 movi r2,-3 - 30924: 1886703a and r3,r3,r2 - 30928: e0bffb17 ldw r2,-20(fp) - 3092c: 10c00815 stw r3,32(r2) + 2fff4: e0bffb17 ldw r2,-20(fp) + 2fff8: 10c00817 ldw r3,32(r2) + 2fffc: 00bfff44 movi r2,-3 + 30000: 1886703a and r3,r3,r2 + 30004: e0bffb17 ldw r2,-20(fp) + 30008: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 30930: e0bffb17 ldw r2,-20(fp) - 30934: 10800017 ldw r2,0(r2) - 30938: 10800104 addi r2,r2,4 - 3093c: 1007883a mov r3,r2 - 30940: e0bffb17 ldw r2,-20(fp) - 30944: 10800817 ldw r2,32(r2) - 30948: 18800035 stwio r2,0(r3) + 3000c: e0bffb17 ldw r2,-20(fp) + 30010: 10800017 ldw r2,0(r2) + 30014: 10800104 addi r2,r2,4 + 30018: 1007883a mov r3,r2 + 3001c: e0bffb17 ldw r2,-20(fp) + 30020: 10800817 ldw r2,32(r2) + 30024: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3094c: e0bffc17 ldw r2,-16(fp) - 30950: 10800104 addi r2,r2,4 - 30954: 10800037 ldwio r2,0(r2) + 30028: e0bffc17 ldw r2,-16(fp) + 3002c: 10800104 addi r2,r2,4 + 30030: 10800037 ldwio r2,0(r2) } } } - 30958: 003f8c06 br 3078c + 30034: 003f8c06 br 2fe68 <_gp+0xffff3930> { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) break; - 3095c: 0001883a nop + 30038: 0001883a nop /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); } } } } - 30960: 0001883a nop - 30964: e037883a mov sp,fp - 30968: df000017 ldw fp,0(sp) - 3096c: dec00104 addi sp,sp,4 - 30970: f800283a ret + 3003c: 0001883a nop + 30040: e037883a mov sp,fp + 30044: df000017 ldw fp,0(sp) + 30048: dec00104 addi sp,sp,4 + 3004c: f800283a ret -00030974 : +00030050 : * Timeout routine is called every second */ static alt_u32 altera_avalon_jtag_uart_timeout(void* context) { - 30974: defff804 addi sp,sp,-32 - 30978: df000715 stw fp,28(sp) - 3097c: df000704 addi fp,sp,28 - 30980: e13ffb15 stw r4,-20(fp) + 30050: defff804 addi sp,sp,-32 + 30054: df000715 stw fp,28(sp) + 30058: df000704 addi fp,sp,28 + 3005c: e13ffb15 stw r4,-20(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state *) context; - 30984: e0bffb17 ldw r2,-20(fp) - 30988: e0bff915 stw r2,-28(fp) + 30060: e0bffb17 ldw r2,-20(fp) + 30064: e0bff915 stw r2,-28(fp) unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base); - 3098c: e0bff917 ldw r2,-28(fp) - 30990: 10800017 ldw r2,0(r2) - 30994: 10800104 addi r2,r2,4 - 30998: 10800037 ldwio r2,0(r2) - 3099c: e0bffa15 stw r2,-24(fp) + 30068: e0bff917 ldw r2,-28(fp) + 3006c: 10800017 ldw r2,0(r2) + 30070: 10800104 addi r2,r2,4 + 30074: 10800037 ldwio r2,0(r2) + 30078: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) - 309a0: e0bffa17 ldw r2,-24(fp) - 309a4: 1081000c andi r2,r2,1024 - 309a8: 10000b26 beq r2,zero,309d8 + 3007c: e0bffa17 ldw r2,-24(fp) + 30080: 1081000c andi r2,r2,1024 + 30084: 10000b26 beq r2,zero,300b4 { IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable | ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK); - 309ac: e0bff917 ldw r2,-28(fp) - 309b0: 10800017 ldw r2,0(r2) - 309b4: 10800104 addi r2,r2,4 - 309b8: 1007883a mov r3,r2 - 309bc: e0bff917 ldw r2,-28(fp) - 309c0: 10800817 ldw r2,32(r2) - 309c4: 10810014 ori r2,r2,1024 - 309c8: 18800035 stwio r2,0(r3) + 30088: e0bff917 ldw r2,-28(fp) + 3008c: 10800017 ldw r2,0(r2) + 30090: 10800104 addi r2,r2,4 + 30094: 1007883a mov r3,r2 + 30098: e0bff917 ldw r2,-28(fp) + 3009c: 10800817 ldw r2,32(r2) + 300a0: 10810014 ori r2,r2,1024 + 300a4: 18800035 stwio r2,0(r3) sp->host_inactive = 0; - 309cc: e0bff917 ldw r2,-28(fp) - 309d0: 10000915 stw zero,36(r2) - 309d4: 00000a06 br 30a00 + 300a8: e0bff917 ldw r2,-28(fp) + 300ac: 10000915 stw zero,36(r2) + 300b0: 00000a06 br 300dc } else if (sp->host_inactive < INT_MAX - 2) { - 309d8: e0bff917 ldw r2,-28(fp) - 309dc: 10c00917 ldw r3,36(r2) - 309e0: 00a00034 movhi r2,32768 - 309e4: 10bfff04 addi r2,r2,-4 - 309e8: 10c00536 bltu r2,r3,30a00 + 300b4: e0bff917 ldw r2,-28(fp) + 300b8: 10c00917 ldw r3,36(r2) + 300bc: 00a00034 movhi r2,32768 + 300c0: 10bfff04 addi r2,r2,-4 + 300c4: 10c00536 bltu r2,r3,300dc sp->host_inactive++; - 309ec: e0bff917 ldw r2,-28(fp) - 309f0: 10800917 ldw r2,36(r2) - 309f4: 10c00044 addi r3,r2,1 - 309f8: e0bff917 ldw r2,-28(fp) - 309fc: 10c00915 stw r3,36(r2) - 30a00: 008000f4 movhi r2,3 - 30a04: 109c6004 addi r2,r2,29056 - 30a08: 10800017 ldw r2,0(r2) + 300c8: e0bff917 ldw r2,-28(fp) + 300cc: 10800917 ldw r2,36(r2) + 300d0: 10c00044 addi r3,r2,1 + 300d4: e0bff917 ldw r2,-28(fp) + 300d8: 10c00915 stw r3,36(r2) + 300dc: 008000f4 movhi r2,3 + 300e0: 109a1304 addi r2,r2,26700 + 300e4: 10800017 ldw r2,0(r2) ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); } } return alt_ticks_per_second(); } - 30a0c: e037883a mov sp,fp - 30a10: df000017 ldw fp,0(sp) - 30a14: dec00104 addi sp,sp,4 - 30a18: f800283a ret + 300e8: e037883a mov sp,fp + 300ec: df000017 ldw fp,0(sp) + 300f0: dec00104 addi sp,sp,4 + 300f4: f800283a ret -00030a1c : +000300f8 : * The close routine is not implemented for the small driver; instead it will * map to null. This is because the small driver simply waits while characters * are transmitted; there is no interrupt-serviced buffer to empty */ int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) { - 30a1c: defffd04 addi sp,sp,-12 - 30a20: df000215 stw fp,8(sp) - 30a24: df000204 addi fp,sp,8 - 30a28: e13ffe15 stw r4,-8(fp) - 30a2c: e17fff15 stw r5,-4(fp) + 300f8: defffd04 addi sp,sp,-12 + 300fc: df000215 stw fp,8(sp) + 30100: df000204 addi fp,sp,8 + 30104: e13ffe15 stw r4,-8(fp) + 30108: e17fff15 stw r5,-4(fp) /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 30a30: 00000506 br 30a48 + 3010c: 00000506 br 30124 if (flags & O_NONBLOCK) { - 30a34: e0bfff17 ldw r2,-4(fp) - 30a38: 1090000c andi r2,r2,16384 - 30a3c: 10000226 beq r2,zero,30a48 + 30110: e0bfff17 ldw r2,-4(fp) + 30114: 1090000c andi r2,r2,16384 + 30118: 10000226 beq r2,zero,30124 return -EWOULDBLOCK; - 30a40: 00bffd44 movi r2,-11 - 30a44: 00000b06 br 30a74 + 3011c: 00bffd44 movi r2,-11 + 30120: 00000b06 br 30150 { /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 30a48: e0bffe17 ldw r2,-8(fp) - 30a4c: 10c00d17 ldw r3,52(r2) - 30a50: e0bffe17 ldw r2,-8(fp) - 30a54: 10800c17 ldw r2,48(r2) - 30a58: 18800526 beq r3,r2,30a70 - 30a5c: e0bffe17 ldw r2,-8(fp) - 30a60: 10c00917 ldw r3,36(r2) - 30a64: e0bffe17 ldw r2,-8(fp) - 30a68: 10800117 ldw r2,4(r2) - 30a6c: 18bff136 bltu r3,r2,30a34 + 30124: e0bffe17 ldw r2,-8(fp) + 30128: 10c00d17 ldw r3,52(r2) + 3012c: e0bffe17 ldw r2,-8(fp) + 30130: 10800c17 ldw r2,48(r2) + 30134: 18800526 beq r3,r2,3014c + 30138: e0bffe17 ldw r2,-8(fp) + 3013c: 10c00917 ldw r3,36(r2) + 30140: e0bffe17 ldw r2,-8(fp) + 30144: 10800117 ldw r2,4(r2) + 30148: 18bff136 bltu r3,r2,30110 <_gp+0xffff3bd8> if (flags & O_NONBLOCK) { return -EWOULDBLOCK; } } return 0; - 30a70: 0005883a mov r2,zero + 3014c: 0005883a mov r2,zero } - 30a74: e037883a mov sp,fp - 30a78: df000017 ldw fp,0(sp) - 30a7c: dec00104 addi sp,sp,4 - 30a80: f800283a ret + 30150: e037883a mov sp,fp + 30154: df000017 ldw fp,0(sp) + 30158: dec00104 addi sp,sp,4 + 3015c: f800283a ret -00030a84 : +00030160 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, int req, void* arg) { - 30a84: defffa04 addi sp,sp,-24 - 30a88: df000515 stw fp,20(sp) - 30a8c: df000504 addi fp,sp,20 - 30a90: e13ffd15 stw r4,-12(fp) - 30a94: e17ffe15 stw r5,-8(fp) - 30a98: e1bfff15 stw r6,-4(fp) + 30160: defffa04 addi sp,sp,-24 + 30164: df000515 stw fp,20(sp) + 30168: df000504 addi fp,sp,20 + 3016c: e13ffd15 stw r4,-12(fp) + 30170: e17ffe15 stw r5,-8(fp) + 30174: e1bfff15 stw r6,-4(fp) int rc = -ENOTTY; - 30a9c: 00bff9c4 movi r2,-25 - 30aa0: e0bffb15 stw r2,-20(fp) + 30178: 00bff9c4 movi r2,-25 + 3017c: e0bffb15 stw r2,-20(fp) switch (req) - 30aa4: e0bffe17 ldw r2,-8(fp) - 30aa8: 10da8060 cmpeqi r3,r2,27137 - 30aac: 1800031e bne r3,zero,30abc - 30ab0: 109a80a0 cmpeqi r2,r2,27138 - 30ab4: 1000181e bne r2,zero,30b18 + 30180: e0bffe17 ldw r2,-8(fp) + 30184: 10da8060 cmpeqi r3,r2,27137 + 30188: 1800031e bne r3,zero,30198 + 3018c: 109a80a0 cmpeqi r2,r2,27138 + 30190: 1000181e bne r2,zero,301f4 rc = 0; } break; default: break; - 30ab8: 00002906 br 30b60 + 30194: 00002906 br 3023c switch (req) { case TIOCSTIMEOUT: /* Set the time to wait until assuming host is not connected */ if (sp->timeout != INT_MAX) - 30abc: e0bffd17 ldw r2,-12(fp) - 30ac0: 10c00117 ldw r3,4(r2) - 30ac4: 00a00034 movhi r2,32768 - 30ac8: 10bfffc4 addi r2,r2,-1 - 30acc: 18802126 beq r3,r2,30b54 + 30198: e0bffd17 ldw r2,-12(fp) + 3019c: 10c00117 ldw r3,4(r2) + 301a0: 00a00034 movhi r2,32768 + 301a4: 10bfffc4 addi r2,r2,-1 + 301a8: 18802126 beq r3,r2,30230 { int timeout = *((int *)arg); - 30ad0: e0bfff17 ldw r2,-4(fp) - 30ad4: 10800017 ldw r2,0(r2) - 30ad8: e0bffc15 stw r2,-16(fp) + 301ac: e0bfff17 ldw r2,-4(fp) + 301b0: 10800017 ldw r2,0(r2) + 301b4: e0bffc15 stw r2,-16(fp) sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; - 30adc: e0bffc17 ldw r2,-16(fp) - 30ae0: 10800090 cmplti r2,r2,2 - 30ae4: 1000061e bne r2,zero,30b00 - 30ae8: e0fffc17 ldw r3,-16(fp) - 30aec: 00a00034 movhi r2,32768 - 30af0: 10bfffc4 addi r2,r2,-1 - 30af4: 18800226 beq r3,r2,30b00 - 30af8: e0bffc17 ldw r2,-16(fp) - 30afc: 00000206 br 30b08 - 30b00: 00a00034 movhi r2,32768 - 30b04: 10bfff84 addi r2,r2,-2 - 30b08: e0fffd17 ldw r3,-12(fp) - 30b0c: 18800115 stw r2,4(r3) + 301b8: e0bffc17 ldw r2,-16(fp) + 301bc: 10800090 cmplti r2,r2,2 + 301c0: 1000061e bne r2,zero,301dc + 301c4: e0fffc17 ldw r3,-16(fp) + 301c8: 00a00034 movhi r2,32768 + 301cc: 10bfffc4 addi r2,r2,-1 + 301d0: 18800226 beq r3,r2,301dc + 301d4: e0bffc17 ldw r2,-16(fp) + 301d8: 00000206 br 301e4 + 301dc: 00a00034 movhi r2,32768 + 301e0: 10bfff84 addi r2,r2,-2 + 301e4: e0fffd17 ldw r3,-12(fp) + 301e8: 18800115 stw r2,4(r3) rc = 0; - 30b10: e03ffb15 stw zero,-20(fp) + 301ec: e03ffb15 stw zero,-20(fp) } break; - 30b14: 00000f06 br 30b54 + 301f0: 00000f06 br 30230 case TIOCGCONNECTED: /* Find out whether host is connected */ if (sp->timeout != INT_MAX) - 30b18: e0bffd17 ldw r2,-12(fp) - 30b1c: 10c00117 ldw r3,4(r2) - 30b20: 00a00034 movhi r2,32768 - 30b24: 10bfffc4 addi r2,r2,-1 - 30b28: 18800c26 beq r3,r2,30b5c + 301f4: e0bffd17 ldw r2,-12(fp) + 301f8: 10c00117 ldw r3,4(r2) + 301fc: 00a00034 movhi r2,32768 + 30200: 10bfffc4 addi r2,r2,-1 + 30204: 18800c26 beq r3,r2,30238 { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; - 30b2c: e0bffd17 ldw r2,-12(fp) - 30b30: 10c00917 ldw r3,36(r2) - 30b34: e0bffd17 ldw r2,-12(fp) - 30b38: 10800117 ldw r2,4(r2) - 30b3c: 1885803a cmpltu r2,r3,r2 - 30b40: 10c03fcc andi r3,r2,255 - 30b44: e0bfff17 ldw r2,-4(fp) - 30b48: 10c00015 stw r3,0(r2) + 30208: e0bffd17 ldw r2,-12(fp) + 3020c: 10c00917 ldw r3,36(r2) + 30210: e0bffd17 ldw r2,-12(fp) + 30214: 10800117 ldw r2,4(r2) + 30218: 1885803a cmpltu r2,r3,r2 + 3021c: 10c03fcc andi r3,r2,255 + 30220: e0bfff17 ldw r2,-4(fp) + 30224: 10c00015 stw r3,0(r2) rc = 0; - 30b4c: e03ffb15 stw zero,-20(fp) + 30228: e03ffb15 stw zero,-20(fp) } break; - 30b50: 00000206 br 30b5c + 3022c: 00000206 br 30238 { int timeout = *((int *)arg); sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; rc = 0; } break; - 30b54: 0001883a nop - 30b58: 00000106 br 30b60 + 30230: 0001883a nop + 30234: 00000106 br 3023c if (sp->timeout != INT_MAX) { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; rc = 0; } break; - 30b5c: 0001883a nop + 30238: 0001883a nop default: break; } return rc; - 30b60: e0bffb17 ldw r2,-20(fp) + 3023c: e0bffb17 ldw r2,-20(fp) } - 30b64: e037883a mov sp,fp - 30b68: df000017 ldw fp,0(sp) - 30b6c: dec00104 addi sp,sp,4 - 30b70: f800283a ret + 30240: e037883a mov sp,fp + 30244: df000017 ldw fp,0(sp) + 30248: dec00104 addi sp,sp,4 + 3024c: f800283a ret -00030b74 : +00030250 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, char * buffer, int space, int flags) { - 30b74: defff304 addi sp,sp,-52 - 30b78: dfc00c15 stw ra,48(sp) - 30b7c: df000b15 stw fp,44(sp) - 30b80: df000b04 addi fp,sp,44 - 30b84: e13ffc15 stw r4,-16(fp) - 30b88: e17ffd15 stw r5,-12(fp) - 30b8c: e1bffe15 stw r6,-8(fp) - 30b90: e1ffff15 stw r7,-4(fp) + 30250: defff304 addi sp,sp,-52 + 30254: dfc00c15 stw ra,48(sp) + 30258: df000b15 stw fp,44(sp) + 3025c: df000b04 addi fp,sp,44 + 30260: e13ffc15 stw r4,-16(fp) + 30264: e17ffd15 stw r5,-12(fp) + 30268: e1bffe15 stw r6,-8(fp) + 3026c: e1ffff15 stw r7,-4(fp) char * ptr = buffer; - 30b94: e0bffd17 ldw r2,-12(fp) - 30b98: e0bff515 stw r2,-44(fp) + 30270: e0bffd17 ldw r2,-12(fp) + 30274: e0bff515 stw r2,-44(fp) * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 30b9c: 00004706 br 30cbc + 30278: 00004706 br 30398 unsigned int in, out; /* Read as much data as possible */ do { in = sp->rx_in; - 30ba0: e0bffc17 ldw r2,-16(fp) - 30ba4: 10800a17 ldw r2,40(r2) - 30ba8: e0bff715 stw r2,-36(fp) + 3027c: e0bffc17 ldw r2,-16(fp) + 30280: 10800a17 ldw r2,40(r2) + 30284: e0bff715 stw r2,-36(fp) out = sp->rx_out; - 30bac: e0bffc17 ldw r2,-16(fp) - 30bb0: 10800b17 ldw r2,44(r2) - 30bb4: e0bff815 stw r2,-32(fp) + 30288: e0bffc17 ldw r2,-16(fp) + 3028c: 10800b17 ldw r2,44(r2) + 30290: e0bff815 stw r2,-32(fp) if (in >= out) - 30bb8: e0fff717 ldw r3,-36(fp) - 30bbc: e0bff817 ldw r2,-32(fp) - 30bc0: 18800536 bltu r3,r2,30bd8 + 30294: e0fff717 ldw r3,-36(fp) + 30298: e0bff817 ldw r2,-32(fp) + 3029c: 18800536 bltu r3,r2,302b4 n = in - out; - 30bc4: e0fff717 ldw r3,-36(fp) - 30bc8: e0bff817 ldw r2,-32(fp) - 30bcc: 1885c83a sub r2,r3,r2 - 30bd0: e0bff615 stw r2,-40(fp) - 30bd4: 00000406 br 30be8 + 302a0: e0fff717 ldw r3,-36(fp) + 302a4: e0bff817 ldw r2,-32(fp) + 302a8: 1885c83a sub r2,r3,r2 + 302ac: e0bff615 stw r2,-40(fp) + 302b0: 00000406 br 302c4 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; - 30bd8: 00c20004 movi r3,2048 - 30bdc: e0bff817 ldw r2,-32(fp) - 30be0: 1885c83a sub r2,r3,r2 - 30be4: e0bff615 stw r2,-40(fp) + 302b4: 00c20004 movi r3,2048 + 302b8: e0bff817 ldw r2,-32(fp) + 302bc: 1885c83a sub r2,r3,r2 + 302c0: e0bff615 stw r2,-40(fp) if (n == 0) - 30be8: e0bff617 ldw r2,-40(fp) - 30bec: 10001e26 beq r2,zero,30c68 + 302c4: e0bff617 ldw r2,-40(fp) + 302c8: 10001e26 beq r2,zero,30344 break; /* No more data available */ if (n > space) - 30bf0: e0fffe17 ldw r3,-8(fp) - 30bf4: e0bff617 ldw r2,-40(fp) - 30bf8: 1880022e bgeu r3,r2,30c04 + 302cc: e0fffe17 ldw r3,-8(fp) + 302d0: e0bff617 ldw r2,-40(fp) + 302d4: 1880022e bgeu r3,r2,302e0 n = space; - 30bfc: e0bffe17 ldw r2,-8(fp) - 30c00: e0bff615 stw r2,-40(fp) + 302d8: e0bffe17 ldw r2,-8(fp) + 302dc: e0bff615 stw r2,-40(fp) memcpy(ptr, sp->rx_buf + out, n); - 30c04: e0bffc17 ldw r2,-16(fp) - 30c08: 10c00e04 addi r3,r2,56 - 30c0c: e0bff817 ldw r2,-32(fp) - 30c10: 1885883a add r2,r3,r2 - 30c14: e1bff617 ldw r6,-40(fp) - 30c18: 100b883a mov r5,r2 - 30c1c: e13ff517 ldw r4,-44(fp) - 30c20: 00288ec0 call 288ec + 302e0: e0bffc17 ldw r2,-16(fp) + 302e4: 10c00e04 addi r3,r2,56 + 302e8: e0bff817 ldw r2,-32(fp) + 302ec: 1885883a add r2,r3,r2 + 302f0: e1bff617 ldw r6,-40(fp) + 302f4: 100b883a mov r5,r2 + 302f8: e13ff517 ldw r4,-44(fp) + 302fc: 00283280 call 28328 ptr += n; - 30c24: e0fff517 ldw r3,-44(fp) - 30c28: e0bff617 ldw r2,-40(fp) - 30c2c: 1885883a add r2,r3,r2 - 30c30: e0bff515 stw r2,-44(fp) + 30300: e0fff517 ldw r3,-44(fp) + 30304: e0bff617 ldw r2,-40(fp) + 30308: 1885883a add r2,r3,r2 + 3030c: e0bff515 stw r2,-44(fp) space -= n; - 30c34: e0fffe17 ldw r3,-8(fp) - 30c38: e0bff617 ldw r2,-40(fp) - 30c3c: 1885c83a sub r2,r3,r2 - 30c40: e0bffe15 stw r2,-8(fp) + 30310: e0fffe17 ldw r3,-8(fp) + 30314: e0bff617 ldw r2,-40(fp) + 30318: 1885c83a sub r2,r3,r2 + 3031c: e0bffe15 stw r2,-8(fp) sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 30c44: e0fff817 ldw r3,-32(fp) - 30c48: e0bff617 ldw r2,-40(fp) - 30c4c: 1885883a add r2,r3,r2 - 30c50: 10c1ffcc andi r3,r2,2047 - 30c54: e0bffc17 ldw r2,-16(fp) - 30c58: 10c00b15 stw r3,44(r2) + 30320: e0fff817 ldw r3,-32(fp) + 30324: e0bff617 ldw r2,-40(fp) + 30328: 1885883a add r2,r3,r2 + 3032c: 10c1ffcc andi r3,r2,2047 + 30330: e0bffc17 ldw r2,-16(fp) + 30334: 10c00b15 stw r3,44(r2) } while (space > 0); - 30c5c: e0bffe17 ldw r2,-8(fp) - 30c60: 00bfcf16 blt zero,r2,30ba0 - 30c64: 00000106 br 30c6c + 30338: e0bffe17 ldw r2,-8(fp) + 3033c: 00bfcf16 blt zero,r2,3027c <_gp+0xffff3d44> + 30340: 00000106 br 30348 n = in - out; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; if (n == 0) break; /* No more data available */ - 30c68: 0001883a nop + 30344: 0001883a nop sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) - 30c6c: e0fff517 ldw r3,-44(fp) - 30c70: e0bffd17 ldw r2,-12(fp) - 30c74: 1880141e bne r3,r2,30cc8 + 30348: e0fff517 ldw r3,-44(fp) + 3034c: e0bffd17 ldw r2,-12(fp) + 30350: 1880141e bne r3,r2,303a4 break; /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) - 30c78: e0bfff17 ldw r2,-4(fp) - 30c7c: 1090000c andi r2,r2,16384 - 30c80: 1000131e bne r2,zero,30cd0 + 30354: e0bfff17 ldw r2,-4(fp) + 30358: 1090000c andi r2,r2,16384 + 3035c: 1000131e bne r2,zero,303ac while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; } #else /* No OS: Always spin */ while (in == sp->rx_in && sp->host_inactive < sp->timeout) - 30c84: 0001883a nop - 30c88: e0bffc17 ldw r2,-16(fp) - 30c8c: 10c00a17 ldw r3,40(r2) - 30c90: e0bff717 ldw r2,-36(fp) - 30c94: 1880051e bne r3,r2,30cac - 30c98: e0bffc17 ldw r2,-16(fp) - 30c9c: 10c00917 ldw r3,36(r2) - 30ca0: e0bffc17 ldw r2,-16(fp) - 30ca4: 10800117 ldw r2,4(r2) - 30ca8: 18bff736 bltu r3,r2,30c88 + 30360: 0001883a nop + 30364: e0bffc17 ldw r2,-16(fp) + 30368: 10c00a17 ldw r3,40(r2) + 3036c: e0bff717 ldw r2,-36(fp) + 30370: 1880051e bne r3,r2,30388 + 30374: e0bffc17 ldw r2,-16(fp) + 30378: 10c00917 ldw r3,36(r2) + 3037c: e0bffc17 ldw r2,-16(fp) + 30380: 10800117 ldw r2,4(r2) + 30384: 18bff736 bltu r3,r2,30364 <_gp+0xffff3e2c> ; #endif /* __ucosii__ */ if (in == sp->rx_in) - 30cac: e0bffc17 ldw r2,-16(fp) - 30cb0: 10c00a17 ldw r3,40(r2) - 30cb4: e0bff717 ldw r2,-36(fp) - 30cb8: 18800726 beq r3,r2,30cd8 + 30388: e0bffc17 ldw r2,-16(fp) + 3038c: 10c00a17 ldw r3,40(r2) + 30390: e0bff717 ldw r2,-36(fp) + 30394: 18800726 beq r3,r2,303b4 * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 30cbc: e0bffe17 ldw r2,-8(fp) - 30cc0: 00bfb716 blt zero,r2,30ba0 - 30cc4: 00000506 br 30cdc + 30398: e0bffe17 ldw r2,-8(fp) + 3039c: 00bfb716 blt zero,r2,3027c <_gp+0xffff3d44> + 303a0: 00000506 br 303b8 } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) break; - 30cc8: 0001883a nop - 30ccc: 00000306 br 30cdc + 303a4: 0001883a nop + 303a8: 00000306 br 303b8 /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) break; - 30cd0: 0001883a nop - 30cd4: 00000106 br 30cdc + 303ac: 0001883a nop + 303b0: 00000106 br 303b8 while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (in == sp->rx_in) break; - 30cd8: 0001883a nop + 303b4: 0001883a nop * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->read_lock); if (ptr != buffer) - 30cdc: e0fff517 ldw r3,-44(fp) - 30ce0: e0bffd17 ldw r2,-12(fp) - 30ce4: 18801826 beq r3,r2,30d48 + 303b8: e0fff517 ldw r3,-44(fp) + 303bc: e0bffd17 ldw r2,-12(fp) + 303c0: 18801826 beq r3,r2,30424 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 30ce8: 0005303a rdctl r2,status - 30cec: e0bffb15 stw r2,-20(fp) + 303c4: 0005303a rdctl r2,status + 303c8: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30cf0: e0fffb17 ldw r3,-20(fp) - 30cf4: 00bfff84 movi r2,-2 - 30cf8: 1884703a and r2,r3,r2 - 30cfc: 1001703a wrctl status,r2 + 303cc: e0fffb17 ldw r3,-20(fp) + 303d0: 00bfff84 movi r2,-2 + 303d4: 1884703a and r2,r3,r2 + 303d8: 1001703a wrctl status,r2 return context; - 30d00: e0bffb17 ldw r2,-20(fp) + 303dc: e0bffb17 ldw r2,-20(fp) { /* If we read any data then there is space in the buffer so enable interrupts */ context = alt_irq_disable_all(); - 30d04: e0bffa15 stw r2,-24(fp) + 303e0: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 30d08: e0bffc17 ldw r2,-16(fp) - 30d0c: 10800817 ldw r2,32(r2) - 30d10: 10c00054 ori r3,r2,1 - 30d14: e0bffc17 ldw r2,-16(fp) - 30d18: 10c00815 stw r3,32(r2) + 303e4: e0bffc17 ldw r2,-16(fp) + 303e8: 10800817 ldw r2,32(r2) + 303ec: 10c00054 ori r3,r2,1 + 303f0: e0bffc17 ldw r2,-16(fp) + 303f4: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 30d1c: e0bffc17 ldw r2,-16(fp) - 30d20: 10800017 ldw r2,0(r2) - 30d24: 10800104 addi r2,r2,4 - 30d28: 1007883a mov r3,r2 - 30d2c: e0bffc17 ldw r2,-16(fp) - 30d30: 10800817 ldw r2,32(r2) - 30d34: 18800035 stwio r2,0(r3) - 30d38: e0bffa17 ldw r2,-24(fp) - 30d3c: e0bff915 stw r2,-28(fp) + 303f8: e0bffc17 ldw r2,-16(fp) + 303fc: 10800017 ldw r2,0(r2) + 30400: 10800104 addi r2,r2,4 + 30404: 1007883a mov r3,r2 + 30408: e0bffc17 ldw r2,-16(fp) + 3040c: 10800817 ldw r2,32(r2) + 30410: 18800035 stwio r2,0(r3) + 30414: e0bffa17 ldw r2,-24(fp) + 30418: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 30d40: e0bff917 ldw r2,-28(fp) - 30d44: 1001703a wrctl status,r2 + 3041c: e0bff917 ldw r2,-28(fp) + 30420: 1001703a wrctl status,r2 alt_irq_enable_all(context); } if (ptr != buffer) - 30d48: e0fff517 ldw r3,-44(fp) - 30d4c: e0bffd17 ldw r2,-12(fp) - 30d50: 18800426 beq r3,r2,30d64 + 30424: e0fff517 ldw r3,-44(fp) + 30428: e0bffd17 ldw r2,-12(fp) + 3042c: 18800426 beq r3,r2,30440 return ptr - buffer; - 30d54: e0fff517 ldw r3,-44(fp) - 30d58: e0bffd17 ldw r2,-12(fp) - 30d5c: 1885c83a sub r2,r3,r2 - 30d60: 00000606 br 30d7c + 30430: e0fff517 ldw r3,-44(fp) + 30434: e0bffd17 ldw r2,-12(fp) + 30438: 1885c83a sub r2,r3,r2 + 3043c: 00000606 br 30458 else if (flags & O_NONBLOCK) - 30d64: e0bfff17 ldw r2,-4(fp) - 30d68: 1090000c andi r2,r2,16384 - 30d6c: 10000226 beq r2,zero,30d78 + 30440: e0bfff17 ldw r2,-4(fp) + 30444: 1090000c andi r2,r2,16384 + 30448: 10000226 beq r2,zero,30454 return -EWOULDBLOCK; - 30d70: 00bffd44 movi r2,-11 - 30d74: 00000106 br 30d7c + 3044c: 00bffd44 movi r2,-11 + 30450: 00000106 br 30458 else return -EIO; - 30d78: 00bffec4 movi r2,-5 + 30454: 00bffec4 movi r2,-5 } - 30d7c: e037883a mov sp,fp - 30d80: dfc00117 ldw ra,4(sp) - 30d84: df000017 ldw fp,0(sp) - 30d88: dec00204 addi sp,sp,8 - 30d8c: f800283a ret + 30458: e037883a mov sp,fp + 3045c: dfc00117 ldw ra,4(sp) + 30460: df000017 ldw fp,0(sp) + 30464: dec00204 addi sp,sp,8 + 30468: f800283a ret -00030d90 : +0003046c : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, const char * ptr, int count, int flags) { - 30d90: defff304 addi sp,sp,-52 - 30d94: dfc00c15 stw ra,48(sp) - 30d98: df000b15 stw fp,44(sp) - 30d9c: df000b04 addi fp,sp,44 - 30da0: e13ffc15 stw r4,-16(fp) - 30da4: e17ffd15 stw r5,-12(fp) - 30da8: e1bffe15 stw r6,-8(fp) - 30dac: e1ffff15 stw r7,-4(fp) + 3046c: defff304 addi sp,sp,-52 + 30470: dfc00c15 stw ra,48(sp) + 30474: df000b15 stw fp,44(sp) + 30478: df000b04 addi fp,sp,44 + 3047c: e13ffc15 stw r4,-16(fp) + 30480: e17ffd15 stw r5,-12(fp) + 30484: e1bffe15 stw r6,-8(fp) + 30488: e1ffff15 stw r7,-4(fp) /* Remove warning at optimisation level 03 by seting out to 0 */ unsigned int in, out=0; - 30db0: e03ff515 stw zero,-44(fp) + 3048c: e03ff515 stw zero,-44(fp) unsigned int n; alt_irq_context context; const char * start = ptr; - 30db4: e0bffd17 ldw r2,-12(fp) - 30db8: e0bff715 stw r2,-36(fp) + 30490: e0bffd17 ldw r2,-12(fp) + 30494: e0bff715 stw r2,-36(fp) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 30dbc: 00003706 br 30e9c + 30498: 00003706 br 30578 { /* We need a stable value of the out pointer to calculate the space available */ in = sp->tx_in; - 30dc0: e0bffc17 ldw r2,-16(fp) - 30dc4: 10800c17 ldw r2,48(r2) - 30dc8: e0bff915 stw r2,-28(fp) + 3049c: e0bffc17 ldw r2,-16(fp) + 304a0: 10800c17 ldw r2,48(r2) + 304a4: e0bff915 stw r2,-28(fp) out = sp->tx_out; - 30dcc: e0bffc17 ldw r2,-16(fp) - 30dd0: 10800d17 ldw r2,52(r2) - 30dd4: e0bff515 stw r2,-44(fp) + 304a8: e0bffc17 ldw r2,-16(fp) + 304ac: 10800d17 ldw r2,52(r2) + 304b0: e0bff515 stw r2,-44(fp) if (in < out) - 30dd8: e0fff917 ldw r3,-28(fp) - 30ddc: e0bff517 ldw r2,-44(fp) - 30de0: 1880062e bgeu r3,r2,30dfc + 304b4: e0fff917 ldw r3,-28(fp) + 304b8: e0bff517 ldw r2,-44(fp) + 304bc: 1880062e bgeu r3,r2,304d8 n = out - 1 - in; - 30de4: e0fff517 ldw r3,-44(fp) - 30de8: e0bff917 ldw r2,-28(fp) - 30dec: 1885c83a sub r2,r3,r2 - 30df0: 10bfffc4 addi r2,r2,-1 - 30df4: e0bff615 stw r2,-40(fp) - 30df8: 00000b06 br 30e28 + 304c0: e0fff517 ldw r3,-44(fp) + 304c4: e0bff917 ldw r2,-28(fp) + 304c8: 1885c83a sub r2,r3,r2 + 304cc: 10bfffc4 addi r2,r2,-1 + 304d0: e0bff615 stw r2,-40(fp) + 304d4: 00000b06 br 30504 else if (out > 0) - 30dfc: e0bff517 ldw r2,-44(fp) - 30e00: 10000526 beq r2,zero,30e18 + 304d8: e0bff517 ldw r2,-44(fp) + 304dc: 10000526 beq r2,zero,304f4 n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; - 30e04: 00c20004 movi r3,2048 - 30e08: e0bff917 ldw r2,-28(fp) - 30e0c: 1885c83a sub r2,r3,r2 - 30e10: e0bff615 stw r2,-40(fp) - 30e14: 00000406 br 30e28 + 304e0: 00c20004 movi r3,2048 + 304e4: e0bff917 ldw r2,-28(fp) + 304e8: 1885c83a sub r2,r3,r2 + 304ec: e0bff615 stw r2,-40(fp) + 304f0: 00000406 br 30504 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; - 30e18: 00c1ffc4 movi r3,2047 - 30e1c: e0bff917 ldw r2,-28(fp) - 30e20: 1885c83a sub r2,r3,r2 - 30e24: e0bff615 stw r2,-40(fp) + 304f4: 00c1ffc4 movi r3,2047 + 304f8: e0bff917 ldw r2,-28(fp) + 304fc: 1885c83a sub r2,r3,r2 + 30500: e0bff615 stw r2,-40(fp) if (n == 0) - 30e28: e0bff617 ldw r2,-40(fp) - 30e2c: 10001e26 beq r2,zero,30ea8 + 30504: e0bff617 ldw r2,-40(fp) + 30508: 10001e26 beq r2,zero,30584 break; if (n > count) - 30e30: e0fffe17 ldw r3,-8(fp) - 30e34: e0bff617 ldw r2,-40(fp) - 30e38: 1880022e bgeu r3,r2,30e44 + 3050c: e0fffe17 ldw r3,-8(fp) + 30510: e0bff617 ldw r2,-40(fp) + 30514: 1880022e bgeu r3,r2,30520 n = count; - 30e3c: e0bffe17 ldw r2,-8(fp) - 30e40: e0bff615 stw r2,-40(fp) + 30518: e0bffe17 ldw r2,-8(fp) + 3051c: e0bff615 stw r2,-40(fp) memcpy(sp->tx_buf + in, ptr, n); - 30e44: e0bffc17 ldw r2,-16(fp) - 30e48: 10c20e04 addi r3,r2,2104 - 30e4c: e0bff917 ldw r2,-28(fp) - 30e50: 1885883a add r2,r3,r2 - 30e54: e1bff617 ldw r6,-40(fp) - 30e58: e17ffd17 ldw r5,-12(fp) - 30e5c: 1009883a mov r4,r2 - 30e60: 00288ec0 call 288ec + 30520: e0bffc17 ldw r2,-16(fp) + 30524: 10c20e04 addi r3,r2,2104 + 30528: e0bff917 ldw r2,-28(fp) + 3052c: 1885883a add r2,r3,r2 + 30530: e1bff617 ldw r6,-40(fp) + 30534: e17ffd17 ldw r5,-12(fp) + 30538: 1009883a mov r4,r2 + 3053c: 00283280 call 28328 ptr += n; - 30e64: e0fffd17 ldw r3,-12(fp) - 30e68: e0bff617 ldw r2,-40(fp) - 30e6c: 1885883a add r2,r3,r2 - 30e70: e0bffd15 stw r2,-12(fp) + 30540: e0fffd17 ldw r3,-12(fp) + 30544: e0bff617 ldw r2,-40(fp) + 30548: 1885883a add r2,r3,r2 + 3054c: e0bffd15 stw r2,-12(fp) count -= n; - 30e74: e0fffe17 ldw r3,-8(fp) - 30e78: e0bff617 ldw r2,-40(fp) - 30e7c: 1885c83a sub r2,r3,r2 - 30e80: e0bffe15 stw r2,-8(fp) + 30550: e0fffe17 ldw r3,-8(fp) + 30554: e0bff617 ldw r2,-40(fp) + 30558: 1885c83a sub r2,r3,r2 + 3055c: e0bffe15 stw r2,-8(fp) sp->tx_in = (in + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 30e84: e0fff917 ldw r3,-28(fp) - 30e88: e0bff617 ldw r2,-40(fp) - 30e8c: 1885883a add r2,r3,r2 - 30e90: 10c1ffcc andi r3,r2,2047 - 30e94: e0bffc17 ldw r2,-16(fp) - 30e98: 10c00c15 stw r3,48(r2) + 30560: e0fff917 ldw r3,-28(fp) + 30564: e0bff617 ldw r2,-40(fp) + 30568: 1885883a add r2,r3,r2 + 3056c: 10c1ffcc andi r3,r2,2047 + 30570: e0bffc17 ldw r2,-16(fp) + 30574: 10c00c15 stw r3,48(r2) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 30e9c: e0bffe17 ldw r2,-8(fp) - 30ea0: 00bfc716 blt zero,r2,30dc0 - 30ea4: 00000106 br 30eac + 30578: e0bffe17 ldw r2,-8(fp) + 3057c: 00bfc716 blt zero,r2,3049c <_gp+0xffff3f64> + 30580: 00000106 br 30588 n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; if (n == 0) break; - 30ea8: 0001883a nop + 30584: 0001883a nop static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 30eac: 0005303a rdctl r2,status - 30eb0: e0bffb15 stw r2,-20(fp) + 30588: 0005303a rdctl r2,status + 3058c: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30eb4: e0fffb17 ldw r3,-20(fp) - 30eb8: 00bfff84 movi r2,-2 - 30ebc: 1884703a and r2,r3,r2 - 30ec0: 1001703a wrctl status,r2 + 30590: e0fffb17 ldw r3,-20(fp) + 30594: 00bfff84 movi r2,-2 + 30598: 1884703a and r2,r3,r2 + 3059c: 1001703a wrctl status,r2 return context; - 30ec4: e0bffb17 ldw r2,-20(fp) + 305a0: e0bffb17 ldw r2,-20(fp) * to enable interrupts if there is no space left in the FIFO * * For now kick the interrupt routine every time to make it transmit * the data */ context = alt_irq_disable_all(); - 30ec8: e0bffa15 stw r2,-24(fp) + 305a4: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 30ecc: e0bffc17 ldw r2,-16(fp) - 30ed0: 10800817 ldw r2,32(r2) - 30ed4: 10c00094 ori r3,r2,2 - 30ed8: e0bffc17 ldw r2,-16(fp) - 30edc: 10c00815 stw r3,32(r2) + 305a8: e0bffc17 ldw r2,-16(fp) + 305ac: 10800817 ldw r2,32(r2) + 305b0: 10c00094 ori r3,r2,2 + 305b4: e0bffc17 ldw r2,-16(fp) + 305b8: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 30ee0: e0bffc17 ldw r2,-16(fp) - 30ee4: 10800017 ldw r2,0(r2) - 30ee8: 10800104 addi r2,r2,4 - 30eec: 1007883a mov r3,r2 - 30ef0: e0bffc17 ldw r2,-16(fp) - 30ef4: 10800817 ldw r2,32(r2) - 30ef8: 18800035 stwio r2,0(r3) - 30efc: e0bffa17 ldw r2,-24(fp) - 30f00: e0bff815 stw r2,-32(fp) + 305bc: e0bffc17 ldw r2,-16(fp) + 305c0: 10800017 ldw r2,0(r2) + 305c4: 10800104 addi r2,r2,4 + 305c8: 1007883a mov r3,r2 + 305cc: e0bffc17 ldw r2,-16(fp) + 305d0: 10800817 ldw r2,32(r2) + 305d4: 18800035 stwio r2,0(r3) + 305d8: e0bffa17 ldw r2,-24(fp) + 305dc: e0bff815 stw r2,-32(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 30f04: e0bff817 ldw r2,-32(fp) - 30f08: 1001703a wrctl status,r2 + 305e0: e0bff817 ldw r2,-32(fp) + 305e4: 1001703a wrctl status,r2 /* * If there is any data left then either return now or block until * some has been sent */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) - 30f0c: e0bffe17 ldw r2,-8(fp) - 30f10: 0080100e bge zero,r2,30f54 + 305e8: e0bffe17 ldw r2,-8(fp) + 305ec: 0080100e bge zero,r2,30630 { if (flags & O_NONBLOCK) - 30f14: e0bfff17 ldw r2,-4(fp) - 30f18: 1090000c andi r2,r2,16384 - 30f1c: 1000101e bne r2,zero,30f60 + 305f0: e0bfff17 ldw r2,-4(fp) + 305f4: 1090000c andi r2,r2,16384 + 305f8: 1000101e bne r2,zero,3063c /* * No OS present: Always wait for data to be removed from buffer. Once * the interrupt routine has removed some data then we will be able to * insert some more. */ while (out == sp->tx_out && sp->host_inactive < sp->timeout) - 30f20: 0001883a nop - 30f24: e0bffc17 ldw r2,-16(fp) - 30f28: 10c00d17 ldw r3,52(r2) - 30f2c: e0bff517 ldw r2,-44(fp) - 30f30: 1880051e bne r3,r2,30f48 - 30f34: e0bffc17 ldw r2,-16(fp) - 30f38: 10c00917 ldw r3,36(r2) - 30f3c: e0bffc17 ldw r2,-16(fp) - 30f40: 10800117 ldw r2,4(r2) - 30f44: 18bff736 bltu r3,r2,30f24 + 305fc: 0001883a nop + 30600: e0bffc17 ldw r2,-16(fp) + 30604: 10c00d17 ldw r3,52(r2) + 30608: e0bff517 ldw r2,-44(fp) + 3060c: 1880051e bne r3,r2,30624 + 30610: e0bffc17 ldw r2,-16(fp) + 30614: 10c00917 ldw r3,36(r2) + 30618: e0bffc17 ldw r2,-16(fp) + 3061c: 10800117 ldw r2,4(r2) + 30620: 18bff736 bltu r3,r2,30600 <_gp+0xffff40c8> ; #endif /* __ucosii__ */ if (sp->host_inactive) - 30f48: e0bffc17 ldw r2,-16(fp) - 30f4c: 10800917 ldw r2,36(r2) - 30f50: 1000051e bne r2,zero,30f68 + 30624: e0bffc17 ldw r2,-16(fp) + 30628: 10800917 ldw r2,36(r2) + 3062c: 1000051e bne r2,zero,30644 break; } } while (count > 0); - 30f54: e0bffe17 ldw r2,-8(fp) - 30f58: 00bfd016 blt zero,r2,30e9c - 30f5c: 00000306 br 30f6c + 30630: e0bffe17 ldw r2,-8(fp) + 30634: 00bfd016 blt zero,r2,30578 <_gp+0xffff4040> + 30638: 00000306 br 30648 */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) { if (flags & O_NONBLOCK) break; - 30f60: 0001883a nop - 30f64: 00000106 br 30f6c + 3063c: 0001883a nop + 30640: 00000106 br 30648 while (out == sp->tx_out && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (sp->host_inactive) break; - 30f68: 0001883a nop + 30644: 0001883a nop * Now that access to the circular buffer is complete, release the write * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->write_lock); if (ptr != start) - 30f6c: e0fffd17 ldw r3,-12(fp) - 30f70: e0bff717 ldw r2,-36(fp) - 30f74: 18800426 beq r3,r2,30f88 + 30648: e0fffd17 ldw r3,-12(fp) + 3064c: e0bff717 ldw r2,-36(fp) + 30650: 18800426 beq r3,r2,30664 return ptr - start; - 30f78: e0fffd17 ldw r3,-12(fp) - 30f7c: e0bff717 ldw r2,-36(fp) - 30f80: 1885c83a sub r2,r3,r2 - 30f84: 00000606 br 30fa0 + 30654: e0fffd17 ldw r3,-12(fp) + 30658: e0bff717 ldw r2,-36(fp) + 3065c: 1885c83a sub r2,r3,r2 + 30660: 00000606 br 3067c else if (flags & O_NONBLOCK) - 30f88: e0bfff17 ldw r2,-4(fp) - 30f8c: 1090000c andi r2,r2,16384 - 30f90: 10000226 beq r2,zero,30f9c + 30664: e0bfff17 ldw r2,-4(fp) + 30668: 1090000c andi r2,r2,16384 + 3066c: 10000226 beq r2,zero,30678 return -EWOULDBLOCK; - 30f94: 00bffd44 movi r2,-11 - 30f98: 00000106 br 30fa0 + 30670: 00bffd44 movi r2,-11 + 30674: 00000106 br 3067c sp->tx_out = sp->tx_in = 0; return ptr - start + count; } #endif else return -EIO; /* Host not connected */ - 30f9c: 00bffec4 movi r2,-5 + 30678: 00bffec4 movi r2,-5 } - 30fa0: e037883a mov sp,fp - 30fa4: dfc00117 ldw ra,4(sp) - 30fa8: df000017 ldw fp,0(sp) - 30fac: dec00204 addi sp,sp,8 - 30fb0: f800283a ret + 3067c: e037883a mov sp,fp + 30680: dfc00117 ldw ra,4(sp) + 30684: df000017 ldw fp,0(sp) + 30688: dec00204 addi sp,sp,8 + 3068c: f800283a ret -00030fb4 : +00030690 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void alt_avalon_timer_sc_irq (void* base) #else static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) #endif { - 30fb4: defffa04 addi sp,sp,-24 - 30fb8: dfc00515 stw ra,20(sp) - 30fbc: df000415 stw fp,16(sp) - 30fc0: df000404 addi fp,sp,16 - 30fc4: e13fff15 stw r4,-4(fp) + 30690: defffa04 addi sp,sp,-24 + 30694: dfc00515 stw ra,20(sp) + 30698: df000415 stw fp,16(sp) + 3069c: df000404 addi fp,sp,16 + 306a0: e13fff15 stw r4,-4(fp) alt_irq_context cpu_sr; /* clear the interrupt */ IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); - 30fc8: 0007883a mov r3,zero - 30fcc: e0bfff17 ldw r2,-4(fp) - 30fd0: 10c00035 stwio r3,0(r2) + 306a4: 0007883a mov r3,zero + 306a8: e0bfff17 ldw r2,-4(fp) + 306ac: 10c00035 stwio r3,0(r2) /* * Dummy read to ensure IRQ is negated before the ISR returns. * The control register is read because reading the status * register has side-effects per the register map documentation. */ IORD_ALTERA_AVALON_TIMER_CONTROL (base); - 30fd4: e0bfff17 ldw r2,-4(fp) - 30fd8: 10800104 addi r2,r2,4 - 30fdc: 10800037 ldwio r2,0(r2) + 306b0: e0bfff17 ldw r2,-4(fp) + 306b4: 10800104 addi r2,r2,4 + 306b8: 10800037 ldwio r2,0(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 30fe0: 0005303a rdctl r2,status - 30fe4: e0bffd15 stw r2,-12(fp) + 306bc: 0005303a rdctl r2,status + 306c0: e0bffd15 stw r2,-12(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30fe8: e0fffd17 ldw r3,-12(fp) - 30fec: 00bfff84 movi r2,-2 - 30ff0: 1884703a and r2,r3,r2 - 30ff4: 1001703a wrctl status,r2 + 306c4: e0fffd17 ldw r3,-12(fp) + 306c8: 00bfff84 movi r2,-2 + 306cc: 1884703a and r2,r3,r2 + 306d0: 1001703a wrctl status,r2 return context; - 30ff8: e0bffd17 ldw r2,-12(fp) + 306d4: e0bffd17 ldw r2,-12(fp) /* * Notify the system of a clock tick. disable interrupts * during this time to safely support ISR preemption */ cpu_sr = alt_irq_disable_all(); - 30ffc: e0bffc15 stw r2,-16(fp) + 306d8: e0bffc15 stw r2,-16(fp) alt_tick (); - 31000: 00301e80 call 301e8 - 31004: e0bffc17 ldw r2,-16(fp) - 31008: e0bffe15 stw r2,-8(fp) + 306dc: 002f8c40 call 2f8c4 + 306e0: e0bffc17 ldw r2,-16(fp) + 306e4: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 3100c: e0bffe17 ldw r2,-8(fp) - 31010: 1001703a wrctl status,r2 + 306e8: e0bffe17 ldw r2,-8(fp) + 306ec: 1001703a wrctl status,r2 alt_irq_enable_all(cpu_sr); } - 31014: 0001883a nop - 31018: e037883a mov sp,fp - 3101c: dfc00117 ldw ra,4(sp) - 31020: df000017 ldw fp,0(sp) - 31024: dec00204 addi sp,sp,8 - 31028: f800283a ret + 306f0: 0001883a nop + 306f4: e037883a mov sp,fp + 306f8: dfc00117 ldw ra,4(sp) + 306fc: df000017 ldw fp,0(sp) + 30700: dec00204 addi sp,sp,8 + 30704: f800283a ret -0003102c : +00030708 : * auto-generated alt_sys_init() function. */ void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, alt_u32 irq, alt_u32 freq) { - 3102c: defff804 addi sp,sp,-32 - 31030: dfc00715 stw ra,28(sp) - 31034: df000615 stw fp,24(sp) - 31038: df000604 addi fp,sp,24 - 3103c: e13ffc15 stw r4,-16(fp) - 31040: e17ffd15 stw r5,-12(fp) - 31044: e1bffe15 stw r6,-8(fp) - 31048: e1ffff15 stw r7,-4(fp) - 3104c: e0bfff17 ldw r2,-4(fp) - 31050: e0bffb15 stw r2,-20(fp) + 30708: defff804 addi sp,sp,-32 + 3070c: dfc00715 stw ra,28(sp) + 30710: df000615 stw fp,24(sp) + 30714: df000604 addi fp,sp,24 + 30718: e13ffc15 stw r4,-16(fp) + 3071c: e17ffd15 stw r5,-12(fp) + 30720: e1bffe15 stw r6,-8(fp) + 30724: e1ffff15 stw r7,-4(fp) + 30728: e0bfff17 ldw r2,-4(fp) + 3072c: e0bffb15 stw r2,-20(fp) * in order to initialise the value of the clock frequency. */ static ALT_INLINE int ALT_ALWAYS_INLINE alt_sysclk_init (alt_u32 nticks) { if (! _alt_tick_rate) - 31054: 008000f4 movhi r2,3 - 31058: 109c6004 addi r2,r2,29056 - 3105c: 10800017 ldw r2,0(r2) - 31060: 1000041e bne r2,zero,31074 + 30730: 008000f4 movhi r2,3 + 30734: 109a1304 addi r2,r2,26700 + 30738: 10800017 ldw r2,0(r2) + 3073c: 1000041e bne r2,zero,30750 { _alt_tick_rate = nticks; - 31064: 008000f4 movhi r2,3 - 31068: 109c6004 addi r2,r2,29056 - 3106c: e0fffb17 ldw r3,-20(fp) - 31070: 10c00015 stw r3,0(r2) + 30740: 008000f4 movhi r2,3 + 30744: 109a1304 addi r2,r2,26700 + 30748: e0fffb17 ldw r3,-20(fp) + 3074c: 10c00015 stw r3,0(r2) alt_sysclk_init (freq); /* set to free running mode */ IOWR_ALTERA_AVALON_TIMER_CONTROL (base, - 31074: e0bffc17 ldw r2,-16(fp) - 31078: 10800104 addi r2,r2,4 - 3107c: 00c001c4 movi r3,7 - 31080: 10c00035 stwio r3,0(r2) + 30750: e0bffc17 ldw r2,-16(fp) + 30754: 10800104 addi r2,r2,4 + 30758: 00c001c4 movi r3,7 + 3075c: 10c00035 stwio r3,0(r2) ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | ALTERA_AVALON_TIMER_CONTROL_START_MSK); /* register the interrupt handler, and enable the interrupt */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, - 31084: d8000015 stw zero,0(sp) - 31088: e1fffc17 ldw r7,-16(fp) - 3108c: 018000f4 movhi r6,3 - 31090: 3183ed04 addi r6,r6,4020 - 31094: e17ffe17 ldw r5,-8(fp) - 31098: e13ffd17 ldw r4,-12(fp) - 3109c: 00315800 call 31580 + 30760: d8000015 stw zero,0(sp) + 30764: e1fffc17 ldw r7,-16(fp) + 30768: 018000f4 movhi r6,3 + 3076c: 3181a404 addi r6,r6,1680 + 30770: e17ffe17 ldw r5,-8(fp) + 30774: e13ffd17 ldw r4,-12(fp) + 30778: 0030c5c0 call 30c5c base, NULL); #else alt_irq_register (irq, base, alt_avalon_timer_sc_irq); #endif } - 310a0: 0001883a nop - 310a4: e037883a mov sp,fp - 310a8: dfc00117 ldw ra,4(sp) - 310ac: df000017 ldw fp,0(sp) - 310b0: dec00204 addi sp,sp,8 - 310b4: f800283a ret + 3077c: 0001883a nop + 30780: e037883a mov sp,fp + 30784: dfc00117 ldw ra,4(sp) + 30788: df000017 ldw fp,0(sp) + 3078c: dec00204 addi sp,sp,8 + 30790: f800283a ret -000310b8 : +00030794 : */ int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, alt_u32 (*callback) (void* context), void* context) { - 310b8: defff504 addi sp,sp,-44 - 310bc: df000a15 stw fp,40(sp) - 310c0: df000a04 addi fp,sp,40 - 310c4: e13ffc15 stw r4,-16(fp) - 310c8: e17ffd15 stw r5,-12(fp) - 310cc: e1bffe15 stw r6,-8(fp) - 310d0: e1ffff15 stw r7,-4(fp) + 30794: defff504 addi sp,sp,-44 + 30798: df000a15 stw fp,40(sp) + 3079c: df000a04 addi fp,sp,40 + 307a0: e13ffc15 stw r4,-16(fp) + 307a4: e17ffd15 stw r5,-12(fp) + 307a8: e1bffe15 stw r6,-8(fp) + 307ac: e1ffff15 stw r7,-4(fp) alt_irq_context irq_context; alt_u32 current_nticks = 0; - 310d4: e03ff615 stw zero,-40(fp) + 307b0: e03ff615 stw zero,-40(fp) * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 310d8: 008000f4 movhi r2,3 - 310dc: 109c6004 addi r2,r2,29056 - 310e0: 10800017 ldw r2,0(r2) + 307b4: 008000f4 movhi r2,3 + 307b8: 109a1304 addi r2,r2,26700 + 307bc: 10800017 ldw r2,0(r2) if (alt_ticks_per_second ()) - 310e4: 10003f26 beq r2,zero,311e4 + 307c0: 10003f26 beq r2,zero,308c0 { if (alarm) - 310e8: e0bffc17 ldw r2,-16(fp) - 310ec: 10003b26 beq r2,zero,311dc + 307c4: e0bffc17 ldw r2,-16(fp) + 307c8: 10003b26 beq r2,zero,308b8 { alarm->callback = callback; - 310f0: e0bffc17 ldw r2,-16(fp) - 310f4: e0fffe17 ldw r3,-8(fp) - 310f8: 10c00315 stw r3,12(r2) + 307cc: e0bffc17 ldw r2,-16(fp) + 307d0: e0fffe17 ldw r3,-8(fp) + 307d4: 10c00315 stw r3,12(r2) alarm->context = context; - 310fc: e0bffc17 ldw r2,-16(fp) - 31100: e0ffff17 ldw r3,-4(fp) - 31104: 10c00515 stw r3,20(r2) + 307d8: e0bffc17 ldw r2,-16(fp) + 307dc: e0ffff17 ldw r3,-4(fp) + 307e0: 10c00515 stw r3,20(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 31108: 0005303a rdctl r2,status - 3110c: e0bff915 stw r2,-28(fp) + 307e4: 0005303a rdctl r2,status + 307e8: e0bff915 stw r2,-28(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 31110: e0fff917 ldw r3,-28(fp) - 31114: 00bfff84 movi r2,-2 - 31118: 1884703a and r2,r3,r2 - 3111c: 1001703a wrctl status,r2 + 307ec: e0fff917 ldw r3,-28(fp) + 307f0: 00bfff84 movi r2,-2 + 307f4: 1884703a and r2,r3,r2 + 307f8: 1001703a wrctl status,r2 return context; - 31120: e0bff917 ldw r2,-28(fp) + 307fc: e0bff917 ldw r2,-28(fp) irq_context = alt_irq_disable_all (); - 31124: e0bff815 stw r2,-32(fp) + 30800: e0bff815 stw r2,-32(fp) * alt_nticks() returns the elapsed number of system clock ticks since reset. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; - 31128: 008000f4 movhi r2,3 - 3112c: 109c6104 addi r2,r2,29060 - 31130: 10800017 ldw r2,0(r2) + 30804: 008000f4 movhi r2,3 + 30808: 109a1404 addi r2,r2,26704 + 3080c: 10800017 ldw r2,0(r2) current_nticks = alt_nticks(); - 31134: e0bff615 stw r2,-40(fp) + 30810: e0bff615 stw r2,-40(fp) alarm->time = nticks + current_nticks + 1; - 31138: e0fffd17 ldw r3,-12(fp) - 3113c: e0bff617 ldw r2,-40(fp) - 31140: 1885883a add r2,r3,r2 - 31144: 10c00044 addi r3,r2,1 - 31148: e0bffc17 ldw r2,-16(fp) - 3114c: 10c00215 stw r3,8(r2) + 30814: e0fffd17 ldw r3,-12(fp) + 30818: e0bff617 ldw r2,-40(fp) + 3081c: 1885883a add r2,r3,r2 + 30820: 10c00044 addi r3,r2,1 + 30824: e0bffc17 ldw r2,-16(fp) + 30828: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < current_nticks) - 31150: e0bffc17 ldw r2,-16(fp) - 31154: 10c00217 ldw r3,8(r2) - 31158: e0bff617 ldw r2,-40(fp) - 3115c: 1880042e bgeu r3,r2,31170 + 3082c: e0bffc17 ldw r2,-16(fp) + 30830: 10c00217 ldw r3,8(r2) + 30834: e0bff617 ldw r2,-40(fp) + 30838: 1880042e bgeu r3,r2,3084c { alarm->rollover = 1; - 31160: e0bffc17 ldw r2,-16(fp) - 31164: 00c00044 movi r3,1 - 31168: 10c00405 stb r3,16(r2) - 3116c: 00000206 br 31178 + 3083c: e0bffc17 ldw r2,-16(fp) + 30840: 00c00044 movi r3,1 + 30844: 10c00405 stb r3,16(r2) + 30848: 00000206 br 30854 } else { alarm->rollover = 0; - 31170: e0bffc17 ldw r2,-16(fp) - 31174: 10000405 stb zero,16(r2) + 3084c: e0bffc17 ldw r2,-16(fp) + 30850: 10000405 stb zero,16(r2) } alt_llist_insert (&alt_alarm_list, &alarm->llist); - 31178: e0fffc17 ldw r3,-16(fp) - 3117c: 008000f4 movhi r2,3 - 31180: 1093ab04 addi r2,r2,20140 - 31184: e0bffa15 stw r2,-24(fp) - 31188: e0fffb15 stw r3,-20(fp) + 30854: e0fffc17 ldw r3,-16(fp) + 30858: 008000f4 movhi r2,3 + 3085c: 10915e04 addi r2,r2,17784 + 30860: e0bffa15 stw r2,-24(fp) + 30864: e0fffb15 stw r3,-20(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 3118c: e0bffb17 ldw r2,-20(fp) - 31190: e0fffa17 ldw r3,-24(fp) - 31194: 10c00115 stw r3,4(r2) + 30868: e0bffb17 ldw r2,-20(fp) + 3086c: e0fffa17 ldw r3,-24(fp) + 30870: 10c00115 stw r3,4(r2) entry->next = list->next; - 31198: e0bffa17 ldw r2,-24(fp) - 3119c: 10c00017 ldw r3,0(r2) - 311a0: e0bffb17 ldw r2,-20(fp) - 311a4: 10c00015 stw r3,0(r2) + 30874: e0bffa17 ldw r2,-24(fp) + 30878: 10c00017 ldw r3,0(r2) + 3087c: e0bffb17 ldw r2,-20(fp) + 30880: 10c00015 stw r3,0(r2) list->next->previous = entry; - 311a8: e0bffa17 ldw r2,-24(fp) - 311ac: 10800017 ldw r2,0(r2) - 311b0: e0fffb17 ldw r3,-20(fp) - 311b4: 10c00115 stw r3,4(r2) + 30884: e0bffa17 ldw r2,-24(fp) + 30888: 10800017 ldw r2,0(r2) + 3088c: e0fffb17 ldw r3,-20(fp) + 30890: 10c00115 stw r3,4(r2) list->next = entry; - 311b8: e0bffa17 ldw r2,-24(fp) - 311bc: e0fffb17 ldw r3,-20(fp) - 311c0: 10c00015 stw r3,0(r2) - 311c4: e0bff817 ldw r2,-32(fp) - 311c8: e0bff715 stw r2,-36(fp) + 30894: e0bffa17 ldw r2,-24(fp) + 30898: e0fffb17 ldw r3,-20(fp) + 3089c: 10c00015 stw r3,0(r2) + 308a0: e0bff817 ldw r2,-32(fp) + 308a4: e0bff715 stw r2,-36(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 311cc: e0bff717 ldw r2,-36(fp) - 311d0: 1001703a wrctl status,r2 + 308a8: e0bff717 ldw r2,-36(fp) + 308ac: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); return 0; - 311d4: 0005883a mov r2,zero - 311d8: 00000306 br 311e8 + 308b0: 0005883a mov r2,zero + 308b4: 00000306 br 308c4 } else { return -EINVAL; - 311dc: 00bffa84 movi r2,-22 - 311e0: 00000106 br 311e8 + 308b8: 00bffa84 movi r2,-22 + 308bc: 00000106 br 308c4 } } else { return -ENOTSUP; - 311e4: 00bfde84 movi r2,-134 + 308c0: 00bfde84 movi r2,-134 } } - 311e8: e037883a mov sp,fp - 311ec: df000017 ldw fp,0(sp) - 311f0: dec00104 addi sp,sp,4 - 311f4: f800283a ret + 308c4: e037883a mov sp,fp + 308c8: df000017 ldw fp,0(sp) + 308cc: dec00104 addi sp,sp,4 + 308d0: f800283a ret -000311f8 : +000308d4 : #include "alt_types.h" #include "priv/alt_busy_sleep.h" unsigned int alt_busy_sleep (unsigned int us) { - 311f8: defffa04 addi sp,sp,-24 - 311fc: dfc00515 stw ra,20(sp) - 31200: df000415 stw fp,16(sp) - 31204: df000404 addi fp,sp,16 - 31208: e13fff15 stw r4,-4(fp) + 308d4: defffa04 addi sp,sp,-24 + 308d8: dfc00515 stw ra,20(sp) + 308dc: df000415 stw fp,16(sp) + 308e0: df000404 addi fp,sp,16 + 308e4: e13fff15 stw r4,-4(fp) { cycles_per_loop = 9; } else { cycles_per_loop = 3; - 3120c: 008000c4 movi r2,3 - 31210: e0bffd15 stw r2,-12(fp) + 308e8: 008000c4 movi r2,3 + 308ec: e0bffd15 stw r2,-12(fp) } big_loops = us / (INT_MAX/ (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); - 31214: e0fffd17 ldw r3,-12(fp) - 31218: 008003f4 movhi r2,15 - 3121c: 10909004 addi r2,r2,16960 - 31220: 1885383a mul r2,r3,r2 - 31224: 100b883a mov r5,r2 - 31228: 0100bef4 movhi r4,763 - 3122c: 213c2004 addi r4,r4,-3968 - 31230: 0022a200 call 22a20 <__udivsi3> + 308f0: e0fffd17 ldw r3,-12(fp) + 308f4: 008003f4 movhi r2,15 + 308f8: 10909004 addi r2,r2,16960 + 308fc: 1885383a mul r2,r3,r2 + 30900: 100b883a mov r5,r2 + 30904: 0100bef4 movhi r4,763 + 30908: 213c2004 addi r4,r4,-3968 + 3090c: 00225dc0 call 225dc <__udivsi3> { cycles_per_loop = 3; } big_loops = us / (INT_MAX/ - 31234: 100b883a mov r5,r2 - 31238: 01200034 movhi r4,32768 - 3123c: 213fffc4 addi r4,r4,-1 - 31240: 0022a200 call 22a20 <__udivsi3> - 31244: 100b883a mov r5,r2 - 31248: e13fff17 ldw r4,-4(fp) - 3124c: 0022a200 call 22a20 <__udivsi3> - 31250: e0bffe15 stw r2,-8(fp) + 30910: 100b883a mov r5,r2 + 30914: 01200034 movhi r4,32768 + 30918: 213fffc4 addi r4,r4,-1 + 3091c: 00225dc0 call 225dc <__udivsi3> + 30920: 100b883a mov r5,r2 + 30924: e13fff17 ldw r4,-4(fp) + 30928: 00225dc0 call 225dc <__udivsi3> + 3092c: e0bffe15 stw r2,-8(fp) (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); if (big_loops) - 31254: e0bffe17 ldw r2,-8(fp) - 31258: 10002a26 beq r2,zero,31304 + 30930: e0bffe17 ldw r2,-8(fp) + 30934: 10002a26 beq r2,zero,309e0 { for(i=0;i + 30938: e03ffc15 stw zero,-16(fp) + 3093c: 00001706 br 3099c /* * Do NOT Try to single step the asm statement below * (single step will never return) * Step out of this function or set a breakpoint after the asm statements */ __asm__ volatile ( - 31264: 00a00034 movhi r2,32768 - 31268: 10bfffc4 addi r2,r2,-1 - 3126c: 10bfffc4 addi r2,r2,-1 - 31270: 103ffe1e bne r2,zero,3126c + 30940: 00a00034 movhi r2,32768 + 30944: 10bfffc4 addi r2,r2,-1 + 30948: 10bfffc4 addi r2,r2,-1 + 3094c: 103ffe1e bne r2,zero,30948 <_gp+0xffff4410> "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (INT_MAX)); us -= (INT_MAX/(ALT_CPU_FREQ/ (cycles_per_loop * 1000000))); - 31274: e0fffd17 ldw r3,-12(fp) - 31278: 008003f4 movhi r2,15 - 3127c: 10909004 addi r2,r2,16960 - 31280: 1885383a mul r2,r3,r2 + 30950: e0fffd17 ldw r3,-12(fp) + 30954: 008003f4 movhi r2,15 + 30958: 10909004 addi r2,r2,16960 + 3095c: 1885383a mul r2,r3,r2 "\n1:" "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (INT_MAX)); us -= (INT_MAX/(ALT_CPU_FREQ/ - 31284: 100b883a mov r5,r2 - 31288: 0100bef4 movhi r4,763 - 3128c: 213c2004 addi r4,r4,-3968 - 31290: 0022a200 call 22a20 <__udivsi3> - 31294: 100b883a mov r5,r2 - 31298: 01200034 movhi r4,32768 - 3129c: 213fffc4 addi r4,r4,-1 - 312a0: 0022a200 call 22a20 <__udivsi3> - 312a4: 1007883a mov r3,r2 - 312a8: e0bfff17 ldw r2,-4(fp) - 312ac: 10c5c83a sub r2,r2,r3 - 312b0: e0bfff15 stw r2,-4(fp) + 30960: 100b883a mov r5,r2 + 30964: 0100bef4 movhi r4,763 + 30968: 213c2004 addi r4,r4,-3968 + 3096c: 00225dc0 call 225dc <__udivsi3> + 30970: 100b883a mov r5,r2 + 30974: 01200034 movhi r4,32768 + 30978: 213fffc4 addi r4,r4,-1 + 3097c: 00225dc0 call 225dc <__udivsi3> + 30980: 1007883a mov r3,r2 + 30984: e0bfff17 ldw r2,-4(fp) + 30988: 10c5c83a sub r2,r2,r3 + 3098c: e0bfff15 stw r2,-4(fp) big_loops = us / (INT_MAX/ (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); if (big_loops) { for(i=0;i + 30990: e0bffc17 ldw r2,-16(fp) + 30994: 10800044 addi r2,r2,1 + 30998: e0bffc15 stw r2,-16(fp) + 3099c: e0fffc17 ldw r3,-16(fp) + 309a0: e0bffe17 ldw r2,-8(fp) + 309a4: 18bfe616 blt r3,r2,30940 <_gp+0xffff4408> "\n\tbne %0,zero,0b" "\n1:" "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (us*(ALT_CPU_FREQ/(cycles_per_loop * 1000000)))); - 312cc: e0fffd17 ldw r3,-12(fp) - 312d0: 008003f4 movhi r2,15 - 312d4: 10909004 addi r2,r2,16960 - 312d8: 1885383a mul r2,r3,r2 - 312dc: 100b883a mov r5,r2 - 312e0: 0100bef4 movhi r4,763 - 312e4: 213c2004 addi r4,r4,-3968 - 312e8: 0022a200 call 22a20 <__udivsi3> - 312ec: 1007883a mov r3,r2 - 312f0: e0bfff17 ldw r2,-4(fp) - 312f4: 1885383a mul r2,r3,r2 + 309a8: e0fffd17 ldw r3,-12(fp) + 309ac: 008003f4 movhi r2,15 + 309b0: 10909004 addi r2,r2,16960 + 309b4: 1885383a mul r2,r3,r2 + 309b8: 100b883a mov r5,r2 + 309bc: 0100bef4 movhi r4,763 + 309c0: 213c2004 addi r4,r4,-3968 + 309c4: 00225dc0 call 225dc <__udivsi3> + 309c8: 1007883a mov r3,r2 + 309cc: e0bfff17 ldw r2,-4(fp) + 309d0: 1885383a mul r2,r3,r2 /* * Do NOT Try to single step the asm statement below * (single step will never return) * Step out of this function or set a breakpoint after the asm statements */ __asm__ volatile ( - 312f8: 10bfffc4 addi r2,r2,-1 - 312fc: 103ffe1e bne r2,zero,312f8 - 31300: 00000d06 br 31338 + 309d4: 10bfffc4 addi r2,r2,-1 + 309d8: 103ffe1e bne r2,zero,309d4 <_gp+0xffff449c> + 309dc: 00000d06 br 30a14 "\n\tbgt %0,zero,0b" "\n1:" "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (us*(ALT_CPU_FREQ/(cycles_per_loop * 1000000)))); - 31304: e0fffd17 ldw r3,-12(fp) - 31308: 008003f4 movhi r2,15 - 3130c: 10909004 addi r2,r2,16960 - 31310: 1885383a mul r2,r3,r2 - 31314: 100b883a mov r5,r2 - 31318: 0100bef4 movhi r4,763 - 3131c: 213c2004 addi r4,r4,-3968 - 31320: 0022a200 call 22a20 <__udivsi3> - 31324: 1007883a mov r3,r2 - 31328: e0bfff17 ldw r2,-4(fp) - 3132c: 1885383a mul r2,r3,r2 + 309e0: e0fffd17 ldw r3,-12(fp) + 309e4: 008003f4 movhi r2,15 + 309e8: 10909004 addi r2,r2,16960 + 309ec: 1885383a mul r2,r3,r2 + 309f0: 100b883a mov r5,r2 + 309f4: 0100bef4 movhi r4,763 + 309f8: 213c2004 addi r4,r4,-3968 + 309fc: 00225dc0 call 225dc <__udivsi3> + 30a00: 1007883a mov r3,r2 + 30a04: e0bfff17 ldw r2,-4(fp) + 30a08: 1885383a mul r2,r3,r2 /* * Do NOT Try to single step the asm statement below * (single step will never return) * Step out of this function or set a breakpoint after the asm statements */ __asm__ volatile ( - 31330: 10bfffc4 addi r2,r2,-1 - 31334: 00bffe16 blt zero,r2,31330 + 30a0c: 10bfffc4 addi r2,r2,-1 + 30a10: 00bffe16 blt zero,r2,30a0c <_gp+0xffff44d4> "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (us*(ALT_CPU_FREQ/(cycles_per_loop * 1000000)))); } #endif /* #ifndef ALT_SIM_OPTIMIZE */ return 0; - 31338: 0005883a mov r2,zero + 30a14: 0005883a mov r2,zero } - 3133c: e037883a mov sp,fp - 31340: dfc00117 ldw ra,4(sp) - 31344: df000017 ldw fp,0(sp) - 31348: dec00204 addi sp,sp,8 - 3134c: f800283a ret + 30a18: e037883a mov sp,fp + 30a1c: dfc00117 ldw ra,4(sp) + 30a20: df000017 ldw fp,0(sp) + 30a24: dec00204 addi sp,sp,8 + 30a28: f800283a ret -00031350 : +00030a2c : /* * alt_dcache_flush_all() is called to flush the entire data cache. */ void alt_dcache_flush_all (void) { - 31350: defffe04 addi sp,sp,-8 - 31354: df000115 stw fp,4(sp) - 31358: df000104 addi fp,sp,4 + 30a2c: defffe04 addi sp,sp,-8 + 30a30: df000115 stw fp,4(sp) + 30a34: df000104 addi fp,sp,4 #if NIOS2_DCACHE_SIZE > 0 char* i; for (i = (char*) 0; i < (char*) NIOS2_DCACHE_SIZE; i+= NIOS2_DCACHE_LINE_SIZE) - 3135c: e03fff15 stw zero,-4(fp) - 31360: 00000506 br 31378 + 30a38: e03fff15 stw zero,-4(fp) + 30a3c: 00000506 br 30a54 { __asm__ volatile ("flushd (%0)" :: "r" (i)); - 31364: e0bfff17 ldw r2,-4(fp) - 31368: 1000003b flushd 0(r2) + 30a40: e0bfff17 ldw r2,-4(fp) + 30a44: 1000003b flushd 0(r2) void alt_dcache_flush_all (void) { #if NIOS2_DCACHE_SIZE > 0 char* i; for (i = (char*) 0; i < (char*) NIOS2_DCACHE_SIZE; i+= NIOS2_DCACHE_LINE_SIZE) - 3136c: e0bfff17 ldw r2,-4(fp) - 31370: 10800804 addi r2,r2,32 - 31374: e0bfff15 stw r2,-4(fp) - 31378: e0bfff17 ldw r2,-4(fp) - 3137c: 10820030 cmpltui r2,r2,2048 - 31380: 103ff81e bne r2,zero,31364 + 30a48: e0bfff17 ldw r2,-4(fp) + 30a4c: 10800804 addi r2,r2,32 + 30a50: e0bfff15 stw r2,-4(fp) + 30a54: e0bfff17 ldw r2,-4(fp) + 30a58: 10820030 cmpltui r2,r2,2048 + 30a5c: 103ff81e bne r2,zero,30a40 <_gp+0xffff4508> { __asm__ volatile ("flushd (%0)" :: "r" (i)); } #endif /* NIOS2_DCACHE_SIZE > 0 */ } - 31384: 0001883a nop - 31388: e037883a mov sp,fp - 3138c: df000017 ldw fp,0(sp) - 31390: dec00104 addi sp,sp,4 - 31394: f800283a ret + 30a60: 0001883a nop + 30a64: e037883a mov sp,fp + 30a68: df000017 ldw fp,0(sp) + 30a6c: dec00104 addi sp,sp,4 + 30a70: f800283a ret -00031398 : +00030a74 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 31398: defffe04 addi sp,sp,-8 - 3139c: dfc00115 stw ra,4(sp) - 313a0: df000015 stw fp,0(sp) - 313a4: d839883a mov fp,sp + 30a74: defffe04 addi sp,sp,-8 + 30a78: dfc00115 stw ra,4(sp) + 30a7c: df000015 stw fp,0(sp) + 30a80: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 313a8: 008000f4 movhi r2,3 - 313ac: 1093a904 addi r2,r2,20132 - 313b0: 10800017 ldw r2,0(r2) - 313b4: 10000526 beq r2,zero,313cc - 313b8: 008000f4 movhi r2,3 - 313bc: 1093a904 addi r2,r2,20132 - 313c0: 10800017 ldw r2,0(r2) - 313c4: 103ee83a callr r2 - 313c8: 00000206 br 313d4 - 313cc: 008000f4 movhi r2,3 - 313d0: 109c5904 addi r2,r2,29028 + 30a84: 008000f4 movhi r2,3 + 30a88: 10915c04 addi r2,r2,17776 + 30a8c: 10800017 ldw r2,0(r2) + 30a90: 10000526 beq r2,zero,30aa8 + 30a94: 008000f4 movhi r2,3 + 30a98: 10915c04 addi r2,r2,17776 + 30a9c: 10800017 ldw r2,0(r2) + 30aa0: 103ee83a callr r2 + 30aa4: 00000206 br 30ab0 + 30aa8: 008000f4 movhi r2,3 + 30aac: 109a0c04 addi r2,r2,26672 } - 313d4: e037883a mov sp,fp - 313d8: dfc00117 ldw ra,4(sp) - 313dc: df000017 ldw fp,0(sp) - 313e0: dec00204 addi sp,sp,8 - 313e4: f800283a ret + 30ab0: e037883a mov sp,fp + 30ab4: dfc00117 ldw ra,4(sp) + 30ab8: df000017 ldw fp,0(sp) + 30abc: dec00204 addi sp,sp,8 + 30ac0: f800283a ret -000313e8 : +00030ac4 : /* * */ int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) { - 313e8: defffa04 addi sp,sp,-24 - 313ec: dfc00515 stw ra,20(sp) - 313f0: df000415 stw fp,16(sp) - 313f4: df000404 addi fp,sp,16 - 313f8: e13ffe15 stw r4,-8(fp) - 313fc: e17fff15 stw r5,-4(fp) + 30ac4: defffa04 addi sp,sp,-24 + 30ac8: dfc00515 stw ra,20(sp) + 30acc: df000415 stw fp,16(sp) + 30ad0: df000404 addi fp,sp,16 + 30ad4: e13ffe15 stw r4,-8(fp) + 30ad8: e17fff15 stw r5,-4(fp) /* * check that the device exists, and that it has a valid name. */ if (!dev || !dev->name) - 31400: e0bffe17 ldw r2,-8(fp) - 31404: 10000326 beq r2,zero,31414 - 31408: e0bffe17 ldw r2,-8(fp) - 3140c: 10800217 ldw r2,8(r2) - 31410: 1000061e bne r2,zero,3142c + 30adc: e0bffe17 ldw r2,-8(fp) + 30ae0: 10000326 beq r2,zero,30af0 + 30ae4: e0bffe17 ldw r2,-8(fp) + 30ae8: 10800217 ldw r2,8(r2) + 30aec: 1000061e bne r2,zero,30b08 { ALT_ERRNO = EINVAL; - 31414: 00313980 call 31398 - 31418: 1007883a mov r3,r2 - 3141c: 00800584 movi r2,22 - 31420: 18800015 stw r2,0(r3) + 30af0: 0030a740 call 30a74 + 30af4: 1007883a mov r3,r2 + 30af8: 00800584 movi r2,22 + 30afc: 18800015 stw r2,0(r3) return -EINVAL; - 31424: 00bffa84 movi r2,-22 - 31428: 00001306 br 31478 + 30b00: 00bffa84 movi r2,-22 + 30b04: 00001306 br 30b54 /* * register the device. */ alt_llist_insert(list, &dev->llist); - 3142c: e0bffe17 ldw r2,-8(fp) - 31430: e0ffff17 ldw r3,-4(fp) - 31434: e0fffc15 stw r3,-16(fp) - 31438: e0bffd15 stw r2,-12(fp) + 30b08: e0bffe17 ldw r2,-8(fp) + 30b0c: e0ffff17 ldw r3,-4(fp) + 30b10: e0fffc15 stw r3,-16(fp) + 30b14: e0bffd15 stw r2,-12(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 3143c: e0bffd17 ldw r2,-12(fp) - 31440: e0fffc17 ldw r3,-16(fp) - 31444: 10c00115 stw r3,4(r2) + 30b18: e0bffd17 ldw r2,-12(fp) + 30b1c: e0fffc17 ldw r3,-16(fp) + 30b20: 10c00115 stw r3,4(r2) entry->next = list->next; - 31448: e0bffc17 ldw r2,-16(fp) - 3144c: 10c00017 ldw r3,0(r2) - 31450: e0bffd17 ldw r2,-12(fp) - 31454: 10c00015 stw r3,0(r2) + 30b24: e0bffc17 ldw r2,-16(fp) + 30b28: 10c00017 ldw r3,0(r2) + 30b2c: e0bffd17 ldw r2,-12(fp) + 30b30: 10c00015 stw r3,0(r2) list->next->previous = entry; - 31458: e0bffc17 ldw r2,-16(fp) - 3145c: 10800017 ldw r2,0(r2) - 31460: e0fffd17 ldw r3,-12(fp) - 31464: 10c00115 stw r3,4(r2) + 30b34: e0bffc17 ldw r2,-16(fp) + 30b38: 10800017 ldw r2,0(r2) + 30b3c: e0fffd17 ldw r3,-12(fp) + 30b40: 10c00115 stw r3,4(r2) list->next = entry; - 31468: e0bffc17 ldw r2,-16(fp) - 3146c: e0fffd17 ldw r3,-12(fp) - 31470: 10c00015 stw r3,0(r2) + 30b44: e0bffc17 ldw r2,-16(fp) + 30b48: e0fffd17 ldw r3,-12(fp) + 30b4c: 10c00015 stw r3,0(r2) return 0; - 31474: 0005883a mov r2,zero + 30b50: 0005883a mov r2,zero } - 31478: e037883a mov sp,fp - 3147c: dfc00117 ldw ra,4(sp) - 31480: df000017 ldw fp,0(sp) - 31484: dec00204 addi sp,sp,8 - 31488: f800283a ret + 30b54: e037883a mov sp,fp + 30b58: dfc00117 ldw ra,4(sp) + 30b5c: df000017 ldw fp,0(sp) + 30b60: dec00204 addi sp,sp,8 + 30b64: f800283a ret -0003148c <_do_ctors>: +00030b68 <_do_ctors>: /* * Run the C++ static constructors. */ void _do_ctors(void) { - 3148c: defffd04 addi sp,sp,-12 - 31490: dfc00215 stw ra,8(sp) - 31494: df000115 stw fp,4(sp) - 31498: df000104 addi fp,sp,4 + 30b68: defffd04 addi sp,sp,-12 + 30b6c: dfc00215 stw ra,8(sp) + 30b70: df000115 stw fp,4(sp) + 30b74: df000104 addi fp,sp,4 constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 3149c: 008000f4 movhi r2,3 - 314a0: 1088cf04 addi r2,r2,9020 - 314a4: e0bfff15 stw r2,-4(fp) - 314a8: 00000606 br 314c4 <_do_ctors+0x38> + 30b78: 008000f4 movhi r2,3 + 30b7c: 10868604 addi r2,r2,6680 + 30b80: e0bfff15 stw r2,-4(fp) + 30b84: 00000606 br 30ba0 <_do_ctors+0x38> (*ctor) (); - 314ac: e0bfff17 ldw r2,-4(fp) - 314b0: 10800017 ldw r2,0(r2) - 314b4: 103ee83a callr r2 + 30b88: e0bfff17 ldw r2,-4(fp) + 30b8c: 10800017 ldw r2,0(r2) + 30b90: 103ee83a callr r2 void _do_ctors(void) { constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 314b8: e0bfff17 ldw r2,-4(fp) - 314bc: 10bfff04 addi r2,r2,-4 - 314c0: e0bfff15 stw r2,-4(fp) - 314c4: e0ffff17 ldw r3,-4(fp) - 314c8: 008000f4 movhi r2,3 - 314cc: 1088d004 addi r2,r2,9024 - 314d0: 18bff62e bgeu r3,r2,314ac <_do_ctors+0x20> + 30b94: e0bfff17 ldw r2,-4(fp) + 30b98: 10bfff04 addi r2,r2,-4 + 30b9c: e0bfff15 stw r2,-4(fp) + 30ba0: e0ffff17 ldw r3,-4(fp) + 30ba4: 008000f4 movhi r2,3 + 30ba8: 10868704 addi r2,r2,6684 + 30bac: 18bff62e bgeu r3,r2,30b88 <_gp+0xffff4650> (*ctor) (); } - 314d4: 0001883a nop - 314d8: e037883a mov sp,fp - 314dc: dfc00117 ldw ra,4(sp) - 314e0: df000017 ldw fp,0(sp) - 314e4: dec00204 addi sp,sp,8 - 314e8: f800283a ret + 30bb0: 0001883a nop + 30bb4: e037883a mov sp,fp + 30bb8: dfc00117 ldw ra,4(sp) + 30bbc: df000017 ldw fp,0(sp) + 30bc0: dec00204 addi sp,sp,8 + 30bc4: f800283a ret -000314ec <_do_dtors>: +00030bc8 <_do_dtors>: /* * Run the C++ static destructors. */ void _do_dtors(void) { - 314ec: defffd04 addi sp,sp,-12 - 314f0: dfc00215 stw ra,8(sp) - 314f4: df000115 stw fp,4(sp) - 314f8: df000104 addi fp,sp,4 + 30bc8: defffd04 addi sp,sp,-12 + 30bcc: dfc00215 stw ra,8(sp) + 30bd0: df000115 stw fp,4(sp) + 30bd4: df000104 addi fp,sp,4 destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 314fc: 008000f4 movhi r2,3 - 31500: 1088cf04 addi r2,r2,9020 - 31504: e0bfff15 stw r2,-4(fp) - 31508: 00000606 br 31524 <_do_dtors+0x38> + 30bd8: 008000f4 movhi r2,3 + 30bdc: 10868604 addi r2,r2,6680 + 30be0: e0bfff15 stw r2,-4(fp) + 30be4: 00000606 br 30c00 <_do_dtors+0x38> (*dtor) (); - 3150c: e0bfff17 ldw r2,-4(fp) - 31510: 10800017 ldw r2,0(r2) - 31514: 103ee83a callr r2 + 30be8: e0bfff17 ldw r2,-4(fp) + 30bec: 10800017 ldw r2,0(r2) + 30bf0: 103ee83a callr r2 void _do_dtors(void) { destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 31518: e0bfff17 ldw r2,-4(fp) - 3151c: 10bfff04 addi r2,r2,-4 - 31520: e0bfff15 stw r2,-4(fp) - 31524: e0ffff17 ldw r3,-4(fp) - 31528: 008000f4 movhi r2,3 - 3152c: 1088d004 addi r2,r2,9024 - 31530: 18bff62e bgeu r3,r2,3150c <_do_dtors+0x20> + 30bf4: e0bfff17 ldw r2,-4(fp) + 30bf8: 10bfff04 addi r2,r2,-4 + 30bfc: e0bfff15 stw r2,-4(fp) + 30c00: e0ffff17 ldw r3,-4(fp) + 30c04: 008000f4 movhi r2,3 + 30c08: 10868704 addi r2,r2,6684 + 30c0c: 18bff62e bgeu r3,r2,30be8 <_gp+0xffff46b0> (*dtor) (); } - 31534: 0001883a nop - 31538: e037883a mov sp,fp - 3153c: dfc00117 ldw ra,4(sp) - 31540: df000017 ldw fp,0(sp) - 31544: dec00204 addi sp,sp,8 - 31548: f800283a ret + 30c10: 0001883a nop + 30c14: e037883a mov sp,fp + 30c18: dfc00117 ldw ra,4(sp) + 30c1c: df000017 ldw fp,0(sp) + 30c20: dec00204 addi sp,sp,8 + 30c24: f800283a ret -0003154c : +00030c28 : /* * alt_icache_flush_all() is called to flush the entire instruction cache. */ void alt_icache_flush_all (void) { - 3154c: defffe04 addi sp,sp,-8 - 31550: dfc00115 stw ra,4(sp) - 31554: df000015 stw fp,0(sp) - 31558: d839883a mov fp,sp + 30c28: defffe04 addi sp,sp,-8 + 30c2c: dfc00115 stw ra,4(sp) + 30c30: df000015 stw fp,0(sp) + 30c34: d839883a mov fp,sp #if NIOS2_ICACHE_SIZE > 0 alt_icache_flush (0, NIOS2_ICACHE_SIZE); - 3155c: 01440004 movi r5,4096 - 31560: 0009883a mov r4,zero - 31564: 0031e800 call 31e80 + 30c38: 01440004 movi r5,4096 + 30c3c: 0009883a mov r4,zero + 30c40: 003155c0 call 3155c #endif } - 31568: 0001883a nop - 3156c: e037883a mov sp,fp - 31570: dfc00117 ldw ra,4(sp) - 31574: df000017 ldw fp,0(sp) - 31578: dec00204 addi sp,sp,8 - 3157c: f800283a ret + 30c44: 0001883a nop + 30c48: e037883a mov sp,fp + 30c4c: dfc00117 ldw ra,4(sp) + 30c50: df000017 ldw fp,0(sp) + 30c54: dec00204 addi sp,sp,8 + 30c58: f800283a ret -00031580 : +00030c5c : * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 31580: defff904 addi sp,sp,-28 - 31584: dfc00615 stw ra,24(sp) - 31588: df000515 stw fp,20(sp) - 3158c: df000504 addi fp,sp,20 - 31590: e13ffc15 stw r4,-16(fp) - 31594: e17ffd15 stw r5,-12(fp) - 31598: e1bffe15 stw r6,-8(fp) - 3159c: e1ffff15 stw r7,-4(fp) + 30c5c: defff904 addi sp,sp,-28 + 30c60: dfc00615 stw ra,24(sp) + 30c64: df000515 stw fp,20(sp) + 30c68: df000504 addi fp,sp,20 + 30c6c: e13ffc15 stw r4,-16(fp) + 30c70: e17ffd15 stw r5,-12(fp) + 30c74: e1bffe15 stw r6,-8(fp) + 30c78: e1ffff15 stw r7,-4(fp) return alt_iic_isr_register(ic_id, irq, isr, isr_context, flags); - 315a0: e0800217 ldw r2,8(fp) - 315a4: d8800015 stw r2,0(sp) - 315a8: e1ffff17 ldw r7,-4(fp) - 315ac: e1bffe17 ldw r6,-8(fp) - 315b0: e17ffd17 ldw r5,-12(fp) - 315b4: e13ffc17 ldw r4,-16(fp) - 315b8: 00317600 call 31760 + 30c7c: e0800217 ldw r2,8(fp) + 30c80: d8800015 stw r2,0(sp) + 30c84: e1ffff17 ldw r7,-4(fp) + 30c88: e1bffe17 ldw r6,-8(fp) + 30c8c: e17ffd17 ldw r5,-12(fp) + 30c90: e13ffc17 ldw r4,-16(fp) + 30c94: 0030e3c0 call 30e3c } - 315bc: e037883a mov sp,fp - 315c0: dfc00117 ldw ra,4(sp) - 315c4: df000017 ldw fp,0(sp) - 315c8: dec00204 addi sp,sp,8 - 315cc: f800283a ret + 30c98: e037883a mov sp,fp + 30c9c: dfc00117 ldw ra,4(sp) + 30ca0: df000017 ldw fp,0(sp) + 30ca4: dec00204 addi sp,sp,8 + 30ca8: f800283a ret -000315d0 : +00030cac : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq) { - 315d0: defff904 addi sp,sp,-28 - 315d4: df000615 stw fp,24(sp) - 315d8: df000604 addi fp,sp,24 - 315dc: e13ffe15 stw r4,-8(fp) - 315e0: e17fff15 stw r5,-4(fp) - 315e4: e0bfff17 ldw r2,-4(fp) - 315e8: e0bffa15 stw r2,-24(fp) + 30cac: defff904 addi sp,sp,-28 + 30cb0: df000615 stw fp,24(sp) + 30cb4: df000604 addi fp,sp,24 + 30cb8: e13ffe15 stw r4,-8(fp) + 30cbc: e17fff15 stw r5,-4(fp) + 30cc0: e0bfff17 ldw r2,-4(fp) + 30cc4: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 315ec: 0005303a rdctl r2,status - 315f0: e0bffb15 stw r2,-20(fp) + 30cc8: 0005303a rdctl r2,status + 30ccc: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 315f4: e0fffb17 ldw r3,-20(fp) - 315f8: 00bfff84 movi r2,-2 - 315fc: 1884703a and r2,r3,r2 - 31600: 1001703a wrctl status,r2 + 30cd0: e0fffb17 ldw r3,-20(fp) + 30cd4: 00bfff84 movi r2,-2 + 30cd8: 1884703a and r2,r3,r2 + 30cdc: 1001703a wrctl status,r2 return context; - 31604: e0bffb17 ldw r2,-20(fp) + 30ce0: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 31608: e0bffc15 stw r2,-16(fp) + 30ce4: e0bffc15 stw r2,-16(fp) alt_irq_active |= (1 << id); - 3160c: 00c00044 movi r3,1 - 31610: e0bffa17 ldw r2,-24(fp) - 31614: 1884983a sll r2,r3,r2 - 31618: 1007883a mov r3,r2 - 3161c: 008000f4 movhi r2,3 - 31620: 109c6204 addi r2,r2,29064 - 31624: 10800017 ldw r2,0(r2) - 31628: 1886b03a or r3,r3,r2 - 3162c: 008000f4 movhi r2,3 - 31630: 109c6204 addi r2,r2,29064 - 31634: 10c00015 stw r3,0(r2) + 30ce8: 00c00044 movi r3,1 + 30cec: e0bffa17 ldw r2,-24(fp) + 30cf0: 1884983a sll r2,r3,r2 + 30cf4: 1007883a mov r3,r2 + 30cf8: 008000f4 movhi r2,3 + 30cfc: 109a1504 addi r2,r2,26708 + 30d00: 10800017 ldw r2,0(r2) + 30d04: 1886b03a or r3,r3,r2 + 30d08: 008000f4 movhi r2,3 + 30d0c: 109a1504 addi r2,r2,26708 + 30d10: 10c00015 stw r3,0(r2) NIOS2_WRITE_IENABLE (alt_irq_active); - 31638: 008000f4 movhi r2,3 - 3163c: 109c6204 addi r2,r2,29064 - 31640: 10800017 ldw r2,0(r2) - 31644: 100170fa wrctl ienable,r2 - 31648: e0bffc17 ldw r2,-16(fp) - 3164c: e0bffd15 stw r2,-12(fp) + 30d14: 008000f4 movhi r2,3 + 30d18: 109a1504 addi r2,r2,26708 + 30d1c: 10800017 ldw r2,0(r2) + 30d20: 100170fa wrctl ienable,r2 + 30d24: e0bffc17 ldw r2,-16(fp) + 30d28: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 31650: e0bffd17 ldw r2,-12(fp) - 31654: 1001703a wrctl status,r2 + 30d2c: e0bffd17 ldw r2,-12(fp) + 30d30: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 31658: 0005883a mov r2,zero + 30d34: 0005883a mov r2,zero return alt_irq_enable(irq); - 3165c: 0001883a nop + 30d38: 0001883a nop } - 31660: e037883a mov sp,fp - 31664: df000017 ldw fp,0(sp) - 31668: dec00104 addi sp,sp,4 - 3166c: f800283a ret + 30d3c: e037883a mov sp,fp + 30d40: df000017 ldw fp,0(sp) + 30d44: dec00104 addi sp,sp,4 + 30d48: f800283a ret -00031670 : +00030d4c : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq) { - 31670: defff904 addi sp,sp,-28 - 31674: df000615 stw fp,24(sp) - 31678: df000604 addi fp,sp,24 - 3167c: e13ffe15 stw r4,-8(fp) - 31680: e17fff15 stw r5,-4(fp) - 31684: e0bfff17 ldw r2,-4(fp) - 31688: e0bffa15 stw r2,-24(fp) + 30d4c: defff904 addi sp,sp,-28 + 30d50: df000615 stw fp,24(sp) + 30d54: df000604 addi fp,sp,24 + 30d58: e13ffe15 stw r4,-8(fp) + 30d5c: e17fff15 stw r5,-4(fp) + 30d60: e0bfff17 ldw r2,-4(fp) + 30d64: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 3168c: 0005303a rdctl r2,status - 31690: e0bffb15 stw r2,-20(fp) + 30d68: 0005303a rdctl r2,status + 30d6c: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 31694: e0fffb17 ldw r3,-20(fp) - 31698: 00bfff84 movi r2,-2 - 3169c: 1884703a and r2,r3,r2 - 316a0: 1001703a wrctl status,r2 + 30d70: e0fffb17 ldw r3,-20(fp) + 30d74: 00bfff84 movi r2,-2 + 30d78: 1884703a and r2,r3,r2 + 30d7c: 1001703a wrctl status,r2 return context; - 316a4: e0bffb17 ldw r2,-20(fp) + 30d80: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_disable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 316a8: e0bffc15 stw r2,-16(fp) + 30d84: e0bffc15 stw r2,-16(fp) alt_irq_active &= ~(1 << id); - 316ac: 00c00044 movi r3,1 - 316b0: e0bffa17 ldw r2,-24(fp) - 316b4: 1884983a sll r2,r3,r2 - 316b8: 0084303a nor r2,zero,r2 - 316bc: 1007883a mov r3,r2 - 316c0: 008000f4 movhi r2,3 - 316c4: 109c6204 addi r2,r2,29064 - 316c8: 10800017 ldw r2,0(r2) - 316cc: 1886703a and r3,r3,r2 - 316d0: 008000f4 movhi r2,3 - 316d4: 109c6204 addi r2,r2,29064 - 316d8: 10c00015 stw r3,0(r2) + 30d88: 00c00044 movi r3,1 + 30d8c: e0bffa17 ldw r2,-24(fp) + 30d90: 1884983a sll r2,r3,r2 + 30d94: 0084303a nor r2,zero,r2 + 30d98: 1007883a mov r3,r2 + 30d9c: 008000f4 movhi r2,3 + 30da0: 109a1504 addi r2,r2,26708 + 30da4: 10800017 ldw r2,0(r2) + 30da8: 1886703a and r3,r3,r2 + 30dac: 008000f4 movhi r2,3 + 30db0: 109a1504 addi r2,r2,26708 + 30db4: 10c00015 stw r3,0(r2) NIOS2_WRITE_IENABLE (alt_irq_active); - 316dc: 008000f4 movhi r2,3 - 316e0: 109c6204 addi r2,r2,29064 - 316e4: 10800017 ldw r2,0(r2) - 316e8: 100170fa wrctl ienable,r2 - 316ec: e0bffc17 ldw r2,-16(fp) - 316f0: e0bffd15 stw r2,-12(fp) + 30db8: 008000f4 movhi r2,3 + 30dbc: 109a1504 addi r2,r2,26708 + 30dc0: 10800017 ldw r2,0(r2) + 30dc4: 100170fa wrctl ienable,r2 + 30dc8: e0bffc17 ldw r2,-16(fp) + 30dcc: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 316f4: e0bffd17 ldw r2,-12(fp) - 316f8: 1001703a wrctl status,r2 + 30dd0: e0bffd17 ldw r2,-12(fp) + 30dd4: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 316fc: 0005883a mov r2,zero + 30dd8: 0005883a mov r2,zero return alt_irq_disable(irq); - 31700: 0001883a nop + 30ddc: 0001883a nop } - 31704: e037883a mov sp,fp - 31708: df000017 ldw fp,0(sp) - 3170c: dec00104 addi sp,sp,4 - 31710: f800283a ret + 30de0: e037883a mov sp,fp + 30de4: df000017 ldw fp,0(sp) + 30de8: dec00104 addi sp,sp,4 + 30dec: f800283a ret -00031714 : +00030df0 : * @param irq IRQ number * @return Zero if corresponding interrupt is disabled and * non-zero otherwise. */ alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq) { - 31714: defffc04 addi sp,sp,-16 - 31718: df000315 stw fp,12(sp) - 3171c: df000304 addi fp,sp,12 - 31720: e13ffe15 stw r4,-8(fp) - 31724: e17fff15 stw r5,-4(fp) + 30df0: defffc04 addi sp,sp,-16 + 30df4: df000315 stw fp,12(sp) + 30df8: df000304 addi fp,sp,12 + 30dfc: e13ffe15 stw r4,-8(fp) + 30e00: e17fff15 stw r5,-4(fp) alt_u32 irq_enabled; NIOS2_READ_IENABLE(irq_enabled); - 31728: 000530fa rdctl r2,ienable - 3172c: e0bffd15 stw r2,-12(fp) + 30e04: 000530fa rdctl r2,ienable + 30e08: e0bffd15 stw r2,-12(fp) return (irq_enabled & (1 << irq)) ? 1: 0; - 31730: 00c00044 movi r3,1 - 31734: e0bfff17 ldw r2,-4(fp) - 31738: 1884983a sll r2,r3,r2 - 3173c: 1007883a mov r3,r2 - 31740: e0bffd17 ldw r2,-12(fp) - 31744: 1884703a and r2,r3,r2 - 31748: 1004c03a cmpne r2,r2,zero - 3174c: 10803fcc andi r2,r2,255 + 30e0c: 00c00044 movi r3,1 + 30e10: e0bfff17 ldw r2,-4(fp) + 30e14: 1884983a sll r2,r3,r2 + 30e18: 1007883a mov r3,r2 + 30e1c: e0bffd17 ldw r2,-12(fp) + 30e20: 1884703a and r2,r3,r2 + 30e24: 1004c03a cmpne r2,r2,zero + 30e28: 10803fcc andi r2,r2,255 } - 31750: e037883a mov sp,fp - 31754: df000017 ldw fp,0(sp) - 31758: dec00104 addi sp,sp,4 - 3175c: f800283a ret + 30e2c: e037883a mov sp,fp + 30e30: df000017 ldw fp,0(sp) + 30e34: dec00104 addi sp,sp,4 + 30e38: f800283a ret -00031760 : +00030e3c : * @param flags * @return 0 if successful, else error (-1) */ int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 31760: defff504 addi sp,sp,-44 - 31764: dfc00a15 stw ra,40(sp) - 31768: df000915 stw fp,36(sp) - 3176c: df000904 addi fp,sp,36 - 31770: e13ffc15 stw r4,-16(fp) - 31774: e17ffd15 stw r5,-12(fp) - 31778: e1bffe15 stw r6,-8(fp) - 3177c: e1ffff15 stw r7,-4(fp) + 30e3c: defff504 addi sp,sp,-44 + 30e40: dfc00a15 stw ra,40(sp) + 30e44: df000915 stw fp,36(sp) + 30e48: df000904 addi fp,sp,36 + 30e4c: e13ffc15 stw r4,-16(fp) + 30e50: e17ffd15 stw r5,-12(fp) + 30e54: e1bffe15 stw r6,-8(fp) + 30e58: e1ffff15 stw r7,-4(fp) int rc = -EINVAL; - 31780: 00bffa84 movi r2,-22 - 31784: e0bff715 stw r2,-36(fp) + 30e5c: 00bffa84 movi r2,-22 + 30e60: e0bff715 stw r2,-36(fp) int id = irq; /* IRQ interpreted as the interrupt ID. */ - 31788: e0bffd17 ldw r2,-12(fp) - 3178c: e0bff815 stw r2,-32(fp) + 30e64: e0bffd17 ldw r2,-12(fp) + 30e68: e0bff815 stw r2,-32(fp) alt_irq_context status; if (id < ALT_NIRQ) - 31790: e0bff817 ldw r2,-32(fp) - 31794: 10800808 cmpgei r2,r2,32 - 31798: 1000271e bne r2,zero,31838 + 30e6c: e0bff817 ldw r2,-32(fp) + 30e70: 10800808 cmpgei r2,r2,32 + 30e74: 1000271e bne r2,zero,30f14 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 3179c: 0005303a rdctl r2,status - 317a0: e0bffb15 stw r2,-20(fp) + 30e78: 0005303a rdctl r2,status + 30e7c: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 317a4: e0fffb17 ldw r3,-20(fp) - 317a8: 00bfff84 movi r2,-2 - 317ac: 1884703a and r2,r3,r2 - 317b0: 1001703a wrctl status,r2 + 30e80: e0fffb17 ldw r3,-20(fp) + 30e84: 00bfff84 movi r2,-2 + 30e88: 1884703a and r2,r3,r2 + 30e8c: 1001703a wrctl status,r2 return context; - 317b4: e0bffb17 ldw r2,-20(fp) + 30e90: e0bffb17 ldw r2,-20(fp) * interrupts are disabled while the handler tables are updated to ensure * that an interrupt doesn't occur while the tables are in an inconsistant * state. */ status = alt_irq_disable_all(); - 317b8: e0bffa15 stw r2,-24(fp) + 30e94: e0bffa15 stw r2,-24(fp) alt_irq[id].handler = isr; - 317bc: 008000f4 movhi r2,3 - 317c0: 109c6e04 addi r2,r2,29112 - 317c4: e0fff817 ldw r3,-32(fp) - 317c8: 180690fa slli r3,r3,3 - 317cc: 10c5883a add r2,r2,r3 - 317d0: e0fffe17 ldw r3,-8(fp) - 317d4: 10c00015 stw r3,0(r2) + 30e98: 008000f4 movhi r2,3 + 30e9c: 109a2104 addi r2,r2,26756 + 30ea0: e0fff817 ldw r3,-32(fp) + 30ea4: 180690fa slli r3,r3,3 + 30ea8: 10c5883a add r2,r2,r3 + 30eac: e0fffe17 ldw r3,-8(fp) + 30eb0: 10c00015 stw r3,0(r2) alt_irq[id].context = isr_context; - 317d8: 008000f4 movhi r2,3 - 317dc: 109c6e04 addi r2,r2,29112 - 317e0: e0fff817 ldw r3,-32(fp) - 317e4: 180690fa slli r3,r3,3 - 317e8: 10c5883a add r2,r2,r3 - 317ec: 10800104 addi r2,r2,4 - 317f0: e0ffff17 ldw r3,-4(fp) - 317f4: 10c00015 stw r3,0(r2) + 30eb4: 008000f4 movhi r2,3 + 30eb8: 109a2104 addi r2,r2,26756 + 30ebc: e0fff817 ldw r3,-32(fp) + 30ec0: 180690fa slli r3,r3,3 + 30ec4: 10c5883a add r2,r2,r3 + 30ec8: 10800104 addi r2,r2,4 + 30ecc: e0ffff17 ldw r3,-4(fp) + 30ed0: 10c00015 stw r3,0(r2) rc = (isr) ? alt_ic_irq_enable(ic_id, id) : alt_ic_irq_disable(ic_id, id); - 317f8: e0bffe17 ldw r2,-8(fp) - 317fc: 10000526 beq r2,zero,31814 - 31800: e0bff817 ldw r2,-32(fp) - 31804: 100b883a mov r5,r2 - 31808: e13ffc17 ldw r4,-16(fp) - 3180c: 00315d00 call 315d0 - 31810: 00000406 br 31824 - 31814: e0bff817 ldw r2,-32(fp) - 31818: 100b883a mov r5,r2 - 3181c: e13ffc17 ldw r4,-16(fp) - 31820: 00316700 call 31670 - 31824: e0bff715 stw r2,-36(fp) - 31828: e0bffa17 ldw r2,-24(fp) - 3182c: e0bff915 stw r2,-28(fp) + 30ed4: e0bffe17 ldw r2,-8(fp) + 30ed8: 10000526 beq r2,zero,30ef0 + 30edc: e0bff817 ldw r2,-32(fp) + 30ee0: 100b883a mov r5,r2 + 30ee4: e13ffc17 ldw r4,-16(fp) + 30ee8: 0030cac0 call 30cac + 30eec: 00000406 br 30f00 + 30ef0: e0bff817 ldw r2,-32(fp) + 30ef4: 100b883a mov r5,r2 + 30ef8: e13ffc17 ldw r4,-16(fp) + 30efc: 0030d4c0 call 30d4c + 30f00: e0bff715 stw r2,-36(fp) + 30f04: e0bffa17 ldw r2,-24(fp) + 30f08: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 31830: e0bff917 ldw r2,-28(fp) - 31834: 1001703a wrctl status,r2 + 30f0c: e0bff917 ldw r2,-28(fp) + 30f10: 1001703a wrctl status,r2 alt_irq_enable_all(status); } return rc; - 31838: e0bff717 ldw r2,-36(fp) + 30f14: e0bff717 ldw r2,-36(fp) } - 3183c: e037883a mov sp,fp - 31840: dfc00117 ldw ra,4(sp) - 31844: df000017 ldw fp,0(sp) - 31848: dec00204 addi sp,sp,8 - 3184c: f800283a ret + 30f18: e037883a mov sp,fp + 30f1c: dfc00117 ldw ra,4(sp) + 30f20: df000017 ldw fp,0(sp) + 30f24: dec00204 addi sp,sp,8 + 30f28: f800283a ret -00031850 : +00030f2c : * If the device can not be succesfully opened, then the input file descriptor * remains unchanged. */ static void alt_open_fd(alt_fd* fd, const char* name, int flags, int mode) { - 31850: defff904 addi sp,sp,-28 - 31854: dfc00615 stw ra,24(sp) - 31858: df000515 stw fp,20(sp) - 3185c: df000504 addi fp,sp,20 - 31860: e13ffc15 stw r4,-16(fp) - 31864: e17ffd15 stw r5,-12(fp) - 31868: e1bffe15 stw r6,-8(fp) - 3186c: e1ffff15 stw r7,-4(fp) + 30f2c: defff904 addi sp,sp,-28 + 30f30: dfc00615 stw ra,24(sp) + 30f34: df000515 stw fp,20(sp) + 30f38: df000504 addi fp,sp,20 + 30f3c: e13ffc15 stw r4,-16(fp) + 30f40: e17ffd15 stw r5,-12(fp) + 30f44: e1bffe15 stw r6,-8(fp) + 30f48: e1ffff15 stw r7,-4(fp) int old; old = open (name, flags, mode); - 31870: e1bfff17 ldw r6,-4(fp) - 31874: e17ffe17 ldw r5,-8(fp) - 31878: e13ffd17 ldw r4,-12(fp) - 3187c: 0031aac0 call 31aac - 31880: e0bffb15 stw r2,-20(fp) + 30f4c: e1bfff17 ldw r6,-4(fp) + 30f50: e17ffe17 ldw r5,-8(fp) + 30f54: e13ffd17 ldw r4,-12(fp) + 30f58: 00311880 call 31188 + 30f5c: e0bffb15 stw r2,-20(fp) if (old >= 0) - 31884: e0bffb17 ldw r2,-20(fp) - 31888: 10001c16 blt r2,zero,318fc + 30f60: e0bffb17 ldw r2,-20(fp) + 30f64: 10001c16 blt r2,zero,30fd8 { fd->dev = alt_fd_list[old].dev; - 3188c: 008000f4 movhi r2,3 - 31890: 108f2304 addi r2,r2,15500 - 31894: e0fffb17 ldw r3,-20(fp) - 31898: 18c00324 muli r3,r3,12 - 3189c: 10c5883a add r2,r2,r3 - 318a0: 10c00017 ldw r3,0(r2) - 318a4: e0bffc17 ldw r2,-16(fp) - 318a8: 10c00015 stw r3,0(r2) + 30f68: 008000f4 movhi r2,3 + 30f6c: 108cd604 addi r2,r2,13144 + 30f70: e0fffb17 ldw r3,-20(fp) + 30f74: 18c00324 muli r3,r3,12 + 30f78: 10c5883a add r2,r2,r3 + 30f7c: 10c00017 ldw r3,0(r2) + 30f80: e0bffc17 ldw r2,-16(fp) + 30f84: 10c00015 stw r3,0(r2) fd->priv = alt_fd_list[old].priv; - 318ac: 008000f4 movhi r2,3 - 318b0: 108f2304 addi r2,r2,15500 - 318b4: e0fffb17 ldw r3,-20(fp) - 318b8: 18c00324 muli r3,r3,12 - 318bc: 10c5883a add r2,r2,r3 - 318c0: 10800104 addi r2,r2,4 - 318c4: 10c00017 ldw r3,0(r2) - 318c8: e0bffc17 ldw r2,-16(fp) - 318cc: 10c00115 stw r3,4(r2) + 30f88: 008000f4 movhi r2,3 + 30f8c: 108cd604 addi r2,r2,13144 + 30f90: e0fffb17 ldw r3,-20(fp) + 30f94: 18c00324 muli r3,r3,12 + 30f98: 10c5883a add r2,r2,r3 + 30f9c: 10800104 addi r2,r2,4 + 30fa0: 10c00017 ldw r3,0(r2) + 30fa4: e0bffc17 ldw r2,-16(fp) + 30fa8: 10c00115 stw r3,4(r2) fd->fd_flags = alt_fd_list[old].fd_flags; - 318d0: 008000f4 movhi r2,3 - 318d4: 108f2304 addi r2,r2,15500 - 318d8: e0fffb17 ldw r3,-20(fp) - 318dc: 18c00324 muli r3,r3,12 - 318e0: 10c5883a add r2,r2,r3 - 318e4: 10800204 addi r2,r2,8 - 318e8: 10c00017 ldw r3,0(r2) - 318ec: e0bffc17 ldw r2,-16(fp) - 318f0: 10c00215 stw r3,8(r2) + 30fac: 008000f4 movhi r2,3 + 30fb0: 108cd604 addi r2,r2,13144 + 30fb4: e0fffb17 ldw r3,-20(fp) + 30fb8: 18c00324 muli r3,r3,12 + 30fbc: 10c5883a add r2,r2,r3 + 30fc0: 10800204 addi r2,r2,8 + 30fc4: 10c00017 ldw r3,0(r2) + 30fc8: e0bffc17 ldw r2,-16(fp) + 30fcc: 10c00215 stw r3,8(r2) alt_release_fd (old); - 318f4: e13ffb17 ldw r4,-20(fp) - 318f8: 00300380 call 30038 + 30fd0: e13ffb17 ldw r4,-20(fp) + 30fd4: 002f7140 call 2f714 } } - 318fc: 0001883a nop - 31900: e037883a mov sp,fp - 31904: dfc00117 ldw ra,4(sp) - 31908: df000017 ldw fp,0(sp) - 3190c: dec00204 addi sp,sp,8 - 31910: f800283a ret + 30fd8: 0001883a nop + 30fdc: e037883a mov sp,fp + 30fe0: dfc00117 ldw ra,4(sp) + 30fe4: df000017 ldw fp,0(sp) + 30fe8: dec00204 addi sp,sp,8 + 30fec: f800283a ret -00031914 : +00030ff0 : */ void alt_io_redirect(const char* stdout_dev, const char* stdin_dev, const char* stderr_dev) { - 31914: defffb04 addi sp,sp,-20 - 31918: dfc00415 stw ra,16(sp) - 3191c: df000315 stw fp,12(sp) - 31920: df000304 addi fp,sp,12 - 31924: e13ffd15 stw r4,-12(fp) - 31928: e17ffe15 stw r5,-8(fp) - 3192c: e1bfff15 stw r6,-4(fp) + 30ff0: defffb04 addi sp,sp,-20 + 30ff4: dfc00415 stw ra,16(sp) + 30ff8: df000315 stw fp,12(sp) + 30ffc: df000304 addi fp,sp,12 + 31000: e13ffd15 stw r4,-12(fp) + 31004: e17ffe15 stw r5,-8(fp) + 31008: e1bfff15 stw r6,-4(fp) /* Redirect the channels */ alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); - 31930: 01c07fc4 movi r7,511 - 31934: 01800044 movi r6,1 - 31938: e17ffd17 ldw r5,-12(fp) - 3193c: 010000f4 movhi r4,3 - 31940: 210f2604 addi r4,r4,15512 - 31944: 00318500 call 31850 + 3100c: 01c07fc4 movi r7,511 + 31010: 01800044 movi r6,1 + 31014: e17ffd17 ldw r5,-12(fp) + 31018: 010000f4 movhi r4,3 + 3101c: 210cd904 addi r4,r4,13156 + 31020: 0030f2c0 call 30f2c alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); - 31948: 01c07fc4 movi r7,511 - 3194c: 000d883a mov r6,zero - 31950: e17ffe17 ldw r5,-8(fp) - 31954: 010000f4 movhi r4,3 - 31958: 210f2304 addi r4,r4,15500 - 3195c: 00318500 call 31850 + 31024: 01c07fc4 movi r7,511 + 31028: 000d883a mov r6,zero + 3102c: e17ffe17 ldw r5,-8(fp) + 31030: 010000f4 movhi r4,3 + 31034: 210cd604 addi r4,r4,13144 + 31038: 0030f2c0 call 30f2c alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); - 31960: 01c07fc4 movi r7,511 - 31964: 01800044 movi r6,1 - 31968: e17fff17 ldw r5,-4(fp) - 3196c: 010000f4 movhi r4,3 - 31970: 210f2904 addi r4,r4,15524 - 31974: 00318500 call 31850 + 3103c: 01c07fc4 movi r7,511 + 31040: 01800044 movi r6,1 + 31044: e17fff17 ldw r5,-4(fp) + 31048: 010000f4 movhi r4,3 + 3104c: 210cdc04 addi r4,r4,13168 + 31050: 0030f2c0 call 30f2c } - 31978: 0001883a nop - 3197c: e037883a mov sp,fp - 31980: dfc00117 ldw ra,4(sp) - 31984: df000017 ldw fp,0(sp) - 31988: dec00204 addi sp,sp,8 - 3198c: f800283a ret + 31054: 0001883a nop + 31058: e037883a mov sp,fp + 3105c: dfc00117 ldw ra,4(sp) + 31060: df000017 ldw fp,0(sp) + 31064: dec00204 addi sp,sp,8 + 31068: f800283a ret -00031990 : +0003106c : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 31990: defffe04 addi sp,sp,-8 - 31994: dfc00115 stw ra,4(sp) - 31998: df000015 stw fp,0(sp) - 3199c: d839883a mov fp,sp + 3106c: defffe04 addi sp,sp,-8 + 31070: dfc00115 stw ra,4(sp) + 31074: df000015 stw fp,0(sp) + 31078: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 319a0: 008000f4 movhi r2,3 - 319a4: 1093a904 addi r2,r2,20132 - 319a8: 10800017 ldw r2,0(r2) - 319ac: 10000526 beq r2,zero,319c4 - 319b0: 008000f4 movhi r2,3 - 319b4: 1093a904 addi r2,r2,20132 - 319b8: 10800017 ldw r2,0(r2) - 319bc: 103ee83a callr r2 - 319c0: 00000206 br 319cc - 319c4: 008000f4 movhi r2,3 - 319c8: 109c5904 addi r2,r2,29028 + 3107c: 008000f4 movhi r2,3 + 31080: 10915c04 addi r2,r2,17776 + 31084: 10800017 ldw r2,0(r2) + 31088: 10000526 beq r2,zero,310a0 + 3108c: 008000f4 movhi r2,3 + 31090: 10915c04 addi r2,r2,17776 + 31094: 10800017 ldw r2,0(r2) + 31098: 103ee83a callr r2 + 3109c: 00000206 br 310a8 + 310a0: 008000f4 movhi r2,3 + 310a4: 109a0c04 addi r2,r2,26672 } - 319cc: e037883a mov sp,fp - 319d0: dfc00117 ldw ra,4(sp) - 319d4: df000017 ldw fp,0(sp) - 319d8: dec00204 addi sp,sp,8 - 319dc: f800283a ret + 310a8: e037883a mov sp,fp + 310ac: dfc00117 ldw ra,4(sp) + 310b0: df000017 ldw fp,0(sp) + 310b4: dec00204 addi sp,sp,8 + 310b8: f800283a ret -000319e0 : +000310bc : * performed for devices. Filesystems are required to handle the ioctl() call * themselves, and report the error from the filesystems open() function. */ static int alt_file_locked (alt_fd* fd) { - 319e0: defffd04 addi sp,sp,-12 - 319e4: df000215 stw fp,8(sp) - 319e8: df000204 addi fp,sp,8 - 319ec: e13fff15 stw r4,-4(fp) + 310bc: defffd04 addi sp,sp,-12 + 310c0: df000215 stw fp,8(sp) + 310c4: df000204 addi fp,sp,8 + 310c8: e13fff15 stw r4,-4(fp) /* * Mark the file descriptor as belonging to a device. */ fd->fd_flags |= ALT_FD_DEV; - 319f0: e0bfff17 ldw r2,-4(fp) - 319f4: 10800217 ldw r2,8(r2) - 319f8: 10d00034 orhi r3,r2,16384 - 319fc: e0bfff17 ldw r2,-4(fp) - 31a00: 10c00215 stw r3,8(r2) + 310cc: e0bfff17 ldw r2,-4(fp) + 310d0: 10800217 ldw r2,8(r2) + 310d4: 10d00034 orhi r3,r2,16384 + 310d8: e0bfff17 ldw r2,-4(fp) + 310dc: 10c00215 stw r3,8(r2) /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 31a04: e03ffe15 stw zero,-8(fp) - 31a08: 00001d06 br 31a80 + 310e0: e03ffe15 stw zero,-8(fp) + 310e4: 00001d06 br 3115c { if ((alt_fd_list[i].dev == fd->dev) && - 31a0c: 008000f4 movhi r2,3 - 31a10: 108f2304 addi r2,r2,15500 - 31a14: e0fffe17 ldw r3,-8(fp) - 31a18: 18c00324 muli r3,r3,12 - 31a1c: 10c5883a add r2,r2,r3 - 31a20: 10c00017 ldw r3,0(r2) - 31a24: e0bfff17 ldw r2,-4(fp) - 31a28: 10800017 ldw r2,0(r2) - 31a2c: 1880111e bne r3,r2,31a74 + 310e8: 008000f4 movhi r2,3 + 310ec: 108cd604 addi r2,r2,13144 + 310f0: e0fffe17 ldw r3,-8(fp) + 310f4: 18c00324 muli r3,r3,12 + 310f8: 10c5883a add r2,r2,r3 + 310fc: 10c00017 ldw r3,0(r2) + 31100: e0bfff17 ldw r2,-4(fp) + 31104: 10800017 ldw r2,0(r2) + 31108: 1880111e bne r3,r2,31150 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 31a30: 008000f4 movhi r2,3 - 31a34: 108f2304 addi r2,r2,15500 - 31a38: e0fffe17 ldw r3,-8(fp) - 31a3c: 18c00324 muli r3,r3,12 - 31a40: 10c5883a add r2,r2,r3 - 31a44: 10800204 addi r2,r2,8 - 31a48: 10800017 ldw r2,0(r2) + 3110c: 008000f4 movhi r2,3 + 31110: 108cd604 addi r2,r2,13144 + 31114: e0fffe17 ldw r3,-8(fp) + 31118: 18c00324 muli r3,r3,12 + 3111c: 10c5883a add r2,r2,r3 + 31120: 10800204 addi r2,r2,8 + 31124: 10800017 ldw r2,0(r2) * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && - 31a4c: 1000090e bge r2,zero,31a74 + 31128: 1000090e bge r2,zero,31150 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && (&alt_fd_list[i] != fd)) - 31a50: e0bffe17 ldw r2,-8(fp) - 31a54: 10c00324 muli r3,r2,12 - 31a58: 008000f4 movhi r2,3 - 31a5c: 108f2304 addi r2,r2,15500 - 31a60: 1887883a add r3,r3,r2 + 3112c: e0bffe17 ldw r2,-8(fp) + 31130: 10c00324 muli r3,r2,12 + 31134: 008000f4 movhi r2,3 + 31138: 108cd604 addi r2,r2,13144 + 3113c: 1887883a add r3,r3,r2 */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 31a64: e0bfff17 ldw r2,-4(fp) - 31a68: 18800226 beq r3,r2,31a74 + 31140: e0bfff17 ldw r2,-4(fp) + 31144: 18800226 beq r3,r2,31150 (&alt_fd_list[i] != fd)) { return -EACCES; - 31a6c: 00bffcc4 movi r2,-13 - 31a70: 00000a06 br 31a9c + 31148: 00bffcc4 movi r2,-13 + 3114c: 00000a06 br 31178 /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 31a74: e0bffe17 ldw r2,-8(fp) - 31a78: 10800044 addi r2,r2,1 - 31a7c: e0bffe15 stw r2,-8(fp) - 31a80: 008000f4 movhi r2,3 - 31a84: 1093a804 addi r2,r2,20128 - 31a88: 10800017 ldw r2,0(r2) - 31a8c: 1007883a mov r3,r2 - 31a90: e0bffe17 ldw r2,-8(fp) - 31a94: 18bfdd2e bgeu r3,r2,31a0c + 31150: e0bffe17 ldw r2,-8(fp) + 31154: 10800044 addi r2,r2,1 + 31158: e0bffe15 stw r2,-8(fp) + 3115c: 008000f4 movhi r2,3 + 31160: 10915b04 addi r2,r2,17772 + 31164: 10800017 ldw r2,0(r2) + 31168: 1007883a mov r3,r2 + 3116c: e0bffe17 ldw r2,-8(fp) + 31170: 18bfdd2e bgeu r3,r2,310e8 <_gp+0xffff4bb0> } } /* The device is not locked */ return 0; - 31a98: 0005883a mov r2,zero + 31174: 0005883a mov r2,zero } - 31a9c: e037883a mov sp,fp - 31aa0: df000017 ldw fp,0(sp) - 31aa4: dec00104 addi sp,sp,4 - 31aa8: f800283a ret + 31178: e037883a mov sp,fp + 3117c: df000017 ldw fp,0(sp) + 31180: dec00104 addi sp,sp,4 + 31184: f800283a ret -00031aac : +00031188 : * * ALT_OPEN is mapped onto the open() system call in alt_syscall.h */ int ALT_OPEN (const char* file, int flags, int mode) { - 31aac: defff604 addi sp,sp,-40 - 31ab0: dfc00915 stw ra,36(sp) - 31ab4: df000815 stw fp,32(sp) - 31ab8: df000804 addi fp,sp,32 - 31abc: e13ffd15 stw r4,-12(fp) - 31ac0: e17ffe15 stw r5,-8(fp) - 31ac4: e1bfff15 stw r6,-4(fp) + 31188: defff604 addi sp,sp,-40 + 3118c: dfc00915 stw ra,36(sp) + 31190: df000815 stw fp,32(sp) + 31194: df000804 addi fp,sp,32 + 31198: e13ffd15 stw r4,-12(fp) + 3119c: e17ffe15 stw r5,-8(fp) + 311a0: e1bfff15 stw r6,-4(fp) alt_dev* dev; alt_fd* fd; int index = -1; - 31ac8: 00bfffc4 movi r2,-1 - 31acc: e0bff915 stw r2,-28(fp) + 311a4: 00bfffc4 movi r2,-1 + 311a8: e0bff915 stw r2,-28(fp) int status = -ENODEV; - 31ad0: 00bffb44 movi r2,-19 - 31ad4: e0bffa15 stw r2,-24(fp) + 311ac: 00bffb44 movi r2,-19 + 311b0: e0bffa15 stw r2,-24(fp) int isafs = 0; - 31ad8: e03ffb15 stw zero,-20(fp) + 311b4: e03ffb15 stw zero,-20(fp) /* * Check the device list, to see if a device with a matching name is * registered. */ if (!(dev = alt_find_dev (file, &alt_dev_list))) - 31adc: 014000f4 movhi r5,3 - 31ae0: 2953a604 addi r5,r5,20120 - 31ae4: e13ffd17 ldw r4,-12(fp) - 31ae8: 0031c280 call 31c28 - 31aec: e0bff815 stw r2,-32(fp) - 31af0: e0bff817 ldw r2,-32(fp) - 31af4: 1000051e bne r2,zero,31b0c + 311b8: 014000f4 movhi r5,3 + 311bc: 29515904 addi r5,r5,17764 + 311c0: e13ffd17 ldw r4,-12(fp) + 311c4: 00313040 call 31304 + 311c8: e0bff815 stw r2,-32(fp) + 311cc: e0bff817 ldw r2,-32(fp) + 311d0: 1000051e bne r2,zero,311e8 { /* No matching device, so try the filesystem list */ dev = alt_find_file (file); - 31af8: e13ffd17 ldw r4,-12(fp) - 31afc: 0031cb80 call 31cb8 - 31b00: e0bff815 stw r2,-32(fp) + 311d4: e13ffd17 ldw r4,-12(fp) + 311d8: 00313940 call 31394 + 311dc: e0bff815 stw r2,-32(fp) isafs = 1; - 31b04: 00800044 movi r2,1 - 31b08: e0bffb15 stw r2,-20(fp) + 311e0: 00800044 movi r2,1 + 311e4: e0bffb15 stw r2,-20(fp) /* * If a matching device or filesystem is found, allocate a file descriptor. */ if (dev) - 31b0c: e0bff817 ldw r2,-32(fp) - 31b10: 10002926 beq r2,zero,31bb8 + 311e8: e0bff817 ldw r2,-32(fp) + 311ec: 10002926 beq r2,zero,31294 { if ((index = alt_get_fd (dev)) < 0) - 31b14: e13ff817 ldw r4,-32(fp) - 31b18: 0031dcc0 call 31dcc - 31b1c: e0bff915 stw r2,-28(fp) - 31b20: e0bff917 ldw r2,-28(fp) - 31b24: 1000030e bge r2,zero,31b34 + 311f0: e13ff817 ldw r4,-32(fp) + 311f4: 00314a80 call 314a8 + 311f8: e0bff915 stw r2,-28(fp) + 311fc: e0bff917 ldw r2,-28(fp) + 31200: 1000030e bge r2,zero,31210 { status = index; - 31b28: e0bff917 ldw r2,-28(fp) - 31b2c: e0bffa15 stw r2,-24(fp) - 31b30: 00002306 br 31bc0 + 31204: e0bff917 ldw r2,-28(fp) + 31208: e0bffa15 stw r2,-24(fp) + 3120c: 00002306 br 3129c } else { fd = &alt_fd_list[index]; - 31b34: e0bff917 ldw r2,-28(fp) - 31b38: 10c00324 muli r3,r2,12 - 31b3c: 008000f4 movhi r2,3 - 31b40: 108f2304 addi r2,r2,15500 - 31b44: 1885883a add r2,r3,r2 - 31b48: e0bffc15 stw r2,-16(fp) + 31210: e0bff917 ldw r2,-28(fp) + 31214: 10c00324 muli r3,r2,12 + 31218: 008000f4 movhi r2,3 + 3121c: 108cd604 addi r2,r2,13144 + 31220: 1885883a add r2,r3,r2 + 31224: e0bffc15 stw r2,-16(fp) fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); - 31b4c: e0fffe17 ldw r3,-8(fp) - 31b50: 00900034 movhi r2,16384 - 31b54: 10bfffc4 addi r2,r2,-1 - 31b58: 1886703a and r3,r3,r2 - 31b5c: e0bffc17 ldw r2,-16(fp) - 31b60: 10c00215 stw r3,8(r2) + 31228: e0fffe17 ldw r3,-8(fp) + 3122c: 00900034 movhi r2,16384 + 31230: 10bfffc4 addi r2,r2,-1 + 31234: 1886703a and r3,r3,r2 + 31238: e0bffc17 ldw r2,-16(fp) + 3123c: 10c00215 stw r3,8(r2) /* If this is a device, ensure it isn't already locked */ if (isafs || ((status = alt_file_locked (fd)) >= 0)) - 31b64: e0bffb17 ldw r2,-20(fp) - 31b68: 1000051e bne r2,zero,31b80 - 31b6c: e13ffc17 ldw r4,-16(fp) - 31b70: 00319e00 call 319e0 - 31b74: e0bffa15 stw r2,-24(fp) - 31b78: e0bffa17 ldw r2,-24(fp) - 31b7c: 10001016 blt r2,zero,31bc0 + 31240: e0bffb17 ldw r2,-20(fp) + 31244: 1000051e bne r2,zero,3125c + 31248: e13ffc17 ldw r4,-16(fp) + 3124c: 00310bc0 call 310bc + 31250: e0bffa15 stw r2,-24(fp) + 31254: e0bffa17 ldw r2,-24(fp) + 31258: 10001016 blt r2,zero,3129c /* * If the device or filesystem provides an open() callback function, * call it now to perform any device/filesystem specific operations. */ status = (dev->open) ? dev->open(fd, file, flags, mode): 0; - 31b80: e0bff817 ldw r2,-32(fp) - 31b84: 10800317 ldw r2,12(r2) - 31b88: 10000826 beq r2,zero,31bac - 31b8c: e0bff817 ldw r2,-32(fp) - 31b90: 10800317 ldw r2,12(r2) - 31b94: e1ffff17 ldw r7,-4(fp) - 31b98: e1bffe17 ldw r6,-8(fp) - 31b9c: e17ffd17 ldw r5,-12(fp) - 31ba0: e13ffc17 ldw r4,-16(fp) - 31ba4: 103ee83a callr r2 - 31ba8: 00000106 br 31bb0 - 31bac: 0005883a mov r2,zero - 31bb0: e0bffa15 stw r2,-24(fp) - 31bb4: 00000206 br 31bc0 + 3125c: e0bff817 ldw r2,-32(fp) + 31260: 10800317 ldw r2,12(r2) + 31264: 10000826 beq r2,zero,31288 + 31268: e0bff817 ldw r2,-32(fp) + 3126c: 10800317 ldw r2,12(r2) + 31270: e1ffff17 ldw r7,-4(fp) + 31274: e1bffe17 ldw r6,-8(fp) + 31278: e17ffd17 ldw r5,-12(fp) + 3127c: e13ffc17 ldw r4,-16(fp) + 31280: 103ee83a callr r2 + 31284: 00000106 br 3128c + 31288: 0005883a mov r2,zero + 3128c: e0bffa15 stw r2,-24(fp) + 31290: 00000206 br 3129c } } } else { status = -ENODEV; - 31bb8: 00bffb44 movi r2,-19 - 31bbc: e0bffa15 stw r2,-24(fp) + 31294: 00bffb44 movi r2,-19 + 31298: e0bffa15 stw r2,-24(fp) } /* Allocation failed, so clean up and return an error */ if (status < 0) - 31bc0: e0bffa17 ldw r2,-24(fp) - 31bc4: 1000090e bge r2,zero,31bec + 3129c: e0bffa17 ldw r2,-24(fp) + 312a0: 1000090e bge r2,zero,312c8 { alt_release_fd (index); - 31bc8: e13ff917 ldw r4,-28(fp) - 31bcc: 00300380 call 30038 + 312a4: e13ff917 ldw r4,-28(fp) + 312a8: 002f7140 call 2f714 ALT_ERRNO = -status; - 31bd0: 00319900 call 31990 - 31bd4: 1007883a mov r3,r2 - 31bd8: e0bffa17 ldw r2,-24(fp) - 31bdc: 0085c83a sub r2,zero,r2 - 31be0: 18800015 stw r2,0(r3) + 312ac: 003106c0 call 3106c + 312b0: 1007883a mov r3,r2 + 312b4: e0bffa17 ldw r2,-24(fp) + 312b8: 0085c83a sub r2,zero,r2 + 312bc: 18800015 stw r2,0(r3) return -1; - 31be4: 00bfffc4 movi r2,-1 - 31be8: 00000106 br 31bf0 + 312c0: 00bfffc4 movi r2,-1 + 312c4: 00000106 br 312cc } /* return the reference upon success */ return index; - 31bec: e0bff917 ldw r2,-28(fp) + 312c8: e0bff917 ldw r2,-28(fp) } - 31bf0: e037883a mov sp,fp - 31bf4: dfc00117 ldw ra,4(sp) - 31bf8: df000017 ldw fp,0(sp) - 31bfc: dec00204 addi sp,sp,8 - 31c00: f800283a ret + 312cc: e037883a mov sp,fp + 312d0: dfc00117 ldw ra,4(sp) + 312d4: df000017 ldw fp,0(sp) + 312d8: dec00204 addi sp,sp,8 + 312dc: f800283a ret -00031c04 : +000312e0 : /* * To initialize the internal interrupt controller, just clear the IENABLE * register so that all possible IRQs are disabled. */ void altera_nios2_gen2_irq_init(void) { - 31c04: deffff04 addi sp,sp,-4 - 31c08: df000015 stw fp,0(sp) - 31c0c: d839883a mov fp,sp + 312e0: deffff04 addi sp,sp,-4 + 312e4: df000015 stw fp,0(sp) + 312e8: d839883a mov fp,sp NIOS2_WRITE_IENABLE(0); - 31c10: 000170fa wrctl ienable,zero + 312ec: 000170fa wrctl ienable,zero } - 31c14: 0001883a nop - 31c18: e037883a mov sp,fp - 31c1c: df000017 ldw fp,0(sp) - 31c20: dec00104 addi sp,sp,4 - 31c24: f800283a ret + 312f0: 0001883a nop + 312f4: e037883a mov sp,fp + 312f8: df000017 ldw fp,0(sp) + 312fc: dec00104 addi sp,sp,4 + 31300: f800283a ret -00031c28 : +00031304 : * "name" must be an exact match for the devices registered name for a match to * be found. */ alt_dev* alt_find_dev(const char* name, alt_llist* llist) { - 31c28: defffa04 addi sp,sp,-24 - 31c2c: dfc00515 stw ra,20(sp) - 31c30: df000415 stw fp,16(sp) - 31c34: df000404 addi fp,sp,16 - 31c38: e13ffe15 stw r4,-8(fp) - 31c3c: e17fff15 stw r5,-4(fp) + 31304: defffa04 addi sp,sp,-24 + 31308: dfc00515 stw ra,20(sp) + 3130c: df000415 stw fp,16(sp) + 31310: df000404 addi fp,sp,16 + 31314: e13ffe15 stw r4,-8(fp) + 31318: e17fff15 stw r5,-4(fp) alt_dev* next = (alt_dev*) llist->next; - 31c40: e0bfff17 ldw r2,-4(fp) - 31c44: 10800017 ldw r2,0(r2) - 31c48: e0bffc15 stw r2,-16(fp) + 3131c: e0bfff17 ldw r2,-4(fp) + 31320: 10800017 ldw r2,0(r2) + 31324: e0bffc15 stw r2,-16(fp) alt_32 len; len = strlen(name) + 1; - 31c4c: e13ffe17 ldw r4,-8(fp) - 31c50: 002376c0 call 2376c - 31c54: 10800044 addi r2,r2,1 - 31c58: e0bffd15 stw r2,-12(fp) + 31328: e13ffe17 ldw r4,-8(fp) + 3132c: 00231a80 call 231a8 + 31330: 10800044 addi r2,r2,1 + 31334: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 31c5c: 00000d06 br 31c94 + 31338: 00000d06 br 31370 /* * memcmp() is used here rather than strcmp() in order to reduce the size * of the executable. */ if (!memcmp (next->name, name, len)) - 31c60: e0bffc17 ldw r2,-16(fp) - 31c64: 10800217 ldw r2,8(r2) - 31c68: e0fffd17 ldw r3,-12(fp) - 31c6c: 180d883a mov r6,r3 - 31c70: e17ffe17 ldw r5,-8(fp) - 31c74: 1009883a mov r4,r2 - 31c78: 0031ff80 call 31ff8 - 31c7c: 1000021e bne r2,zero,31c88 + 3133c: e0bffc17 ldw r2,-16(fp) + 31340: 10800217 ldw r2,8(r2) + 31344: e0fffd17 ldw r3,-12(fp) + 31348: 180d883a mov r6,r3 + 3134c: e17ffe17 ldw r5,-8(fp) + 31350: 1009883a mov r4,r2 + 31354: 00316d40 call 316d4 + 31358: 1000021e bne r2,zero,31364 { /* match found */ return next; - 31c80: e0bffc17 ldw r2,-16(fp) - 31c84: 00000706 br 31ca4 + 3135c: e0bffc17 ldw r2,-16(fp) + 31360: 00000706 br 31380 } next = (alt_dev*) next->llist.next; - 31c88: e0bffc17 ldw r2,-16(fp) - 31c8c: 10800017 ldw r2,0(r2) - 31c90: e0bffc15 stw r2,-16(fp) + 31364: e0bffc17 ldw r2,-16(fp) + 31368: 10800017 ldw r2,0(r2) + 3136c: e0bffc15 stw r2,-16(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 31c94: e0fffc17 ldw r3,-16(fp) - 31c98: e0bfff17 ldw r2,-4(fp) - 31c9c: 18bff01e bne r3,r2,31c60 + 31370: e0fffc17 ldw r3,-16(fp) + 31374: e0bfff17 ldw r2,-4(fp) + 31378: 18bff01e bne r3,r2,3133c <_gp+0xffff4e04> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 31ca0: 0005883a mov r2,zero + 3137c: 0005883a mov r2,zero } - 31ca4: e037883a mov sp,fp - 31ca8: dfc00117 ldw ra,4(sp) - 31cac: df000017 ldw fp,0(sp) - 31cb0: dec00204 addi sp,sp,8 - 31cb4: f800283a ret + 31380: e037883a mov sp,fp + 31384: dfc00117 ldw ra,4(sp) + 31388: df000017 ldw fp,0(sp) + 3138c: dec00204 addi sp,sp,8 + 31390: f800283a ret -00031cb8 : +00031394 : * either '/' or '\0' is the prefix of the filename. For example the filename: * "/myfilesystem/junk.txt" would match: "/myfilesystem", but not: "/myfile". */ alt_dev* alt_find_file (const char* name) { - 31cb8: defffb04 addi sp,sp,-20 - 31cbc: dfc00415 stw ra,16(sp) - 31cc0: df000315 stw fp,12(sp) - 31cc4: df000304 addi fp,sp,12 - 31cc8: e13fff15 stw r4,-4(fp) + 31394: defffb04 addi sp,sp,-20 + 31398: dfc00415 stw ra,16(sp) + 3139c: df000315 stw fp,12(sp) + 313a0: df000304 addi fp,sp,12 + 313a4: e13fff15 stw r4,-4(fp) alt_dev* next = (alt_dev*) alt_fs_list.next; - 31ccc: 008000f4 movhi r2,3 - 31cd0: 1093a404 addi r2,r2,20112 - 31cd4: 10800017 ldw r2,0(r2) - 31cd8: e0bffd15 stw r2,-12(fp) + 313a8: 008000f4 movhi r2,3 + 313ac: 10915704 addi r2,r2,17756 + 313b0: 10800017 ldw r2,0(r2) + 313b4: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 31cdc: 00003106 br 31da4 + 313b8: 00003106 br 31480 { len = strlen(next->name); - 31ce0: e0bffd17 ldw r2,-12(fp) - 31ce4: 10800217 ldw r2,8(r2) - 31ce8: 1009883a mov r4,r2 - 31cec: 002376c0 call 2376c - 31cf0: e0bffe15 stw r2,-8(fp) + 313bc: e0bffd17 ldw r2,-12(fp) + 313c0: 10800217 ldw r2,8(r2) + 313c4: 1009883a mov r4,r2 + 313c8: 00231a80 call 231a8 + 313cc: e0bffe15 stw r2,-8(fp) if (next->name[len-1] == '/') - 31cf4: e0bffd17 ldw r2,-12(fp) - 31cf8: 10c00217 ldw r3,8(r2) - 31cfc: e0bffe17 ldw r2,-8(fp) - 31d00: 10bfffc4 addi r2,r2,-1 - 31d04: 1885883a add r2,r3,r2 - 31d08: 10800003 ldbu r2,0(r2) - 31d0c: 10803fcc andi r2,r2,255 - 31d10: 1080201c xori r2,r2,128 - 31d14: 10bfe004 addi r2,r2,-128 - 31d18: 10800bd8 cmpnei r2,r2,47 - 31d1c: 1000031e bne r2,zero,31d2c + 313d0: e0bffd17 ldw r2,-12(fp) + 313d4: 10c00217 ldw r3,8(r2) + 313d8: e0bffe17 ldw r2,-8(fp) + 313dc: 10bfffc4 addi r2,r2,-1 + 313e0: 1885883a add r2,r3,r2 + 313e4: 10800003 ldbu r2,0(r2) + 313e8: 10803fcc andi r2,r2,255 + 313ec: 1080201c xori r2,r2,128 + 313f0: 10bfe004 addi r2,r2,-128 + 313f4: 10800bd8 cmpnei r2,r2,47 + 313f8: 1000031e bne r2,zero,31408 { len -= 1; - 31d20: e0bffe17 ldw r2,-8(fp) - 31d24: 10bfffc4 addi r2,r2,-1 - 31d28: e0bffe15 stw r2,-8(fp) + 313fc: e0bffe17 ldw r2,-8(fp) + 31400: 10bfffc4 addi r2,r2,-1 + 31404: e0bffe15 stw r2,-8(fp) } if (((name[len] == '/') || (name[len] == '\0')) && - 31d2c: e0bffe17 ldw r2,-8(fp) - 31d30: e0ffff17 ldw r3,-4(fp) - 31d34: 1885883a add r2,r3,r2 - 31d38: 10800003 ldbu r2,0(r2) - 31d3c: 10803fcc andi r2,r2,255 - 31d40: 1080201c xori r2,r2,128 - 31d44: 10bfe004 addi r2,r2,-128 - 31d48: 10800be0 cmpeqi r2,r2,47 - 31d4c: 1000081e bne r2,zero,31d70 - 31d50: e0bffe17 ldw r2,-8(fp) - 31d54: e0ffff17 ldw r3,-4(fp) - 31d58: 1885883a add r2,r3,r2 - 31d5c: 10800003 ldbu r2,0(r2) - 31d60: 10803fcc andi r2,r2,255 - 31d64: 1080201c xori r2,r2,128 - 31d68: 10bfe004 addi r2,r2,-128 - 31d6c: 10000a1e bne r2,zero,31d98 + 31408: e0bffe17 ldw r2,-8(fp) + 3140c: e0ffff17 ldw r3,-4(fp) + 31410: 1885883a add r2,r3,r2 + 31414: 10800003 ldbu r2,0(r2) + 31418: 10803fcc andi r2,r2,255 + 3141c: 1080201c xori r2,r2,128 + 31420: 10bfe004 addi r2,r2,-128 + 31424: 10800be0 cmpeqi r2,r2,47 + 31428: 1000081e bne r2,zero,3144c + 3142c: e0bffe17 ldw r2,-8(fp) + 31430: e0ffff17 ldw r3,-4(fp) + 31434: 1885883a add r2,r3,r2 + 31438: 10800003 ldbu r2,0(r2) + 3143c: 10803fcc andi r2,r2,255 + 31440: 1080201c xori r2,r2,128 + 31444: 10bfe004 addi r2,r2,-128 + 31448: 10000a1e bne r2,zero,31474 !memcmp (next->name, name, len)) - 31d70: e0bffd17 ldw r2,-12(fp) - 31d74: 10800217 ldw r2,8(r2) - 31d78: e0fffe17 ldw r3,-8(fp) - 31d7c: 180d883a mov r6,r3 - 31d80: e17fff17 ldw r5,-4(fp) - 31d84: 1009883a mov r4,r2 - 31d88: 0031ff80 call 31ff8 + 3144c: e0bffd17 ldw r2,-12(fp) + 31450: 10800217 ldw r2,8(r2) + 31454: e0fffe17 ldw r3,-8(fp) + 31458: 180d883a mov r6,r3 + 3145c: e17fff17 ldw r5,-4(fp) + 31460: 1009883a mov r4,r2 + 31464: 00316d40 call 316d4 if (next->name[len-1] == '/') { len -= 1; } if (((name[len] == '/') || (name[len] == '\0')) && - 31d8c: 1000021e bne r2,zero,31d98 + 31468: 1000021e bne r2,zero,31474 !memcmp (next->name, name, len)) { /* match found */ return next; - 31d90: e0bffd17 ldw r2,-12(fp) - 31d94: 00000806 br 31db8 + 3146c: e0bffd17 ldw r2,-12(fp) + 31470: 00000806 br 31494 } next = (alt_dev*) next->llist.next; - 31d98: e0bffd17 ldw r2,-12(fp) - 31d9c: 10800017 ldw r2,0(r2) - 31da0: e0bffd15 stw r2,-12(fp) + 31474: e0bffd17 ldw r2,-12(fp) + 31478: 10800017 ldw r2,0(r2) + 3147c: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 31da4: e0fffd17 ldw r3,-12(fp) - 31da8: 008000f4 movhi r2,3 - 31dac: 1093a404 addi r2,r2,20112 - 31db0: 18bfcb1e bne r3,r2,31ce0 + 31480: e0fffd17 ldw r3,-12(fp) + 31484: 008000f4 movhi r2,3 + 31488: 10915704 addi r2,r2,17756 + 3148c: 18bfcb1e bne r3,r2,313bc <_gp+0xffff4e84> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 31db4: 0005883a mov r2,zero + 31490: 0005883a mov r2,zero } - 31db8: e037883a mov sp,fp - 31dbc: dfc00117 ldw ra,4(sp) - 31dc0: df000017 ldw fp,0(sp) - 31dc4: dec00204 addi sp,sp,8 - 31dc8: f800283a ret + 31494: e037883a mov sp,fp + 31498: dfc00117 ldw ra,4(sp) + 3149c: df000017 ldw fp,0(sp) + 314a0: dec00204 addi sp,sp,8 + 314a4: f800283a ret -00031dcc : +000314a8 : * the offset of the file descriptor within the file descriptor array). A * negative value indicates failure. */ int alt_get_fd (alt_dev* dev) { - 31dcc: defffc04 addi sp,sp,-16 - 31dd0: df000315 stw fp,12(sp) - 31dd4: df000304 addi fp,sp,12 - 31dd8: e13fff15 stw r4,-4(fp) + 314a8: defffc04 addi sp,sp,-16 + 314ac: df000315 stw fp,12(sp) + 314b0: df000304 addi fp,sp,12 + 314b4: e13fff15 stw r4,-4(fp) alt_32 i; int rc = -EMFILE; - 31ddc: 00bffa04 movi r2,-24 - 31de0: e0bffe15 stw r2,-8(fp) + 314b8: 00bffa04 movi r2,-24 + 314bc: e0bffe15 stw r2,-8(fp) * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 31de4: e03ffd15 stw zero,-12(fp) - 31de8: 00001d06 br 31e60 + 314c0: e03ffd15 stw zero,-12(fp) + 314c4: 00001d06 br 3153c { if (!alt_fd_list[i].dev) - 31dec: 008000f4 movhi r2,3 - 31df0: 108f2304 addi r2,r2,15500 - 31df4: e0fffd17 ldw r3,-12(fp) - 31df8: 18c00324 muli r3,r3,12 - 31dfc: 10c5883a add r2,r2,r3 - 31e00: 10800017 ldw r2,0(r2) - 31e04: 1000131e bne r2,zero,31e54 + 314c8: 008000f4 movhi r2,3 + 314cc: 108cd604 addi r2,r2,13144 + 314d0: e0fffd17 ldw r3,-12(fp) + 314d4: 18c00324 muli r3,r3,12 + 314d8: 10c5883a add r2,r2,r3 + 314dc: 10800017 ldw r2,0(r2) + 314e0: 1000131e bne r2,zero,31530 { alt_fd_list[i].dev = dev; - 31e08: 008000f4 movhi r2,3 - 31e0c: 108f2304 addi r2,r2,15500 - 31e10: e0fffd17 ldw r3,-12(fp) - 31e14: 18c00324 muli r3,r3,12 - 31e18: 10c5883a add r2,r2,r3 - 31e1c: e0ffff17 ldw r3,-4(fp) - 31e20: 10c00015 stw r3,0(r2) + 314e4: 008000f4 movhi r2,3 + 314e8: 108cd604 addi r2,r2,13144 + 314ec: e0fffd17 ldw r3,-12(fp) + 314f0: 18c00324 muli r3,r3,12 + 314f4: 10c5883a add r2,r2,r3 + 314f8: e0ffff17 ldw r3,-4(fp) + 314fc: 10c00015 stw r3,0(r2) if (i > alt_max_fd) - 31e24: 008000f4 movhi r2,3 - 31e28: 1093a804 addi r2,r2,20128 - 31e2c: 10c00017 ldw r3,0(r2) - 31e30: e0bffd17 ldw r2,-12(fp) - 31e34: 1880040e bge r3,r2,31e48 + 31500: 008000f4 movhi r2,3 + 31504: 10915b04 addi r2,r2,17772 + 31508: 10c00017 ldw r3,0(r2) + 3150c: e0bffd17 ldw r2,-12(fp) + 31510: 1880040e bge r3,r2,31524 { alt_max_fd = i; - 31e38: 008000f4 movhi r2,3 - 31e3c: 1093a804 addi r2,r2,20128 - 31e40: e0fffd17 ldw r3,-12(fp) - 31e44: 10c00015 stw r3,0(r2) + 31514: 008000f4 movhi r2,3 + 31518: 10915b04 addi r2,r2,17772 + 3151c: e0fffd17 ldw r3,-12(fp) + 31520: 10c00015 stw r3,0(r2) } rc = i; - 31e48: e0bffd17 ldw r2,-12(fp) - 31e4c: e0bffe15 stw r2,-8(fp) + 31524: e0bffd17 ldw r2,-12(fp) + 31528: e0bffe15 stw r2,-8(fp) goto alt_get_fd_exit; - 31e50: 00000606 br 31e6c + 3152c: 00000606 br 31548 * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 31e54: e0bffd17 ldw r2,-12(fp) - 31e58: 10800044 addi r2,r2,1 - 31e5c: e0bffd15 stw r2,-12(fp) - 31e60: e0bffd17 ldw r2,-12(fp) - 31e64: 10800810 cmplti r2,r2,32 - 31e68: 103fe01e bne r2,zero,31dec + 31530: e0bffd17 ldw r2,-12(fp) + 31534: 10800044 addi r2,r2,1 + 31538: e0bffd15 stw r2,-12(fp) + 3153c: e0bffd17 ldw r2,-12(fp) + 31540: 10800810 cmplti r2,r2,32 + 31544: 103fe01e bne r2,zero,314c8 <_gp+0xffff4f90> * file descriptor pool. */ ALT_SEM_POST(alt_fd_list_lock); return rc; - 31e6c: e0bffe17 ldw r2,-8(fp) + 31548: e0bffe17 ldw r2,-8(fp) } - 31e70: e037883a mov sp,fp - 31e74: df000017 ldw fp,0(sp) - 31e78: dec00104 addi sp,sp,4 - 31e7c: f800283a ret + 3154c: e037883a mov sp,fp + 31550: df000017 ldw fp,0(sp) + 31554: dec00104 addi sp,sp,4 + 31558: f800283a ret -00031e80 : +0003155c : * alt_icache_flush() is called to flush the instruction cache for a memory * region of length "len" bytes, starting at address "start". */ void alt_icache_flush (void* start, alt_u32 len) { - 31e80: defffb04 addi sp,sp,-20 - 31e84: df000415 stw fp,16(sp) - 31e88: df000404 addi fp,sp,16 - 31e8c: e13ffe15 stw r4,-8(fp) - 31e90: e17fff15 stw r5,-4(fp) + 3155c: defffb04 addi sp,sp,-20 + 31560: df000415 stw fp,16(sp) + 31564: df000404 addi fp,sp,16 + 31568: e13ffe15 stw r4,-8(fp) + 3156c: e17fff15 stw r5,-4(fp) /* * This is the most we would ever need to flush. */ if (len > NIOS2_ICACHE_SIZE) - 31e94: e0bfff17 ldw r2,-4(fp) - 31e98: 10840070 cmpltui r2,r2,4097 - 31e9c: 1000021e bne r2,zero,31ea8 + 31570: e0bfff17 ldw r2,-4(fp) + 31574: 10840070 cmpltui r2,r2,4097 + 31578: 1000021e bne r2,zero,31584 { len = NIOS2_ICACHE_SIZE; - 31ea0: 00840004 movi r2,4096 - 31ea4: e0bfff15 stw r2,-4(fp) + 3157c: 00840004 movi r2,4096 + 31580: e0bfff15 stw r2,-4(fp) } end = ((char*) start) + len; - 31ea8: e0fffe17 ldw r3,-8(fp) - 31eac: e0bfff17 ldw r2,-4(fp) - 31eb0: 1885883a add r2,r3,r2 - 31eb4: e0bffd15 stw r2,-12(fp) + 31584: e0fffe17 ldw r3,-8(fp) + 31588: e0bfff17 ldw r2,-4(fp) + 3158c: 1885883a add r2,r3,r2 + 31590: e0bffd15 stw r2,-12(fp) for (i = start; i < end; i+= NIOS2_ICACHE_LINE_SIZE) - 31eb8: e0bffe17 ldw r2,-8(fp) - 31ebc: e0bffc15 stw r2,-16(fp) - 31ec0: 00000506 br 31ed8 + 31594: e0bffe17 ldw r2,-8(fp) + 31598: e0bffc15 stw r2,-16(fp) + 3159c: 00000506 br 315b4 { __asm__ volatile ("flushi %0" :: "r" (i)); - 31ec4: e0bffc17 ldw r2,-16(fp) - 31ec8: 1000603a flushi r2 + 315a0: e0bffc17 ldw r2,-16(fp) + 315a4: 1000603a flushi r2 len = NIOS2_ICACHE_SIZE; } end = ((char*) start) + len; for (i = start; i < end; i+= NIOS2_ICACHE_LINE_SIZE) - 31ecc: e0bffc17 ldw r2,-16(fp) - 31ed0: 10800804 addi r2,r2,32 - 31ed4: e0bffc15 stw r2,-16(fp) - 31ed8: e0fffc17 ldw r3,-16(fp) - 31edc: e0bffd17 ldw r2,-12(fp) - 31ee0: 18bff836 bltu r3,r2,31ec4 + 315a8: e0bffc17 ldw r2,-16(fp) + 315ac: 10800804 addi r2,r2,32 + 315b0: e0bffc15 stw r2,-16(fp) + 315b4: e0fffc17 ldw r3,-16(fp) + 315b8: e0bffd17 ldw r2,-12(fp) + 315bc: 18bff836 bltu r3,r2,315a0 <_gp+0xffff5068> * For an unaligned flush request, we've got one more line left. * Note that this is dependent on NIOS2_ICACHE_LINE_SIZE to be a * multiple of 2 (which it always is). */ if (((alt_u32) start) & (NIOS2_ICACHE_LINE_SIZE - 1)) - 31ee4: e0bffe17 ldw r2,-8(fp) - 31ee8: 108007cc andi r2,r2,31 - 31eec: 10000226 beq r2,zero,31ef8 + 315c0: e0bffe17 ldw r2,-8(fp) + 315c4: 108007cc andi r2,r2,31 + 315c8: 10000226 beq r2,zero,315d4 { __asm__ volatile ("flushi %0" :: "r" (i)); - 31ef0: e0bffc17 ldw r2,-16(fp) - 31ef4: 1000603a flushi r2 + 315cc: e0bffc17 ldw r2,-16(fp) + 315d0: 1000603a flushi r2 /* * Having flushed the cache, flush any stale instructions in the * pipeline */ __asm__ volatile ("flushp"); - 31ef8: 0000203a flushp + 315d4: 0000203a flushp #endif /* NIOS2_ICACHE_SIZE > 0 */ } - 31efc: 0001883a nop - 31f00: e037883a mov sp,fp - 31f04: df000017 ldw fp,0(sp) - 31f08: dec00104 addi sp,sp,4 - 31f0c: f800283a ret + 315d8: 0001883a nop + 315dc: e037883a mov sp,fp + 315e0: df000017 ldw fp,0(sp) + 315e4: dec00104 addi sp,sp,4 + 315e8: f800283a ret -00031f10 : +000315ec : * Return: 1: BADADDR (bad_addr argument to handler) is valid * 0: BADADDR is not valid */ int alt_exception_cause_generated_bad_addr(alt_exception_cause cause) { - 31f10: defffe04 addi sp,sp,-8 - 31f14: df000115 stw fp,4(sp) - 31f18: df000104 addi fp,sp,4 - 31f1c: e13fff15 stw r4,-4(fp) + 315ec: defffe04 addi sp,sp,-8 + 315f0: df000115 stw fp,4(sp) + 315f4: df000104 addi fp,sp,4 + 315f8: e13fff15 stw r4,-4(fp) switch (cause) { - 31f20: e0bfff17 ldw r2,-4(fp) - 31f24: 10bffe84 addi r2,r2,-6 - 31f28: 10c00428 cmpgeui r3,r2,16 - 31f2c: 18001a1e bne r3,zero,31f98 - 31f30: 100690ba slli r3,r2,2 - 31f34: 008000f4 movhi r2,3 - 31f38: 1087d204 addi r2,r2,8008 - 31f3c: 1885883a add r2,r3,r2 - 31f40: 10800017 ldw r2,0(r2) - 31f44: 1000683a jmp r2 - 31f48: 00031f88 cmpgei zero,zero,3198 - 31f4c: 00031f88 cmpgei zero,zero,3198 - 31f50: 00031f98 cmpnei zero,zero,3198 - 31f54: 00031f98 cmpnei zero,zero,3198 - 31f58: 00031f98 cmpnei zero,zero,3198 - 31f5c: 00031f88 cmpgei zero,zero,3198 - 31f60: 00031f90 cmplti zero,zero,3198 - 31f64: 00031f98 cmpnei zero,zero,3198 - 31f68: 00031f88 cmpgei zero,zero,3198 - 31f6c: 00031f88 cmpgei zero,zero,3198 - 31f70: 00031f98 cmpnei zero,zero,3198 - 31f74: 00031f88 cmpgei zero,zero,3198 - 31f78: 00031f90 cmplti zero,zero,3198 - 31f7c: 00031f98 cmpnei zero,zero,3198 - 31f80: 00031f98 cmpnei zero,zero,3198 - 31f84: 00031f88 cmpgei zero,zero,3198 + 315fc: e0bfff17 ldw r2,-4(fp) + 31600: 10bffe84 addi r2,r2,-6 + 31604: 10c00428 cmpgeui r3,r2,16 + 31608: 18001a1e bne r3,zero,31674 + 3160c: 100690ba slli r3,r2,2 + 31610: 008000f4 movhi r2,3 + 31614: 10858904 addi r2,r2,5668 + 31618: 1885883a add r2,r3,r2 + 3161c: 10800017 ldw r2,0(r2) + 31620: 1000683a jmp r2 + 31624: 00031664 muli zero,zero,3161 + 31628: 00031664 muli zero,zero,3161 + 3162c: 00031674 movhi zero,3161 + 31630: 00031674 movhi zero,3161 + 31634: 00031674 movhi zero,3161 + 31638: 00031664 muli zero,zero,3161 + 3163c: 0003166c andhi zero,zero,3161 + 31640: 00031674 movhi zero,3161 + 31644: 00031664 muli zero,zero,3161 + 31648: 00031664 muli zero,zero,3161 + 3164c: 00031674 movhi zero,3161 + 31650: 00031664 muli zero,zero,3161 + 31654: 0003166c andhi zero,zero,3161 + 31658: 00031674 movhi zero,3161 + 3165c: 00031674 movhi zero,3161 + 31660: 00031664 muli zero,zero,3161 case NIOS2_EXCEPTION_MISALIGNED_TARGET_PC: case NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION: case NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION: case NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION: case NIOS2_EXCEPTION_ECC_DATA_ERR: return 1; - 31f88: 00800044 movi r2,1 - 31f8c: 00000306 br 31f9c + 31664: 00800044 movi r2,1 + 31668: 00000306 br 31678 case NIOS2_EXCEPTION_TLB_MISS: case NIOS2_EXCEPTION_ECC_TLB_ERR: return 0; - 31f90: 0005883a mov r2,zero - 31f94: 00000106 br 31f9c + 3166c: 0005883a mov r2,zero + 31670: 00000106 br 31678 default: return 0; - 31f98: 0005883a mov r2,zero + 31674: 0005883a mov r2,zero } } - 31f9c: e037883a mov sp,fp - 31fa0: df000017 ldw fp,0(sp) - 31fa4: dec00104 addi sp,sp,4 - 31fa8: f800283a ret + 31678: e037883a mov sp,fp + 3167c: df000017 ldw fp,0(sp) + 31680: dec00104 addi sp,sp,4 + 31684: f800283a ret -00031fac : - 31fac: 200b883a mov r5,r4 - 31fb0: 000f883a mov r7,zero - 31fb4: 000d883a mov r6,zero - 31fb8: 0009883a mov r4,zero - 31fbc: 00320741 jmpi 32074 <__register_exitproc> +00031688 : + 31688: 200b883a mov r5,r4 + 3168c: 000f883a mov r7,zero + 31690: 000d883a mov r6,zero + 31694: 0009883a mov r4,zero + 31698: 00317501 jmpi 31750 <__register_exitproc> -00031fc0 : - 31fc0: defffe04 addi sp,sp,-8 - 31fc4: 000b883a mov r5,zero - 31fc8: dc000015 stw r16,0(sp) - 31fcc: dfc00115 stw ra,4(sp) - 31fd0: 2021883a mov r16,r4 - 31fd4: 003218c0 call 3218c <__call_exitprocs> - 31fd8: 008000f4 movhi r2,3 - 31fdc: 10939e04 addi r2,r2,20088 - 31fe0: 11000017 ldw r4,0(r2) - 31fe4: 20800f17 ldw r2,60(r4) - 31fe8: 10000126 beq r2,zero,31ff0 - 31fec: 103ee83a callr r2 - 31ff0: 8009883a mov r4,r16 - 31ff4: 003230c0 call 3230c <_exit> +0003169c : + 3169c: defffe04 addi sp,sp,-8 + 316a0: 000b883a mov r5,zero + 316a4: dc000015 stw r16,0(sp) + 316a8: dfc00115 stw ra,4(sp) + 316ac: 2021883a mov r16,r4 + 316b0: 00318680 call 31868 <__call_exitprocs> + 316b4: 008000f4 movhi r2,3 + 316b8: 10915304 addi r2,r2,17740 + 316bc: 11000017 ldw r4,0(r2) + 316c0: 20800f17 ldw r2,60(r4) + 316c4: 10000126 beq r2,zero,316cc + 316c8: 103ee83a callr r2 + 316cc: 8009883a mov r4,r16 + 316d0: 00319e80 call 319e8 <_exit> -00031ff8 : - 31ff8: 01c000c4 movi r7,3 - 31ffc: 3980192e bgeu r7,r6,32064 - 32000: 2144b03a or r2,r4,r5 - 32004: 11c4703a and r2,r2,r7 - 32008: 10000f26 beq r2,zero,32048 - 3200c: 20800003 ldbu r2,0(r4) - 32010: 28c00003 ldbu r3,0(r5) - 32014: 10c0151e bne r2,r3,3206c - 32018: 31bfff84 addi r6,r6,-2 - 3201c: 01ffffc4 movi r7,-1 - 32020: 00000406 br 32034 - 32024: 20800003 ldbu r2,0(r4) - 32028: 28c00003 ldbu r3,0(r5) - 3202c: 31bfffc4 addi r6,r6,-1 - 32030: 10c00e1e bne r2,r3,3206c - 32034: 21000044 addi r4,r4,1 - 32038: 29400044 addi r5,r5,1 - 3203c: 31fff91e bne r6,r7,32024 - 32040: 0005883a mov r2,zero - 32044: f800283a ret - 32048: 20c00017 ldw r3,0(r4) - 3204c: 28800017 ldw r2,0(r5) - 32050: 18bfee1e bne r3,r2,3200c - 32054: 31bfff04 addi r6,r6,-4 - 32058: 21000104 addi r4,r4,4 - 3205c: 29400104 addi r5,r5,4 - 32060: 39bff936 bltu r7,r6,32048 - 32064: 303fe91e bne r6,zero,3200c - 32068: 003ff506 br 32040 - 3206c: 10c5c83a sub r2,r2,r3 - 32070: f800283a ret +000316d4 : + 316d4: 01c000c4 movi r7,3 + 316d8: 3980192e bgeu r7,r6,31740 + 316dc: 2144b03a or r2,r4,r5 + 316e0: 11c4703a and r2,r2,r7 + 316e4: 10000f26 beq r2,zero,31724 + 316e8: 20800003 ldbu r2,0(r4) + 316ec: 28c00003 ldbu r3,0(r5) + 316f0: 10c0151e bne r2,r3,31748 + 316f4: 31bfff84 addi r6,r6,-2 + 316f8: 01ffffc4 movi r7,-1 + 316fc: 00000406 br 31710 + 31700: 20800003 ldbu r2,0(r4) + 31704: 28c00003 ldbu r3,0(r5) + 31708: 31bfffc4 addi r6,r6,-1 + 3170c: 10c00e1e bne r2,r3,31748 + 31710: 21000044 addi r4,r4,1 + 31714: 29400044 addi r5,r5,1 + 31718: 31fff91e bne r6,r7,31700 <_gp+0xffff51c8> + 3171c: 0005883a mov r2,zero + 31720: f800283a ret + 31724: 20c00017 ldw r3,0(r4) + 31728: 28800017 ldw r2,0(r5) + 3172c: 18bfee1e bne r3,r2,316e8 <_gp+0xffff51b0> + 31730: 31bfff04 addi r6,r6,-4 + 31734: 21000104 addi r4,r4,4 + 31738: 29400104 addi r5,r5,4 + 3173c: 39bff936 bltu r7,r6,31724 <_gp+0xffff51ec> + 31740: 303fe91e bne r6,zero,316e8 <_gp+0xffff51b0> + 31744: 003ff506 br 3171c <_gp+0xffff51e4> + 31748: 10c5c83a sub r2,r2,r3 + 3174c: f800283a ret -00032074 <__register_exitproc>: - 32074: defffa04 addi sp,sp,-24 - 32078: dc000315 stw r16,12(sp) - 3207c: 040000f4 movhi r16,3 - 32080: 84139e04 addi r16,r16,20088 - 32084: 80c00017 ldw r3,0(r16) - 32088: dc400415 stw r17,16(sp) - 3208c: dfc00515 stw ra,20(sp) - 32090: 18805217 ldw r2,328(r3) - 32094: 2023883a mov r17,r4 - 32098: 10003726 beq r2,zero,32178 <__register_exitproc+0x104> - 3209c: 10c00117 ldw r3,4(r2) - 320a0: 010007c4 movi r4,31 - 320a4: 20c00e16 blt r4,r3,320e0 <__register_exitproc+0x6c> - 320a8: 1a000044 addi r8,r3,1 - 320ac: 8800221e bne r17,zero,32138 <__register_exitproc+0xc4> - 320b0: 18c00084 addi r3,r3,2 - 320b4: 18c7883a add r3,r3,r3 - 320b8: 18c7883a add r3,r3,r3 - 320bc: 12000115 stw r8,4(r2) - 320c0: 10c7883a add r3,r2,r3 - 320c4: 19400015 stw r5,0(r3) - 320c8: 0005883a mov r2,zero - 320cc: dfc00517 ldw ra,20(sp) - 320d0: dc400417 ldw r17,16(sp) - 320d4: dc000317 ldw r16,12(sp) - 320d8: dec00604 addi sp,sp,24 - 320dc: f800283a ret - 320e0: 008000b4 movhi r2,2 - 320e4: 108af504 addi r2,r2,11220 - 320e8: 10002626 beq r2,zero,32184 <__register_exitproc+0x110> - 320ec: 01006404 movi r4,400 - 320f0: d9400015 stw r5,0(sp) - 320f4: d9800115 stw r6,4(sp) - 320f8: d9c00215 stw r7,8(sp) - 320fc: 0022bd40 call 22bd4 - 32100: d9400017 ldw r5,0(sp) - 32104: d9800117 ldw r6,4(sp) - 32108: d9c00217 ldw r7,8(sp) - 3210c: 10001d26 beq r2,zero,32184 <__register_exitproc+0x110> - 32110: 81000017 ldw r4,0(r16) - 32114: 10000115 stw zero,4(r2) - 32118: 02000044 movi r8,1 - 3211c: 22405217 ldw r9,328(r4) - 32120: 0007883a mov r3,zero - 32124: 12400015 stw r9,0(r2) - 32128: 20805215 stw r2,328(r4) - 3212c: 10006215 stw zero,392(r2) - 32130: 10006315 stw zero,396(r2) - 32134: 883fde26 beq r17,zero,320b0 <__register_exitproc+0x3c> - 32138: 18c9883a add r4,r3,r3 - 3213c: 2109883a add r4,r4,r4 - 32140: 1109883a add r4,r2,r4 - 32144: 21802215 stw r6,136(r4) - 32148: 01800044 movi r6,1 - 3214c: 12406217 ldw r9,392(r2) - 32150: 30cc983a sll r6,r6,r3 - 32154: 4992b03a or r9,r9,r6 - 32158: 12406215 stw r9,392(r2) - 3215c: 21c04215 stw r7,264(r4) - 32160: 01000084 movi r4,2 - 32164: 893fd21e bne r17,r4,320b0 <__register_exitproc+0x3c> - 32168: 11006317 ldw r4,396(r2) - 3216c: 218cb03a or r6,r4,r6 - 32170: 11806315 stw r6,396(r2) - 32174: 003fce06 br 320b0 <__register_exitproc+0x3c> - 32178: 18805304 addi r2,r3,332 - 3217c: 18805215 stw r2,328(r3) - 32180: 003fc606 br 3209c <__register_exitproc+0x28> - 32184: 00bfffc4 movi r2,-1 - 32188: 003fd006 br 320cc <__register_exitproc+0x58> +00031750 <__register_exitproc>: + 31750: defffa04 addi sp,sp,-24 + 31754: dc000315 stw r16,12(sp) + 31758: 040000f4 movhi r16,3 + 3175c: 84115304 addi r16,r16,17740 + 31760: 80c00017 ldw r3,0(r16) + 31764: dc400415 stw r17,16(sp) + 31768: dfc00515 stw ra,20(sp) + 3176c: 18805217 ldw r2,328(r3) + 31770: 2023883a mov r17,r4 + 31774: 10003726 beq r2,zero,31854 <__register_exitproc+0x104> + 31778: 10c00117 ldw r3,4(r2) + 3177c: 010007c4 movi r4,31 + 31780: 20c00e16 blt r4,r3,317bc <__register_exitproc+0x6c> + 31784: 1a000044 addi r8,r3,1 + 31788: 8800221e bne r17,zero,31814 <__register_exitproc+0xc4> + 3178c: 18c00084 addi r3,r3,2 + 31790: 18c7883a add r3,r3,r3 + 31794: 18c7883a add r3,r3,r3 + 31798: 12000115 stw r8,4(r2) + 3179c: 10c7883a add r3,r2,r3 + 317a0: 19400015 stw r5,0(r3) + 317a4: 0005883a mov r2,zero + 317a8: dfc00517 ldw ra,20(sp) + 317ac: dc400417 ldw r17,16(sp) + 317b0: dc000317 ldw r16,12(sp) + 317b4: dec00604 addi sp,sp,24 + 317b8: f800283a ret + 317bc: 008000b4 movhi r2,2 + 317c0: 1089a604 addi r2,r2,9880 + 317c4: 10002626 beq r2,zero,31860 <__register_exitproc+0x110> + 317c8: 01006404 movi r4,400 + 317cc: d9400015 stw r5,0(sp) + 317d0: d9800115 stw r6,4(sp) + 317d4: d9c00215 stw r7,8(sp) + 317d8: 00226980 call 22698 + 317dc: d9400017 ldw r5,0(sp) + 317e0: d9800117 ldw r6,4(sp) + 317e4: d9c00217 ldw r7,8(sp) + 317e8: 10001d26 beq r2,zero,31860 <__register_exitproc+0x110> + 317ec: 81000017 ldw r4,0(r16) + 317f0: 10000115 stw zero,4(r2) + 317f4: 02000044 movi r8,1 + 317f8: 22405217 ldw r9,328(r4) + 317fc: 0007883a mov r3,zero + 31800: 12400015 stw r9,0(r2) + 31804: 20805215 stw r2,328(r4) + 31808: 10006215 stw zero,392(r2) + 3180c: 10006315 stw zero,396(r2) + 31810: 883fde26 beq r17,zero,3178c <_gp+0xffff5254> + 31814: 18c9883a add r4,r3,r3 + 31818: 2109883a add r4,r4,r4 + 3181c: 1109883a add r4,r2,r4 + 31820: 21802215 stw r6,136(r4) + 31824: 01800044 movi r6,1 + 31828: 12406217 ldw r9,392(r2) + 3182c: 30cc983a sll r6,r6,r3 + 31830: 4992b03a or r9,r9,r6 + 31834: 12406215 stw r9,392(r2) + 31838: 21c04215 stw r7,264(r4) + 3183c: 01000084 movi r4,2 + 31840: 893fd21e bne r17,r4,3178c <_gp+0xffff5254> + 31844: 11006317 ldw r4,396(r2) + 31848: 218cb03a or r6,r4,r6 + 3184c: 11806315 stw r6,396(r2) + 31850: 003fce06 br 3178c <_gp+0xffff5254> + 31854: 18805304 addi r2,r3,332 + 31858: 18805215 stw r2,328(r3) + 3185c: 003fc606 br 31778 <_gp+0xffff5240> + 31860: 00bfffc4 movi r2,-1 + 31864: 003fd006 br 317a8 <_gp+0xffff5270> -0003218c <__call_exitprocs>: - 3218c: defff504 addi sp,sp,-44 - 32190: df000915 stw fp,36(sp) - 32194: dd400615 stw r21,24(sp) - 32198: dc800315 stw r18,12(sp) - 3219c: dfc00a15 stw ra,40(sp) - 321a0: ddc00815 stw r23,32(sp) - 321a4: dd800715 stw r22,28(sp) - 321a8: dd000515 stw r20,20(sp) - 321ac: dcc00415 stw r19,16(sp) - 321b0: dc400215 stw r17,8(sp) - 321b4: dc000115 stw r16,4(sp) - 321b8: d9000015 stw r4,0(sp) - 321bc: 2839883a mov fp,r5 - 321c0: 04800044 movi r18,1 - 321c4: 057fffc4 movi r21,-1 - 321c8: 008000f4 movhi r2,3 - 321cc: 10939e04 addi r2,r2,20088 - 321d0: 12000017 ldw r8,0(r2) - 321d4: 45005217 ldw r20,328(r8) - 321d8: 44c05204 addi r19,r8,328 - 321dc: a0001c26 beq r20,zero,32250 <__call_exitprocs+0xc4> - 321e0: a0800117 ldw r2,4(r20) - 321e4: 15ffffc4 addi r23,r2,-1 - 321e8: b8000d16 blt r23,zero,32220 <__call_exitprocs+0x94> - 321ec: 14000044 addi r16,r2,1 - 321f0: 8421883a add r16,r16,r16 - 321f4: 8421883a add r16,r16,r16 - 321f8: 84402004 addi r17,r16,128 - 321fc: a463883a add r17,r20,r17 - 32200: a421883a add r16,r20,r16 - 32204: e0001e26 beq fp,zero,32280 <__call_exitprocs+0xf4> - 32208: 80804017 ldw r2,256(r16) - 3220c: e0801c26 beq fp,r2,32280 <__call_exitprocs+0xf4> - 32210: bdffffc4 addi r23,r23,-1 - 32214: 843fff04 addi r16,r16,-4 - 32218: 8c7fff04 addi r17,r17,-4 - 3221c: bd7ff91e bne r23,r21,32204 <__call_exitprocs+0x78> - 32220: 008000b4 movhi r2,2 - 32224: 108afa04 addi r2,r2,11240 - 32228: 10000926 beq r2,zero,32250 <__call_exitprocs+0xc4> - 3222c: a0800117 ldw r2,4(r20) - 32230: 1000301e bne r2,zero,322f4 <__call_exitprocs+0x168> - 32234: a0800017 ldw r2,0(r20) - 32238: 10003226 beq r2,zero,32304 <__call_exitprocs+0x178> - 3223c: a009883a mov r4,r20 - 32240: 98800015 stw r2,0(r19) - 32244: 0022be80 call 22be8 - 32248: 9d000017 ldw r20,0(r19) - 3224c: a03fe41e bne r20,zero,321e0 <__call_exitprocs+0x54> - 32250: dfc00a17 ldw ra,40(sp) - 32254: df000917 ldw fp,36(sp) - 32258: ddc00817 ldw r23,32(sp) - 3225c: dd800717 ldw r22,28(sp) - 32260: dd400617 ldw r21,24(sp) - 32264: dd000517 ldw r20,20(sp) - 32268: dcc00417 ldw r19,16(sp) - 3226c: dc800317 ldw r18,12(sp) - 32270: dc400217 ldw r17,8(sp) - 32274: dc000117 ldw r16,4(sp) - 32278: dec00b04 addi sp,sp,44 - 3227c: f800283a ret - 32280: a0800117 ldw r2,4(r20) - 32284: 80c00017 ldw r3,0(r16) - 32288: 10bfffc4 addi r2,r2,-1 - 3228c: 15c01426 beq r2,r23,322e0 <__call_exitprocs+0x154> - 32290: 80000015 stw zero,0(r16) - 32294: 183fde26 beq r3,zero,32210 <__call_exitprocs+0x84> - 32298: 95c8983a sll r4,r18,r23 - 3229c: a0806217 ldw r2,392(r20) - 322a0: a5800117 ldw r22,4(r20) - 322a4: 2084703a and r2,r4,r2 - 322a8: 10000b26 beq r2,zero,322d8 <__call_exitprocs+0x14c> - 322ac: a0806317 ldw r2,396(r20) - 322b0: 2088703a and r4,r4,r2 - 322b4: 20000c1e bne r4,zero,322e8 <__call_exitprocs+0x15c> - 322b8: 89400017 ldw r5,0(r17) - 322bc: d9000017 ldw r4,0(sp) - 322c0: 183ee83a callr r3 - 322c4: a0800117 ldw r2,4(r20) - 322c8: 15bfbf1e bne r2,r22,321c8 <__call_exitprocs+0x3c> - 322cc: 98800017 ldw r2,0(r19) - 322d0: 153fcf26 beq r2,r20,32210 <__call_exitprocs+0x84> - 322d4: 003fbc06 br 321c8 <__call_exitprocs+0x3c> - 322d8: 183ee83a callr r3 - 322dc: 003ff906 br 322c4 <__call_exitprocs+0x138> - 322e0: a5c00115 stw r23,4(r20) - 322e4: 003feb06 br 32294 <__call_exitprocs+0x108> - 322e8: 89000017 ldw r4,0(r17) - 322ec: 183ee83a callr r3 - 322f0: 003ff406 br 322c4 <__call_exitprocs+0x138> - 322f4: a0800017 ldw r2,0(r20) - 322f8: a027883a mov r19,r20 - 322fc: 1029883a mov r20,r2 - 32300: 003fb606 br 321dc <__call_exitprocs+0x50> - 32304: 0005883a mov r2,zero - 32308: 003ffb06 br 322f8 <__call_exitprocs+0x16c> +00031868 <__call_exitprocs>: + 31868: defff504 addi sp,sp,-44 + 3186c: df000915 stw fp,36(sp) + 31870: dd400615 stw r21,24(sp) + 31874: dc800315 stw r18,12(sp) + 31878: dfc00a15 stw ra,40(sp) + 3187c: ddc00815 stw r23,32(sp) + 31880: dd800715 stw r22,28(sp) + 31884: dd000515 stw r20,20(sp) + 31888: dcc00415 stw r19,16(sp) + 3188c: dc400215 stw r17,8(sp) + 31890: dc000115 stw r16,4(sp) + 31894: d9000015 stw r4,0(sp) + 31898: 2839883a mov fp,r5 + 3189c: 04800044 movi r18,1 + 318a0: 057fffc4 movi r21,-1 + 318a4: 008000f4 movhi r2,3 + 318a8: 10915304 addi r2,r2,17740 + 318ac: 12000017 ldw r8,0(r2) + 318b0: 45005217 ldw r20,328(r8) + 318b4: 44c05204 addi r19,r8,328 + 318b8: a0001c26 beq r20,zero,3192c <__call_exitprocs+0xc4> + 318bc: a0800117 ldw r2,4(r20) + 318c0: 15ffffc4 addi r23,r2,-1 + 318c4: b8000d16 blt r23,zero,318fc <__call_exitprocs+0x94> + 318c8: 14000044 addi r16,r2,1 + 318cc: 8421883a add r16,r16,r16 + 318d0: 8421883a add r16,r16,r16 + 318d4: 84402004 addi r17,r16,128 + 318d8: a463883a add r17,r20,r17 + 318dc: a421883a add r16,r20,r16 + 318e0: e0001e26 beq fp,zero,3195c <__call_exitprocs+0xf4> + 318e4: 80804017 ldw r2,256(r16) + 318e8: e0801c26 beq fp,r2,3195c <__call_exitprocs+0xf4> + 318ec: bdffffc4 addi r23,r23,-1 + 318f0: 843fff04 addi r16,r16,-4 + 318f4: 8c7fff04 addi r17,r17,-4 + 318f8: bd7ff91e bne r23,r21,318e0 <_gp+0xffff53a8> + 318fc: 008000b4 movhi r2,2 + 31900: 1089ab04 addi r2,r2,9900 + 31904: 10000926 beq r2,zero,3192c <__call_exitprocs+0xc4> + 31908: a0800117 ldw r2,4(r20) + 3190c: 1000301e bne r2,zero,319d0 <__call_exitprocs+0x168> + 31910: a0800017 ldw r2,0(r20) + 31914: 10003226 beq r2,zero,319e0 <__call_exitprocs+0x178> + 31918: a009883a mov r4,r20 + 3191c: 98800015 stw r2,0(r19) + 31920: 00226ac0 call 226ac + 31924: 9d000017 ldw r20,0(r19) + 31928: a03fe41e bne r20,zero,318bc <_gp+0xffff5384> + 3192c: dfc00a17 ldw ra,40(sp) + 31930: df000917 ldw fp,36(sp) + 31934: ddc00817 ldw r23,32(sp) + 31938: dd800717 ldw r22,28(sp) + 3193c: dd400617 ldw r21,24(sp) + 31940: dd000517 ldw r20,20(sp) + 31944: dcc00417 ldw r19,16(sp) + 31948: dc800317 ldw r18,12(sp) + 3194c: dc400217 ldw r17,8(sp) + 31950: dc000117 ldw r16,4(sp) + 31954: dec00b04 addi sp,sp,44 + 31958: f800283a ret + 3195c: a0800117 ldw r2,4(r20) + 31960: 80c00017 ldw r3,0(r16) + 31964: 10bfffc4 addi r2,r2,-1 + 31968: 15c01426 beq r2,r23,319bc <__call_exitprocs+0x154> + 3196c: 80000015 stw zero,0(r16) + 31970: 183fde26 beq r3,zero,318ec <_gp+0xffff53b4> + 31974: 95c8983a sll r4,r18,r23 + 31978: a0806217 ldw r2,392(r20) + 3197c: a5800117 ldw r22,4(r20) + 31980: 2084703a and r2,r4,r2 + 31984: 10000b26 beq r2,zero,319b4 <__call_exitprocs+0x14c> + 31988: a0806317 ldw r2,396(r20) + 3198c: 2088703a and r4,r4,r2 + 31990: 20000c1e bne r4,zero,319c4 <__call_exitprocs+0x15c> + 31994: 89400017 ldw r5,0(r17) + 31998: d9000017 ldw r4,0(sp) + 3199c: 183ee83a callr r3 + 319a0: a0800117 ldw r2,4(r20) + 319a4: 15bfbf1e bne r2,r22,318a4 <_gp+0xffff536c> + 319a8: 98800017 ldw r2,0(r19) + 319ac: 153fcf26 beq r2,r20,318ec <_gp+0xffff53b4> + 319b0: 003fbc06 br 318a4 <_gp+0xffff536c> + 319b4: 183ee83a callr r3 + 319b8: 003ff906 br 319a0 <_gp+0xffff5468> + 319bc: a5c00115 stw r23,4(r20) + 319c0: 003feb06 br 31970 <_gp+0xffff5438> + 319c4: 89000017 ldw r4,0(r17) + 319c8: 183ee83a callr r3 + 319cc: 003ff406 br 319a0 <_gp+0xffff5468> + 319d0: a0800017 ldw r2,0(r20) + 319d4: a027883a mov r19,r20 + 319d8: 1029883a mov r20,r2 + 319dc: 003fb606 br 318b8 <_gp+0xffff5380> + 319e0: 0005883a mov r2,zero + 319e4: 003ffb06 br 319d4 <_gp+0xffff549c> -0003230c <_exit>: +000319e8 <_exit>: * * ALT_EXIT is mapped onto the _exit() system call in alt_syscall.h */ void ALT_EXIT (int exit_code) { - 3230c: defffd04 addi sp,sp,-12 - 32310: df000215 stw fp,8(sp) - 32314: df000204 addi fp,sp,8 - 32318: e13fff15 stw r4,-4(fp) + 319e8: defffd04 addi sp,sp,-12 + 319ec: df000215 stw fp,8(sp) + 319f0: df000204 addi fp,sp,8 + 319f4: e13fff15 stw r4,-4(fp) ALT_LOG_PRINT_BOOT("[alt_exit.c] Entering _exit() function.\r\n"); ALT_LOG_PRINT_BOOT("[alt_exit.c] Exit code from main was %d.\r\n",exit_code); /* Stop all other threads */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_OS_STOP().\r\n"); ALT_OS_STOP(); - 3231c: 0001883a nop - 32320: e0bfff17 ldw r2,-4(fp) - 32324: e0bffe15 stw r2,-8(fp) + 319f8: 0001883a nop + 319fc: e0bfff17 ldw r2,-4(fp) + 31a00: e0bffe15 stw r2,-8(fp) /* * Routine called on exit. */ static ALT_INLINE ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) { register int r2 asm ("r2") = exit_code; - 32328: e0bffe17 ldw r2,-8(fp) + 31a04: e0bffe17 ldw r2,-8(fp) __asm__ volatile ("\n0:\n\taddi %0,%0, -1\n\tbgt %0,zero,0b" : : "r" (ALT_CPU_FREQ/100) ); /* Delay for >30ms */ __asm__ volatile ("break 2" : : "r"(r2), "r"(r3) ALT_GMON_DATA ); #else /* !DEBUG_STUB */ if (r2) { - 3232c: 10000226 beq r2,zero,32338 <_exit+0x2c> + 31a08: 10000226 beq r2,zero,31a14 <_exit+0x2c> ALT_SIM_FAIL(); - 32330: 002af070 cmpltui zero,zero,43969 - 32334: 00000106 br 3233c <_exit+0x30> + 31a0c: 002af070 cmpltui zero,zero,43969 + 31a10: 00000106 br 31a18 <_exit+0x30> } else { ALT_SIM_PASS(); - 32338: 002af0b0 cmpltui zero,zero,43970 + 31a14: 002af0b0 cmpltui zero,zero,43970 ALT_SIM_HALT(exit_code); /* spin forever, since there's no where to go back to */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); while (1); - 3233c: 003fff06 br 3233c <_exit+0x30> + 31a18: 003fff06 br 31a18 <_gp+0xffff54e0> diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c index c0b3e26..c45c7f2 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c @@ -1,9 +1,10 @@ + + #include #include "I2C_core.h" #include "terasic_includes.h" #include "mipi_camera_config.h" #include "mipi_bridge_config.h" -#include "system.h" #include "auto_focus.h" @@ -21,8 +22,8 @@ #define EXPOSURE_INIT 0x002000 #define EXPOSURE_STEP 0x100 -#define GAIN_INIT 0xFFF -#define GAIN_STEP 0xFFF +#define GAIN_INIT 0x080 +#define GAIN_STEP 0x040 #define DEFAULT_LEVEL 3 #define MIPI_REG_PHYClkCtl 0x0056 @@ -81,56 +82,82 @@ void mipi_show_error_info_more(void){ printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); } + + bool MIPI_Init(void){ bool bSuccess; + + bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K if (!bSuccess) printf("failed to init MIPI- Bridge i2c\r\n"); + usleep(50*1000); MipiBridgeInit(); + usleep(500*1000); + // bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K // if (!bSuccess) // printf("failed to init MIPI- Camera i2c\r\n"); + MipiCameraInit(); MIPI_BIN_LEVEL(DEFAULT_LEVEL); // OV8865_FOCUS_Move_to(340); + // oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! + + usleep(1000); + + // oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); + return bSuccess; } + + + int main() { + fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - printf("DE10-LITE D8M VGA Demo\n"); - printf("Imperial College EEE2 Project version\n"); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - usleep(2000); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - usleep(2000); - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); - usleep(2000); - // MIPI Init - if (!MIPI_Init()){ - printf("MIPI_Init Init failed!\r\n"); - }else{ - printf("MIPI_Init Init successfully!\r\n"); - } + printf("DE10-LITE D8M VGA Demo\n"); + printf("Imperial College EEE2 Project version\n"); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + + usleep(2000); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + usleep(2000); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + + printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); + //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP + + + usleep(2000); + + + // MIPI Init + if (!MIPI_Init()){ + printf("MIPI_Init Init failed!\r\n"); + }else{ + printf("MIPI_Init Init successfully!\r\n"); + } + +// while(1){ + mipi_clear_error(); + usleep(50*1000); + mipi_clear_error(); + usleep(1000*1000); + mipi_show_error_info(); +// mipi_show_error_info_more(); + printf("\n"); +// } - // while(1){ - mipi_clear_error(); - usleep(50*1000); - mipi_clear_error(); - usleep(1000*1000); - mipi_show_error_info(); - // mipi_show_error_info_more(); - printf("\n"); - // } #if 0 // focus sweep printf("\nFocus sweep\n"); @@ -144,11 +171,16 @@ int main() else ii -= 20; printf("%d\n",ii); - OV8865_FOCUS_Move_to(ii); - usleep(50*1000); + OV8865_FOCUS_Move_to(ii); + usleep(50*1000); } #endif + + + + + ////////////////////////////////////////////////////////// alt_u16 bin_level = DEFAULT_LEVEL; alt_u8 manual_focus_step = 10; @@ -160,6 +192,15 @@ int main() OV8865SetExposure(exposureTime); OV8865SetGain(gain); Focus_Init(); + + FILE* ser = fopen("/dev/uart_0", "rb+"); + if(ser){ + printf("Opened UART\n"); + } else { + printf("Failed to open UART\n"); + while (1); + } + while(1){ // touch KEY0 to trigger Auto focus @@ -214,9 +255,10 @@ int main() //Read messages from the image processor and print them on the terminal while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier + if (fwrite(&word, 4, 1, ser) != 1) + printf("Error writing to UART"); + if (word == EEE_IMGPROC_MSG_START) //Newline on message identifier printf("\n"); - } printf("%08x ",word); } diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject index 33b8c17..601cc56 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.cproject @@ -1,44 +1,37 @@ - - + + - + - - - - - - - + + + + + + + - - + + - - - - - - - - - - + + + + - + - @@ -47,16 +40,16 @@ - + - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml index 06daa80..5734959 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/.settings/language.settings.xml @@ -1,8 +1,8 @@ - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h index c15ca05..8c9a8c4 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/inc/sys/alt_log_printf.h @@ -244,7 +244,12 @@ void alt_log_write(const void *ptr, size_t len); /* extern all global variables */ - extern volatile alt_u32 alt_log_boot_on_flag; + /* CASE:368514 - The boot message flag is linked into the sdata section + * because if it is zero, it would otherwise be placed in the bss section. + * alt_log examines this variable before the BSS is cleared in the boot-up + * process. + */ + extern volatile alt_u32 alt_log_boot_on_flag __attribute__ ((section (".sdata"))); extern volatile alt_u8 alt_log_write_on_flag; extern volatile alt_u8 alt_log_sys_clk_on_flag; extern volatile alt_u8 alt_log_jtag_uart_alarm_on_flag; diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c index 644fdb4..de0abe1 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_load.c @@ -35,17 +35,28 @@ /* * Linker defined symbols. + These used to be + * extern alt_u32 __flash_rwdata_start; + * extern alt_u32 __ram_rwdata_start; + * extern alt_u32 __ram_rwdata_end; + * but that results in a fatal error when compiling -mgpopt=global + * because gcc assumes they are normal C variables in .sdata + * and therefore addressable from gp using a 16-bit offset, + * when in fact they are special values defined by linker.x + * and located nowhere near .sdata. + * Specifying __attribute__((section(".data"))) will force these + * in .data. (CASE:258384.) */ -extern alt_u32 __flash_rwdata_start; -extern alt_u32 __ram_rwdata_start; -extern alt_u32 __ram_rwdata_end; -extern alt_u32 __flash_rodata_start; -extern alt_u32 __ram_rodata_start; -extern alt_u32 __ram_rodata_end; -extern alt_u32 __flash_exceptions_start; -extern alt_u32 __ram_exceptions_start; -extern alt_u32 __ram_exceptions_end; +extern alt_u32 __flash_rwdata_start __attribute__((section(".data"))); +extern alt_u32 __ram_rwdata_start __attribute__((section(".data"))); +extern alt_u32 __ram_rwdata_end __attribute__((section(".data"))); +extern alt_u32 __flash_rodata_start __attribute__((section(".data"))); +extern alt_u32 __ram_rodata_start __attribute__((section(".data"))); +extern alt_u32 __ram_rodata_end __attribute__((section(".data"))); +extern alt_u32 __flash_exceptions_start __attribute__((section(".data"))); +extern alt_u32 __ram_exceptions_start __attribute__((section(".data"))); +extern alt_u32 __ram_exceptions_end __attribute__((section(".data"))); /* * alt_load() is called when the code is executing from flash. In this case diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c index 1f7056d..67d63fd 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/HAL/src/alt_log_printf.c @@ -52,13 +52,13 @@ char alt_log_write_buf[ALT_LOG_WRITE_ECHO_LEN+2]; /* global variables for all 'on' flags */ /* - * The boot message flag is linked into the data (rwdata) section + * CASE:368514 - The boot message flag is linked into the sdata section * because if it is zero, it would otherwise be placed in the bss section. * alt_log examines this variable before the BSS is cleared in the boot-up * process. */ volatile alt_u32 alt_log_boot_on_flag \ - __attribute__ ((section (".data"))) = ALT_LOG_BOOT_ON_FLAG_SETTING; + __attribute__ ((section (".sdata"))) = ALT_LOG_BOOT_ON_FLAG_SETTING; volatile alt_u8 alt_log_write_on_flag = ALT_LOG_WRITE_ON_FLAG_SETTING; diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile index 3f9282b..8e3c191 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/Makefile @@ -93,12 +93,12 @@ OBJ_DIR := ./obj # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 16.0 -ACDS_VERSION := 16.0 +# ACDS_VERSION: 16.1 +ACDS_VERSION := 16.1 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 222 +# BUILD_NUMBER: 196 SETTINGS_FILE := settings.bsp SOPC_FILE := ../../Qsys.sopcinfo @@ -147,17 +147,6 @@ BSP_CFLAGS_WARNINGS = -Wall # C compiler command. CC = nios2-elf-gcc -xc -# C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' -# tells the compilter not to generate GP-relative accesses. 'local' will -# generate GP-relative accesses for small data objects that are not external, -# weak, or uninitialized common symbols. Also use GP-relative addressing for -# objects that have been explicitly placed in a small data section via a -# section attribute. provides the default set of debug symbols typically -# required to debug a typical application. 'global' is same as 'local' but also -# generate GP-relative accesses for small data objects that are external, weak, -# or common. -CFLAGS_MGPOPT = -mgpopt=local - # C++ compiler command. CXX = nios2-elf-gcc -xc++ @@ -250,6 +239,17 @@ altera_avalon_timer_driver_C_LIB_SRCS := \ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_ts.c \ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_vars.c +# altera_avalon_uart_driver sources root +altera_avalon_uart_driver_SRCS_ROOT := drivers + +# altera_avalon_uart_driver sources +altera_avalon_uart_driver_C_LIB_SRCS := \ + $(altera_avalon_uart_driver_SRCS_ROOT)/src/altera_avalon_uart_fd.c \ + $(altera_avalon_uart_driver_SRCS_ROOT)/src/altera_avalon_uart_init.c \ + $(altera_avalon_uart_driver_SRCS_ROOT)/src/altera_avalon_uart_ioctl.c \ + $(altera_avalon_uart_driver_SRCS_ROOT)/src/altera_avalon_uart_read.c \ + $(altera_avalon_uart_driver_SRCS_ROOT)/src/altera_avalon_uart_write.c + # altera_nios2_gen2_hal_driver sources root altera_nios2_gen2_hal_driver_SRCS_ROOT := HAL @@ -352,6 +352,7 @@ COMPONENT_C_LIB_SRCS += \ $(altera_avalon_jtag_uart_driver_C_LIB_SRCS) \ $(altera_avalon_sysid_qsys_driver_C_LIB_SRCS) \ $(altera_avalon_timer_driver_C_LIB_SRCS) \ + $(altera_avalon_uart_driver_C_LIB_SRCS) \ $(altera_nios2_gen2_hal_driver_C_LIB_SRCS) \ $(hal_C_LIB_SRCS) @@ -404,7 +405,6 @@ BSP_CFLAGS += \ $(BSP_CFLAGS_DEBUG) \ $(BSP_CFLAGS_WARNINGS) \ $(BSP_CFLAGS_USER_FLAGS) \ - $(CFLAGS_MGPOPT) \ $(ALT_CFLAGS) \ $(CFLAGS) diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart.h new file mode 100644 index 0000000..a9df07f --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart.h @@ -0,0 +1,319 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALT_AVALON_UART_H__ +#define __ALT_AVALON_UART_H__ + +#include +#include + +#include "sys/alt_warning.h" + +#include "os/alt_sem.h" +#include "os/alt_flag.h" +#include "alt_types.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +#if defined(ALT_USE_SMALL_DRIVERS) || defined(ALTERA_AVALON_UART_SMALL) + +/* + *********************************************************************** + *********************** SMALL DRIVER ********************************** + *********************************************************************** + */ + +/* + * State structure definition. Each instance of the driver uses one + * of these structures to hold its associated state. + */ + +typedef struct altera_avalon_uart_state_s +{ + unsigned int base; +} altera_avalon_uart_state; + +/* + * The macro ALTERA_AVALON_UART_STATE_INSTANCE is used by the + * auto-generated file alt_sys_init.c to create an instance of this + * device driver state. + */ + +#define ALTERA_AVALON_UART_STATE_INSTANCE(name, state) \ + altera_avalon_uart_state state = \ + { \ + name##_BASE \ + } + +/* + * The macro ALTERA_AVALON_UART_STATE_INIT is used by the auto-generated file + * alt_sys_init.c to initialize an instance of the device driver state. + */ + +#define ALTERA_AVALON_UART_STATE_INIT(name, state) + +#else /* fast driver */ + +/* + ********************************************************************** + *********************** FAST DRIVER ********************************** + ********************************************************************** + */ + +/* + * ALT_AVALON_UART_READ_RDY and ALT_AVALON_UART_WRITE_RDY are the bitmasks + * that define uC/OS-II event flags that are releated to this device. + * + * ALT_AVALON_UART_READY_RDY indicates that there is read data in the buffer + * ready to be processed. ALT_UART_WRITE_RDY indicates that the transmitter is + * ready for more data. + */ + +#define ALT_UART_READ_RDY 0x1 +#define ALT_UART_WRITE_RDY 0x2 + +/* + * ALT_AVALON_UART_BUF_LEN is the length of the circular buffers used to hold + * pending transmit and receive data. This value must be a power of two. + */ + +#define ALT_AVALON_UART_BUF_LEN (64) + +/* + * ALT_AVALON_UART_BUF_MSK is used as an internal convenience for detecting + * the end of the arrays used to implement the transmit and receive buffers. + */ + +#define ALT_AVALON_UART_BUF_MSK (ALT_AVALON_UART_BUF_LEN - 1) + +/* + * This is somewhat of an ugly hack, but we need some mechanism for + * representing the non-standard 9 bit mode provided by this UART. In this + * case we abscond with the 5 bit mode setting. The value CS5 is defined in + * termios.h. + */ + +#define CS9 CS5 + +/* + * The value ALT_AVALON_UART_FB is a value set in the devices flag field to + * indicate that the device has a fixed baud rate; i.e. if this flag is set + * software can not control the baud rate of the device. + */ + +#define ALT_AVALON_UART_FB 0x1 + +/* + * The value ALT_AVALON_UART_FC is a value set in the device flag field to + * indicate the the device is using flow control, i.e. the driver must + * throttle on transmit if the nCTS pin is low. + */ + +#define ALT_AVALON_UART_FC 0x2 + +/* + * The altera_avalon_uart_state structure is used to hold device specific data. + * This includes the transmit and receive buffers. + * + * An instance of this structure is created in the auto-generated + * alt_sys_init.c file for each UART listed in the systems PTF file. This is + * done using the ALTERA_AVALON_UART_STATE_INSTANCE macro given below. + */ + +typedef struct altera_avalon_uart_state_s +{ + void* base; /* The base address of the device */ + alt_u32 ctrl; /* Shadow value of the control register */ + volatile alt_u32 rx_start; /* Start of the pending receive data */ + volatile alt_u32 rx_end; /* End of the pending receive data */ + volatile alt_u32 tx_start; /* Start of the pending transmit data */ + volatile alt_u32 tx_end; /* End of the pending transmit data */ +#ifdef ALTERA_AVALON_UART_USE_IOCTL + struct termios termios; /* Current device configuration */ + alt_u32 freq; /* Current baud rate */ +#endif + alt_u32 flags; /* Configuation flags */ + ALT_FLAG_GRP (events) /* Event flags used for + * foreground/background in mult-threaded + * mode */ + ALT_SEM (read_lock) /* Semaphore used to control access to the + * read buffer in multi-threaded mode */ + ALT_SEM (write_lock) /* Semaphore used to control access to the + * write buffer in multi-threaded mode */ + volatile alt_u8 rx_buf[ALT_AVALON_UART_BUF_LEN]; /* The receive buffer */ + volatile alt_u8 tx_buf[ALT_AVALON_UART_BUF_LEN]; /* The transmit buffer */ +} altera_avalon_uart_state; + +/* + * Conditionally define the data structures used to process ioctl requests. + * The following macros are defined for use in creating a device instance: + * + * ALTERA_AVALON_UART_TERMIOS - Initialise the termios structure used to + * describe the UART configuration. + * ALTERA_AVALON_UART_FREQ - Initialise the 'freq' field of the device + * structure, if the field exists. + * ALTERA_AVALON_UART_IOCTL - Initialise the 'ioctl' field of the device + * callback structure, if ioctls are enabled. + */ + +#ifdef ALTERA_AVALON_UART_USE_IOCTL + +#define ALTERA_AVALON_UART_TERMIOS(stop_bits, \ + parity, \ + odd_parity, \ + data_bits, \ + ctsrts, \ + baud) \ +{ \ + 0, \ + 0, \ + ((stop_bits == 2) ? CSTOPB: 0) | \ + ((parity) ? PARENB: 0) | \ + ((odd_parity) ? PAODD: 0) | \ + ((data_bits == 7) ? CS7: (data_bits == 9) ? CS9: CS8) | \ + ((ctsrts) ? CRTSCTS : 0), \ + 0, \ + 0, \ + {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, \ + baud, \ + baud \ +}, +#define ALTERA_AVALON_UART_FREQ(name) name##_FREQ, + +#else /* !ALTERA_AVALON_UART_USE_IOCTL */ + +#define ALTERA_AVALON_UART_TERMIOS(stop_bits, \ + parity, \ + odd_parity, \ + data_bits, \ + ctsrts, \ + baud) +#define ALTERA_AVALON_UART_FREQ(name) + +#endif /* ALTERA_AVALON_UART_USE_IOCTL */ + +/* + * The macro ALTERA_AVALON_UART_INSTANCE is used by the auto-generated file + * alt_sys_init.c to create an instance of this device driver state. + */ + +#define ALTERA_AVALON_UART_STATE_INSTANCE(name, state) \ + altera_avalon_uart_state state = \ + { \ + (void*) name##_BASE, \ + 0, \ + 0, \ + 0, \ + 0, \ + 0, \ + ALTERA_AVALON_UART_TERMIOS(name##_STOP_BITS, \ + (name##_PARITY == 'N'), \ + (name##_PARITY == 'O'), \ + name##_DATA_BITS, \ + name##_USE_CTS_RTS, \ + name##_BAUD) \ + ALTERA_AVALON_UART_FREQ(name) \ + (name##_FIXED_BAUD ? ALT_AVALON_UART_FB : 0) | \ + (name##_USE_CTS_RTS ? ALT_AVALON_UART_FC : 0) \ + } + +/* + * altera_avalon_uart_init() is called by the auto-generated function + * alt_sys_init() for each UART in the system. This is done using the + * ALTERA_AVALON_UART_INIT macro given below. + * + * This function is responsible for performing all the run time initilisation + * for a device instance, i.e. registering the interrupt handler, and + * regestering the device with the system. + */ +extern void altera_avalon_uart_init(altera_avalon_uart_state* sp, + alt_u32 irq_controller_id, alt_u32 irq); + +/* + * The macro ALTERA_AVALON_UART_STATE_INIT is used by the auto-generated file + * alt_sys_init.c to initialize an instance of the device driver state. + * + * This macro performs a sanity check to ensure that the interrupt has been + * connected for this device. If not, then an apropriate error message is + * generated at build time. + */ + +#define ALTERA_AVALON_UART_STATE_INIT(name, state) \ + if (name##_IRQ == ALT_IRQ_NOT_CONNECTED) \ + { \ + ALT_LINK_ERROR ("Error: Interrupt not connected for " #name ". " \ + "You have selected the interrupt driven version of " \ + "the ALTERA Avalon UART driver, but the interrupt is " \ + "not connected for this device. You can select a " \ + "polled mode driver by checking the 'small driver' " \ + "option in the HAL configuration window, or by " \ + "using the -DALTERA_AVALON_UART_SMALL preprocessor " \ + "flag."); \ + } \ + else \ + { \ + altera_avalon_uart_init(&state, name##_IRQ_INTERRUPT_CONTROLLER_ID, \ + name##_IRQ); \ + } + +#endif /* small driver */ + +/* + * Include in case non-direct version of driver required. + */ +#include "altera_avalon_uart_fd.h" + +/* + * Map alt_sys_init macros to direct or non-direct versions. + */ +#ifdef ALT_USE_DIRECT_DRIVERS + +#define ALTERA_AVALON_UART_INSTANCE(name, state) \ + ALTERA_AVALON_UART_STATE_INSTANCE(name, state) +#define ALTERA_AVALON_UART_INIT(name, state) \ + ALTERA_AVALON_UART_STATE_INIT(name, state) + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +#define ALTERA_AVALON_UART_INSTANCE(name, dev) \ + ALTERA_AVALON_UART_DEV_INSTANCE(name, dev) +#define ALTERA_AVALON_UART_INIT(name, dev) \ + ALTERA_AVALON_UART_DEV_INIT(name, dev) + +#endif /* ALT_USE_DIRECT_DRIVERS */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_AVALON_UART_H__ */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_fd.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_fd.h new file mode 100644 index 0000000..92c8731 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_fd.h @@ -0,0 +1,143 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALT_AVALON_UART_FD_H__ +#define __ALT_AVALON_UART_FD_H__ + +#include "sys/alt_dev.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + +/* + * Externally referenced routines + */ +extern int altera_avalon_uart_read_fd (alt_fd* fd, char* ptr, int len); +extern int altera_avalon_uart_write_fd (alt_fd* fd, const char* ptr, + int len); + +/* + * Device structure definition. This is needed by alt_sys_init in order to + * reserve memory for the device instance. + */ +typedef struct altera_avalon_uart_dev_s +{ + alt_dev dev; + altera_avalon_uart_state state; +} altera_avalon_uart_dev; + +#if defined(ALT_USE_SMALL_DRIVERS) || defined(ALTERA_AVALON_UART_SMALL) + +/* + * Macros used by alt_sys_init when the ALT file descriptor facility is used. + */ + +#define ALTERA_AVALON_UART_DEV_INSTANCE(name, d) \ + static altera_avalon_uart_dev d = \ + { \ + { \ + ALT_LLIST_ENTRY, \ + name##_NAME, \ + NULL, /* open */ \ + NULL, /* close */ \ + altera_avalon_uart_read_fd, \ + altera_avalon_uart_write_fd, \ + NULL, /* lseek */ \ + NULL, /* fstat */ \ + NULL, /* ioctl */ \ + }, \ + { \ + name##_BASE, \ + } \ + } + +#define ALTERA_AVALON_UART_DEV_INIT(name, d) alt_dev_reg (&d.dev) + +#else /* use fast version of the driver */ + +extern int altera_avalon_uart_ioctl_fd (alt_fd* fd, int req, void* arg); +extern int altera_avalon_uart_close_fd(alt_fd* fd); + +#ifdef ALTERA_AVALON_UART_USE_IOCTL +#define ALTERA_AVALON_UART_IOCTL_FD altera_avalon_uart_ioctl_fd +#else +#define ALTERA_AVALON_UART_IOCTL_FD NULL +#endif + +#define ALTERA_AVALON_UART_DEV_INSTANCE(name, d) \ + static altera_avalon_uart_dev d = \ + { \ + { \ + ALT_LLIST_ENTRY, \ + name##_NAME, \ + NULL, /* open */ \ + altera_avalon_uart_close_fd, \ + altera_avalon_uart_read_fd, \ + altera_avalon_uart_write_fd, \ + NULL, /* lseek */ \ + NULL, /* fstat */ \ + ALTERA_AVALON_UART_IOCTL_FD, \ + }, \ + { \ + (void*) name##_BASE, \ + 0, \ + 0, \ + 0, \ + 0, \ + 0, \ + ALTERA_AVALON_UART_TERMIOS(name##_STOP_BITS, \ + (name##_PARITY == 'N'), \ + (name##_PARITY == 'O'), \ + name##_DATA_BITS, \ + name##_USE_CTS_RTS, \ + name##_BAUD) \ + ALTERA_AVALON_UART_FREQ(name) \ + (name##_FIXED_BAUD ? ALT_AVALON_UART_FB : 0) | \ + (name##_USE_CTS_RTS ? ALT_AVALON_UART_FC : 0) \ + } \ + } + +#define ALTERA_AVALON_UART_DEV_INIT(name, d) \ + { \ + ALTERA_AVALON_UART_STATE_INIT(name, d.state); \ + \ + /* make the device available to the system */ \ + alt_dev_reg(&d.dev); \ + } + +#endif /* fast driver */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_AVALON_UART_FD_H__ */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_regs.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_regs.h new file mode 100644 index 0000000..9aa4a03 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/inc/altera_avalon_uart_regs.h @@ -0,0 +1,137 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_UART_REGS_H__ +#define __ALTERA_AVALON_UART_REGS_H__ + +#include + +#define ALTERA_AVALON_UART_RXDATA_REG 0 +#define IOADDR_ALTERA_AVALON_UART_RXDATA(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_UART_RXDATA_REG) +#define IORD_ALTERA_AVALON_UART_RXDATA(base) \ + IORD(base, ALTERA_AVALON_UART_RXDATA_REG) +#define IOWR_ALTERA_AVALON_UART_RXDATA(base, data) \ + IOWR(base, ALTERA_AVALON_UART_RXDATA_REG, data) + +#define ALTERA_AVALON_UART_TXDATA_REG 1 +#define IOADDR_ALTERA_AVALON_UART_TXDATA(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_UART_TXDATA_REG) +#define IORD_ALTERA_AVALON_UART_TXDATA(base) \ + IORD(base, ALTERA_AVALON_UART_TXDATA_REG) +#define IOWR_ALTERA_AVALON_UART_TXDATA(base, data) \ + IOWR(base, ALTERA_AVALON_UART_TXDATA_REG, data) + +#define ALTERA_AVALON_UART_STATUS_REG 2 +#define IOADDR_ALTERA_AVALON_UART_STATUS(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_UART_STATUS_REG) +#define IORD_ALTERA_AVALON_UART_STATUS(base) \ + IORD(base, ALTERA_AVALON_UART_STATUS_REG) +#define IOWR_ALTERA_AVALON_UART_STATUS(base, data) \ + IOWR(base, ALTERA_AVALON_UART_STATUS_REG, data) + +#define ALTERA_AVALON_UART_STATUS_PE_MSK (0x1) +#define ALTERA_AVALON_UART_STATUS_PE_OFST (0) +#define ALTERA_AVALON_UART_STATUS_FE_MSK (0x2) +#define ALTERA_AVALON_UART_STATUS_FE_OFST (1) +#define ALTERA_AVALON_UART_STATUS_BRK_MSK (0x4) +#define ALTERA_AVALON_UART_STATUS_BRK_OFST (2) +#define ALTERA_AVALON_UART_STATUS_ROE_MSK (0x8) +#define ALTERA_AVALON_UART_STATUS_ROE_OFST (3) +#define ALTERA_AVALON_UART_STATUS_TOE_MSK (0x10) +#define ALTERA_AVALON_UART_STATUS_TOE_OFST (4) +#define ALTERA_AVALON_UART_STATUS_TMT_MSK (0x20) +#define ALTERA_AVALON_UART_STATUS_TMT_OFST (5) +#define ALTERA_AVALON_UART_STATUS_TRDY_MSK (0x40) +#define ALTERA_AVALON_UART_STATUS_TRDY_OFST (6) +#define ALTERA_AVALON_UART_STATUS_RRDY_MSK (0x80) +#define ALTERA_AVALON_UART_STATUS_RRDY_OFST (7) +#define ALTERA_AVALON_UART_STATUS_E_MSK (0x100) +#define ALTERA_AVALON_UART_STATUS_E_OFST (8) +#define ALTERA_AVALON_UART_STATUS_DCTS_MSK (0x400) +#define ALTERA_AVALON_UART_STATUS_DCTS_OFST (10) +#define ALTERA_AVALON_UART_STATUS_CTS_MSK (0x800) +#define ALTERA_AVALON_UART_STATUS_CTS_OFST (11) +#define ALTERA_AVALON_UART_STATUS_EOP_MSK (0x1000) +#define ALTERA_AVALON_UART_STATUS_EOP_OFST (12) + +#define ALTERA_AVALON_UART_CONTROL_REG 3 +#define IOADDR_ALTERA_AVALON_UART_CONTROL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_UART_CONTROL_REG) +#define IORD_ALTERA_AVALON_UART_CONTROL(base) \ + IORD(base, ALTERA_AVALON_UART_CONTROL_REG) +#define IOWR_ALTERA_AVALON_UART_CONTROL(base, data) \ + IOWR(base, ALTERA_AVALON_UART_CONTROL_REG, data) + +#define ALTERA_AVALON_UART_CONTROL_PE_MSK (0x1) +#define ALTERA_AVALON_UART_CONTROL_PE_OFST (0) +#define ALTERA_AVALON_UART_CONTROL_FE_MSK (0x2) +#define ALTERA_AVALON_UART_CONTROL_FE_OFST (1) +#define ALTERA_AVALON_UART_CONTROL_BRK_MSK (0x4) +#define ALTERA_AVALON_UART_CONTROL_BRK_OFST (2) +#define ALTERA_AVALON_UART_CONTROL_ROE_MSK (0x8) +#define ALTERA_AVALON_UART_CONTROL_ROE_OFST (3) +#define ALTERA_AVALON_UART_CONTROL_TOE_MSK (0x10) +#define ALTERA_AVALON_UART_CONTROL_TOE_OFST (4) +#define ALTERA_AVALON_UART_CONTROL_TMT_MSK (0x20) +#define ALTERA_AVALON_UART_CONTROL_TMT_OFST (5) +#define ALTERA_AVALON_UART_CONTROL_TRDY_MSK (0x40) +#define ALTERA_AVALON_UART_CONTROL_TRDY_OFST (6) +#define ALTERA_AVALON_UART_CONTROL_RRDY_MSK (0x80) +#define ALTERA_AVALON_UART_CONTROL_RRDY_OFST (7) +#define ALTERA_AVALON_UART_CONTROL_E_MSK (0x100) +#define ALTERA_AVALON_UART_CONTROL_E_OFST (8) +#define ALTERA_AVALON_UART_CONTROL_DCTS_MSK (0x400) +#define ALTERA_AVALON_UART_CONTROL_DCTS_OFST (10) +#define ALTERA_AVALON_UART_CONTROL_RTS_MSK (0x800) +#define ALTERA_AVALON_UART_CONTROL_RTS_OFST (11) +#define ALTERA_AVALON_UART_CONTROL_EOP_MSK (0x1000) +#define ALTERA_AVALON_UART_CONTROL_EOP_OFST (12) + +#define ALTERA_AVALON_UART_DIVISOR_REG 4 +#define IOADDR_ALTERA_AVALON_UART_DIVISOR(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_UART_DIVISOR_REG) +#define IORD_ALTERA_AVALON_UART_DIVISOR(base) \ + IORD(base, ALTERA_AVALON_UART_DIVISOR_REG) +#define IOWR_ALTERA_AVALON_UART_DIVISOR(base, data) \ + IOWR(base, ALTERA_AVALON_UART_DIVISOR_REG, data) + +#define ALTERA_AVALON_UART_EOP_REG 5 +#define IOADDR_ALTERA_AVALON_UART_EOP(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_UART_EOP_REG) +#define IORD_ALTERA_AVALON_UART_EOP(base) \ + IORD(base, ALTERA_AVALON_UART_EOP_REG) +#define IOWR_ALTERA_AVALON_UART_EOP(base, data) \ + IOWR(base, ALTERA_AVALON_UART_EOP_REG, data) + +#define ALTERA_AVALON_UART_EOP_MSK (0xFF) +#define ALTERA_AVALON_UART_EOP_OFST (0) + +#endif /* __ALTERA_AVALON_UART_REGS_H__ */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_fd.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_fd.c new file mode 100644 index 0000000..69a391b --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_fd.c @@ -0,0 +1,100 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2007 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include "alt_types.h" +#include "sys/alt_dev.h" +#include "altera_avalon_uart.h" + +extern int altera_avalon_uart_read(altera_avalon_uart_state* sp, + char* buffer, int space, int flags); +extern int altera_avalon_uart_write(altera_avalon_uart_state* sp, + const char* ptr, int count, int flags); +extern int altera_avalon_uart_ioctl(altera_avalon_uart_state* sp, + int req, void* arg); +extern int altera_avalon_uart_close(altera_avalon_uart_state* sp, int flags); + +/* ----------------------------------------------------------------------- */ +/* --------------------- WRAPPERS FOR ALT FD SUPPORT --------------------- */ +/* + * + */ + +int +altera_avalon_uart_read_fd(alt_fd* fd, char* buffer, int space) +{ + altera_avalon_uart_dev* dev = (altera_avalon_uart_dev*) fd->dev; + + return altera_avalon_uart_read(&dev->state, buffer, space, + fd->fd_flags); +} + +int +altera_avalon_uart_write_fd(alt_fd* fd, const char* buffer, int space) +{ + altera_avalon_uart_dev* dev = (altera_avalon_uart_dev*) fd->dev; + + return altera_avalon_uart_write(&dev->state, buffer, space, + fd->fd_flags); +} + +#if !defined(ALT_USE_SMALL_DRIVERS) && !defined(ALTERA_AVALON_UART_SMALL) + +/* + * Fast driver + */ + +/* + * To reduce the code footprint of this driver, the ioctl() function is not + * included by default. If you wish to use the ioctl features provided + * below, you can do so by adding the option : -DALTERA_AVALON_UART_USE_IOCTL + * to CPPFLAGS in the Makefile (or through the Eclipse IDE). + */ + +#ifdef ALTERA_AVALON_UART_USE_IOCTL + +int +altera_avalon_uart_ioctl_fd(alt_fd* fd, int req, void* arg) +{ + altera_avalon_uart_dev* dev = (altera_avalon_uart_dev*) fd->dev; + + return altera_avalon_uart_ioctl(&dev->state, req, arg); +} + +#endif /* ALTERA_AVALON_UART_USE_IOCTL */ + +int +altera_avalon_uart_close_fd(alt_fd* fd) +{ + altera_avalon_uart_dev* dev = (altera_avalon_uart_dev*) fd->dev; + + return altera_avalon_uart_close(&dev->state, fd->fd_flags); +} + +#endif /* fast driver */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_init.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_init.c new file mode 100644 index 0000000..cec4e03 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_init.c @@ -0,0 +1,312 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include + +#include "sys/alt_dev.h" +#include "sys/alt_irq.h" +#include "sys/ioctl.h" +#include "sys/alt_errno.h" + +#include "altera_avalon_uart.h" +#include "altera_avalon_uart_regs.h" + +#if !defined(ALT_USE_SMALL_DRIVERS) && !defined(ALTERA_AVALON_UART_SMALL) + +/* ----------------------------------------------------------- */ +/* ------------------------- FAST DRIVER --------------------- */ +/* ----------------------------------------------------------- */ + +/* + * altera_avalon_uart_init() is called by the auto-generated function + * alt_sys_init() in order to initialize a particular instance of this device. + * It is responsible for configuring the device and associated software + * constructs. + */ + +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void altera_avalon_uart_irq(void* context); +#else +static void altera_avalon_uart_irq(void* context, alt_u32 id); +#endif + +static void altera_avalon_uart_rxirq(altera_avalon_uart_state* sp, + alt_u32 status); +static void altera_avalon_uart_txirq(altera_avalon_uart_state* sp, + alt_u32 status); + +void +altera_avalon_uart_init(altera_avalon_uart_state* sp, + alt_u32 irq_controller_id, alt_u32 irq) +{ + void* base = sp->base; + int error; + + /* + * Initialise the read and write flags and the semaphores used to + * protect access to the circular buffers when running in a multi-threaded + * environment. + */ + error = ALT_FLAG_CREATE (&sp->events, 0) || + ALT_SEM_CREATE (&sp->read_lock, 1) || + ALT_SEM_CREATE (&sp->write_lock, 1); + + if (!error) + { + /* enable interrupts at the device */ + sp->ctrl = ALTERA_AVALON_UART_CONTROL_RTS_MSK | + ALTERA_AVALON_UART_CONTROL_RRDY_MSK | + ALTERA_AVALON_UART_CONTROL_DCTS_MSK; + + IOWR_ALTERA_AVALON_UART_CONTROL(base, sp->ctrl); + + /* register the interrupt handler */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_ic_isr_register(irq_controller_id, irq, altera_avalon_uart_irq, sp, + 0x0); +#else + alt_irq_register (irq, sp, altera_avalon_uart_irq); +#endif + } +} + +/* + * altera_avalon_uart_irq() is the interrupt handler registered at + * configuration time for processing UART interrupts. It vectors + * interrupt requests to either altera_avalon_uart_rxirq() (for incoming + * data), or altera_avalon_uart_txirq() (for outgoing data). + */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void altera_avalon_uart_irq(void* context) +#else +static void altera_avalon_uart_irq(void* context, alt_u32 id) +#endif +{ + alt_u32 status; + + altera_avalon_uart_state* sp = (altera_avalon_uart_state*) context; + void* base = sp->base; + + /* + * Read the status register in order to determine the cause of the + * interrupt. + */ + + status = IORD_ALTERA_AVALON_UART_STATUS(base); + + /* Clear any error flags set at the device */ + IOWR_ALTERA_AVALON_UART_STATUS(base, 0); + + /* Dummy read to ensure IRQ is negated before ISR returns */ + IORD_ALTERA_AVALON_UART_STATUS(base); + + /* process a read irq */ + if (status & ALTERA_AVALON_UART_STATUS_RRDY_MSK) + { + altera_avalon_uart_rxirq(sp, status); + } + + /* process a write irq */ + if (status & (ALTERA_AVALON_UART_STATUS_TRDY_MSK | + ALTERA_AVALON_UART_STATUS_DCTS_MSK)) + { + altera_avalon_uart_txirq(sp, status); + } + + +} + +/* + * altera_avalon_uart_rxirq() is called by altera_avalon_uart_irq() to + * process a receive interrupt. It transfers the incoming character into + * the receive circular buffer, and sets the apropriate flags to indicate + * that there is data ready to be processed. + */ +static void +altera_avalon_uart_rxirq(altera_avalon_uart_state* sp, alt_u32 status) +{ + alt_u32 next; + + /* If there was an error, discard the data */ + + if (status & (ALTERA_AVALON_UART_STATUS_PE_MSK | + ALTERA_AVALON_UART_STATUS_FE_MSK)) + { + return; + } + + /* + * In a multi-threaded environment, set the read event flag to indicate + * that there is data ready. This is only done if the circular buffer was + * previously empty. + */ + + if (sp->rx_end == sp->rx_start) + { + ALT_FLAG_POST (sp->events, ALT_UART_READ_RDY, OS_FLAG_SET); + } + + /* Determine which slot to use next in the circular buffer */ + + next = (sp->rx_end + 1) & ALT_AVALON_UART_BUF_MSK; + + /* Transfer data from the device to the circular buffer */ + + sp->rx_buf[sp->rx_end] = IORD_ALTERA_AVALON_UART_RXDATA(sp->base); + + sp->rx_end = next; + + next = (sp->rx_end + 1) & ALT_AVALON_UART_BUF_MSK; + + /* + * If the cicular buffer was full, disable interrupts. Interrupts will be + * re-enabled when data is removed from the buffer. + */ + + if (next == sp->rx_start) + { + sp->ctrl &= ~ALTERA_AVALON_UART_CONTROL_RRDY_MSK; + IOWR_ALTERA_AVALON_UART_CONTROL(sp->base, sp->ctrl); + } +} + +/* + * altera_avalon_uart_txirq() is called by altera_avalon_uart_irq() to + * process a transmit interrupt. It transfers data from the transmit + * buffer to the device, and sets the apropriate flags to indicate that + * there is data ready to be processed. + */ +static void +altera_avalon_uart_txirq(altera_avalon_uart_state* sp, alt_u32 status) +{ + /* Transfer data if there is some ready to be transfered */ + + if (sp->tx_start != sp->tx_end) + { + /* + * If the device is using flow control (i.e. RTS/CTS), then the + * transmitter is required to throttle if CTS is high. + */ + + if (!(sp->flags & ALT_AVALON_UART_FC) || + (status & ALTERA_AVALON_UART_STATUS_CTS_MSK)) + { + + /* + * In a multi-threaded environment, set the write event flag to indicate + * that there is space in the circular buffer. This is only done if the + * buffer was previously empty. + */ + + if (sp->tx_start == ((sp->tx_end + 1) & ALT_AVALON_UART_BUF_MSK)) + { + ALT_FLAG_POST (sp->events, + ALT_UART_WRITE_RDY, + OS_FLAG_SET); + } + + /* Write the data to the device */ + + IOWR_ALTERA_AVALON_UART_TXDATA(sp->base, sp->tx_buf[sp->tx_start]); + + sp->tx_start = (++sp->tx_start) & ALT_AVALON_UART_BUF_MSK; + + /* + * In case the tranmit interrupt had previously been disabled by + * detecting a low value on CTS, it is reenabled here. + */ + + sp->ctrl |= ALTERA_AVALON_UART_CONTROL_TRDY_MSK; + } + else + { + /* + * CTS is low and we are using flow control, so disable the transmit + * interrupt while we wait for CTS to go high again. This will be + * detected using the DCTS interrupt. + * + * There is a race condition here. "status" may indicate that + * CTS is low, but it actually went high before DCTS was cleared on + * the last write to the status register. To avoid this resulting in + * deadlock, it's necessary to re-check the status register here + * before throttling. + */ + + status = IORD_ALTERA_AVALON_UART_STATUS(sp->base); + + if (!(status & ALTERA_AVALON_UART_STATUS_CTS_MSK)) + { + sp->ctrl &= ~ALTERA_AVALON_UART_CONTROL_TRDY_MSK; + } + } + } + + /* + * If the circular buffer is empty, disable the interrupt. This will be + * re-enabled when new data is placed in the buffer. + */ + + if (sp->tx_start == sp->tx_end) + { + sp->ctrl &= ~(ALTERA_AVALON_UART_CONTROL_TRDY_MSK | + ALTERA_AVALON_UART_CONTROL_DCTS_MSK); + } + + IOWR_ALTERA_AVALON_UART_CONTROL(sp->base, sp->ctrl); +} + +/* + * The close() routine is implemented to drain the UART transmit buffer + * when not in "small" mode. This routine will wait for transimt data to be + * emptied unless the driver flags have been set to non-blocking mode. + * This routine should be called indirectly (i.e. though the C library + * close() routine) so that the file descriptor associated with the relevant + * stream (i.e. stdout) can be closed as well. This routine does not manage + * file descriptors. + * + * The close routine is not implemented for the small driver; instead it will + * map to null. This is because the small driver simply waits while characters + * are transmitted; there is no interrupt-serviced buffer to empty + */ +int altera_avalon_uart_close(altera_avalon_uart_state* sp, int flags) +{ + /* + * Wait for all transmit data to be emptied by the UART ISR. + */ + while (sp->tx_start != sp->tx_end) { + if (flags & O_NONBLOCK) { + return -EWOULDBLOCK; + } + } + + return 0; +} + +#endif /* fast driver */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_ioctl.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_ioctl.c new file mode 100644 index 0000000..eb4b2e3 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_ioctl.c @@ -0,0 +1,153 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include +#include + +#include "sys/alt_irq.h" +#include "sys/ioctl.h" +#include "sys/alt_errno.h" + +#include "altera_avalon_uart_regs.h" +#include "altera_avalon_uart.h" + + +#if !defined(ALT_USE_SMALL_DRIVERS) && !defined(ALTERA_AVALON_UART_SMALL) + +/* ----------------------------------------------------------- */ +/* ------------------------- FAST DRIVER --------------------- */ +/* ----------------------------------------------------------- */ + +/* + * To reduce the code footprint of this driver, the ioctl() function is not + * included by default. If you wish to use the ioctl features provided + * below, you can do so by adding the option : -DALTERA_AVALON_UART_USE_IOCTL + * to CPPFLAGS in the Makefile (or through the Eclipse IDE). + */ + +#ifdef ALTERA_AVALON_UART_USE_IOCTL + +/* + * altera_avalon_uart_ioctl() is called by the system ioctl() function to handle + * ioctl requests for the UART. The only ioctl requests supported are TIOCMGET + * and TIOCMSET. + * + * TIOCMGET returns a termios structure that describes the current device + * configuration. + * + * TIOCMSET sets the device (if possible) to match the requested configuration. + * The requested configuration is described using a termios structure passed + * through the input argument "arg". + */ + +static int altera_avalon_uart_tiocmget(altera_avalon_uart_state* sp, + struct termios* term); +static int altera_avalon_uart_tiocmset(altera_avalon_uart_state* sp, + struct termios* term); + +int +altera_avalon_uart_ioctl(altera_avalon_uart_state* sp, int req, void* arg) +{ + int rc = -ENOTTY; + + switch (req) + { + case TIOCMGET: + rc = altera_avalon_uart_tiocmget(sp, (struct termios*) arg); + break; + case TIOCMSET: + rc = altera_avalon_uart_tiocmset(sp, (struct termios*) arg); + break; + default: + break; + } + return rc; +} + +/* + * altera_avalon_uart_tiocmget() is used by altera_avalon_uart_ioctl() to fill + * in the input termios structure with the current device configuration. + * + * See termios.h for further details on the contents of the termios structure. + */ + +static int +altera_avalon_uart_tiocmget(altera_avalon_uart_state* sp, + struct termios* term) +{ + memcpy (term, &sp->termios, sizeof (struct termios)); + return 0; +} + +/* + * altera_avalon_uart_tiocmset() is used by altera_avalon_uart_ioctl() to + * configure the device according to the settings in the input termios + * structure. In practice the only configuration that can be changed is the + * baud rate, and then only if the hardware is configured to have a writable + * baud register. + */ + +static int +altera_avalon_uart_tiocmset(altera_avalon_uart_state* sp, + struct termios* term) +{ + speed_t speed; + + speed = sp->termios.c_ispeed; + + /* Update the settings if the hardware supports it */ + + if (!(sp->flags & ALT_AVALON_UART_FB)) + { + sp->termios.c_ispeed = sp->termios.c_ospeed = term->c_ispeed; + } + /* + * If the request was for an unsupported setting, return an error. + */ + + if (memcmp(term, &sp->termios, sizeof (struct termios))) + { + sp->termios.c_ispeed = sp->termios.c_ospeed = speed; + return -EIO; + } + + /* + * Otherwise, update the hardware. + */ + + IOWR_ALTERA_AVALON_UART_DIVISOR(sp->base, + ((sp->freq/sp->termios.c_ispeed) - 1)); + + return 0; +} + +#endif /* ALTERA_AVALON_UART_USE_IOCTL */ + +#endif /* fast driver */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_read.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_read.c new file mode 100644 index 0000000..948f970 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_read.c @@ -0,0 +1,240 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include + +#include "sys/alt_irq.h" +#include "sys/ioctl.h" +#include "sys/alt_errno.h" + +#include "altera_avalon_uart.h" +#include "altera_avalon_uart_regs.h" + +#if defined(ALT_USE_SMALL_DRIVERS) || defined(ALTERA_AVALON_UART_SMALL) + +/* ----------------------------------------------------------- */ +/* ----------------------- SMALL DRIVER ---------------------- */ +/* ----------------------------------------------------------- */ + +/* + * altera_avalon_uart_read() is called by the system read() function in order to + * read a block of data from the UART. "len" is the maximum length of the data + * to read, and "ptr" indicates the destination address. "fd" is the file + * descriptor for the device to be read from. + * + * Permission checks are made before the call to altera_avalon_uart_read(), so + * we know that the file descriptor has been opened with the correct permissions + * for this operation. + * + * The return value is the number of bytes actually read. + * + * This implementation polls the device waiting for characters. At most it can + * only return one character, regardless of how many are requested. If the + * device is being accessed in non-blocking mode then it is possible for this + * function to return without reading any characters. In this case errno is + * set to EWOULDBLOCK. + */ + +int +altera_avalon_uart_read(altera_avalon_uart_state* sp, char* ptr, int len, + int flags) +{ + int block; + unsigned int status; + + block = !(flags & O_NONBLOCK); + + do + { + status = IORD_ALTERA_AVALON_UART_STATUS(sp->base); + + /* clear any error flags */ + + IOWR_ALTERA_AVALON_UART_STATUS(sp->base, 0); + + if (status & ALTERA_AVALON_UART_CONTROL_RRDY_MSK) + { + ptr[0] = IORD_ALTERA_AVALON_UART_RXDATA(sp->base); + + if (!(status & (ALTERA_AVALON_UART_STATUS_PE_MSK | + ALTERA_AVALON_UART_STATUS_FE_MSK))) + { + return 1; + } + } + } + while (block); + + ALT_ERRNO = EWOULDBLOCK; + + return 0; +} + +#else + +/* ----------------------------------------------------------- */ +/* ----------------------- FAST DRIVER ----------------------- */ +/* ----------------------------------------------------------- */ + +/* + * altera_avalon_uart_read() is called by the system read() function in order to + * read a block of data from the UART. "len" is the maximum length of the data + * to read, and "ptr" indicates the destination address. "sp" is the state + * pointer for the device to be read from. + * + * Permission checks are made before the call to altera_avalon_uart_read(), so + * we know that the file descriptor has been opened with the correct permissions + * for this operation. + * + * The return value is the number of bytes actually read. + * + * This function does not communicate with the device directly. Instead data is + * transfered from a circular buffer. The interrupt handler is then responsible + * for copying data from the device into this buffer. + */ + +int +altera_avalon_uart_read(altera_avalon_uart_state* sp, char* ptr, int len, + int flags) +{ + alt_irq_context context; + int block; + alt_u8 read_would_block = 0; + int count = 0; + + /* + * Construct a flag to indicate whether the device is being accessed in + * blocking or non-blocking mode. + */ + + block = !(flags & O_NONBLOCK); + + /* + * When running in a multi threaded environment, obtain the "read_lock" + * semaphore. This ensures that reading from the device is thread-safe. + */ + + ALT_SEM_PEND (sp->read_lock, 0); + + /* + * Loop, copying data from the circular buffer to the destination address + * supplied in "ptr". This loop is terminated when the required number of + * bytes have been read. If the circular buffer is empty, and no data has + * been read, then the loop will block (when in blocking mode). + * + * If the circular buffer is empty, and some data has already been + * transferred, or the device is being accessed in non-blocking mode, then + * the loop terminates without necessarily reading all the requested data. + */ + + do + { + /* + * Read the required amount of data, until the circular buffer runs + * empty + */ + + while ((count < len) && (sp->rx_start != sp->rx_end)) + { + count++; + *ptr++ = sp->rx_buf[sp->rx_start]; + + sp->rx_start = (sp->rx_start+1) & ALT_AVALON_UART_BUF_MSK; + } + + /* + * If no data has been transferred, the circular buffer is empty, and + * this is not a non-blocking access, block waiting for data to arrive. + */ + + if (!count && (sp->rx_start == sp->rx_end)) + { + if (!block) + { + /* Set errno to indicate the reason we're not returning any data */ + + ALT_ERRNO = EWOULDBLOCK; + read_would_block = 1; + break; + } + else + { + /* Block waiting for some data to arrive */ + + /* First, ensure read interrupts are enabled to avoid deadlock */ + + context = alt_irq_disable_all (); + sp->ctrl |= ALTERA_AVALON_UART_CONTROL_RRDY_MSK; + IOWR_ALTERA_AVALON_UART_CONTROL(sp->base, sp->ctrl); + alt_irq_enable_all (context); + + /* + * When running in a multi-threaded mode, we pend on the read event + * flag set in the interrupt service routine. This avoids wasting CPU + * cycles waiting in this thread, when we could be doing something more + * profitable elsewhere. + */ + + ALT_FLAG_PEND (sp->events, + ALT_UART_READ_RDY, + OS_FLAG_WAIT_SET_ANY + OS_FLAG_CONSUME, + 0); + } + } + } + while (!count && len); + + /* + * Now that access to the circular buffer is complete, release the read + * semaphore so that other threads can access the buffer. + */ + + ALT_SEM_POST (sp->read_lock); + + /* + * Ensure that interrupts are enabled, so that the circular buffer can + * re-fill. + */ + + context = alt_irq_disable_all (); + sp->ctrl |= ALTERA_AVALON_UART_CONTROL_RRDY_MSK; + IOWR_ALTERA_AVALON_UART_CONTROL(sp->base, sp->ctrl); + alt_irq_enable_all (context); + + /* Return the number of bytes read */ + if(read_would_block) { + return -EWOULDBLOCK; + } + else { + return count; + } +} + +#endif /* fast driver */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_write.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_write.c new file mode 100644 index 0000000..cb23d1f --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/drivers/src/altera_avalon_uart_write.c @@ -0,0 +1,232 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#include + +#include "sys/alt_dev.h" +#include "sys/alt_irq.h" +#include "sys/ioctl.h" +#include "sys/alt_errno.h" + +#include "altera_avalon_uart_regs.h" +#include "altera_avalon_uart.h" + +#if defined(ALT_USE_SMALL_DRIVERS) || defined(ALTERA_AVALON_UART_SMALL) + +/* ----------------------------------------------------------- */ +/* ------------------------ SMALL DRIVER --------------------- */ +/* ----------------------------------------------------------- */ + +/* + * altera_avalon_uart_write() is called by the system write() function in + * order to write a block of data to the UART. + * "len" is the length of the data to write, + * and "ptr" indicates the source address. "fd" is the file descriptor for the + * device to be read from. + * + * Permission checks are made before the call to altera_avalon_uart_write(), so + * we know that the file descriptor has been opened with the correct permissions + * for this operation. + * + * The return value is the number of bytes actually written. + * + * This function will block on the devices transmit register, until all + * characters have been transmitted. This is unless the device is being + * accessed in non-blocking mode. In this case this function will return as + * soon as the device reports that it is not ready to transmit. + * + * Since this is the small footprint version of the UART driver, the value of + * CTS is ignored. + */ + +int +altera_avalon_uart_write(altera_avalon_uart_state* sp, const char* ptr, int len, + int flags) +{ + int block; + unsigned int status; + int count; + + block = !(flags & O_NONBLOCK); + count = len; + + do + { + status = IORD_ALTERA_AVALON_UART_STATUS(sp->base); + + if (status & ALTERA_AVALON_UART_STATUS_TRDY_MSK) + { + IOWR_ALTERA_AVALON_UART_TXDATA(sp->base, *ptr++); + count--; + } + } + while (block && count); + + if (count) + { + ALT_ERRNO = EWOULDBLOCK; + } + + return (len - count); +} + +#else /* Using the "fast" version of the driver */ + +/* ----------------------------------------------------------- */ +/* ------------------------- FAST DRIVER --------------------- */ +/* ----------------------------------------------------------- */ + +/* + * altera_avalon_uart_write() is called by the system write() function in order + * to write a block of data to the UART. "len" is the length of the data to + * write, and "ptr" indicates the source address. "sp" is the state pointer + * for the device to be written to. + * + * Permission checks are made before the call to altera_avalon_uart_write(), so + * we know that the file descriptor has been opened with the correct permissions + * for this operation. + * + * The return value is the number of bytes actually written. + * + * This function does not communicate with the device directly. Instead data is + * transfered to a circular buffer. The interrupt handler is then responsible + * for copying data from this buffer into the device. + */ + +int +altera_avalon_uart_write(altera_avalon_uart_state* sp, const char* ptr, int len, + int flags) +{ + alt_irq_context context; + int no_block; + alt_u32 next; + int count = len; + + /* + * Construct a flag to indicate whether the device is being accessed in + * blocking or non-blocking mode. + */ + + no_block = (flags & O_NONBLOCK); + + /* + * When running in a multi threaded environment, obtain the "write_lock" + * semaphore. This ensures that writing to the device is thread-safe. + */ + + ALT_SEM_PEND (sp->write_lock, 0); + + /* + * Loop transferring data from the input buffer to the transmit circular + * buffer. The loop is terminated once all the data has been transferred, + * or, (if in non-blocking mode) the buffer becomes full. + */ + + while (count) + { + /* Determine the next slot in the buffer to access */ + + next = (sp->tx_end + 1) & ALT_AVALON_UART_BUF_MSK; + + /* block waiting for space if necessary */ + + if (next == sp->tx_start) + { + if (no_block) + { + /* Set errno to indicate why this function returned early */ + + ALT_ERRNO = EWOULDBLOCK; + break; + } + else + { + /* Block waiting for space in the circular buffer */ + + /* First, ensure transmit interrupts are enabled to avoid deadlock */ + + context = alt_irq_disable_all (); + sp->ctrl |= (ALTERA_AVALON_UART_CONTROL_TRDY_MSK | + ALTERA_AVALON_UART_CONTROL_DCTS_MSK); + IOWR_ALTERA_AVALON_UART_CONTROL(sp->base, sp->ctrl); + alt_irq_enable_all (context); + + /* wait for space to come free */ + + do + { + /* + * When running in a multi-threaded mode, we pend on the write event + * flag set in the interrupt service routine. This avoids wasting CPU + * cycles waiting in this thread, when we could be doing something + * more profitable elsewhere. + */ + + ALT_FLAG_PEND (sp->events, + ALT_UART_WRITE_RDY, + OS_FLAG_WAIT_SET_ANY + OS_FLAG_CONSUME, + 0); + } + while ((next == sp->tx_start)); + } + } + + count--; + + /* Add the next character to the transmit buffer */ + + sp->tx_buf[sp->tx_end] = *ptr++; + sp->tx_end = next; + } + + /* + * Now that access to the circular buffer is complete, release the write + * semaphore so that other threads can access the buffer. + */ + + ALT_SEM_POST (sp->write_lock); + + /* + * Ensure that interrupts are enabled, so that the circular buffer can + * drain. + */ + + context = alt_irq_disable_all (); + sp->ctrl |= ALTERA_AVALON_UART_CONTROL_TRDY_MSK | + ALTERA_AVALON_UART_CONTROL_DCTS_MSK; + IOWR_ALTERA_AVALON_UART_CONTROL(sp->base, sp->ctrl); + alt_irq_enable_all (context); + + /* return the number of bytes written */ + + return (len - count); +} + +#endif /* fast driver */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/linker.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/linker.h index f69e151..60e112f 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/linker.h +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/linker.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2' in SOPC Builder design 'Qsys' * SOPC Builder design path: ../../Qsys.sopcinfo * - * Generated: Fri May 14 13:04:40 BST 2021 + * Generated: Thu Jun 03 15:15:16 BST 2021 */ /* @@ -66,7 +66,7 @@ */ #define ONCHIP_MEMORY2_0_REGION_BASE 0x20020 -#define ONCHIP_MEMORY2_0_REGION_SPAN 99968 +#define ONCHIP_MEMORY2_0_REGION_SPAN 131040 #define RESET_REGION_BASE 0x20000 #define RESET_REGION_SPAN 32 diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk index 770118e..82d79b0 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/mem_init.mk @@ -150,12 +150,12 @@ flash2dat_extra_args = $(mem_pad_flag) $(mem_reloc_input_flag) # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 16.0 -ACDS_VERSION := 16.0 +# ACDS_VERSION: 16.1 +ACDS_VERSION := 16.1 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 222 +# BUILD_NUMBER: 196 # Optimize for simulation SIM_OPTIMIZE ?= 0 @@ -181,8 +181,8 @@ HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_0).dat SYM_FILES += $(HDL_SIM_DIR)/$(MEM_0).sym HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_0).sym $(MEM_0)_START := 0x00020000 -$(MEM_0)_END := 0x0003869f -$(MEM_0)_SPAN := 0x000186a0 +$(MEM_0)_END := 0x0003ffff +$(MEM_0)_SPAN := 0x00020000 $(MEM_0)_HIERARCHICAL_PATH := onchip_memory2_0 $(MEM_0)_WIDTH := 32 $(MEM_0)_HEX_DATA_WIDTH := 32 diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/memory.gdb b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/memory.gdb index ea7ad39..4ed4ec1 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/memory.gdb +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/memory.gdb @@ -3,7 +3,7 @@ # Machine generated for CPU 'nios2_gen2' in SOPC Builder design 'Qsys' # SOPC Builder design path: ../../Qsys.sopcinfo # -# Generated: Fri May 14 13:04:40 BST 2021 +# Generated: Thu Jun 03 15:15:16 BST 2021 # DO NOT MODIFY THIS FILE # @@ -47,4 +47,4 @@ # by allowing GDB to cache memory contents on the host. # onchip_memory2_0 -memory 0x20000 0x386a0 cache +memory 0x20000 0x40000 cache diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk index 9d9d24b..499a13c 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/public.mk @@ -77,12 +77,12 @@ ALT_CPPFLAGS += -pipe # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 16.0 -ACDS_VERSION := 16.0 +# ACDS_VERSION: 16.1 +ACDS_VERSION := 16.1 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 222 +# BUILD_NUMBER: 196 # Qsys--generated SOPCINFO file. Required for resolving node instance ID's with # design component names. @@ -157,9 +157,9 @@ SOPC_SYSID_FLAG += --sidp=0x410e0 ELF_PATCH_FLAG += --sidp 0x410e0 # The SOPC Timestamp -# setting SOPC_TIMESTAMP is 1622558600 -SOPC_SYSID_FLAG += --timestamp=1622558600 -ELF_PATCH_FLAG += --timestamp 1622558600 +# setting SOPC_TIMESTAMP is 1622729449 +SOPC_SYSID_FLAG += --timestamp=1622729449 +ELF_PATCH_FLAG += --timestamp 1622729449 # Enable JTAG UART driver to recover when host is inactive causing buffer to # full without returning error. Printf will not fail with this recovery. none @@ -168,6 +168,15 @@ ELF_PATCH_FLAG += --timestamp 1622558600 # Small-footprint (polled mode) driver none # setting altera_avalon_jtag_uart_driver.enable_small_driver is false +# Enable driver ioctl() support. This feature is not compatible with the +# 'small' driver; ioctl() support will not be compiled if either the UART +# 'enable_small_driver' or HAL 'enable_reduced_device_drivers' settings are +# enabled. none +# setting altera_avalon_uart_driver.enable_ioctl is false + +# Small-footprint (polled mode) driver none +# setting altera_avalon_uart_driver.enable_small_driver is false + # Build a custom version of newlib with the specified space-separated compiler # flags. The custom newlib build will be placed in the /newlib # directory, and will be used only for applications that utilize this BSP. @@ -256,6 +265,18 @@ ALT_CPPFLAGS += -DALT_NO_INSTRUCTION_EMULATION # SOPC_SYSID_FLAG in public.mk. none # setting hal.enable_sopc_sysid_check is true +# C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' +# tells the compilter not to generate GP-relative accesses. 'local' will +# generate GP-relative accesses for small data objects that are not external, +# weak, or uninitialized common symbols. Also use GP-relative addressing for +# objects that have been explicitly placed in a small data section via a +# section attribute. provides the default set of debug symbols typically +# required to debug a typical application. 'global' is same as 'local' but also +# generate GP-relative accesses for small data objects that are external, weak, +# or common. none +# setting hal.make.cflags_mgpopt is -mgpopt=local +ALT_CFLAGS += -mgpopt=local + # Enable BSP generation to query if SOPC system is big endian. If true ignores # export of 'ALT_CFLAGS += -meb' to public.mk if big endian system. none # setting hal.make.ignore_system_derived.big_endian is false diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp index 9da8033..13d4492 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/settings.bsp @@ -2,11 +2,11 @@ hal default - Jun 1, 2021 3:52:17 PM - 1622559138001 - /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp + 03-Jun-2021 15:15:44 + 1622729744108 + F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\software\D8M_Camera_Test_bsp settings.bsp - ../../Qsys.sopcinfo + ..\..\Qsys.sopcinfo default nios2_gen2 1.9 @@ -322,18 +322,6 @@ false common - - hal.make.cflags_mgpopt - CFLAGS_MGPOPT - UnquotedString - -mgpopt=local - -mgpopt=local - makefile_variable - C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common. - none - false - common - hal.make.ar AR @@ -550,6 +538,18 @@ false none + + hal.make.cflags_mgpopt + CFLAGS_MGPOPT + UnquotedString + -mgpopt=local + -mgpopt=global + public_mk_define + C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common. + none + false + common + hal.make.ignore_system_derived.sopc_system_id none @@ -898,10 +898,34 @@ false + + altera_avalon_uart_driver.enable_small_driver + ALTERA_AVALON_UART_SMALL + BooleanDefineOnly + false + false + public_mk_define + Small-footprint (polled mode) driver + none + false + + + + altera_avalon_uart_driver.enable_ioctl + ALTERA_AVALON_UART_USE_IOCTL + BooleanDefineOnly + false + false + public_mk_define + Enable driver ioctl() support. This feature is not compatible with the 'small' driver; ioctl() support will not be compiled if either the UART 'enable_small_driver' or HAL 'enable_reduced_device_drivers' settings are enabled. + none + false + + onchip_memory2_0 - 0x00020000 - 0x0003869F - 100000 + 0x00020000 - 0x0003FFFF + 131072 memory @@ -982,6 +1006,12 @@ 32 + + uart_0 + 0x00042020 - 0x0004203F + 32 + printable + .text onchip_memory2_0 diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html index 8a08e02..bc960e9 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/summary.html @@ -7,7 +7,7 @@ BSP Type:hal -SOPC Design File:../../Qsys.sopcinfo +SOPC Design File:..\..\Qsys.sopcinfo Quartus JDI File:default @@ -22,13 +22,13 @@ BSP Version:default -BSP Generated On:Jun 1, 2021 3:52:17 PM +BSP Generated On:14-May-2021 21:40:25 -BSP Generated Timestamp:1622559138001 +BSP Generated Timestamp:1621024825749 -BSP Generated Location:/home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp +BSP Generated Location:F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\software\D8M_Camera_Test_bsp
      @@ -1446,7 +1446,7 @@ Identifier:CFLAGS_MGPOPT -Default Value:-mgpopt=local +Default Value:-mgpopt=global Value:-mgpopt=local @@ -1455,7 +1455,7 @@ Type:UnquotedString -Destination:makefile_variable +Destination:public_mk_define Description:C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common. diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h index 30290a1..7b72227 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2' in SOPC Builder design 'Qsys' * SOPC Builder design path: ../../Qsys.sopcinfo * - * Generated: Tue Jun 01 15:52:19 BST 2021 + * Generated: Thu Jun 03 15:15:16 BST 2021 */ /* @@ -146,6 +146,7 @@ #define __ALTERA_AVALON_PIO #define __ALTERA_AVALON_SYSID_QSYS #define __ALTERA_AVALON_TIMER +#define __ALTERA_AVALON_UART #define __ALTERA_NIOS2_GEN2 #define __ALTPLL #define __EEE_IMGPROC @@ -419,8 +420,8 @@ #define ONCHIP_MEMORY2_0_READ_DURING_WRITE_MODE "DONT_CARE" #define ONCHIP_MEMORY2_0_SINGLE_CLOCK_OP 0 #define ONCHIP_MEMORY2_0_SIZE_MULTIPLE 1 -#define ONCHIP_MEMORY2_0_SIZE_VALUE 100000 -#define ONCHIP_MEMORY2_0_SPAN 100000 +#define ONCHIP_MEMORY2_0_SIZE_VALUE 131072 +#define ONCHIP_MEMORY2_0_SPAN 131072 #define ONCHIP_MEMORY2_0_TYPE "altera_avalon_onchip_memory2" #define ONCHIP_MEMORY2_0_WRITABLE 1 @@ -464,7 +465,7 @@ #define SYSID_QSYS_IRQ_INTERRUPT_CONTROLLER_ID -1 #define SYSID_QSYS_NAME "/dev/sysid_qsys" #define SYSID_QSYS_SPAN 8 -#define SYSID_QSYS_TIMESTAMP 1622558600 +#define SYSID_QSYS_TIMESTAMP 1622729449 #define SYSID_QSYS_TYPE "altera_avalon_sysid_qsys" @@ -493,4 +494,29 @@ #define TIMER_TIMEOUT_PULSE_OUTPUT 0 #define TIMER_TYPE "altera_avalon_timer" + +/* + * uart_0 configuration + * + */ + +#define ALT_MODULE_CLASS_uart_0 altera_avalon_uart +#define UART_0_BASE 0x42020 +#define UART_0_BAUD 115200 +#define UART_0_DATA_BITS 8 +#define UART_0_FIXED_BAUD 1 +#define UART_0_FREQ 50000000 +#define UART_0_IRQ 4 +#define UART_0_IRQ_INTERRUPT_CONTROLLER_ID 0 +#define UART_0_NAME "/dev/uart_0" +#define UART_0_PARITY 'N' +#define UART_0_SIM_CHAR_STREAM "" +#define UART_0_SIM_TRUE_BAUD 0 +#define UART_0_SPAN 32 +#define UART_0_STOP_BITS 1 +#define UART_0_SYNC_REG_DEPTH 2 +#define UART_0_TYPE "altera_avalon_uart" +#define UART_0_USE_CTS_RTS 0 +#define UART_0_USE_EOP_REGISTER 0 + #endif /* __SYSTEM_H_ */ diff --git a/Vision/DE10_LITE_D8M_VIP_16/uart_interface_hw.tcl b/Vision/DE10_LITE_D8M_VIP_16/uart_interface_hw.tcl deleted file mode 100644 index 367e37d..0000000 --- a/Vision/DE10_LITE_D8M_VIP_16/uart_interface_hw.tcl +++ /dev/null @@ -1,115 +0,0 @@ -# TCL File Generated by Component Editor 16.1 -# Thu May 27 17:12:45 BST 2021 -# DO NOT MODIFY - - -# -# uart_interface "uart_interface" v1.0 -# 2021.05.27.17:12:45 -# -# - -# -# request TCL package from ACDS 16.1 -# -package require -exact qsys 16.1 - - -# -# module uart_interface -# -set_module_property DESCRIPTION "" -set_module_property NAME uart_interface -set_module_property VERSION 1.0 -set_module_property INTERNAL false -set_module_property OPAQUE_ADDRESS_MAP true -set_module_property AUTHOR "" -set_module_property DISPLAY_NAME uart_interface -set_module_property INSTANTIATE_IN_SYSTEM_MODULE true -set_module_property EDITABLE true -set_module_property REPORT_TO_TALKBACK false -set_module_property ALLOW_GREYBOX_GENERATION false -set_module_property REPORT_HIERARCHY false - - -# -# file sets -# -add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" -set_fileset_property QUARTUS_SYNTH TOP_LEVEL uart -set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false -set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false -add_fileset_file uart.v VERILOG PATH ip/de10lite-hdl/uart.v TOP_LEVEL_FILE - - -# -# parameters -# -add_parameter CLK_FREQ INTEGER 50000000 -set_parameter_property CLK_FREQ DEFAULT_VALUE 50000000 -set_parameter_property CLK_FREQ DISPLAY_NAME CLK_FREQ -set_parameter_property CLK_FREQ TYPE INTEGER -set_parameter_property CLK_FREQ UNITS None -set_parameter_property CLK_FREQ HDL_PARAMETER true -add_parameter BAUD INTEGER 115200 -set_parameter_property BAUD DEFAULT_VALUE 115200 -set_parameter_property BAUD DISPLAY_NAME BAUD -set_parameter_property BAUD TYPE INTEGER -set_parameter_property BAUD UNITS None -set_parameter_property BAUD HDL_PARAMETER true - - -# -# display items -# - - -# -# connection point clock -# -add_interface clock clock end -set_interface_property clock clockRate 0 -set_interface_property clock ENABLED true -set_interface_property clock EXPORT_OF "" -set_interface_property clock PORT_NAME_MAP "" -set_interface_property clock CMSIS_SVD_VARIABLES "" -set_interface_property clock SVD_ADDRESS_GROUP "" - -add_interface_port clock clk clk Input 1 - - -# -# connection point reset -# -add_interface reset reset end -set_interface_property reset associatedClock clock -set_interface_property reset synchronousEdges DEASSERT -set_interface_property reset ENABLED true -set_interface_property reset EXPORT_OF "" -set_interface_property reset PORT_NAME_MAP "" -set_interface_property reset CMSIS_SVD_VARIABLES "" -set_interface_property reset SVD_ADDRESS_GROUP "" - -add_interface_port reset reset reset Input 1 - - -# -# connection point conduit_end -# -add_interface conduit_end conduit end -set_interface_property conduit_end associatedClock clock -set_interface_property conduit_end associatedReset "" -set_interface_property conduit_end ENABLED true -set_interface_property conduit_end EXPORT_OF "" -set_interface_property conduit_end PORT_NAME_MAP "" -set_interface_property conduit_end CMSIS_SVD_VARIABLES "" -set_interface_property conduit_end SVD_ADDRESS_GROUP "" - -add_interface_port conduit_end rx rx Input 1 -add_interface_port conduit_end rx_data rx_data Output 8 -add_interface_port conduit_end rx_valid rx_valid Output 1 -add_interface_port conduit_end tx tx Output 1 -add_interface_port conduit_end tx_data tx_data Input 8 -add_interface_port conduit_end tx_transmit tx_transmit Input 1 -add_interface_port conduit_end tx_ready tx_ready Output 1 - diff --git a/Vision/doc/OV8865 Data Sheet.pdf b/Vision/doc/OV8865 Data Sheet.pdf new file mode 100644 index 0000000000000000000000000000000000000000..d5f8f5829886050cef68c1850001063f6ce0d198 GIT binary patch literal 1620233 zcmbrHbx>68|F8k+?rx<+cIlArPDMgMx{>Y2TG2AXF)+^V;iG?zstYl|9v+} zb1O$RtAp7;>L!yM*nM>9%p-hcj!gQLB%fi*HF7PLRJ*)9eHAR=U# z+>8+~0v_26bwO#aCW-KY6-i9VCr-?ki4bENq9mHgj#{=e76`M=lnH%G|z{~zJM;~kC=z{c~xLsZek(@6%f z91DcmGnx2Dnl{BdCUQos%fc_m2wW$*Tpfx~vpRhZi~r)I=txtkkBdbDFn->sT5Tpk z6>MQtD0Gap09jLPz_rhn;-kuE+m9 ze(wLh;=eh5p8x;&{~hmp{M@QRz=+4E93C`FDEIbdV>1w2kj<4hJ0IDVIua+@3!z1 zwPra3pi5`_h`(mx*c3RY@`WSH%lZEI_<8^LivQ;L0c`(2fZy|d2}oH;L`0z(womIS z^A3d}>+ie?{x&$^{SeIF8}C{j$Ewx%{p`ib+@chyzPZfp!9(|vvB!$S)czB0Q`Fz z|KCggD+IsK`v0BffPd%vA{Ze01$Xv!mo6w;-1_@FEY8($T<%!W<5?Oq0)y<6`CsKU zcRni^v>6R$e0AM;4V;ZUfzz}o6<290qVqJtb)d7%SDnL1P+3e35V?`%UMFPPsdII# zqWy`5$L-1$8#asyg;zAIV3JlTltu^!0fe3dfQat*d|w3I!cW5H8d$DYmmEJmP^%cx z?|az(W+R&FC$^KCT=aFO>QuOqp4Rv3ZUPq0_6X*M?Lmr+X5X(h4(`{D$HEFEjY6ay zT*6Rr0KEZb>)Z}?&0z|)wj$1s`02sqB+fA8H~Mg`iHP?8up*E{;QqNp!&pj$@r~kps)2YLU)nXvsE?XnOW6+ZkTHZ65X3w4 z2gcZGW?LH!HWr|dU~7RuPyL+^7#XX zjwuRNzf1Q6;F2%^K^^PLcI|L=kbcep4_ZcXd@)9gkQ zPw1!nlR76>585d5E&bVm15VPJT;a1mkMvII%<#r@^08HwFQ^~dEz6PGgEoVO7T)!7 z#S~*j&;X&Gb%6dVVKYuQST(iD2$v$bWDI?YKPZDZVTk*{9-mjDn#8v;RW z_K*9wp_+3Io3Gz{|Ym%@JRJTPTpm zJWQaR4HdooM(Rixn#Q_LZIMQlXOKj#%j-uWmqeeu|4ygS3SpGCdA+0jf;XY10C{0o zNLMf!Ve3slp*S4o2p9wq+7Jk0!{76L5oD5RLCJR_;*8-K`w1vUy$+;l6!a;@T|-1} z+6l{a7wPE97uUl_dT{BhML5IL4;`yw#DphiNW)HOOa zyGj|OyBPB!drCXT>0Kiu9aU*Pl|feR19m|^I;Y)++ERg_nfQ>AZ+kes?kuQh@_MW3#{>5_j21_6XN z1cKP`_k3Rj7B!35U+WfS(p=FW62o*q01`}xhI)Q}cD$Zt7RT#A_JHviHjDg$58+2N z8Z|*=Zxm+R>!Z_KnkoiwaFV*tDX02ohrj3hA`p^7QFw9S z(XgaaEPEyF=`{RM9J|iXnY%J#^+aXwk?MyWahVDJHa7V;GwYQadn`-UBv`!fT_??! zO4!f$Q7J7OHMq`kc{t2uI=z!D^Q>NNbQ4veusO&K>`0t*RK5s}QVx|*@C?eXcIpI! z074zIgXr-0d|w1od7Z$QfR7zFd%^X&A6F0F!ZE4`)vaLW@Nw-AJNCrbe17lq=B42S ztlqbMjs(br{7n);ag@(cN|UT)16~KYM;&|LASFhRX7L`7F|R6pD^wM_Bqwwz%rp_7 z%W~lH8IWEj@ftJ_{e1ZR3Jd}Wb;u5)!{76r5Zt;g5PL$U4WtxmG=8OR#==Yp;9BeR zB3J1*tmk06K~}ColpNSSoT4Mf5HL-?m>&MB>3Z4fJ-5h4x&A#iteiU1BpvOSu$Z0R zFUN0*D}`kS(A2PoMI%tGoSmh(0E%e(fQ%uKOe78*{-A1WxV+xIp$^EQ$2@d4^nx*;W(7 z%pA1Vbb+L}gPAJl@6ng|k{mc*$JmpUQa&mN;%rAv_k6^s5gsdU>wMGj-YXClE;1`z6y14M_v=Q}aDwF`=?5p<4@u%EP)u}pv46(_AVHoji9$$WD{m|=NC z>#`u0VaXy8M2N|6{*uQ#4mP3CK>AY-y>>QY`}?CQ-_G(?oxPluVtsp~FUp~;i|C~o zud5QC5J#lmnAY?!3z6Ve+Ds62BqE%p{Gk0W0S*Xl$N^%*-}9Xa+`2i0UdZ=N79@GD z@A<7iL8)d`GiwaFiPWX{h)oeISR6VJzjFBc6}1! zxyYq7`XrI#p58%8pLslU)5VaV56yI5wftb99c}cx^H}$f4D+fu6bwfWtLX}0u8ABF z+K>aphQH_gB47uuTTY~2pZ+}I?_pmZvX3^7-+5?=xG9Ak@nNMvM=CkvTGHQQ37z8; z@z*FCsK#R0!tyD47G_KHC*j7Z``gCiVeVtRnmveFn#PfMk8fyeIV9g&#)?fL&B>!> z$yk};)wzDrJwGidzHR}t3m~*12Z#-S&v!y_%LUJv=B&)xjYn+A=G&D-1;47!Tr_n1 z0x<$GyZtLQzenU8?GgG}2M!uEQ^061IonH2@OI`2K|gW&Hi(6u6oI8-=K$|tSV0^j z>3P6}Q2-!yShC&Tu}1E$$!JTPC$RI=CmDpUw{aIR4XSDb!vI1ba)9{o_k3Rl$uLP= z4oievWHYCc!ZHWnFsMugAE;C9qD`Y)zos7Dk3@QP7`^_f8H0~VX-H<#SsS%QZr|CQ z(wSKGIKLAQPrQVO@VU}PFn`tsmvdF6S?*U7xyILA#KV-&IDe_Nk2K^`jBQ!Dg|p`3 zc%p$}0HF^#L45dozAuA~q)B`fYrB&ocfKmm*z)SdW#z}1iJ=euyy>pbQsp%2KU==r zvqt05Mmi>#TvveB`C-m|x#fU?0q=A{2P-jx3>|$$4}2^nkg^`6c9oJWLia^#>P<@4 zCY`9d!;H-H+0%M_2X>ik0!7&&um3XOgwTkbAV&N>-=`c>h@k&6EXlWrcr`pFr z-V@Z1-Dj)|R23tMFC?K~srOZVbnAP)f79dmw7R%;TDh4`fLA!81`GoTmBmThS?r@drBB$C-kU>m`=Zk@j}pnJ{hEh{NS28> ztE{j)k2NUn2#4G{oJ?0g6WRD$$6-Y{u|8D$fvj|_zn-+)Gq}Eaxe>`SD4+dkvi8hQ$omLG;*`rO&zW!1GTf-zL{;Hh zwKc51~#!A*}lBMEY-)smC!B2#m7(Nv+oSs&f#_uUUVESZ8IF zuoR8cFxt4GY4~Gv?2k`w%rNw3CXEN1J5nmY6Ha~|pMGfkP{Ts)6N|c@t@DclwHjSs z4cTky%y&Ni@YnDIok-L{Nx8^>qEP!$b0_a?Mohr$nGwbE%z1Zf8ffVjnM&PZj%E*hq0RN6U4JaCHC6 zXCh-F`PvK(qeNL86E~}o!LP4n5-Se8F`d9YWF;HXaB2qH;eW26$Y+1&Fe4JV@x*eOD{+{oI;Fb)Q-|k~4f2iGyxgyLKII9yI9+M*O6vIn&X{sLkz7A!q>2Z^g`ISDVDB z3VT0hJ=UT`1@su;k<}hWs?pHzyucKA4d%MY1)&hRK!o^vzAu9z@egFDGVY77_ZLD* zioZ%y+&KO`3CEo9u&d*v_@V{5}$Um%(ht^adn4PZAA-1eKAY2j=%)k zc(-0Vk2d((>1)-~2C;F6ORY=G__veTN{l?Ls7+E)I4d?yo(Qjq2l@9@o`Bg05DJkS zM2NrV`!Zl8NqPZ;i9}LHY#kztWliYUPqdq0E$__!LE54v*{nv#`09C<*Gi@aCvk#d zDVcDKFn3qwiR9pHV~UN);;_*ZQ)%*Rw(SB=jWq6#m8 zhFZf}bj{79G^3GW|7E}pp%J-3jQD%LFN1i;;x%aajY3g@8r~#a>{&E^UP&|RjyZU4 z?SL`?F*Tk7nqAJR$xkV&uph*Y$IT72`$e>=4HH!u%tfCe>E%L;>MWYQ(m;C_ z%J7(OD}sZ!pJ+Ah{?hua_4A?;20ecyDjsJ*qE*ymFbp76A~%Q*k;`Emw#Tc5<)=M%aIWT$n5d#o0tF?<4`w8Q{ZtwotI$sbJbQ z^1RoOX^wE7gW?H-NqL@YtJxa1X=9X@0Uz6S2NITLnzt;>R|M1**qw_8}KLk}KWkFeF3 zIm($h8=v?EZ-%LC4HIs*`g2uc5rK6~c18CGvnM@%MZu z2Di3>Xsm5n6Bl*mf*k@8LV8|cNw@e6+)_g{=L-ALNf*IKbW@Ef&Y77ZHXm$usltb4YNgtJmInqSV$cPH9F+CDPcMlOfx~ ze5Kys8KtD}`Y!?=2#v@CV#MF`eGyF79@0G!`$b>cp0MSU^i46z?=^PB5OCH2hPKHSn})oHGRV zNx|$!y`du+U8|z#RH50g46}WMZf{g+3D_B0zpa@*U>UX;B7UUNr5-bVOcP)jKqy2W5F!4a@5>;eh^?LYP^O_(JsD?;Etly$>1vLHAmU9^ z#`aIrk-)%N+3Q8P&LVQ-&>_}OESOR}(#L35Kc2XnvSU9N9v&5Uk`*36XmyLYLQKhT z`_aBEus^?z>K2OOH7`N0zzO9tIWC@fjvv&R?d@6v=6MSq2!+TCBE;YGeHr+6`=Yb( z9X&e!BSd=I-8`Id=!pK@q#HRve50;tPDN1qL!^)D>@{ZU zbV0aSaLW>|4?&qtXw-S*=Nxb3Y;(kc{f4S6pI>9p;WU7k=eS@#Z0Cj0iM$|A{5{`^ z!R?!aiVE@**=5e$Ya9xveWmh|$2}>rKEdV3A+e2ng|6bJT=vyy1^G7K9bcsnM?{Gv_Vby(pb-@=%7lto0PF%6fQ$?(brg)wXJru?XY4w2LH%ih zjT#Pb&*T99Y%gC6OAXZ0V=h4vJezu>x1MM@E(wMKgh~XkfjIHc!ksAG+6Wr@jWrJ+ z0O7}AG9~t?rQ}9P=L!185?nBPXH_`Bc|Vj+ zd)ja#tp&X&m&v?SK6!akT-Av+1S?YHa-4}wfn&dJZ3;!y;x)W7|L9{c@*DOjl+vF6 zLIAKqC`AAph!y`V+?Rsbc&gNwIpmGXS%RnC6Oldq$;o>!w)~|+zN340X`y~X$C03a zP=@|iQbi9sLa#`i)(mqXj0d?Uzd&(bh>7JirAH015jhxGcY1h9da@z27Y zDBSWwK>52b?K-4q#rcn!1<-ZSU!JBE1nCh?ZN%32DR(2M6YW?Pm}AK{|7bF`uERVr zL)~U%v?lvC*-iW|GoW@}5>pTpM#ZL8ew|}?XXM7=vqsa}o01rrOW5L;4L;c0UeWTMOi7{Yl<4UxuC5s$aY!0E1bE5% zC5LrNus^nsvFUx@3#%q|E96>w9@eXAO5kK#0GkhPH$dvg|8y&N4_9vO23fcoO5v>(88~Y zsbu;O`SU!WA!`bo_|zWY*+%wU%N3F1`(b@O>;CLZ)w*BN%2zXtZ>_>cKFILfR^T3i z!2of(^1D^JRj2{(9j38MY> zG)l3h6XsAm$?{`Pz)r1^>q16fprgX1y%C`CaENUu5A_GJsxc~Z$AGD9q~S1c+KjqN;?X-jHK1eDh48)iI002@&{-2529(;pd+pO0W!Ylvg`N)2AFS31= zAAAAvPdiO!i4U#HcHEb@jn1UxQe933i=P)Pjr(okVYw+I&4|RK zIV7YK+GyffxIi%Xwf(bdt$fk4R||fA8VL-$;4naHNYIo8aQBww)*a-Q#wyn$4vC|> zGg>LHOvk)=4-Ih)(jt_D{>u9*odSO*r)--J!y<-np4i+CNE=+Kge8>Qy6;ybs~9A5 z#n~-MXbX>XqtcpRP)>O|Suk8?D$F+hql%Ucg^l5~5*tK?yz_65dpJT6jqF-YHZ&WW*|$$7u=Gd0XqPV>#TB5oIr zZ=@p!&B9^Gk1DH0&ubI|iq`~&OD1OUfW^@IugM&}7?F(Zqa?aZ1QLYX2J{h|5|P^Yw&3mgPU^#~fY0RF0IiCv#+G>m>@Ko{U}X*wc>VxSV7Ys0m? z%!uQ_Lxy9MIWjFy_9~l>`QzI|)({(+b)K>~!gu2CaI(81a$JnqQx06vh5I*`q-PIc zG0BBCb(BsfQLNswCk!SeE%dg$>ZiGq^e7Q|nDOgy8_b6<03f7x1Z`RXcaK_bdEpH@ zn?<%~#vJZAP4>p*GHNN&{4$?s?t+_^t5ypW+Pl8U#$cUE*FqTmgyLV3xolZ=VUZ1% z$WVIcBE(6~amqy^i;Rv8Pu>v(Zo|d+j?Mr}16d*sywh&#icuFRxBhHsm*GB5V1eO-Oy2zH{gq4b#43VhMOkIlw+oG?ceee?I+D~ zIMX!18>hnXqo&E}IG7J20PK(&60~sv{8i*qaqP(`lb5xgt`UzRqPl|Q?Do9Vr1V67 zhfuLGDvq&=2{@|9o*zbjIB<-4ZGT}BS)e2+RnyW*+3|vsqCJ`ZRKYps+D-X!sn1&& zj2{>eoY11Tj8-N{VXr=JO|ZhO3&{w+ebRaI&y#N_?BF&7q=p2|TmX0PTyEVm=#GC{ zU~11n!;+Hm+MCR!;pa!nWtC&QL|$pAKk-P$VDy2nXk(An)pb>@MVv@msNfhgLn$n? zoMp><9Xn!oa~h}2RHx%)1lP!g~EFeh*&Yb1W8 zRe>oJ`C{q~<_GcsNShY`X!P=D;l32&B7|*(kF;|n^o`JBe8pM;7^2Y(b}bI;uQnfr zqr5@*Mf19|_h&Eqr&WB>p>X-oOADvmcnMT`{id)l;pTk^1^G||9?`q7`U6BhL1|1d zi{-1&)4Cind4X#_YxIn!Z8#3RBnBCRkALi|gWC&`>JhYi0o*-&x%FOR205L293IhL zpWPp*SXQihGA=3AD0}ijBljXaV)~-NihAuQvL8I1z@8U3>KZAa#8+B&?z;<#Q^9si zePf7IKGiRsWBCnv)PAqg)u(!LxJ#{=TplO~@9Mn>MhhLYy4C@qThkc*74Ev=AV6wI z(C`It_wwb|2{B{J9bk?}utHp{9W+R#|3TG-UQmp~_zEB!Q9wfuzt1Pq!_z92PAWfL z>R=dHS|@{KDgP8J>{)dxuF!VKi{9xP0nLoVw=h-yVy}Y7tmiiYk5S5YClq)u$5wTD za1UjsGJPy+jBy zrE^Btq1cm#%D!F%rZFIIrDo$(huCLV^fX}uT;H2eR{%HI(V{GN?VbKg$`(&81KvK+ zIaPcT(^2&l?-M(%8o>x|fR|X*JEt?~6k{d zjIiBw!shi7*|vIQmfn`u+Ow}vS~+49zz~kdHKiNvOHQoZ$<{86UWvLr*G344m(f%{ z385A}niln2{7tffH=n8vbi-cMV%GvPCZkUeQZNQzXhoMLqLd?lvArbj%1yDEVhWlB zM*-4XN6`8OaQFP>)(H_}m8SPv;CuKBUE60sPsU$TLFYzuys*#v`#sD1;ev=SoznIB zx2@lP@~AYE=;P<$X=qXxpY(@{^4|*lULcdxv0APXdvcf|%wa9m{;=Uk7|iHHD1`R@ zEXUVZu;RsDOFfp;1jB-1PNFGb9%%z`Lh44){sr(?0nFqttQI-{Ii#+(hr#u#4cPod zshqGVl~qjZwGK47b5neXqc_zz+P+68->EL}K2Q(Mg$Vn(KzCz8Q8=Fv3Ze1&9MdA8 zvePH$e8h-7-jA<~!b3=Q1MXkwV$WOP=0gwrdY$O?qs0Ziazv+oDX4K54O!cj;?!q z)()NY{nZV%ZIt9d3!bVuwPK8Vzx0(Jp?JeKT;EfX3_X=R^2`;*F?mhH0@6Gu$t<$E z!k3muRp3quNbLw(!2td$gQ4bm#**Y3TCJw^5^B*kvc~wMz2El(Xp>pj`Es0oKO8d? z4yJ&OGlzTv6&{vq-xBB0B8vFXW>qIy!F;vl@m_2%)`+xgIDAS z&aam+jymXV^!2#KfzfUw#ylBJ&!ADefO=)J+r)bt8=JSc7$nN3>pG;?q_~=!e`pwY8?oVw-Tt|q9rJOUWi22TB zk7Wf0yU$;6QGZN0TB6&`TpU-W8YSJb2zleMaA-TvZKRE7K5AJ=HLT|KNDrv9>eo#r zvpsc_bQwcaJTW|%X9o@gq=EzuVgP?t#5`OOcPlbdk~Nu*z~V_3q}KOQK&?ZxZ;NZ# zsvJY0t~h@9p!Kp$K0Fk&UVMEE(QhpLt7e`M47~TxQB}Q{3pv)uyiecYOvuh%aGp}8 zx6ZaXVNu7)i#FmvB_gkuUUPi@slYo47rg~>3r{@>90o`Y3EIQ}{wj)bJ;!rF)O^>$ zm~t7#a%dVXmvq^EZni9z>=)ECzRnX#G#@jN-FuW}JnW&t{p7hi|KK%>kBjA1p$uEM zjt^o)Mg=C|%`d5BDKi|eMx@OhbL{-DteQJFc%+gpm9R&VX*x5mJ*Q~#N zmD77TlNuFEN6e@)T+~q_4ND}Fob=W#b4}qY;gSfexj7sIo6T_Oi^p3SdC^uvXDKyB zDm$pPuyYQFSf=_Xv^fi4FhCl{06@!_KMVI&FEV;1QIjeZ9z)$CwAyYDDT@6 zi@&^xdbBV_z&MXVEQq>tM4Or2NR==`F{xsV^*xmL=$Ci{I1G>~5;Tqh{8b%u&VaXn zlrfOmVBSDSN5SG~42;y}nsK5rN_YO}*JCwQpL>RL28pPr*?!u(worfM^ekKa z)k>LO;(5OJ0f9rY&5jsXK-u_&1$ym7NNP^)1}^#!l7&QlNg4t`o=|uwrE(eCXI&#{ ziyz=HK&nX4J_d02K<3t!BL6~+uKuIyJ8Ls?6s=C{?;=lB!c?=yQdgD{`R8c|`#&bm zsWAisa@jhsDYAUqc0(_J8n~o*028m$%t~XL!=bG@-J^?Ev|0NJ=p0#VKWYtAv|fu~ z%qImMNk6CM$CQUIYv@*n2efp&oF@Z^0a8VRHZp*}iewDwACeWs3Gs!!ZYgvEl>1?o zO(iO>5?j8>41Ikv{dg^*Z_brUCTLb99p@d61v;RKRLit&=q;yu3#zq?c1J$+M3eQ1 z(NZ6-2sCr>KNZ~%soC$FpFd7$h&l&jZgXt#0giMQTzy!?(?qsQRe77DxzTn2^N1IQMB2Of`^^%$7V;Fq+9aj#~ z-D8yA?{9{5c#u+9mhqcd%uS5U7tdtsjx+INU%K(LCnJ=f#mw^QeS^YRw~$J=0Hhd` zUck_~BR$L)0)qk4QU(B;%KTZllOk`uy?lx}!rQXOD)HIxLa~s0mC<1cdE@$9kH;EN zG$r(Hj%}JvwXb2X-+LF9Wi~6}Z)Z_s~z!X}Lmfc@n+ow1qvfPiT`zf_|6S#`&0=IJmt4 zsUSgv8Nl6(nOl3|(Kz`j%J^zj5O4Z3&2BS^2`&cfM%$GH%qQ-Cl_64H9;x|NLkf6V z=EaGzhgPzB*t5hZKk|t8yASJ3hri$tm=+H@Yl`Hka(8Tw_fma=mCS+95#CKo$;TZN zZA)jAzJTpW5O+U=Qi9fq1V;fD zg!85@HDa^M1_@TCK2+vAbp$oTb*BYICrgc6p*`5w`*?^Lx>;$$fxqz_il2c#s7H{N>|p53#TadRt3<6e>Ot3X95H>nrCwm1 z1X?RUf&`C>^CfD}i}0sP(`;6Xw3#28$qXrxk1`%0<#xoj0bV7Jj3*X^V8e$(R|H*A zpJ;*E3%rm%AP$<%0Pfz++}aCApRYFBJD8HuU1&?RXa|`-#he$UOY~lnd<^hTyiq6d zlu5ce{zAEy!R|D*Lw(Gi;1&7^MoKo3ee$JCN^@OFj=on!%3LtlmdMV-lS{=j7Z;dN zC0Y3hp`t4InGwXw3%gE^m^}i|^y$k!a12DS!7hTbcpj$I8brJ!?5!Nox@x_qZ59i4@snbDGn#%L4NYCjgL^GXT(Z z=Fh@?&xpd~AVuwY_7QP$RuN%5}g1Gvw1~v*XP1LH(VLo$k*`hn&xYig3yEjm4TY za?bF--hJT5Dc;Bhw-+F_BWOJX_^W)zvfQ)tNXnzjypJbeD{q>naUs+o9CVeGmENmaD$bM4EP&ZuKi?CQRY!`hx6ClbXS z+^y3PI%f%CPs@Js;GI{#DWWpm`By)?h4&ks{ej>xKzR2E1np=3EZlc9{G7e0l>jy; zvy_)aQH^eGYFO^9t-Fqt^CVJqS0-YnBkc4MawJe*Xz=*4SUH>+B~I z4W{6DNN(uCf=ekk5^C>HnQgeL(h+7s%FU!Ca-3R@_o_cfOi3ItJE5!AOBYI!z&s@n zgtVUlg7!0i7Vb-7j*M9I<^dc3$e2aM4Byi3_9AkR7OB(lvWUWBX z_CdUT_Yx4@Lp|=$bbNyY=7C@!8-#iUg7!0i7Vb--sUn@=Rp@Yb`#^vSC*?iRk_N%+T6d4XS|0N7EZna>r>ODa<}{nlNfZIB=OcaP`?m( z_5NZ_XsDW8jviTH`+$|Dn_({ZmQ<5#Ha4f&nqQGPmv{7i=YP)#ARB}?k3i6V=Fh^N zDBOBKCCzCwSAEtK(e)sg6rj)JI@L5K_c{DV+lw8&QxA&EfaMk5;g5w^X9EM1QPan> zi0KS9E2X}=P;97Ax_ej8e=P+MV?|27?S9$pXv9T0YgiYq_=b&i( z1->(lgHp=oifI%a1_=EK1np=3EZi3Z6#RZxAO|N7uZR}ZM|IvIY|cr8-GT{|H}+97 z2jpnS-=(t>yjuW1l(XMa>5()yG%_)$9=p$-4!n)|nM-=AtXGAF^JRn$2Eg|P!{Ys< z|Kb1{v8m_&+vEnh>_UZiYL^#MJ-fz3I4BB0A7XGAAoU|?KLh-$e&#TmDvoEmD5k0z zDl1@ySuh>*y{`EdA~eF;Fmw|3$1@)TFPEUtwjx@}*dDLKYku_+m@#`SG~jv*l52fi zDgDaU+}gW<9{gEZt-Y%BqJprB`NI*>YF{lqk^QfC$gVEl%^?fy4w2ZEZdj^bU@$=1 z&j3ODnLi8n-3`OPc2+CLRD~ub!pqN&$t}alYQ0=o1u30Tt?9}h*It<+%8gvVRO_!P zoK}t$lAYThbQ$vz6(i$df=-OFWmF#H;l=9mjRPCtPFo`WX#gbMc5d9kV<`{PMv0A{!$d~4j?~p-gBgcVX&-JCp zlOQDICbS+$bb+oPPxSE|`I_fuhr>tua%7$`6raO^7R=|CKuG%;AZS1HXW>q};nsaL zw$A)Uq&Xe})sHDMc>(rX<5colEt-q>!Upo8JaZ;Eke>k6vur6)Q!Z?%6TX z2^AF`g4+y`8WOah0sd7#<7|}eUoCKaJXP>!bluNHg)ffr04|1TyOjooIir8UzWcq z!U)?gT-)h>wu?VkF?aot-2#_q{ihB%43HWUw4VX~RX>9>&$IO9)X*6xwYPq=_v2j8 ziI@AJBo-`1mVa=L(`8Tp>#w8>SJUVe>hBojQQwNMvD8~PSZT?Sv8{(b-n9wBx>|cq z#ye$0Ma|;~&tS*K!ob8`*wTi0o>IB|h-mM6wf$KS2SL3w;-*bG7z&W~GeFRO=Fh^N zPKjH04McUM%LbcBf@yCbHeaS7#naWt&55ubXPvkDMc8%H=@9oMCme;2*6|n=8yRw+ zJPxKXFdS(p%wDVJ8QaV<-bU#~#DeW7$I61S04&E{y|mSK%O~WqW}gUhxd(SiQd<5krJWos9kG zFDNK-_gN(V91%n*B+fZQlXTl5<$O)~con-z6WG=CQEyBh+RR+>H; zn{L5JW;;h`T+yEK8pPnr2y_N$ zs^0m9fb#_&1z{>hnRUq0L;zq_P7s1Wh;x~E<104XN_(zy^8=`(SV^uua?G##ZRC&x z+-`u>ke~?-@UIdYnZ9_wNGvuYOKX{0OCo$vakJ|9(|nTZ?AaJ?xhnouXy*(-4_*&K^YlcZp9`V>Bq6P20oA7wT-2vAmA~Tt4&h7&UMN5FGgXgUYn>D2 z``QSGEV$1bB98_rWV!+*E0YSUet!EE!&v75|4VDn^6AKjBUdmF$^#)yXn>#z&7Xz) zo)qvgfl5)2Ff`Q6>lEgz;jy7G1yzfb;ya9=nSa6ONRvyP`D#>I@eMYCvthi^FRSl@ zF~M;!IVSZSrBZ2EL_g<%67W>wb7-;VDeq0+WU|0{-dKYki!obnM51=qHXYscjB9F=%0dKC&GV7+^E0&=WVu|l;-OIe3t1EpTjE-do|ArRNF*B z1VUUMLJSOxn_r>lFJI_b_{U-kvgjBgi;^BsgTnyn+icK=2KZME4SJyi^CD8TyViia z?X<^Osn23g7=HyfbQi2v&y&&79bN<$$?;V+(ng7eb{uS!SeG6;^Mt5c$1n%mAI;gA z+KVQQl8a7fpQKCY7W49h6ZZnr@kE{H7fs`5u=L7z#}>p6h}+@_$bww0!94p0gtVan zf;KdN7Vf(lmc@(JIf*0Djzau=fyO}O6F?-ZTZOVE+(6FWg27FJN_$?Ln~GGi8Wi^X zG+!64Jz13WTDLZsFSs*>H%7$(p5c%D!6`|fb%oYtv0z zP#PQRSI?&3ZA^2Vbhq#BPx2F{ac zqLOr0f3`LbJDBk$&Z|VVO~kXJ9Fxxap7JauOWI~I6d-MAfS?V{pN0EwhIGJ>RD5{h z<5(`Vxft9>ao&Wr&v4Te(gt-UAHx$Lz**<*uO1z3yX>w-+UA~Hrd5#5{D4VzF;7lj zDKqp_Dx8uC%t-w{v)LSoxg-LVZ z21xHAK_eRA-T!pVt$Rq1m;2b+4`~mFzIY|XFFR3?J;cLLdx54Q8~7k=DEkbvd6e)2lvgy`uDKTTZ^fWK`+o2}D}HGx6}|FAU28i{F;Oyv7001t ztzVvOvHNTk=;n36pV__{=IT|p2dy2p=`D=tPe`K^8B7(Poxa}v$O9Y(Nbey*I~w5K zJDOVHfWu=Ntp+-4CQd|@$r3ERV-#Xbw;zO zCYKglsrH~C>Gg8uq_xeCz=&L(p7iObqR8Xp{CZX3UZY|i0d)7@>tVW#dFE_UUodWP z)g#Z=tiU%p9|R5qq=p0yX@GYRX>MVlB}wWomFFeTxBw+t6T#<~S`K4GnkUz* zfS}Ix=z0lgSE3H%g*yI2)Y5q8iORgJm3Z1SUNC_j5Ym(e2%6ITS-7tvDF8k;4D|lK zREUeiuqsdFh7L`j^{+}R>MLGH-#oXGLo_Qec`mAJ8RCeO8PVon%5V{09qT=I9@EV? zOF}d9*a=T#Cr?{DQmuQ)`I-33zV7Q1HQW3MI5H?2-C-x#Vkx~DPRDFpvuTO*g+0{R&O9WJjcu1NZ$AT0ra^`)V z;0VJHtt>}7cG!r<6;c`qy1wq8U>fDVoM-!jrjI}s9uqrKR^cj;j+IbSuv(hg=<4CJ zz>_eM)?%Yw4u{#>lp{}VdX>`+=A?i$rU8P+G=CQEyBop`GCw}XFG_ngN)zZAxG(?0 zv=)oQe4Ff|tu<}fJ2UGur;?E<;^u0ce?u{3x_we~q z_OYAldoa&C0XZR6Bxp?oyn9V^>!c_x#Gx>OB3m)w2^(hB#mk}1ddKA!t+mP)i_+6p z;P1WE12=g=U4_GTLsl8+ykM25iVaIpT5SYz(OYw~Bf{>n9yo2Nz`n-NeTmUd!S+oL z8YUWZ%FEVg{kiuLbx>-nEwx=~uLTT6KAnJ~Xi%ObBK7%Lk-hQy=7}&=w56YcAo?uM`f2ls{at9!MV$4|PC}DFC~0@o zZ*B(emutvLVxULia2LFKPy(BoSXM@QZ077H4SiKTt6 z`MFUD^s?Ov<1{N>o5rB#^dvE_q=Le((}mx@FY6Jmk&_|8C)e(+E!GQ@9bIgKy`g$yw*@Ni_?b{M_5|9#Us zstk;ZD^-F;%r@+&g0!c2ZYt^XJm!k@XG>lx+B05qi<(yllZLQy%(ySFqa;V{#tC_U zUW3B`sUksh8sJ~$G+9Qp6~YY2fO#H&^rYm+ zAFOkBW7{q5jkuK*3$Y%bCS!toqYY_J0|d=!{w&z7L z!kN;;0_#Rt^XJHPUI~bi;p==tZ&&plwIj^lJhX@nTe5X|Im~TS@Pl(#{jD~Kk!1f5 zr~t~J)z9S6GFs}pEfV9lx%rfz?lRr%9@;kVG^8Pv$;i!J!dj_;*$v!~-bI4uG{C>g zX&h)&)BX6(UbA56lE&|?4!j@F(F$(6U@_%=_45Sds<^k(6<2tJ|0QJB-Ip@Gx7 z2)=O+8V(FMvzhvUFKWfq!p&0n{Ag@t0&&vh&30fq`v*)u*FFy60^@^JTZ+QK7#H%+ zGo0*n5mOJDXp+o6sgDM*ZvMtqF|sz8S9#!e1Eh)s&1rytmDA`tH;XuVK3UAfFxX?- z8KEMJ7@%c&W?Ds0iw%o^(oS4)nE$0{&@4f*r^&D~p0bT>MK$4bSnv_*Q%)hJ;FaA& zANKD=Sh@4)(O4{jvle*fZb+f^DS*K!xlHNA3++#{5~&R&Q*T0he?}a>1&0ArMS|uu zz`x3A7N~133r1a&vg0wt>&$fCcJD#?cFTJ$?3L4)BjYKr*PhOh2H{&G;Ydj*BN0t| z+0}#&2U81un;w)L*S5@ja(~YML3w zLhlkT@4EiUz_}jYg}ZqfibnobVo5{F5lxW&BVjPR0n(lZ2-?&9S-3BTc2QM}rG{z) z`Q9e2(9g4hfjJ{MzRUxrK0<|v8CDM`+W_*|D)c;s%<>=djXqn+ep_aw$+4LCkv6UP zZglgN>8ojoq9t-evqZ)Xs@hstrW0e^oC{vH^!qtJdMz5q8-*a6$WseVTj(1iYH-hZ z@Ib0a(4Yo*_n_w1TPynY=VVU$ZV75+HJuV$E1X7A1z!G5TKXDr{BV)e*(jSFZ!JrZ z1ipm*x=bzke8}dxshg8!XmH8U{z|!;hLE;H)oRWf;o)*iZHd1PcI>#2%6U$NohY)H z#x*WZ=fEXd)qX5qpAk3GImyBSxZMD$B0-B9;N6RwTNu#nYG&~akEwj6#TrYBa#?7y zJ2%i<*oJCq+{Xh{(+qt6A8B7171y$DO>lRY;0Z3>xO;F2?(V@oIKkcB-Q6X4g1fr~ zcY^E33EVN>IN$m4?mNF~)auo>ch9+Mb#?EWtLR0*bAQB4xMI1wedMJLS-RMsX|$72=5rkl_k>lwJAUQhL&VlsB%W+i)f<*2qT3d{coQb z<;s78;oqjnze{QW|EGg!_{)D2UGS-^vm6IS1w=NFGI8d=R6TWWWY^&!V|^;l9R{i3 z(@d%g*SO-4E{dX%br?SEPG;D8?n^*j11?%);@O!reb z8ut!O^k!Wmw5Y-(_m*J_OrP5U>7wN6!Z%=@p4vki$k3^*EV6s(^x)kJF|7zGIj+fS z4a=ur3+s*vE3KN%#G2X^JybB_+NQv53$31Y8bN*UFomZvMi_u|Gu%JH@NZX(8Eqa(78^Uo$x3c&BZI6Y#2N$eGmgJhWohY`2#U4 z#)mV3uAs9rdx>Izwff%{pi*Q`yk|)ZpGjvEC1Yvesp{N}o*x0?>YIi6K7JeMUila<7DJ(+|qDnp%2Sd2}7G z>M}x_s%qWzu(xp^a}}8<6MJ1nwZ%ua+_tRM%3tbX_@7|-w<+@PvKqkuNmj$)f~Hpk zAP?R@=4Q0}ZZ4QI0KNIBcy!4c^kB-qklLosYVGGI(!gugT}b4uQg7fbfHTv*2!OrL zC}))2Nsr7PtuFVvdVh%S!;-@8s@BW0D5TaU33~0JR?5>mCOUzkZ8L`5Pn|EcbSWeL zPcZz;6bbyhtmf75-$uiLrkxZIx=#~UzBOpp&-sEGe_mU5-|}Z2uVgs#BjfIF<|tDz zJP(G2=0Q>?*TmhZ6b6iaBFV`20vc(G@DdkA*#!LySfzy_F@dz>-PqK}hjTpc64y-A zEf)`l+1|B_;DyO{U@+J-F~@|P|33`Cf2*qj{#{q|YWQzqpjBSE`>m(6hy73-mqjos zDXp|MLQjYt*}>vBbP>#%evmlp{MnfU%Ftw%o=LpmuL zI!$V-AvPv4e$l{qT9a-HJ-bMkWnSh{z%aPcT<39Y#&Oo(u=Q^3?mzr94g9yl8sOiB zHLr&M76u9V7%a{4yaZM?lJ+A1Ebr;VqY8WL&IDgo(klKGHN&2O{>9;s@QLjSvXPt+ zD_)Lk;(fyjbqEDCAdxW69q>S`4j#8^%ZW7=3a7^tLWH0B5Un{YGCzjnSzzYRN<~~< zX7V7&c3P6{@$HfSDH{G|iUj^$S@UZ6Z(;cHK$HL;#r__yr$Cm~WbZ@lO5cvz`!Rdg z2^ZEPgePDLGqsEaQ>(^Aj#19tCA>Ir826U84TI+7;*)EiFRopUn4~0d*~`m*_6L1+ zh<*FP2v0@WsRxkuk^~`d)qfA|P;F16(n>KbYIg?xpJ4cxDH8a1WzDPMzlA|$#2=np z;v2-{lZ2c=Qt7OO1I$JTm2Ae&V!h>s0@R%8x1vNvlk-c43e72otWW(Ia4@u`c+n>p zI)>}YYiy^|AW?m1F_P<;eCeHZ9EaqcMu3&nCFWk(IRLQZ5cUB>7$n$?;#7T%q%7ng zl!riuf14uzuB-w6pOiHs5uK=$2##fiu)zrqR_D~9y2 z1gQ`fR$<*>m@AL8hn7=6{#9gl`47?XZb`5h6AJ?k&LhhX26yl6w%A1dij%mJaMXOiOxGqU{75!9G;y9 zS9W?2AD;}wTigg_?_fS@gDUx5HOx=M{%DIM1mzobk&wN?t@Y;yx5YrHql5nl?^xHYh?3Zs~<7 zt7fz_M8$}A(&yom{7tk3ScOJt*_?+8!0#|o%X8A?{yD5CY%m$zPUxj`S^U23kHS^E z*WafhN}zbp$w42vF?f9h(R;X-~!>1oDT8sQ}rQ5MSlhiLe>(i-63r8TdH|5a-EA8NA!I)>9JEKZ^}i-R%R zA3qd8XQP*m$uBjgWiN=s&rQ-ACDOjy7XOygLHPV5svc|;Mv|_Q!Y@;h&acYlD;G9? zop;@c1a0UCPB%n~?o-~6uBwOCxIW=2)Nv2eVZ)eF)MT^?cuzje?KK`^sdY+3|J1wf9h)>2P#z1QD+D# zq`ntwG&x;m9AWt78PIxPhKp1d>(~ybkR$iqh|}|~nB+s5xc(9E!%t|m&ntPsc1H7t zuuD-$7{L+~%FT!={{+LoO^|L)G?>R4E~yp9QO} zXI4>tJi7!)Js((R7Ysz3jmXZzMak#!_iri%6t{`0jQ#(g^dIZi*um0Em>Sp-ssA-6 z>g9b@?OMdg;Bo{1NQP z5&aRYyfm*az}L(C*|Gm(e7(@0E!%QLf9n3fG_Nj9ucI)%y8PL)_;QnP_xkGcrvUPc z@zv$emK`~wKU-E_npYQ=*HKtrUH&|>e)*8Eqx^Yf`O@mgiQP|AF}pXT~?68Q+k8JznbN{cl(^zJbm7hW6_bGcT{d;r)8d$Y1@p`+I}@^{j;# z`y1$tZ>TfA!Or-GJL4Phua&o7{NJEwe8Zmc4SdEo^cmmaXMDq-@r?k+HwGBrC}4c! zfboq4#y1ui-)LZbcBj`^E2#55Rxo{y%~LpUD4D=>I47-}rcyYrVYxE&Ks*e7s6l z{<6RF0eIsB@HPhkZ+rmW_yD}|@w#>Q<$Z5_0RC*={Hy==Io|jHyzv2e;{))<2jGnl zz#AWcH$DJwe7ru!e;MzM55OBAfHyt>Z+rmW_yD}|0eIsB@Wuz=jSs-v>;Sy+0eIu% z^*^nb1n|ZO@NIqo-}rd_UHez^c%5s&H$H%G^8@(C$Ls3u#qW&|;2R&nH$H%Gd}zYb z3tBl?+7rD5C@j5{p@FHcfR!_m`d`OZGqW?(z2t2+CPq3oc1_rq#B6A3@YlKq`2R}| zRb=$c4E60{>E#{t?7vzY648rU=o%Zs(kq)9*qi({9hqV2MGZ}jP5!zRGs9n(HMg>r zx7O8v@f9+3G}Skh`y>EMFJNkKCu?XcXk}q-Woh_wV{EYWBBti{hPE$mf(k;0`c?)n z!%7-j8o!Lo^fLed$3YAs!PuMJC2pM{gJ~#i=`7-45r8ju9fa72i}r|!)~+d-cl)JAbTPQf6P9PuuY1vVd8%bpA|g6=TS!B5$bEMbyckt_wLt zvFO>k8NB*ZewFQRK5yOhbo;XEZ%$H;T3e)3&;GWi*44s7yl_f&ZpHfr_S zPeph)6Xc82uN%C?G?g=c*$0zysFPmMSbm1jFZD4n%jd(Q!H|#dam_(LgE|*=ZbS{z4c%I2$FvneU0@8DQ=n_oLTkV@IH^@Vu}0*o|$&_`-6kA@~D`4=WZMzz_{ z+Kdq)av`KyHRxi>8{{$dB6|Dn<)O zM2WASNZbLdtnLnlz1Fzi)#Wtxiq~ttVkOSrFw7NFBkOjkxTvlYUjXnFy zWq+qZa(kOi#Na;O_A6QhrR9N>KnV@9=HI=vG}d%V>O#5tKe&SQt=$C{v2N5=KYwV| zLEev5&IrA7WK*f85#=BHU3Dn?9iLpmE{Z$vQZmVd!ee-0pT}80uXx{reuQZc|AnH5Qlm)1s&75makrNz2vDh$8`ZPeqMoRAwd~icBFs@<$mCtbKo}vea@dE<7Yp@cT-bs z+fX-1_;AW){}DXJ)m;{+F|j_Rp##+qxiESUiDRA^H2ut~>)H^WG#Lr=h-3vmHQ{~) z6;F_{<@cDLJ}L*S|Mbk|I@Bo(;=c4j`)L}vVBC+sP{)`r^r3^-rdTbxZrYc}cv61T zs}U%le_}^{`c4m_28?@RbsLO1qi;T{#3Y zZ)1~+Q5kVi9w52Z33RyZsp1wSqUN)vSryr7eiR+i7*MPLbfsz>!Co(v`W+_um3$9( z7;Yjb?_xo_I^QXIgnO-mNq3uu@ zNlx%FJ_%3KoTw*VlB6QA)2YhuL+@0iyA6k%=LVGPo0@WHlkhbLVzEfL=x;U@ae7jQ zq}!`cs|&M>(x_oNiSo|9<9yMc56)7{aNS}VomCoT?5E!aMv_9D8s<;1h#VK?Kc{8y z@sqj~&u=kzwcF|fXh~rrlW{xoMkUMeDyq6kSx#!{)bIwa>++kK*G0$s5=rSN^SNz2 zw|w5W#(>7l>t6+Bg;fo7M-5mEOY|8OI-s$~gLBi^D7l(=c;`n^)w(j$+futor{FwQ zkHpz;PO>saB#0@UI#Kg(@U6}X>wu@3e?S$yuHyOKl@S7Fu4dGWK5aBNpf;%ASn`$)J?WCvCAv16V1b(<_tD$eT-sClqxu{acf(;&mZZW zkLt&Gj`vbqH6@FO>Jk&LIGvx}Ib49MMr}ghtis6ZJ0&{=@6ZqNCz$jko+QTxx@*^i zk2(l0kGpJYHO9Jnq$y}Xy}Bxw)nOA_#|ZuWSW2F zFOzh1frO&0gMe-;hI~1e*N%htVqv?n2=Xl{5QVvPQ!{dD*k@L8oKw4m8v8AJ=2^*M z;o^yYY458RG5hT9SLd#7e4=mUhLLU05Ju_ziGc56rowHg+D}h&weUC0`;~eNL%PIj z^TxsR)pr;w+m9qo<2xbn0H!Woqtvu4GH8+9$>Vl6YTNDHgwN}6TSMh;!JgB0 z0YfABj?*qFcWpxT>ZJYO=9e*Kjb>Gq$9F=_)W}d8`Vhz}=~4Q>ipFi?;nhU-6`Ko) z5&;W13VpePJ_2qKRs8db+NqI6%gNEx*Dxsd-ft;FHVFeW4=iQBVw&WW4J86IdB`8PNz?pUB{E?Mt7cT#7 zW)Qj}4uE7jWD&2xDymJ6JP!NVFdX%o_vP&r^pMVym^0DpGL*-JWd7~6} zO(Y$AJ30(4OFw%6u|2RKP4ip`7Bm@$$Gw@t&}Ma$wmgiCEMp1b3tAHB5ZLyo-^u9; z&~?iq;fe9_GSI9M?H;nYU6Kc62!;AvJa&RmpITe?+aA~x@0*Bdl{GrcPGz4FlH;;X zki}p+vR6OtHFfN0V6Mt2M4Wi#euur)g}@;TiU{+x4)b8dw=S zM~iv^Hc_H^n@4_puCSBK_z9BkYocMEl5pjGtvw*#|5c{)%8Vl#)KGhyb|G4Y&bL%1 z>>{phCYelB*neHVdO@iW&~Kq>s0jQWGhGF8>?0NhVQOlY~Z8f9Hkl&rFrw6=$xLBYG; z9Z}H=<=46O(PF~p2;ut>PmHl$e)WmJ324L*R#x3PPQr95Mu;zoSFfM5yB zK^$deDD{CQxb3Nt3{SoFo6n})5RXnfWqtSYfsBSXEgl$v3U~Z!3f?7-!DxM_6@8wJ=?T1v=3X??CeI zPctI$zy_ew(qOM`BUbUG|WqsGa!u4uP6leajTfD04G)eIO1!`d_axoPOIcFa zQf+lCMvy0WUuROqENUv>#A$0t1>ndEN%D-v4>yZ1>QqlwfV46p)P z1x%qC+gQgrdY?$I?!%C39XktcJ0-(3Diog7+=cIf1| zzI^_f$Vx;IsJ-64OtZNJ(YG+kKS%ckT4mmWzg;Iyo@3t-C!T=M0|(?gRe2?o03wuisrFVkpaPp{z0QSUP5-l+4pUjMoir9C zdS&Ib5u+i;fXcqmJ?Q#9>$Q^iDj|I{h(!v>6wTU#-iC;24}%p!liJsnmm9k3h@~Z` zc0Knplsr=5)BA+x6iBb98_Tz)YBYES3=&vZxbsUjkb5@4t4cTT#Zo7}GZ*6<29xlW zqYJ7OthzDj;A^9HN!3TsOXRMFQoE)utw5Vs?Xz;<2CWiXh;q{M6?gGsr#g;0n)}Im zk0&Gp>9Ry5<(JqqH()Y|2L(r))KX z$`6sSjh0&7moU{tCd;KKTa`A&92yb#HD3_3Ki8*4P18C{J6u{D1k^)TOsmFyQ_q@b zs`?e8wI97M45u+D_>0bGN4XHcU0_k+XsYD94f_bClrRpM24nq~q@+N8JvP8yWe(xc zH|9ma`t4#TR}h2!`PpVHcf4AJSvGTH23mI~r5N80MK?vI-}vG5DAB zcwVJ2_igEXQpS((d)TpiC1wyV6dg% zDhD}oW2D7iq{>8=t<9rrV>DoJ|J=*z|Kv(5^>GzI?n6w~2G6@(Caa*f403{aZvY=6 z$Z*hfypabzD!H6a)Rk{}x|9d$cb)$Qo0~9?j*p!#68xibxID$cFFG`D)&;J{Psyp4 zaMEB5T{+Nd5PCfW0-l?z?k9W}%>pfv88*q-1xYO!fN62P!TIv85BGFTFq{q-5Wa;4 z?&ZbGLJFYkFHbx$wTZ$)ISg1NlQdzwqJ-vkULM5&f5TUs88=HtK$n{ab<+MSTw%9=BYG~EG zrK}@olpN5`0bLaQ)Et|ddYoj2gh;}GcSAnn2pV_{z^9u;kJq<_d{W>0rXQ7xFHJ?e zFci3M`{RJh0F9oZY!&ZAf5atS?6R(zn(f6jQXIjj&Jx?N$r|fFn@24oR8nM0GD`jH z%`(1x`T@2N4{yXthJA5d;?>ljAu}+qfL=Xqwcgvk75woW_in6+0)B{j0DyWiuc&&l zisT&Gn{hy>!B&_#F`%ZOEVJn4gA^;Wqv;8e_g)0$W-tcMxV|b3B0Y~?C+2`!G)YRQ2l*+f`LLz= zt9-vpp@Q=32hz9&539vqm12eu5_Q|pD`xj($AXW5(@c82`-K5cm-y%!t@n)+= z)(TlSuFMXLUjG9u8kDc^elMwmqkql~6v|@Qa%6O2COh%_;YCDy__WaWVicHWKd3-V z=XZGl`@x>!;PAhf_|reT`bc#~z-7oM-`5T_?>a-8aQ)JQ3DewLh4K?37g;%C$0*!X z_!Who%qlNiP;CIg#~{+MNw^~~9j+qG#NFxrJHskrm*mTn??{Zl5VAt(Y!e(1C!w+W z##DeAEM9Tt(g&#&%Ml-$&cEQMA529jY(JQBHFSBd#p;tzltrM$-ZndrM6+rU0tLyc z`kvvDkmZx|#FN@lpl$R}=QP#;Z!H{~5CK~Kj#UVf>Uk&*Mv`mB>Q=~}3Ufwf;hmq+ zxks$adnEVsa&V6!7WlP0-t!D=r;k*JA+ca9eHE%?BQ|S`unT@?i_atC5_9pOy{T;H z&cDM9?0*Ui3!x6nHOm+y)W;ADKWtZ>y)!{(LWaRHm);vs0zvDmf9{eXuJR`wcp~N* zzn~4^vldR+bDW*ts$;3=U7JRwuc+*da$iJ7qGn5*h@AHi`h+U*e|f z%_nO@%u!A{2c|l>PrOT^Ek{epTnw<3z$YM&#@ixXchG$%IMQIV_*FU8DWB`EiQ5mC z#R*;L8Gh;*+LhAI9))wTo`)=Tw4Wy|bXs4(XyI6VJNgYX)d*l- zT{bj-xiJonDm!{ZbN=j!wvb+FPF|a*tXF(F%jib40#+R4Tga2 zB+KCW=yf?#tW*0mFM!~6Lhl7~rHkaoXOUIpx9V%=boI&cDz3#OY3%FQp_v>Hx!tEp z(RDo!FI&Si&=5VNBz+YLqbsZfMhQ`1YbIU)H(T_RWr%vM;2eV;6K$|L8C`Ntattz3 zNCOd*MbaWWj;m~uKME26P3$os@LozQDVR?rsT9n?JcWPD~kfAQ`QD>AA zvO_JHhBYJ0rhR8WA?j3u7M8 zJl{<$MiP3OK}k8aZNjxKRbTs-0zU58TJ;67|HI-udeW^cJDhyS@zF+S388FdW+yA-^_hOIvgT;4!GgHHE*c z5}Oj8D=P`th$2dYmM58TZ^o^k2@7xJUo}U|V4X^F)_5=lp-hLUOFTMpv$6X*jtxW= zgR%+juY@C0lKrG|V9y+;Z;2GLfDor;bn*+<(w-;aR)evmp$IU3FHewUoEW7Oi4rbW4lY zn(rI7I~?@;PrgkEee`aegH{jweGcpz(b0AQZ;+~X$cnNt!l%Vcwq-PPsDe(<#%7Hg zhho-iHi*TW==Zm>=Z}OCT6IW*lrn_bTiHxatna6GBZDm^`Bi>6*_?-H=9dt64cUUF z1gYdcXAA9&MgrgK{S*7==0P>LifHS`y?y6Cu(hKI1|Qw z(Nq(}l+X#N_<~e2psqY`MFmIc=f{Ub8!674wFsmA zm~9fXaB8iapZ*2w!n9Y8c#UCP?Ax*S=v;%5bjt{FePw8M!Tx95sh|2In62E{OS-cq zu!$9BBzyQ3i5zH^@9y#57lZ|Ja@`svCta_$SzXO`cXSuH*Q(h2NVn6laD%70=;B

      S&HWfP}wS(z!?ZKSg|ZnuCt$|3bRCg}5CaoHT9SY>yAWFq-QS6^Ftp{Y(?&_&`F z0QK``t_yj|DACB?Le2y!h~=ae`^%wi1b3?Yg=D2pGhKFRQaz+A7Uo28g=Ok|PU#0^ zq;5%VbY2vS+uv(4pC}SqB%BfydM)qOLE|htZlbnx7Y{twTge9Oz8bni5IPJVWnZX< zvSu2ZK`(8{&r|pR9CJT|`%2HwgoNUzdl9|tDH1%sLB-wZTH-lge9^HPwAx!oFT}AW zWpD~o6pb2gKna}plS~eb+8dvwn<)ZDET!Bv#0i&5$kpbv2nIq|sDgo;UIeb2H7fJ@ z4$c2CVKrB`!AHzy%&FxMGEIBXOE5cBV^PbAc~+KP0&l3WO8>wV=0bRg#>5{YbB8iZ zaU0m`^%=6hFP86{O6N$nSyjvq_qE}{nz*|92gOU~mcNA>xU3o(Z7>|lNBLjjPZ9^~o)*&fH*VpGK##jK;Pt6L4 zUQls8weeKZC%kbTZciw-=*rMvtnwWamCh0Z!}O6U6^V)Q7GCJ@bjaHF@1h0U$Mbyp z9&&DRYS7F;c(a*4PD17)wGqP?1e1gDHQV+P?okq!dLc=wGos03gR9e(Kk;BlKiv?= z=@Z5-7MKKnX^3x&S@ra5 zK@MjHm4f-lFUi?_+-Pbr|CH5rYSu^3hg}ZU|9!zoYoc9Gc~dU;`JO-E($}mX$Ij`K z^yRWi{ooY9WtqiIoNkF znt7>o_g#iAd0PBcpA)XL?NNN8MZTSI67w;OJ%V{NWqmr4sd3Qr8)L%OKxDKiO*~K` zPG}moSdUwNI`!2Gh_P1k3Vn!8c z0q&iTV|ZXw9#x6l+KA-&Qp_(OO#~sBi%~T7(P~03wkDq0fV*88Ru@=Ch)M8 zoAfCm3@;<9C~+;b_QCD*X56>g*$bXS+xW13@7hPDAN#*)sjfmZyXy2D#K#KVA-lC7 zWcYBChdxh-&2Bj@(I(inC}At(#vrK_Q&TGBUMUeOKkiw6^km9ro0!hRytLw6o9!=a&s^tZqd0iFHb3>c z<^=c~y{=emSgRG3Zx?mfX!4@)N$akv7%f`C?`OQ8T!snGUQH@oh?>pJytg*XK!vZ&gO zNFkqCfahHl>yBQObVH3<`7Gi>Comc6riY&dOKGKpK z;x@;)a=5rN%p5SQp*+;~8-4huA?Ep6Yj3>{M_6FLf$~dnF}MtB@0R*?en4+fC7L)R z9H&RX9j@9;bg$-zqw%EJ!1s{~d8WrH{TrjyJzEgFbQ4Fnj&>LZGnH^N8tyA}tjIed z+O91sht0nQn)QC&dIfURtxrTy$W9Qe7TZc+qL6hN46!;f=&zF7Ajxb5wAc0r_{uvD z5g-VN+jS4vK-=a=1>DNj#340h^%}C#RohBa2n7LKq_oc}Px`3+Ny}@a8}&es*FPh! zjg3+)pZC9Sp6kB+iQC`pRu{cH;o-8LRp4q *K{;OSu~3V)T2>J@bsbshb6&p;ze zY!++k`+e2bXk)e}(Yr8EUnwW{JLesxj;XpCJ9 zjL*B_JLJ)w+NNsQ^di67T~c&%Nu#^st<$<>&SHtBQS0oLwjwpZ>^5?7v2XNJ^q)>m z>n5Kk(uP0fEDla$E%Y>i9)5Tj!kRtCJwh~Ov6wQ^!4lI>pqJ7^JVt%zpz5a$d{+xW zT=v!4hp`EedA!D|RD>V!t~$!Q=!zSHl|!0+bIAh?6V=r!)6>Y^rU#97%_R_Pp&{~m zSoxC&7**k@bfLR-Rg#7~dyaG#uUa&eU*ycl4>wX(r;-lU09m%}f`Fjkt;M=7kd~Sb zLV~~SmL$_ri;H7FV4GD7TPym<8}l?p80X}-BO?Gh)z~EG3#4`;ygIA(yPUw=r&gkv z@{!M=VTlf6&gx;gH@(-`!6*&wO%1si;E@WBgS1aMKb8%MKj#oi1A#Qq_3#1aYBMu} zvSEzrLR&<0q$9i0`Yt>iaD**!fWBJ_s)MR17{feIZ__Gmp3qg4lehv%U$u~m8By}c z=m*F#5`JTvl47aV+T&uI%2M@Xb;*_R-$ji{i%Hm&+9*D@d5gle9BO!3kJiE-_qqOo zhgKMnH?kdPpCUL(<&DIgicv}GKkQ(5fAA0V@lR;}HvSC?7!ijg`%Vj_sp@KKCRz6~ zw%dB%jpXccAh<^=*6u@{RnD()H*Dgsz47uNDQ?Q>Fvz~RjZBJM1QS7JgCP z$xzp$(4Oo)M&BA1W3d8AKUzf$MVTuFNVFDQTh8xSJvzD{n@>^9PZEu%+qO&6moZ)SgRW&ffJD--qd)pErymo8YV zk$@N6a`0To@iZDb2umFD8;307KBfh)0_7k|9im*_&Za+<1Ij&cuNFu6NPcbp%cx|z zF%=AJ+L?;WkOwWRd5?$9Q zY@#y3*kS0hnCn90-|G3*StT|Y$oZ8BbM~%-qmXeDInu++9M^XZY#WoU0+5I2%zTMZ z3{&R}@A&v18jjAioSH26tP0_CB;b}NM~$Klj@y zrktvvH&#ar0R~#pRiT%|A#avaeC)%K!>ah{<~0W7$E)sGp9) z@1ik&L!#r(xyCC5{U~K){*6J{6on>~$j4_^-zmmQcjT^=o7t zH@G$=hQ1MN8NZale%8grm9hNIwYpOrnTMg}VqNw=ikYI9?A$gTKH|HUIK+4E#b4`W z5TPL3dy3qNNL6gCm$^BX*5e5*OffdAHBa3cE?yE^Ap03KQuk_zEcb&lh~XXR1TjAF zkovcvE^eu2qh>@`i?Hj~xJ(U8!ed0>$Q z@tt3_8?w++Or!5?BQ=K5H25cIgvT8FbsySsJuXSUKE)ZvXI>TV@F2=&GreajsQ=DZ z2~j+5*)@He6Aqgwu&|{mSrg3`2s^biJZJ6oBnvW(KcUM~lon+VEAmKKCXS$<`Fkb^ zepLW0j?JA8l1rE4mfx`2{jkZ?5(0(|#tu=&o!)n*APgF@{oy;8U!91hRTTU=hbIHfgs}@s!OvUT6!`GFfoN;@ z8jc`YEyZRbX(&^CeK;I-tp*1@07_!`B}ZkYToz?*fh4se#*dTDP?H9JT{_K|+DJzQ z-y}~2P)ZV>QM5~Z1E9BRSkRnEqAB>y1yaeaAV$va7#?kGh$Dte0bKud+7-Fj*R3qVfvo(~5uMbUmDa zAYW#E9_uU_E#THL*?w-y>_7o2yvl#L7Uo7mo1GAw5S5xrGn!SHV!=_^i`^cdPD0Ct z(|02aK`RZ8&0eB2`hIJv6l+za!#ZSQ!c-*HPp~ydA4E!B?b2Y&V!GFin?c^m!}83e z|5aCdXSF1|ZxqwYvrjvA!dKft1=~no`}eNtz%E7~EO$V_G{PwT+%<|Y9R8LBy3gKU9)W`Fak2iubz4u=VuSlri8~oU z{+GGix$*%i_Z!RVSS|N;B^L;j9vs4wlb;E#x3=HJ2kEgMl=Stwh~m|1C1&aP84WKI z&&wwnru?1Cjc&iI0ooJQ>-bUamZNuuht5)z+0;`)5%_SrQC9gFF5BIt%ysZVvB=}Y zsVtP2*MD#=wqR!Fu5Qy##J?*t7xRLzboKB(7X<$)ZM>n%VB&+TjV(t^eE{W93`%(1 zpw@&v`0f#g1H>bv?_}F@Z1>&u&1pju7g&?HLr|!SOcjTJMn9#k@O-;;AtpwZWuyur z*nN+;UskJe0Q&sd`Z(gABp>hKqvmgZ_!45*q9Z}3<8BY!a&Zi%XI{JxBZwXH-R4crRoqA0vFKiZQ`EZz{WF8^fo@+u;T1>A$u2ml(U6w9UD0Xr>eS z6)EXgmFqd?7EO*$3@J0th$a$dl(DbZo32knAn3@|yrdw4@f3*{}^|fL;qK zPe?lkVz<$A(&J)UwIFr){!8@$bJ__;p*}ZT+3e~MV;+VPliHM{4~+RkhpmFx(A~pr z=CK5eAfG70hBOKFAo|2(QSZZCYC?J%!4wa`AKKiieB3m*glqAkBXdc&ZD4^cx1R7K z>l!plxc6+f&-J2XZjSNgLV_II zFC%7=du=g>m?j=n<7KS6w?Q*zhsRJ07~H7SG_pF2jx3+|n?k{vM?ej6rZm&Qk^~T0 zhi3P1*5*Q(arLppHH_6#u)iSL-L6)FBy^%~4Rr^l;r^<`E~z71hUb{_g=EH|`yBz> zBi4xhX*-3Id(T6?WUe-~3%3oCm~yPvL7bLxWW5aR#@{~8-raEta;hFBOh1^UIY2$X zJzRdFng64i`oTY2cn-#o{FWU1ZIXcJcefKN?6LaEu)tbIjy^{*f-ELH8okMm zdPhzzeh?iMAD#9Luuj7#`8(TonSEp~nFa9?#Ed1UyGZBd<78ZKov^Ei@NBT%U;)pl z{=z2(@bM@A)|i!FM!}R8eT47ov!Bk}wDMXFB3=B-!#h^ri7;_nYD5q!}+|V(5^DbX%@7VJ8D#SmQYQUlpx|7jg1Kjs1+L@a76kxWK`7c~Yo7RyeBt7`%jw`B? z@Sy4UIZWyoAn`u+C^J?qE|+r+En{NyC-Qw!@Wo?wc83u=gRW z5IO<}l3NW8WgrX!WNUA5xN)j>E|JH!YQ{SCQ~E=&YMw=9=C$R)#k#i}r`G&hTt5~# z$ z0ObjzmO`eyho;1Nwgf?hGCQq3zo%uIZk+K{>&A6 zZl*@X+S2jpX$L8KKO`A^{IkFaH(acq$&e^B6fn0Zbd)}!CC!J~k-2tIhguM1QGYOJ z(gSZsPWx2+{yw`wNx=8oEE18K^ij_)SZ~q(d#OUZ277R)F~Tdm-cFON<6}b|Gtrx} z@mV|yj&Bo-!x2d}df`?o807Ayl;U$*h3oY|ufoKJXs~$g`Ml#NNhAU6(J9}n{bn0f z%D?`zxzQwb($AbvrUk5ws$!I`g7EjRE45_ZL15GM5gbP7T=n;vWjQHY>c`L zJ*Ed@d)?2d?JY()(ja9;y$WSVe9W|QXR)*@VKbU)u3hnrcXYa>)4vvF9iu!`?FatG zI2Z$z-^+03qED{>{}sjf1s)_hz9r?QY;7ZlbzeQ4;v9!2-!nCp=egzvgE>;!^}`1V zs#jGLZ;)vIU6|toL8Dkry6st9@yuVYraew=L>Arvf)aN59i~%_cM!EWb9{%XdaF6A zRUM0LziSbIf>(=xBYb@ExUq{R{wSBG>yP;~JhI8x-xA#**mdi_b&JBrFsZj5mAMQ! z`4Dy)1~zU2PG^+A39t=3*3{=W#MAo=Hf=W;&J$uTzJw?^*^+&M;)l>*^aYL5R(Do|^!bc(nwsshML%s+@&jJ5=*~2R5FdM&T{GaHuI3#8Rp>vgP@eMI zdAU#%0+^XhGg@6s*oJCOx|$Se4}=bJsC?Jm{MafZ-2l@axNQy{TI9?QjfC*)*E;dL zXXVfV!ONSTI>8DWJRt}}d}09-C{nETwC`IE2vHTBb%yqpNLZ2cN@t_}@k?H4ScW1y zF=}wl%}wCv;V(?jQCJV#aNWx7eQ*B@{})A{0^xYVR7ndz%{qOfIg$9DI9D-54MX-Y9M^#+4c9+W4>< z9>5PS>i1>osHDp~AdKP!Tc<}RIQ&2W7}GxUziWp88K-~S5|&(hop>F#if)lW@gSDWEP60s0dfP{$es7 zw+PF}xy_hS?zyng2p0%Gu%&+^^^s>tmSEP(>Px{``)q&hx~2~DG*Cy&L`Tq1+>WHV zjk5&2AdOa}R5ETEk)D{FI>k+EOp0m_qi0bxSBkt2E#n*lpMPN%zS)eA3B3)3PLE&x z4<@PChM&67)ZXS@GJM~X<%3$<^hG|e-&&0k!tS`?0u-E3%<0~4W}sc}o4Oa{hn`s7 zp~eCx*>|yM9?c-h(Z)t2wxh1idqktSkMJ8pld#c2k}i1kl${9&=3DWAuHO zBH992OroST02B7E`HSgWW9Dd>Rn1HMy;6BDEzu2Z;{m+ESh4IKQC(0pM3m;owgwDN zxo+~NKrd~*&H4Ocee&?A@PY~ED+`y=^gi5D@*zA9TK&Y!nGjLsS~DjKYf#*Y(jf~D zWtbm&(VsziQgis|z5d}RpIsh%#C{R|tK5~?YQ4aTJUhhk?DtA$G_y#Ty}GV^Fkxa%)C( z<%LygeL_mA(R7!{AEN!loR;Z6L@u{B-t$W%zhF}DS6Jg3E=)Jr7D={@bPw|_Is&SI z>y8eysJs>KOyhhpOWX7McgM^_+pEgjup_Sq zd?F|giJhDS8_n%mZ`)G<6y!cCwfmbUzikQtov=3VM#w=ykXWbDh_ISBX+H+4@MN%z z7WR2w#7B=TUPGRaNiS9C^n09fx`8o@FEA;}*3(JLH$DT8*OG;9wyX8W2|rEUKY-&@ zXA@AS$o3;D8AlbCR@5Z2antjdoVJvTg%>L5ha&jpHGeo^BeqNo^EOzGGC>!N8u`vy z6?OY?8j(ECgUlLGmhl97U4*&|gmf74XqjwUd!@J`ff{UZ@v{Rglm_eIB+5-*Z{<6} z7s##nDLD!Fxf}9@EceR5PU?`+m#2a-80=}1yL{6z2C$|V&es04UEn~=jM#()>5GG$oG9fM_ zBle4wWF>)~DeTxb7-;fqTq;%k)w8tLrW=xK3E#Hd#T%#qHtvhTTB9qp+>P>XaG(kg z>!WE9AgB*ckA_GXjk4RVBJfJe%~r6wx0aRr55GgF!GvOG3f0!@cIt-GlW6$dJ%v4x zMp)e4I*9%FJ8~hLVFsFP!hL?!i1J*1sn9&8f``~p z(-=r|CPcX=4^6Zu!k$)ALT-h2mem04zMHfKjtKrjrdwQ#n<^}9C#KYECR+J-9g(?OK7*l9GaaL`AY+a;4Yy z>zg>)4Sg^2SBlA6-fTLo8T7#zi!MChbBF&S7fZm^&DVakhh9yocvF6pU(srk0*8`!XZzt~OF`o8#IXe7VC*PR z)tjTyf2mJXjPL+8T)7-bDDy;z(!*hXlzyZ^4wK0If;GCetAzE&xn2~lIW?BY1&y7x z+R?o4^4L0tv8AyIyNBfGy-qa&FaS?I2j-eHmnkYF6zKvA3-kgGuz=E}TlY~YEKggk zpB^0`J;u8^LD#{CM$9WfyWC6!!6z8~K<2)NadmbXS?C@@o~hGWkGK#N;XyM*MgV%o ztCs8a@bZG2%S(l26z6XusW2%F*zRDQZB!c*&txf+ac_wV1VjZ91C?RBeG`qRH9g!h z8JGsVmHa^-D$t+XJ7N|cr`3zsEC6Ph z!yn=B?no=FY+}gX0VA?uT-jbDnbB?u)1lpo#C@R0I@JPE?Ew3Jv}k}eA_LxyFAyu}#wW3}dA>Dttjmp4y`5y*K48I*~0r2j23%EN##_Esewhv0yiSDKF zaK&Z6UENeY`$Ao$fFA7jq`#)Pa=v0+VrX&%Y5BxKY>r8wvrrIPJ)`tRVI{jG^j$|n zm#{{4XQc^O_*HSV@IfJQyn?zWvNtQ*TmPREE92Z~M)O!V@;>0G;ALMM-p)4YZJ4*m zVpd9W)j>n+iydiBrQmzN3kQG+0ObAP>}Y;!Z6eXf&3hXruhYP6!AkS@7waBeQ2oJG znpJG~%l~F!sR1e!Jx4eee}{@=bAH>`Q$Z}mg86A3Bx6R?k9U7KDH_8klA6!=?fch2 zSM&_BuZOxy%ctA0S9E{Fb_L0*pl&Y_-u4}S?hx?1j}E%9Q7|BYT!Lf8D5Y|}rg0sF zB|F~*0bB|PD!P(Wr0^vR&d|@xYIe>vjAkFFtS3r|f>Kd;cWR1TB#@MBYKCx1M5l#o9|QfCED>IfvxiUcmU;VgH-PJ_W- zCC{F#If&Yp;P1x2292>)@PbDzBl9hw#qAcSN3}!AhZf$#w4_H#YC;{pnF%beuD~Rz z9az=6nhk)wR+IoUtwH(_z{SJ&^z9B9UgXw9kx<_cfZ4|4FPP9n!Dul_*Nvw%W2p!q zcbqkBL5SQ{@EHjmdb#B_g-n^;j7I-ovpw70U0u8Sn~3X8>ibJhNp^_V0ZDTfW=gFn z8dUt1a3u=ggY%glY-46jxXH`{%aTnWxPlrU_bDqu2I1h$lZ9^&ucf6}%kip?b8J{4 zIP|Xh3*|qp)hr*YkU2))%krHA^QD{D710yhsqwk;`ysk#33XCYAb;!1kGqWV*d#2d zEox}m(NZ-F}1!q2{4=!vE2Wvg<44a-v_y125E?N+uuNz78k9DdMjPMSxlpm z*YUf1FSi;YKz`!BlHav8KBvl6mEe4qR;38cM+*YxbH1M)5Lti+>F)4*E1bE$AC$$p zFKT2rvL8Yg@+?i6H0WM!?@cVZH)3V7lZ|p?;P)XDKi#OE!QC%?gFvq6D-MeF#4@4* z^|*+QJhFi50W(CcvU}MTcUmzusRjTMq^C*K?b97&xpD;4W{sGn3w=R!y$6*IhC3<2mm$t&5qlx(%(oqFmY~p+G5Fo1ZgbByKUq!~)j`+;(JCKSo-k7H75)kTX zW4wcdbJU}pIb?>y>`N2H)m$x&APlVU|70v-OkrK?UxzVOLY;0`?fPod=c_L46%)`% z1bjqjCT3E_<`=OTKF3BI0s{-~>iAENv()K@(wiD%FI^bg>gzian%J3r+*iw5&uk@& z2KZ+G!zk+P9i!$itdYPCsJs(P{fxgu)(gJnkYM7_|KaX+7|K(-^mX6OuGxSZ^EuK@ zk0y!LnP6)bojAU+y1*EGG$p6?I~s=mRej}J^xW9W1CtlTO-DNdvNvPOBzKp3CD~LV zCXq-3vOIFBTDVCMRTFy@8;hVFRzDfZvlvP-kZ0ov_4r*h)0GclmwuiQvQzwt5B-A~ zORf+(t9m|V;B0>4_sOcfBrFn&uDYUyt4`Vy!?cESHIgf)z7r4e+T863hzLQ!nvZ{1 z@u6kQ9kqWgeXhnd^T9gInRDVCFQmypOk0Xm;$_li>`7JW%{5YHi@=p){6nPMYSQ%% z;0Pe?tEwQr{5O90Dkr1%N;t^XG$}9q?8p{8SJTCJQE;6u|8&bxNB=@IoP!FmYJWmG zgQl;#Pg7$|OI=7gsv6l4FXsa}1!3oDzub?{8TEacX2_`O&LMd9diGAc6L`78uWST` z@ei`|OuVJ*T18|=59Kd&L+LCkVwH(LErZTxl79;PgO)&bFTF(UEWN|GL_KCu`$5U+ z&!8CT-NMSc(%WM0z?t9rO;0z)Ixv8Jda`1)=;Cx>n0_)BBmF|lgk+TcroS5H(tO>yvn;*uO7T)d6bzJO0t>YM0y{C{f=w&qRc z+H_bt7SVf+Lv(L3Pa=JX+~ekqFK}|=521AOjFo%IpOj~HY2>TeMnggW& zPHa15TSFX_pidc|dZsm($kM+-rbB`k!(z0yDU38G7^Q;L?N+1Z^z2+m9|$!0I&)NN z_`7>0WJ?I{B2e2nmrNHRq9hpx#JRVdc`S>QNTxq}!+M~nzldknPURu43^XM#6>BAtJ zO=jA447964kj<>Cm1~(yZd-=A#CT>`R+IUKW8lWB^f)C$nf(;nwr=KpH!DxSQc@dN z2-p^V9HU%rqCkdS;GBM$PcQa=cIc75OA`@NNyHc|v*$H}nC-=$lzHLaxkN0|`+u3|m7+~Hvo*bXFA<{^2v6@+xRxug zu7-a|{NsKr;h#?j&X1bjziloq$}Z74A}z>>s50dY!&XzGU{J zR5xO1%0+?e?fQl~wv1F(>pzZ9Z#BOQm(azgV0pffu2s24~Jkn91w`&+}AG$ zSF89N&-HUc%H!=)L6zq8=wO$7h(r=qY_#beh_}trV#M4$K z8vqKJwuPyFTTv0;LctFK1+j0(5&dDw64|n0V7BtTPfC(s(Iu!e53&bH-lI{l{~M50AMe6^>8@ku-nXr8?VK7ly%*F1a?4p6NR6br>e}pFp1$i z5~s+NvPRtBIA|X(z==IefghhV>pf&p9KZx%jI1NN-B9(&3Or_w9t{{zPsKgp2`^nZ z0=_s~Px!Lw6fcQq2&85MfEVd)w(C_CW*VzCpW2^*l%!DJx;u8)2!RorTQTqIfZOP= zQcnB~D6u*^>5L7YLAPNr+5z{9@E$sE&+Vx;h#Dsx)B0uM7A2nmFwcE2# z$MX=oLAcp82v?t+C6aX9jPI3zcY-`-&4%B@l;|pVcm5DN5#-U%qCL)b_^UWBtWJgx z8w{1m1T{9uU)|Em1sqd-T<%RedK|{?a|*(^#T%MEg^bD%I~*r5dQi|40Gocmh>~3q zYGhPZYMR^Rs<)qP)i#l{qmnWKw7Ih};Gitaeq^QJ*UqRy8IwJ9myv^_Y-G$@wp)_l z{(W|F;mWo4Kh;LRY51TgU6{YY_B~KR!*d&K6>nBxrtZ~2(H;=)pGxfD#pW7fcb%K% zPC26=zCuX_!DjjH9gxI6mb}SQ2q@4#${Dq*yOl1LNS1XF`}_r8uw-&o;Nz3{3Cc!! zYIEvsG5_W!ML|5@1(Q@-4W+jeS2Wr#4ToN)9d5iT0L5_gkW!sU%|k(E?$G7x1KS=r zYRA`%x;>vF*HOgAR-A?Fn=e|k6kjo-3xI9fJRPO#kbjfYB*;cBN@bs$KYp0gMGZZWUEr^7`i5pcK(Gt(IVo zaJOIakc;vj_?8z16Sn!M8RXo8ydqdwuihxG9hOHirM`IqPzZ4c?HeA(3LH>RvP6CE z#kP8bd%WH5g?&KPt9MyPdqa`X%P8P&MGS}#2dLWrblC?b$2Bv${1;AEkNp<!7QWEmL%AgC= zwWA`G9T}EgJtuw|4v(-6MkWTS&W+?yWT_jRv=k%7p^;@g4P=~qxS+5)GgVItg2n6_ zGr-P`=&Wf4c!hT1i$@U-BktaTP-R9gn|{Qe$KM7J1?EWT%N>I}>tksB|Mz;waV@y) z-Wu3g@e&0VJ{B8nEC#7u44Q8&+jB>d1#PMD*72<_DdF~WW1Wd+M-xpBoos!^>&EJw zmZQJY^jl|Hk&Hi0lLdc7v!GFS2@KSQimQo=0DUh)oni8Xn>_@_8~`JH#b zZZAYRDUvB;f=%wVyZ}FFW*A8A-~z_1lnRD`*BnPs?&lz92%S_dMgcSV^?Z zdiZUYyg_l?{W^;{X2RfWTws)8UW>813cq1ZBeGgc=N^ty(qm{zv8j;7398ftv9na@>C z7(QwBN&+L)76WVmZWH5Q=1nM$;Sb*+hfo13qnkHDYJj0p=WJ%Q)6P$bTIq+4#rgOs z{~Zd>SQVHvXhjBDQj@S8NW8lL_Nd{dSVL{u&x&aTB{#Vcr_&67 z#A2>&Agt{9ep=h_qDxqs*r2k36f*oq*z_XrjW&@!VT zpD3PR2_Td6=AJB^cfsKR6fyLv{omUYyVnLj^OyA|cqvaoLjdxv#zuFA@~ed4p-{q=-v+KiPLU=k?NxM8 z^kVpd{K~z3&ppdJdMP62<;$frdD(h#UcB|a?_ zdXC7KXVloFwlH4`Ov{LiNlO{%q?8s@wxdp!oYf(B5+k+CUX+4FWsWXUM86qxz+Gpu z3#sJnH`jf5FicTd$Xc)@aK^047*3NN+_?=V13IS~E7pVokk86-3iPx?g}oc8fvHon z3Ka8yeqp2Ac5fUe^#FnE<8Zvdjgj;J0QYQc_bQZ9N%lIB{VgLLqu+zc6!|e9z7ft- zmg>~pk(QqNt7>(btsK+i%zaD<8GB1OvSQF?VVShtiKe%We?k^$I_AW(BTB`e8ah2% zJS~j?D^U_$QbT@R#l+OA**rJqE*A}iyhqOCxh4wKlT-O$5h)3k@ky7Y7`6XA{E|N2y^k%}@3#Kr$^z`KW5osz!a8EX~*bgU)9f)6Sd)rY5`m=&7el=~iRB1KcR5 zbhWSOz&F`=np7plXRU+3$<0^09a!)UNKAQG{wuuXYtD2vu2~32gKI=vS%tH&r5?w|ulF!)`s46)|ksMLLoq+dLaX;aP z0$^W!EDGBn6(kbD4t`5PX(H;Rn{buVs|-XUBi%m|8PS6uI!cj2OPBrTLb?l;v$xzN zRkWNm&Xh2_OXa^~&uTwAzBCVJB1m-{G8SWHZWdWlUS7`tT;Rjk#wl)iXp$nAe$43G zBSq9Gu;v5u)(aV>=JcGm0Smv}<_PbruR$JTfPspg`|kj`u=sSqP+oi|b=x)a_;8Yd zvJwAKs?1Stw>&-+yO9z$3v%18WMg`Jrc3K7-|Beb3j=V?F{|}zxBv{tK5Go&X<^}L z`&mG(Hp-Fl|9VO+l!p`vG^)F`{Zl#r{DW#wD#elzCDpKn4Hn_>$aIR7&iRn^@>@Jn zBZwAONiz&iFFEID38*kT-P_=de2VcqKa2R1s--C z8Nlc)T=?G}XeMyZGefbI7>ujqfNtYe4CmV{$D3bij}qE9*aKx;2r_X67DnIQsGELf z9-E89aN#2q6n2}n>VjsbZ5T_574^?$QM@f27_GVom|1Vlb0xeemSri$6o`oX=jp86 zd?1dL(=?#7)OyHuFmi%o6_Pu0*M@a0nBaWO|z}pQOuUOInaO^)}({ z3jJ<|>T)CpBm)5pp^_hDm&7s6ax(!KL~h#N%9Iv_b&M z@#`0*2f+5&1-OVEXj|Je(e}MLSZVxs#R~i7j%&u6M#tgr>M6U)P=P2D$u;b({%=<-(5!}{05mCu_4X>Br zMmTzv;P7kfCB~((8^G!~Ku3XEE&5)TVVwK)$gtda9)o&Sn{WZs!e475Iq%aEkBSHw zI~em=F(O-~c*pv5WOf4gs+3w1pcdxNs(eH6bMW42(fs)&O0t)XKB0K0A#{lU@Hc0c z>0x}dxqlq0kUsvZLTghHmROoRIH&mYur67v~kZRpRT<|y$ zWFnFZ=~B+MAZWC5VdJ331sV~Lp9es1J01J2&;`Hl5W!qYw^$wJaQlGvY6~AGCzSVX z+bH`$ZiQ2|KT7m7>RjPvqn};^k%TJgBNPIdRSaj{!f%^E_IU5wkLra0HEDv3Tfu#M zOP7ZY8Gm4(UyV?Wugdn=)k-Fo^ce}jr8gxGt6M`FPSxOc58W2a+-Ev`y1BB6{fN4T zkYqiK`!6NLC*`}+FNLHmGQe%m7suj0S&QW<@i${Lt|1CrnE_!c zEO&=_E~w^P!)|vfd;`q$0L<~DV&RNC{Kj7D{@vnmXbdzTt$VJW{Q>VO>0EG7Kt-T! zBMnb@s#nzSz5_L))BKK=`Z9zqxNwsQ5GOp9aacAFhU7py#=6Aj&v+l$1oPIt6TPl< zS0jN`|I=nl)?IPLAe@kpQ(CXUP6qESY~ViW8qWj|qCS5_*RN7=9iPXf$5sy=#Fuh# z(F7|gRB+AtEu?*C4hcB$waw)OWyte6Cxjccj96ba##Z||zUQ%M$NNdM@esfUPx-J6M)<}Fvvm*hduu1#`w;-D~>-tAEQ>sM!>@2iV*3Wz2^TK+QIxnUh%6 zeG3)cUM9o$u{dmr*;GA6_8Im*GRiAq5+i=Yq^dZ|Y7E|1R1Rxh4YVlRe0DyNZiTS6 zASBh|Fr1Yeae|g|VArM^6Y}fICzd5uU$g$K?VZdTq<~aBK&2>Why0{fhKx z;vbNq=mb#}9mK_OZ&~%lZ#>s|MtyVQlUDSDl3@LBD-o9%_N)yfKO!mW{XfH3hnw5u zX!;;o8yDFM;n|=?d0H#9ezyu#*J@)A=Cg@hmLloT;+m#}rtcqivD743p~zZZu4HE6y~0cpPos09-Q^08>X6_%u2|3Et+gB(U=R;((mP_yi5XiUe28`;@Uasn|Il<6`ZRJgHRz-JOq@99Tu-L`2j%=Hp5$V;L{tr}qcQr!gz4D< zwEZR5y;A4}t_lm%C z2E==A3sH>2dm;>%8j3}NIQO98fFG0%myk3247epYJNwnGJ|*(|mboT?A78W;P2Prq z*NmZ)xvu+NE$ArLZ-ZX|7Qg8gz^*aTfEhLH&Jqu+@}Wxz-LlQA^=O3bcNU?%lV{OO zNk5DPtzj6k+(6Cs2BCdQYVJRUEK{9motk68nMU=mq2bIrvRdJ8d_g$=O6oLOr+v#{ zF5Q2;`C!uz-*0>`>6DK!p#qvc(1udNC-(><)l&%^x8z~RJ^$$05`m@%E^MSK7`^1L zF(zicLKSV7egS8$Ydm|E{&Dx_-W_<&u4>;v9M=Hcay$VGWJL^0^GWtPcTE|1!mR#Z+2tO~=aCJ^Ha{r{@j~>yr&AdM zh^PlRw!tt6Ys7LJ#twP zbbe+El`o&;qEemjAeRU1JGnNBJi>y=d*^hba-k>d-tVt5bLD9%QSdP6IBELscoEN+ zTREgz<0lnSJqz3GQC;J-xk5WP#s8B^(5tkJD3q#ipMb3AH z*(fM$oF_>@WZ!ZYw#DrT(z0y*_Yc!jfulL5n%0oW{2f<Pr+FT zhb$wvCj6Mwxn`x>5nIKi9;!H$rXvbs$!1*J~&kTfe#?wiIZTbOzg1J6xg7p+cklRmqJtx(>p&NO243?jdKpeCv0?4z2gm zR?Cz`0v2bf_8+r%PWHr4CA}=zW_da8IyYdQM_;mESJM{mcdW`NOHvPIkhz{}hgkJu zD+0$#s-o<&NzJ9aB6XjWxQa7_5WCWjO^c#+8#49u%F$O<4HLtb9tQPK>i4cL95Bd= z`Qemy<={A!H$yd*;>MX1?j^F-E(S!sv*vj&KWXEJ!qz0Kcxmp&Jg#Uu&ZRD zWdOo={9Lud(J@jcTs&kJou~7Lx{{j5mH&DdUgsE(rGX?3J$ATbk#09YNA_nVVV6(Dn%CthUXiDF}|Yd)TIv%3i-vMgsQLe;83uldOv$e z!X-gKPF#shy21EOFtxqWQ^ zVa^2d9Ok$3R8&0OTx{!5xD5?8voQ%sje67V??no0nV0CS-0ay#hq|LKXsT>`pLlw0 zgyyb5Ma*9&NUP$Q!%|jWzuYtdU5v5+Ec?U`SWCNp)rZ#K!L~VK+$I-Q)B*4$wGW+L z+1KGSN}re|zoj(k7}%v{{gT;@9mcCmye5mm^PiZwHR2xy(||Ykt~TcmaxBOeOK|Bv z3MRveZybRPqng?fd>z#fF}gCAfRBf-BzqXED}csCfSd|I$Uxx zI{0bOX7pA%h(#!!NPL*VvU^{5Iy@J;?mVdX1#*%8#hFTaSO}GTT^l$OjPa)`z#*cH zJL%j^3hdF0&s2huBsp5WY6!)YatLB6un1-M5Hn3)SxgJr{i225(}oU94{&SaA8E3N zN-3(zZp-M+F2{70exBGsZpfsGr7NGijp4n9?SRrFK&I?lmi$Ci@KI812;!gz>Cb^8 z;u2|&7DlAVXHlWWZq0Rf)P*E53?G-Pp*ncIPWN?QmJ62KVQdYVV*M-HNZGpB^&3BP z7s5`g9`+CG))&l3mIp+}D|MC8uGwYy--&U_x~@4K7Ff+)f+wtU3m=JKm;vNEmD&oP zaM1*Fi;*xiOsjIioqFCg-2*EDsAlR6Ys z5l{mpTK2KyWPHsqTJfbfG|kVn*xriVq7jxyUV!TPrwGOHQ!hNF`j zZ7}Ew=DV5J!3Pr4JIY=a92idx2s4aGeC;MPwa%d0XGTja(Q5xeM0bS`2yJ~DKzIgc z6`k5_9BZ%D+o>No0}U{#v+bW(P9%G~RdR1$ss7N$G|0}_e|yWj=+Cq=;=KYk&pvST z;bsG}a$qHtn=pees{DU=1>l+}vRp(c@%_Ari}dt| zc!nzY;xtbeFxXoJO^KAib zL0x8UijytsHETTVjFK)vb;2pg0UsG0E3)e*nIpkidMHSgFM5CoeUo^rOQyns`TmZ&hZE}R8A(@&kO{9!$yo#PfZLi|5o>9DJ!}+Tlm~)0>D_~=SDAHtp1v3@y z16Rc6L_|4fmUth=(@+PDwOAjMC&2rw%yZHWPL@eDkfh|YU76Y9p%4F3mT2%7FV9Oj8X3dLt#^5qH6VTB{- z3%=40jB_|c_>9`Z`x{M1(@NrD*Mk9)Y%cR{)3fobSgK7{8-KDd@oEl{w<&2bSA)nj zVHNW@gq5*A)o~v5UU(Wfu}vZ7!U};MUfsLm3K&Vl%WCerkQA2V;PB2Z#wrLxzzuPL z6|GC_7G0X~-0(1kV;gt_LqBxvS+GcfQo)`Wp)EW-^ib^ARTL8)ovsHeu4x1aw8$};O@9}C%=>#oeKu0YBvf+-QWzif=;o<1%L1XbYnUzH9@^$pX9nFBy@ zp=yiPUYKS`g4K84t!ayRAZXw=sg?%`ilniwT>7(ye`#gT8!Kj{zEDB_7@MOgHJr#y8nLo)oGHiDUzom(OYU2 z-;AuMz3RVd3cy_T9FAgre$|8WX;4t!DREv#$aETZUQ~m^mh?xXt%)Ff8iTy-i>g&Z z!(>cE!=-q>8si?@VaT$KU_Q_Xpi&*+=+nv7bB zP~>^GP+>!@G!3HNq>m5$|Hx^$M z+0EowAMW@L5jJgOiT0UCix7~&F-DOG`W4PedeS@~BMNXd6}YXI1cF7aho%!8hZD+`GKL${h$!&h|7c zD4ByHM^}Fp;6)ZDxI%0@91`2S$uu{L8D5Y$RJCmC#tpztGmK1?gZJ}a-2C9|5nH&V zuH;3Dv^Z^pqk)ku=SZ9Dq>cy3FQ2Eif3$3U!d<6R0rWO>wQgWI%f}=i=di`8e-f9Bs%PH7iJ zCb$J3|Fks;fu1X_@=cR8WZB}9k?4B3Cv_KU3<$ZH9hrpQC+Oy`VL>k2Q02cdz*}C!-t7_RJmhqH63edP0Un6Q7?Lc{m}PnSf5*LBVNtf@72tE zO@Al>qX+!NpOOy|0v>O?C#9e6Cy}xMD|P&810WQ(Wl?1B%BXKdsk3pkC`+6IK+Rl2 z+2h_H76UR$bd1u53ZonN!q1dGN8nU~%ZN9NR*V>AUxk>crI{07jj$+LGyS%YDub`F z(l?hh2TA*ENFZ0g8B+aO5afOGzrY~^ldv+p#JlENTONUZ&MO^acwHbaG$!;+zFFvq zji75(DzbjEaFWWbaZ6D5DQEgUXFe>?+(T2+Z9a*_aiPjBA8nrn>oU;eO$1j3hRyx) z-8P}^VoFsGxqxjd__XvDu6Hz?D{;Q}w3ewT8ZvqKEuG-1E z%f(3Zqs7!>5)xhpwk#)wQ&rkA8|OsV0El`Gp%khqTl{%6s*67{3;yg>?4LkYvu#>K z>S+~Vmc0auILER-0a{$)J&u|xp%wJa=3T8i@1d;=fin1DS#?=F3)7O`Rch82vDd&Q zKkXr?iXBuAWY~r{8+%B~uTnHGWKCSrXJcP1C<>NFWN+dn>xwy1Cr<$1L3i;T>EXRp z74y_<&2QSg5VqW;i9m=MCjzHoxmi`CGWEi1>mCyn!hVzgVcA6pMbW%*O=?^lNzHD^ zp|5#0u>xaxuVODElb<&jl=UDD80t(R$Q{b~!Yyke+L;vp?PkzsqJWQw8EH7kuw+he zHnU-A&WKYAEInnCThH^+b#bl z26gV~8&6j`f)aJ&yx1i@fXV)FA*FGtcYmpSoY^J&tQ1pT6nwMf>>+zF&_MWN}@|(xJ#Ng^($*VhZmG)2h z!?2rm$>@Wka(I!*^+OhDo}LM%SkYRvaSqI#iUAv+lS$m#*D#!zEVmLwE)1|<-A8`I z9OaTs*9b4!VuiBf$mbW#t_9H+Ihw72>JbvDJsd6Bf*Hr5saJ%)w4wSW6F7G~Wz`j_ zr*Zi+Ba7Ui4(#WU=h94QrqNHASoWvNp8Q4Svy%}W&o_A3g32%N$ajSQ7IOp}O#slh zyJgvM3fY1EXLjwO9Bu5b8_a`b*Al*!=De;Wt8x(lKc=qUG%PQ@D;V{)3LFn8@nidGshn1CyzSt=b>RkUMbYaYlZ-$w^ej4f#7T@hYfYvrWZEhYb!6<&w;Z&t2h!k+> zB?{LPFVKV5hQ=AMk*Hf|lG;K52d6hc{Rm1|o2J*Q(;09*`B?e%GQSJ*=m2IW_3M8u zFSU%FVOuHgm4AhQZdhUm$7(&`hee{;kw&SE_wvHlc3Qbl9=tCy6KQ6&jv@(4x2&^i z*K3UP$Jpid9qNnf^YvSllknk6_c;ZyD<%(p7FueKdnS}5b&PJ&8%%uqjiOA{5d1`! zd-r)&bUcf%@hu^V*g_Pk@`D zyj`-|PvSZ$@w_L@zztRwLTlQz!j4EMQY2Tx%m*a64bPGE7zu+qPsA7 z!X1VBr=zw-mWcW)@jiN8suROazu)gWldg6u9D*mMJ&4Gctd5^bQp?Pm@UgF(IjUr@ zoLcy4Sw%*|m#-X!yQ7))=K4wC++EG}wX$p>(wNbbU-4+aju2&sSq7`tOt{)=O_mf- zSeIU~Kq0SkTK+13)+LC%$i3shnRfI14^N^YQRcOUMAUs0u*tA{=6#=8ys~sCCm5<1f1@;MkOv)DymDBG z2Luc-OUWyiG^RAF{m*mj18Zb9p5nZT7WMR`ZQt`RD_nyt&CHplthFXQGMimh(ujFfdYiQ-$CzIvPwc-8M4B}zUg9yc0T!!tqs*UH+W>nypzklPX0 z8OSg{jX^<yfomGgb zftm8&+zee6f5aKYes-KZPX|!4iCSMoqB6^OA(o88fffLFM^n}R84e8neS zhu_i!Cwyjjxul@TZlcZo>~Yu1)oAWAsqKFEe;>H{OwDKyeZn;v_ey!b=h*Ci+1}tu zju*t@VZ%_exx$Zi4DTSlZm8stt$0U2LfY3g&la92nM12HL0-;sP+JzIIzi;_VL&8xjMcMhtcKA;M>!PuhQEi1NGSUku4bj4U zXpJ3(k+NwNsW&2PnWdpy;Id5|O-Ptc0+^F!pIs&@Q;F0S4GQMsCDr%=aXrld4e#CLJOO zf~;3mQ*dxpKk^B1N4!9&UM!}e%-GespQX2%k zX?G^9V6-&dXv5nrWw311mqp)6&`f3Anr4eINor)5s3xwFZ=SO2taR_>r_#zf(3yQ&#t0<+A-OphBwIV zwZipinzUgIEeAl0EHSG_(Y>6xl2XFp63+eQL3O!Sa(FdNcjuenddn@KpHg~o6$ifX zK5rPQqglrZ5-zebx57>7eNnLFWK3BJ>|VAV3w3oXRU71M=UR!g;_L$&pE}gd$dkbP z3&&sJJgYdZ~6O`LzO4640Dj>)MN0O;6aK z7OH>tE@&ot-Bl~H6UTc`UIs4l;3i0eaHBkE<4>mSI|wu|u%)KnL0EwA@;Z1+2sGzov0n6z7;L#l4b!=7I?A?siuwKumoK4XR); zo;b2RTvNfFw)I%L-nXOXLzrd%M3fR+jbAZk6pxDH(*0FpvCVM6Ev?u03kmaRvwq3H zd4=12vM+)INhcj*{l$^?&KY{K=e-uI^Kuj)s|eUmVg^6=W zD{DgfLKPpwQSwV(45rAlO4fGSm@YQ}heux4G^(I;v`o14It95;QFbB@W?x&czTDss z$UtCR$6UgnIw!xuS%l;-;J9Ll>U)_*rEgd{z^4U+bKMXFw0#Ud?ibd;m69zu+z$6T zO`KE9IRwlgJrtKq;83eL&sOd^pN3Ih4pLQLwzxBDVF*~*mkHek4{rW~D83&-Um8ZPQt)oFf_2fg&~I8jv`S27 zqR~qs3cQcBAksI#U%ce=pV`9m;Q*a^0vBXy{k(l*hmz*zD=c}tM#XS`f36vDgEf^@ z_&GAxv2<(xEn}y(cv$n4K+kA&wY-FTAzns&W~O~1yRWixJXd^H&x1$#d!4K8rLrgy z?_*5UZSA>T;N6L?PjU4EQqrkEtC|^HKE$3BbWQ2e%cPAVG=G%fj(F#-khY&XXV%%H z;a=7+4~$QeZkl zK#lgpj1-(dSG#UasyB2!Be9Q=>smOMuVXxWF^<>dE?dA|7%U>ZQDCK`%8*J~PnX=m z0^6dSJNuW(T2o46QG}XZwDO}Q@0qcZwHA6Oe)XQe&jEXxaFX+!Z2q)^s-3abfw^f; zKyQ`3&Adxy2(*46H`Wr4!J75xBQ{Y5j}whG5a;fNBZR)BrDoW-O+=NRkA9VS#cxuw znvKOHqr450^y7Yk23X(XfHr6T{BF&rTL$6X4?&NSjTs+K&(R8Oh_cH+U$dMl_3Qbq ztVwCmHXs*PP@6uQNYh@GnQ9ssS~3|w-aZ6CW5>gb3}z73mS%uY)a}jL{QREj7kffU z-xYbmm9||x&s36SZDltu@(3mja>EGp8scwum~MZ!a=#g*@f;Y2bu)j!$kxv529`Y; zfnni^&Y^ZRMG_zugigk~CrtW8usbQ?Ne5pEIMiuN-CZiLE!Cf5)Cu|@Pu9ls88UQ9 zeD?uKLz)_7=Kv+?R29#|6DzpU@VY1GdGhDggIAhPw(J1{tdVL1l;1~lf)q!UV4T_F`<{^8^pqV?Lh;-42q^oba-M2`6K0S?(qQX-(lWk+7aWxk@JG2kp z`j7Ar0I0r3`~^Ol5u4b#dM!^3LZnm$cPY_XXUJBp)I8|D)h{671YI?fRG1GGw1iWk z9#V4+ifI2MX|T0%*HiOryRDy|*JbX7)&oj`{x)6aCvik;Br2yl!P6E10XFRf6@geP zZ%QBEpVWcbRfu$d-#llt!d7Q6iCv}TsXVtvf0W`Q9OvYSC8rF+&df)&9Nzjgr}dOk z+j<5|Pq103T3e!qw|*5HM<5BQc8XVyQaD#wedH?Bk5=$FP}6G_Iu@H~&t6NJOr>Yk znFQl|Jj{_P`iL{wh_i|FV)P0ZqPH+b=;o^7ky@;2@_1P!opbuPls%HneIEYN0*X)N2m>>?Ep9ol^6K^yUkeLy^ab4qYBKf^|qPW zkO!LQ;zTWudmr#Y5Lz3@Vd?Vwnj3?u_U)FAvhYbvkR9yB=**sY@LvD3XdE3(5PkQa zkyyE~wj;mKsog}4@$cb`1|hbXW;3BZCN?$W1f2mCvdjf>o|!ib53JMIZSakpTn7Xk zaGiTjoMw?lJ*uri(F91qqkG05-DTCtZe^?1Csx^k{I#j^C-l_(h^sG=Hg9&LU@5J$ zx`$oojc)vrK7D~zy0Xf(lH_MD{zO}aJ|wD7RkQ0ag&rigr#xC`!UV-jZx)+!M(59) z-O>O$=C#ynnv?@ywk-G$1!_5&YReS2``L{jCCr46{pJZiD%jhoL-5rv@)%I-^6f~# zy4IarZScwMBGGyX_dS-XvlxwvEOy}L4pu4NnKUpK)kW-id$Bae=W-e=pgG3BJr0JG zIhLJZEl*#EOyQ8g(XE3w3??C<)`E-6EWt|<$RaL%uXE&crm)0;#uUC8d%Qilp?7>K zmz0_*wC>rczq^N(*WYX?G<5Wj(mnbE2q07Q$CYSf_vm%1=%%JN!4g0xCnZbuoUR;qSGt6%m|C2@$gF8efFA=6@=pJO>*j^evNMJ zIj`;MVqY)@wE^!3ol@`zZKz zU`?h^9>3=Axih?n-h+48y>qX;#HReF|1f=ru_bDAk7DaBR*qFd2HN!GZ*75u3o2%B zl1==yOzI||5(F%G{Vk8-(&3rM>^K(Uxv6&`&_lXlOnQUq|Jo^Uq4Md&@+IHBDLjtzgrD) zxR*7`LfK~W8~$+>bBW}j-9p3P4NMClWzvr8B_ud+Zw#-ldDRM(Yl;Wr%&PwE^bAy^ z($_TGMP@!uI!?|iaLs<^Bwm?gV^)E<<`&O}f9yR*_r-zC_^Z2~)fK_?|1JjjaKJ{J zKcLmBRNxm>6?qHk`SkDo_CTx**|NsnS&A5%7H6*7i^^z>T-R;8L3*2bdfyuzMh5}> zn9Nn92L?P|BmTt;FqB{H3iVztgRTWtn_F{}waF;gXo-j$*fnj`-j`yxze4h9&c`Mf zu*|psweFXL$rAm=DXp6Jt=Ja`vbsM~yU6yJt#@I`c4i7=2K86RAbeiTfbNE2o+vuZ zRq4bVoE`i~-1ad;qL?3^ENnEJTfKd|R7(DGvz*_oY;dmV>Yn33U+4J(zi<_*Pr?*) znY&i;+Y^+uu~Z+#hNxseUb0B8$D!^EU7M=<&2r370EA|832#07UJ_9#G%cGtoR70# zt=3*#(8h{Roei|RAaYtjqfRh(n4%b8(2fv;RVo$qgZUYewtE(PD2Q6mH`FAeX(D&+ z-bU|m=k%!WZ)EA0EQ5hE3;H+avfm>p+3rq$4Ot4ixgu(rVpCD3a4dcr73GqHf0hln zE_24lu)Xdt7aH$T5sB)L7ZQ=QAz?c0Wahp%yV{)VXHAZHdU(wV8QDFg<586D(VB>J z`u7eXJnxCS9;6ta5KS+a&i$>Hs{Ey8_r@%9Hfp-{ruI}3pRQ#OFHC105-H`2N@OyU zf1SWzoBkdyJAkEMRz4?!&m51Jl7mKZ+@7pXJcp|+#MJlieP%tb3z4*TKc2cHWwI({;CK!*sBb6 zwKE!UC`RMZLUL zhb20do8?-&V1Pz-F7>7yWP*W+61$=-H67n zU$@PH^(P&sDT^n8?6t8>RD4}O^!C6IROz>c9RzE{efsVCX)kUqmGSFI3~2Iu^{-&U63raj z21R5**kynyge*=9`V8+tnF@JaB54|~p4C-UsTgPHun~!=b8H!@iH;A<+e2XexjZE1 z7Z3br9hyWelqe7uFh>`}WHbRzLhem}-HaVfG>+yonoLC;l3S+if|jnixfaNG!=AL>od-o2iAn4aW|!Z4v7 zuc9Q;$T^I1Z277LTI#QD?vZ7Xw{8GK;Ih{eS#x(-1l(l^(=WPOHTL$2y!z9{l*b#b%1eV7 zaE~%4fZ;l2j_~T+=Zni`baO9jufHCqW7*=Ro90nJ3fg5fQdHlGG^~5C=&(OmCisI$ zhh1z>_%y24(L5sLRArySaS9Y& z(+81Gy>_=z{+(d1Uby$|xfhNls@+pAYQC$rrQr{+q~d8L?#+N)nfQh(`!>EoMrpzI zNd1!{ezF(y?5}KA?&%;Lm3GlSbS%^{V z*aW*QW?53{aH+JLal*9ZKkC~$9Co1GzBazaeRjm;{iGq|hf#Jrn-;%{Yb7mM8A4pe zA4W(ts8~r`; zZ5cXpoC&gdpc)Xn+i|rUDWyNa_Oy0SDEe}J&e?NyG<-Z#-7;o!Z;k5%^&YRDSS zg7(pfi|^&2t0*I9p=L3Jim1ufNa{iV>;FzcF#KltH%!^?J96 zf}?U$<4~L3^de`A&&dM*X!J@Gbrc4_3zt+g=y@^5gddiakjJ?d8*td*Qb4XGJ^`^I zuwvsUf$!!lV;jMO_iW;8airA6J*S<&%@@ZItNMK{%J+!)8$g%PQM1#vv7y71|UnRN`N4d*WS)e3+IMH2$AQF_S&%(#>swXW%#;bGNL{q*R*CPtKcXaRfg!-IQNvHXGLDxw;Wp&FgjLy}>UTKues`bXTY^8T zGJ|tdyQMwcap5QOo;By6mmv)$E?r|jz0Kxm4#}ek?CIm?z?Nw4JDlY_bB2g283HxP zO=8xV0m_wJ#vh-ME(CoTMd|ar#nz#?8Qf2t%I(T^AZC_yGbSOoyWZX4qZ$X_6SN;n z)PDbN$F6@GQSfP$r|jLE5COk!qN-=VETe}$sCl3{i{x4lVGyoA-r5^)KEGtO_KOe_ zP*D+Dg+bzaGv{?6Kko3rx{*e8@I7~;(R^0<#&jwc>C}s)Q4*oi}R+~X&Hl`bnWBu$GD zMC2?LGVzk0wT6!6U%!<*wJ}<~mz=^i0-q&*QSI11prhpIUvHP9c<{8td=jdpo)+_gGTb5@zhlu0EiJ^+|MGN#hG$6q-G{8|sMr*( zH?fC^yb%RX7GU$8ec1+$1nhYxt=K3At`n0zMc;SApob;-hxp+ZT#0cH70Whx-ABnTOTAcP-Zp3WrJr;i1?68gM66eKq)o=>WROOZc(Q+1~U zFs|u_j|i^sz_t=-2n)CQ9;UTq2^At6MopT>Pu$t0G6HQo80){mG!tArNQ^nn@Yn!a zH7#XDFLE2CiN3kGOc$;@m*lhGkY-3n#TRt8Q!GtQ+ccd5s627p^e0oQcKg>nl3cMR1o%gJ2g;VJ?e%e8;q?j8m zO}0=9$duR{su@T18+zT$@E^x2#L0|4#&a5MD9&#sh^yD)`>Cq;+TSJ%YQ{|3@Vwto?_*lffMivc(dvXZ)CR~yG8 z5C~eVtka1pQ4{u%W-lo>FXh7T5 zUDNlB^9Rm&!rduPBWz z>&W8nqyk&k-YS*ZGm!R*hhc~zyDTMVvWS%imYZ_-j(4V!D7Q$Zpg0Euq{Zl}`Fpq< z|C{MS3+jp|%2B{&c`@mG8rYzC zTz^7!Vwcv)9;cX30a6AF*oXZTDp5-NH9OOlx`=f;b&+m8^3s&#vmtk_?~?(rGLHFb z+(8g}_hO5#Mi4mY$XYy|MSz_ww4r%RDtRhQ#h zoxWd(3%Ca&h;7%D%{E{--|}>Pv4YKUfL_^&mi10P8?{_4mkL5-$Y{0gqT0DRxF$xj zH!jlNHK2Arh;95@s|H%Mu+yc8LmaI8wYp@{RG>j(;4 zI=;;oQuq49F7cJo9HY~&yP?8rQPvVUrP@v^NWB|FX9i3kDOe(4@&6?DgEup|dhyD&yH+_>QoRuAQ#k8Q_B z3)p`0c`{v-R&*&mDxl}LO?xm|gYNH{c1O4v`5A+X?`6X2P*I^4AOrtP!FWQvL(6C_6HpuucvoOC0g;tX zZw`B$?DDt4G4;3 zu946@{806_AZrxf2Q+3A9Ub(#h?xEdt1W9uI@{{uWZ;cPT-fxZ1tsQyrIY@Z#Wio` zQd9-|XQCTtT=NC%=5Mcf- zT#HxV_<;Fa;ELvWE@EP+5PM{1YNp}jN+pdC9_T;=09tJ1LM#zH>6UJ-nfy;Kmtp(A ze?YthB>A3*d%>r9%-%vj5fAqQDpS|Fy3!C;1M(j`W2|<=I`~;D47(Jc(g;9X%X zc-GQMyey;W%}(M_K5x@SD@d{3qL*(gx(SG(0y0jl(6D6~uB_ZBJYe4d&!_XwACtbm-wXJYN(zK;d}4Gvm@z90-Jx#|L8GLor9Rt!g60K}nFFAe+f?5BX8na%ngKup2v8k4 z07v)W5H|@_GpXH+scc)-$$cth8(pO|2GZ$Wgim7ZGYuBblL1kOIC1Nrk3WZSCuVEb zA!UD|=Cj#}W*|N~?=k`#gWV8}*r`XnE!BF01-<3n#Pu>YR_2bNN0HKL`#5yA7pA;_w6n1~<)bz(c zCYC1bB-bw>)=Gi_jfE<<0M{(`#b`U;NX#qKN`W zDq(?2E)^eFFr;HtqEv*!SK$x6y?P4>w}FKIJrF2Dhe#_3O^mwciWW~MkS`XS#_uX2 z?FHC&xcA80Q^+22v5$h`y}SshZyEqtmBl?uu>(&u?+tL6#1ftnfhTmA6a ztLJl@b0Pyr#obq3eX1eGNkAM|Q2gg8_tda3IzDVAtSO4ZP&ozYk?Kmk>iT2hQo>LQ z)zWDANNIl7LV{q}{0zw-p9dTO9BK>0oR#@_nRl0*){+dg00mrY#LpYxd>TUpSJ*ci6!K~<^JC(09 zS1Z!aCIo+A$q^RDyIZH^s!Hn8Op^YO z1@UyVUPq`G+m z3l)F!J<_3PGE^N7KB&)pbN1qvlokAKdl*g_&`94`Wtxo-UU@@JmP+Td`3x49H~6x7 zMv4I1Y(zgO^4q5rK|!2^%Sq(UClrn8W61EcK-22fbTXLW9q6Q{s9q#8#op|1R%KIqlC$ewx zhoF#EiZ+yyF%a@2cbU~?h74F7vZ#I~8LR=SwT)BYrd`#%5_^a}CD$U;AuWsj`)WKQ z;gzt@BH~qWXJg~8zc<9=vt7GH;^dLKc%Zm%CA!wialdYiquz*mNStiwn;(PKb^k%4 z27$1(Nw|iY(Yh=ZLg8?`UYo;-c$^mdv(ZbN6w35c!%D!@q;;cR&+H_YPps=>9DbYRKlwvH@{fsIO+-TTI( zWR7xNAFAhY8sm~SjyMd!-a4%BM$S-lN-l=Z|LPI7bF_h?`=|WZ z33R81R{x z7=AXQ2*t}wCuC=B=cr_FU}W;oppX;m&miM}21V^`o&V`pR%ZO^X8Kq6kHP$7F#l(c zyrZ3wl8H0E7M;AX=+6mE+@0}%7BMh05yJo3hlsngn3D6)5&!Wcru5I+EdTZB&+5`9 zwr0-e_zY|;?D)LAQ2+J1fLoUU#&QQon>){zTssq~DAGwG8TE`nt0%Ol4`U#aXC^0w z+nn4k`X#TVH4ThS`(z)0RIq=Iv>7CRn;v2f+|5#S%%ybk5;nY9N767X=CE_V*Z_{J ze!M|A2ir(*+p^Z#ME5pxvB`gadIDd2`?NmVZYb{4$(hR~cehV=_1aL5v?xVMe5S-s{m~sf<%@AD z%k_9FI>vWxi@UinnsEtG^|}Px2gG~cCB=T1fU!OXB0@ObV#C_3ftzENxdMv?q4SD` zSVoKEvRET#BAy*2#5x6*9a0`A#~!RxoG(iknsG=tglXE7*WF~vkIB;Oy^Y*Bs?n{) zd^vW+EO#Z)FqBn8BGlde(s>IEyem=#2rFdbWq%?6o#BBondFk7M9Ocs2##R?tjRi0eTl)B~=_Bd*P{dYu zlP@a~AT?eEKK;#YSKoL&sNk{PNx6oFFiJE+BL zwkQ>j6kD1yyuRO{*{p~oLhsB=7~mte7(|bL8uzY>ifW0!vC^rl6J3m_z5jGU z5;d{JGnd+=QZ7N$FD!_>N>v7-ndc^L#hz!OLb{fyEoPBVf%H@}J%BboJs-@-`*wk} zqs+ETH80vVwml3sg7s(ao4PPslq!5bYD(sgrXw(TU=4{j&Ju@2QX;t@4X7!uTV2@G zNE|Gq^cs@nG(PC&6uoDViH5~2ZtxJt;tyxYW;HbBKQV3Gb%AKlefzlFl{GWMNPX^UTYqF%fbm<_mHsP4Jc8M3+YS>%jZ&C^_uyN^v{%os=;t<(4<&Y7|=o8_`+R$A# zJaOye?B}t~hMW_|p5c?cIBn5)&HYUyUXz!3H^|7-{J*+CGRJM2oQmfEi{QT>_JDJDWf6z?^hp|kR z9Pn`^``*@#!RmE8I@64?fAQ=(I5@H&o^=o0w0_-r1I|0@(^9aMFBRgy3g%+!5uT2& z zns&7Rq?Ec0N2kFpoi1533C}3qVg1rCB5ADWg$brFrbIu^NujwSfEh{TBB3N_YE8V*VuS9_WJHI(xX%S*h=zhZN+ z-^%LBJm@{_Eai0%uv$-A{@rTN+=xqr0MFl0;obYiANwJ32{^v~J1yE@Ea-#@zknjwV;(=KIQq#aknt7Zpt_)owB*iH7uF?d zvwlU|nOA4l^NQycp7N9*9#VfrD&&GJJN>@#@3{TQrG?bAj$?+^fi$7y9HLl9O$2nU z64(KvSi&czomg;2EMRA?-rcTLA-m}i`;jBuj8F}}d?dIUCwk@+2T=G{5URnQ8SxI+ zdF{VdgDYs{0{?fofc57}{6B#U{{P+nKTzNwxWM)wT)_Tgu>Z$kp#QNr{_Coz`|nV~ z{}dfCGBW?~=s=Wb*n1uybYz}HnceGZuGr(+3Qy%a-N>>eR&&w3Vc7bsa02~cOS~zi zfaJVO7Y%`u)S?w5l1a6*jjenw19K5;SJs^r8Okrk)$x>t+EaP-nngh5CoOcL<}{FP zx#(KfWQqw4Q`K?-sf8JbsG2Wx37Jvde3RHa3``3_z*iP%zfRHLjVa zy0hb!c((Ke$7OSQt()Ch>p_(E<9kQf@u032$x`i2wxy})b>FAhQ#lf2F&^yKr_ z@kG+)=vWKhnvn33!#*(kkW8&-1tV51D!OBCohh7e!SGPDC-o;9Cm$BXfZEA{~|My<>cbkuU?_>tq_c*D0;&x?FKFN54p^ z;Uyp42t@JdrTqu-W1k3h{1Ih;aIco|g=w|QXoi-^h|&&~vZ4Si#{)ggGJ`J!pjKe$ zHn8+zy(k)m26QruSn9siXc-=+X9vD|;IwDq8qlpI7w0TTIhYHaHJdaWGvn zumjHiu`w7e^fI5jsk$N^Mmy5jx(QiUtGe;VVpNUz=UdcMMO;JX*$rG0$#HL1TgRzK zw{mxtOjhswq6-WH$Rx4kYPUu2%s^gALvSAE@rf5_xx{l-P;yAdGF1&b9pFKO<{>t* z&O#5FQd20}=4YOygvj2Wm4=|;XyL6*`FsYFRH2O2+vh2`sz>V5@WalY2~w`;vG=#d zZkuYLO8WgJ$;R98y&TDk=Rtg$erv(4z(4CP6CH})2a3^HLF&%hcMIV+U*>&?n{W4| zlR$)0{`HSkcwsw%EnphEp~bJWr6ORhOrT^1t-e6Jc|9{BgJ9Hu09K^-G>d|x7x3I* zL6zi@F^1!8FFG>(pOBgYif?fFwOFQoC5j);nD9G@`_qEgFAP^ZAQsr`dgkopBM13U z>4peWsm*RQwT@m6GMI~QF-g7l>KVBjc^fOB{USMn<@>hhlpNn0h2u(td4^ZuF)SoWj%q+BfTkk6evnnsm>cG20>S#&y%31WFQD#*^BK=oP*SjiWofD zlzW%%W-=isIA6Qgkq<&cFA?8Z@qS(K1wvNOOX#=m?w9~W8>jk18JZ93 zFN5ZOq8j=FYc<8hvpmNb9X9%AtCNLJ-UEzMlAO(00aeap^ zJQ|kmd`ehIIWA3u$J>u$-lERW8@|Md7MT7Z(gNpc0dN~qB% z$vI~_)rE;6Ng;i1AW^P*h)uw8e949e%AttQ?VJAv;Y4u-qU#2-I_YVX*BPjh2X?WH zCY8pW)r)pd+w#17i!3};6$nr_F6iS3uP_V-E^n@}r zL%U>8e*v}*eo3v2i(@nsVwBrcc||)(q=lXJbS7ao^TwAABg8$!8_bi(o^ja~Xw69< zV^w+|pK`b&+Ej*t0Qv3$!SsqLz(U=b(LOJRp6(=Nh|y|rp)LiH#^Jzf`L!rGW561# z$|QmFGfG=$v^q`uTh#zb7X!xn7RN>FJwR@fgJ~^K%(W%nU%ERc>}VdeX?vG2cDhrR zCf|61W=HjUed~2LiO_Ywt-K5k+wUbXZy#U}B1(p)7aP^A)KF8jR&LSIOw@z5EtfND z^f}0?FrwM`etn2mCClTN#q*H#zP*P9Dv12bFZEz`9CvwE+k0ve*ZqQ1$B^V-e{&3%b#6SCY40i4Ip=Dau6jLkEBLu^!V#gtjMCQ%dIE=0Uzn>RW1u*64afh~XRFSyPNUU^gsahpjAay`;948iBeeY9U;^PP-bm#I;&|QS)xzy%! z{GjI&$F7vFP`@-=<`e3TS!gS=`p4CBH`VEh zCYVm!EASIH@O_ItfKN(x_lVV4d#PVFKVmR?zk`~kyTACR*=h0oza?|x&$^9krouG} z?Kj63hZDtpoR?1;Re+d~2VqOxjnWbc7u8ufT@rOXoswg0vMP~IDQYN&XDV%tT7bK9 z1Mgmz$X9d0J?L|IFdKUr(00V*-tk5@hApI_1ay* z>SPAW{;nr#6B;#7YoDBpSOqIdE;X5DOo}~)&KedQrTJ<~aH&P?(CrPhX+&`l2@xg} z<`?RX`k2?9E9-wQrw1?xnD*2+fx=IMvy$maxbm@bkx7!_rGGn^{RUo`go~uzg?x{7 zA|7eFTt(R6TA)$7bAkA>R4)vzsZ#xW^xxn>=Km}Bp&zb?`G4_4O6CT}7Pe;pus2HP zKkNA6i>yxg9{Gd6-+U0wvM%m1|WhK_9QMs`1)(nG%RmxH) z=o;{IXO_yvtaDOCb zZ|7Z+k=7{c{w)elUoWGIr}AWd%wKfrY>i#h{=@!qCSsGUnNl`p1In1-D{OY=8`KFB zsqShV1zRE2g@2`Zx}m^-`zjKaCAX!XuYXCxx< zyEC=gBmOQ`s4~uqxeiKCScl(OTHJ-oBt-?x++eu!%kExSbk|@QE%L`RT8&mtkEr!C zF1i8URnE*yy(>vRT#`EZ(-*o9^Rh%6X&-xM$)kPe0 zaSvBHrKn)K(?J2|$pcvWr{D=ZX`TvE*s?{VQfhE}z{N#9V=$ch{W=iMsl%MxEv*|2 z;Se>vY4Z%|wSp22UZC0Yw)@@nWu7s26>(U%yB5Tpc#gjP|FYQ1AT>%Bj-rg4 z_5gPt;HMgb?il-Y49!}LZhQvA2Gj2H3WCy+4Ppjt!`?H5Rbm~(m+g`JmpsGt8tl>g z69)=5-+XG}sF&LyY&%wUl{ExSo-yT*)jJ%lj9N`_V|76D|M6Rl_!?V30LZaUf6jS$ zxQN5}yq`KoTZCAaWA!BleKLTo4TDQhWblSX&G&j7i=Rl@_Z!IQUwH!)60W4w z|2K7CjV0$ID`tu&QL%b&J=k(?TYmc^vSnptD9~}L41W9#qtniT(qRJx=Qj(IdTy~o zyZt&Prr*vm`-%7eL*6?Di55mnnq}Lz?K)-Kwr$(?DciPf+qP|6bMC|3?uob$cRHe@ zBWB+B^Zs+?`Z5=o-M$&r?&}kz*o@m=Qz8NJeK*R!DSfhC*A-97_#*{k6)KJKJx)&b zzuqsy8mh9A4h2G=nWP#yQnktoSv5!ZZzK4B8Wy6WliAY4dX>O(h&ivdeeJFa#q{4X zCpfdC*1=&CaG<^9~a&QaqvkaAa@Sihn_RDzBqE}fvn1;W{~^v z)(!}4d__=F!`);`iSVW9dlb8N!MC5Uu`BgJf^p< zdjI*Xz`J#Bg{?DPwhcHpQoEyNFDbAOK_;FJ&yY@a6K6KYt%0S=3oxZ2!^?YYDUQtM z>%!Ds>Ep`UbW*es-Eyd%s{tE;amq!x4phk#4nTjo@_gw%2VYbP=BSH+u2Z8qO^1s6 zEV>e=@eIlJMno^r2m@4LfmT%g)dH_;T#s^6zzo;rW}qB>y{0V^z$sn}V*^io z!Mz?EWLq3u@h!jMf-xr{vI0|!76gwY8au;8S(&b7d41~y;zX-uG}Fz1N{?cEV7s-Z`GrV<6k7V2XC^zBUp-2^Uv7i538%&S!vF@)zV zaS{AfZQkF^3vJPhELRz{>7q&;h9J#?RclP`%JKZi%9@QJK$22ti+wU^c$6oARF{VY zkbDGWOb8;!Bj{q!b6D|@g7Y;<2MA%h`chFHC^Pc4LY5N!+XAPy%NUqoI~zK8nx$q) zNM5B|WO5TPIj`l57HIT#&{Rz^6EVf~bEV`G8bC+5!v)h2UW8x{=xrO*?@kja6l!Bq zDgV;qX$)kPtr`e!|9ny;58y5trqGj(lsblysGYr8v4XAMy@z@Qt2~3>nP1+H61FSG zS24dh|~Dn(rIv>;~f$k|YAm z^TSaAuR6wS;4rK&(3H3S;e&kL0O%BxH3)GT;g)g^a5!%@en6rRJepfakQBp-44lfdE2#B zlAGU%8$8wtWjxg)ZhU$n;A3MMIWIbQu15y_R?Q=w`I5<}q?rJnh#(mzB@T|!yyC0s z7DTlk zOP42*8^l=c%M;ckzyO^1YXlnaB1ei6MxL|Q+DKVFpEqU(gyFz6S2`w)B`ERoG;lXB zMgRxEs&2Ol@^YS8=T1G4<1xn>L7u;#SGp7M+S+SJNN57*5aV4 z2BoydK!H`*9Krm8{^(>u($s_<$nBUz9G7!`wr-4hf_5U9_F(+7n`NjQ_iF#T>Q}tI zeB0}J$t(#;;D3DcI*GgZ4a4wl;neD|@?OcJ)e3c=uu@r!L}sBsVji`AF7mj^NVsCe zP^ueHtf!Q6))`g!(|cq+wh@HSvf&XW>oklR4B(y?W!#bPf#<=Op#3j`U9C?0+wtsfRdU4;=mjq%RqV>Xf^ zcua?onYlwtS#G7YxS>R#eE%hbo0p59DZo|%Di=fB<6A|3rf-|sHF}`|mES&B5Jjx4 z7(&k^iv|%=!xgb_RUK>wg1CRO+!i#Ks!+z?zcKJGA;K8~GmQ2!z5 zyQMG00vq(W52%y_TgAcLmG-K)*Z7U!Lp`G!=UO>mUf|GlMvh%@lda& zs1i(h`r)n9szCml0kCu^4U`e$c^(R3-Tq-@Mbvw;oG2IgU& zBBjf$qtz@gAXeXdbX=jp&;sH1co8n_RH~^aBS=|2zQkjAnlu$IzS%!j94!U0*`bQn zZ8`UaAZ|V{zs_?>XMTy1f*=hHFsEbzUn8g0KmS}swn1N2MoR~hbVnzMmj8KmKkgOYrXjFD?W3)cZwEfcfMfdqt?QI@88p)^Cb zmFL&EG>$TK5cEdw$&HXkI6D4u@y7cV-99+7ruz5ywD}|9v0k|4mV%fpewGA3RANjW zzGRZkD;HQNPY`wc1!M-gz5WBnK{2T`xh2qnvLLdW7N~*0t+NEKjXirwmiI>=8ChyG zHuUE$kfgx`4FFGWN3L;Gg@p4v8;G&XN3~=Rac*zu(3{hU3}VMpzeHg)bN2LshGnoAEB?gLU#sMz%|?A9-w1T zfnADobiwI7z;7yFGaMcPq_>d6NpbECiQV5z7W6-8po+47Y&+^(MpHQIx^`fhttLV5!!&rRqKu0)1-{tuh~@!GHZ z692#w7&NpWOQ0ka;3G8WY^Z9dT1pdvhaf7PR%5{~x14LOk(-$iL=l7dGRsyJ_cW}= z1ysR&kYFd{h)wlbVhpU*oqJ>X`-$-E+jt_g&x+9&cxpovKr#o0rG3Ie@EM>sGHodL zC{5OPa2SBEd{{_#*DmfILmY@A64I2L@YhziI4sD1A9)xP1jz~qEE4z>Zz`Lrmu586 zb-d^Py}l2dul|JnpXa}xts;*vL-P5b7tg1Ljhc$v4k>694yh`2XN930Z$ZOzhqV}K zFn7QO7^&`snvxQY77{kUmR*`bGKJIs(it~sLJ7z4f^BfBQ@qsvj6Un>T)eEH*$my` zkh1ferT6EX7`NtJqQf==&&;r}>_70~d6-PPehz9#>A?KpKb8#6Y4|~~l zp0myT_F#h5kM*)z6Op_t0-X&K;HZXG**lV6gl5anCm)Eyh$$k{Mo$u5MVI+0uS0mK z#+VmIM;|?Tk$u7t-Qt1@!%=)Cdf7VXgN-iX&>O{>H4N^rZM?dP?rhLUBY=(=rs_x%brO&}$awmAS@5_F8eYz?JU zk&Y0f(X4BenP;`k(8{H1^S9=ZrV^80+I4;;SxJFwE!!}^jQZKinFF+?n?@Uf6-9>d zgo0 znx6=4&EF9Y*A`EM`X!|BF2U3FC5({?5IDD9xZw2xKlj%JI0y?UxBX(Dxhd%c)|(qc z(nJCZxY~F^cGw~3rK&W%ftjmW!-Z5Qfh}su&HK~7fX?9PezTCzT-7mHLF?FLXPYyk z!jRvAbGt_%k|RP9JAGkUk_~Z)9SmKAB&_=<&_YK~|74T-!kPi+MXoDuYR?VC_r8b< zG*QiVEB4w`ZroOuZ`w5ox@TPN8*lxw7b+ZHw{RkyyvfS`xcO8>c~L&htHh}~ucK}$ zvG}z$!?VGcF7Tb1XD0Zec^D2m=QAO{ofE`8?Bj>SdO`R=cB_%|m^OC<^f zWKseuPLTKzD+_n|OJ6lU@OZhC$gE@EU6 zOw9Q)w*DxLSLeMAYs*ioV9=k5{-(>U4D!gAIAb5$hZL*pX2fVUkyDu(&nKX#9)5wL za;P=HyiQmR)<~aUyRggKe?~gKt zip_3fy0nJ8AC0X2tg=Q?jPERVi;+t$T8Mdtpx^b}pXzMJ{@5aTCs;_g7 zQQZ@jP1(35m><8vp>^w+b78mseP5|q&9Yk<5xOB#93jeZVfZKiAU*NPv->mXuDOy+ zPI87^#7x#P1o&}WrIY1~QpPh~eyqU3SPU(w59v5Eh#4)+$$CRP2~H!w)g>FyUl_q# zLhx!HPMi;bF5fJ4Sds!r){6o_W1??8TLM}LH~+g*>bNBT7}o0f)5HDPwW9go-_oR> zgi1!4+TZ+T#q$Sk5V3nD*9k$Pa|eeVQym+1lyibUG#48lP@oCiQ0=$r|byQv!@QED&{Azcj!& ziX_UGG%5&JZ$OaG3-+Ph|H zgyZ^~|wH#e1%oF&Q3d>EUOt3d3e?@052fU(K3IW~y%1%%Ivt-DBpu`w&Wv zH$Yc6M#agu_lN~&_(}gc9NTUP8Yh?0&MswKo&N_*8p)*+sxY zzU7f#b!l43szRdUI775sXS7li#)$9mCLEi<_)DyvFD~qSeIZOAUE?$3L*50Y7U(C$ z+g~U-(q!mo&LRr+d(QF&grjV%GM#P+EK6M{A{m~W;*IXizq&(1_#b1N%!fOEwTI`- zJP~c7MX$xNf0MyT-vz}YYxVif+nUzs;b8?B~O)7h65dj{=xGu|-+CjXP`Pum} z$~-J8rZ`?bT*PEnwEJ`8#%D=L+r$9f8W}f^t3}>P@tyBA`zH7A7|+$ z?-RBjwE~f>y-;YP!{z03M5;je7%A>rsAtpV%)x$++X$7Zv~BhQc;2J$y74?J{ee}< zx2>ljUmzb!$4sJ<8@Sebxs}G{W)lw2mDjE>UO_C+y_VICsV*A`>mWBRus>2zdm^G5 zV<{F3_8Hgsjy8|&_=iiTra4z-1Eq%c^9-m$E){(67XZgP5V04F5G4( z;gaTV+VNjTdX&mCmdu)RjV=2Ja4UoGX)R-@xe;HADuHz%@UuM9-b~)8c7l#P#Pk}D z(T&D7WKDY1l8M06lg>*-hv9dXV8&q`8K=hhPCSBF?broOy2V8WqB1fC{A=NLMwE2G zU=7SWv)4KWN3wSgm@U2vRG3+WRcFFYEu<9R^uu1FKDb-R{wq1aXsb_-;pI)jdy$B} ziM(nO)IWW;AH8ja^bvjc^t2`MT$u{GY>U70)#Cgg1ej{v7cvPOgC-78!FjUpcYD1D zA>gY_iRq^!_j_@$l)4xgG@_#|sJRq7yP}xngv*(_-wxQRrdV!WeeFVE#dBd-UTN%5 z)p1iGlx!<2@D|-z)iQLYj9d`zPoteFYJE73T-;Ck?W}$wV$T4#<|&#bADky!Iznfa zhUIwSe*~C?j`LyQd(ID<`=>3i<#xrld`uN?Z{@zJX~)c$gNJ^;>rxp6 zoD)T7Wfjd4V`)AaZ-g1bUZ~U8p+J<2dToDK7v;?LW}a3E?mY4J?r2^Y(JGXm$bQ-B zf;l$Ta)<32ob7as7H?s}uQ!NhmTAiQ)=-+tSPsy+cQxT+=^`A?fmtPfGRfExQ8%4fi`G(vD-GFR3~eK|uc>wr|~ zVe^MqP;!b;sCDQ%>}T2mcWP5$g@d?~cz-`J zhf*q~++e(i=b<^q?tR=|Cn@B%y-@z9TL1R@!wNU9RK)*|Dl{JY{nCCZ-0t38aKKGNks-QciD?m|B@)trd!OC zE$lkTGTfK18{yDRm!((}Z3tJ%DfeX~gUV*WkPdQe&qNxQB(}K?=~X!FBp}coKm1hqEFoW-|Tt>0^=s~wQM|pitKxnbk;>i7kc4gb&t}9ICmsx|7Tx~!49q-<~GCZ&07&8-!(g{tbBvbUt%-^iGoTHSs zHfbomT3K)RkD7qD?8r0WP=kuF`rDSz zEsMan=^3wozBO#K)A^s!FNn~jc<52+f%H%$`MQZcN}#gc{-}BDt#IVal2ySE9XGS_ zFLq<68U4j`p<*Qy6z{s)tbgMMN+-a&RzOm?J_ET*CB$J?qL-Ih!6+>Nk*thYo%K*E zuQWF)q@HqvW?cK+XT{hP);^M;4+k!gca@^RN(<)4chhW$53k)0Sh19gz zfuW_?b_azqWKC1@n;r?ZamGp41NMq=*ol>4C|KsD#75dSMyHJB-bp#jUC_aH~ywSl6Fthy&LS9p4Jo>iSf{L4oSdA~aQ6 z1TQn4kQ6eq5PNjuaC1lIhP?LEQ6wiK`WH~R7c)3( zZZa_*`L6wvJCu>mgp`-68tdcSc~f_Pv47S0pn6F`wQQK`XJ!3)EN+X)7oEuPJXcE< z(df@8DSa`fHs9aMwbwQR!ke!hc)|uMbAt7xY4LSq?LfMNPTC?xv70U*VAjufT+;&H zb(&Gdvj-RlmCUNYGRwl&O^aXz?W%L%k`@iN@HrK7k z6_dKacO{FrT#HpSgd8+ph@`BEz@?ai=)9R-t7a^SoI=7^A&IF3lp5gb=CS1SlXMMR zwU5v7vMQ@Vp0PA7i09fo4W7BdXMf~M>Pwni@@g&@#hk0H?`}Pk7u01iVN1yjJal{C zjOq^6YJgtyUy@qrd@8YxIgWy;)9%;#!+RcBCT=S^9t*eO^oL2X#>$JZgUj*X^^4gz zO}G=KLAm)CwWYUR#?xCb#)vCn6ZDeOpx*~wFZG|@pgEZN@AfPn>$ypQa{Sqi0htQ~ zVxUvC8=bzpX6wwEG4Kw=sPJ3_8Ij`MpJ(j@_pnR9oV3VNBBRtnCi5xcW$dZ) zfcnB!JS3rFi8(w85d;z(R%kT3peHM6gI69PIEN^)mn+tS z$(%6bX#z=tL`>bXX*Wa^=gCa=@ z)zI6A-_HPt19UxZG(-qReIsrG?EP#P!>uKktaX0?LB`F<3Jz;&=+fjYe`F9~kD=Jf zRKOuBGLgd?lo%^;v?5lNy^JDf><>1SD&X?>>Zqota3(2h(`5Q`V?ypecU((COG;A{=okN`U@mh)1BFex!qTre zx&mVhsgW;##g#qt7lm#BRra~iP?b%;vr#Gc&Qyz$l1e%1Z4J7LJ4vqZwV@Q=!6Sy# zL>sj8AJGUt7H#w{CpI}eO3)CjagQL53>JH|$^8K|q@7;R62yehwhSCh&bR2(>dDHS z*e%csh1Ws}frXpt!=UtXG=4ko zIMoiy{XV5t!v~CInD{ni#}o`@xQdH*F&@Hlrgkf_fdiN9IcKAV(pFg&H-UE1@pafp z#6EXyHRZ|D%%EFc=vV@PHK0E;I{!jlco*KGekEWifrMa4$q|TTZfI=8j4Ne|P3=bE z?PHQQD&c{*tPz;jLGIxO$AKJAB?tTn1lIhrx~kJ22uKqa@oi{UnjmT3S3$Lra!U zs;+_Fc0K=5#%;H+3X>qVU!}d%>uo`AtOp*`!KF!)g@WT$dOse1{>Ip}Kt^uW8Jr3s zu9u?MK3Tovj|ToZz@7A=s#qcs-LuMLLj(mA7e9m7OR@MQlvt3FI$Yq5nyxE6*?hCy z;zDw>4$+#t`&GYCRVXoa^uRv9N@ei`tkIuI7V(?hPi08l_z_L7$R_o;`is^uHOy4<+*i-?N%=^ zY+zZVB?{Ot789qsTXmx#R^3n^g=&L%j_%zT2!N|q8kTMy$v*5(AO2adFzG%O`@~)! z%TWuj@ymJG!lQ^N3n9Ny29NxRVuw&qJ*lah5sTV;9j<*4{+t@uNW<(Snc@2fBKj=b zQ5w4DH0zS1A#BZ(W-A!t#U7-@QD(QYX4q~eeUIt*5lx8KX3p%Q&cTi$a?uZ}*d;ZZ z=Fqw1RVBNo&Y@u&^_CVms<~7@R>zl-Z-y5Q`5z!-zJ~My|K6|JD5RA9(ZsKfT_6seab~M7IB16U)D} zAH)ANv2+ks{4wlrZm_YNm6k*|@!mh5SdL&3t-=Dke zEgb`%L1%zxOe$^x0Nxh)D^coAA4!eud(KW}?R?U}n*YiC%J~%Xt$sS!-}Yz?OHPFb z3HkZ4U(bMc(t8Lfc+t2Jb(0e`G7IY|S8*nwTDlznwjF7A;>ZfW)b=Gyp^6-hyPqmo zU#5lQaAa1_^Jusmfke5t_&lK2Yv{(w4-K65N>{rw2@{>5>orY4L9mYrXs#jNV-v6x z5>Y@uiTp=^V18B&!!ZjOY+yGRjP`kg4h!A@oq zZqf~qawyHeE;6{NnVPa8Fyn%e#plrRep9}R^}4j&?fe(&7AYzx#sE9x{?7nnq4r_o zu1C4wYf0G)4j8K~xMrD_Ute!_c@Iysz~CgV9wNxQG=TZZL1Z*5t4VjwNGja#zm;y; z)Q=QQ-8RA4p@O>GXkXt++68rBBMm;7nAi=ACtijR``UUuMFIByVBuq7DjIGztWip* zE_dZ{F?o9iNKht$clcq>tDu&QgnOL&UNHhNa3&(LAOD;a8^=U?G1Vw~Pw7DV4hIToMb=wW2Ai1grpks9D>n@9|oPHEH3)y2b@h zCQOYY{SoCzff<-d@Si$p)mHwRhmE+-^uF{-iR$GoH5sJjGXWsj+~LDBCrw=55cG6y z<~~ffe^VjJE9cxzzu&HZTPn6n09=6nVWXyf5V=phie6XNnNpwRE*VNFM1!+iDz0Ih z#c!ISc}L||tFp#l%onS&OBZ0z^K7M%58Cl}4hkJxs%Mz~ ziP~xkWc)%{yw*Jdhku*(;h-kzZQnh*6YLkgiGJYgZ- z(f!xTSD!%%V~KMGrawZ9!s^8$q$|>%Nx^txhg+BQlXx=F`k>)cPwY6awCaAQLaMKt zo-DmXq2G7r&wQBacpIX32YksE7D#NnH5e4@c^Vuprdz_CJso!AL&;oAV#b9J&g?CA zBuaM>;$%kl?-Ui)&yLZ4-^X;0NLvq0*(8$@*HG8=_pVIKrw{n?08ej2A`CDJ*UYX& zdqgN%g~qV~fVVsP!?`Q<6Yor7B{ zwzIBvIgUk-SSDImcPcVW@owll#l)LOtIEec62+Fz--sdX^mk|cOC=PZ0H;(L-p2Q1 z@(Qx5TD)`rR&Vn!&~?3Zc2)hC^Wry-@~)E(!VUcFyk1g z=KDuK^?R{h@j!NuFVnYlIpwVZyHX^O4%K!%LYHQSg^tiBDTtUQjH<5*$Trk8{_IYN zh~rN-*jf^2BtKTcavk4!C@|Ovi#Uc=7LLq;>w$3CRl|_TEJQA=;qz7sUFePm=B=$X zQWDKPV&40PufOPS$9}SR-bd;^F{H&wB4bUrX$MESM=ZZB1AI5qkhf=$=#F%G*<7uI zQoYa^lZfV6^W_g!%%|Vpb0En{CAr2jP$#QMm4~yY(KUfCPd(f0_}^=@-1;J%arAUB z-_GfHe2bIibuCc0>P9K+31;nKdhgS!!8$sW!V>h88r~|-DY`L6SA!Etwi~F@Nf4+~ z=KNpE*cKE^1N%tH2G#fuJY`n7hZpO8P9Llvk>%JJ5Xm8~OU>O`W!$2KYk}FRi56k8 zCN)&<+h=@t%mFXkeHACElj^?v?9?ReC|S9+lIJk$f4z^|V(-rk5QQuIGFr&UG40vE zswR0E`p$0&xt(ewrNxqU+99_n%wH&+FA5mR2n# zAmSfRN+3*pNtv9P`~C-4SI`sWc4tz9B#S{+D2W3iG#2f9fgF!~+fvw!+fu*tMl zHW39fL{j|^iG~%x6~JK8N~#V5bgG`3m{ElFL#(XVchBFgH?H`MrGe6;ORB6h4;b2@ zbpmbqX`(L&ta6VXxxMUnenQM)2|(q&0jhIn6(J|dDH?S>n}arEJU->>@3Ldb{%ZGP zYiH&b^`5chaApH~^c8Dlp$<;3AGp5`b@G~QEh};Y6hOUEmcP)O`f0^AG%*pnBW5;c zYOZsa*tC&b6$%}Nw+esi{o1VYZUe+7xJr-Mt8n3XNo6_es=2Z|KNR+ z&$Crx*|hq%s3DbkZT!3){tlt_ExeKTjhP8>P9Q#Cr5xB@Q7>Jut|98lO&FCP?o%#% z{Z!pqOyiiV4(ld}Q3rUZ)^`|g4+TkxLc8kJ$;2Vg*Um4I!Rh%DB#sgfWzQB4Nb4ua zL$zqL#ArixwP+M@V4&sH&IMsA*(dVvx6Y9ZGDysRzZ%BvpYa1!^NaJJK{UcxhW0cu zO2>B75wXs?=LuwkN$?YIOX3$d=eez^f!R%Nr@ox{^J=1@uhxhsj0j!KJ|d~3n+)JO z$6zqLu$RLU0Ct~_Jgz3XLo`Z;;RoerqlG&j#LOBVm}O1d%jkFh`5k{}&i|~1+<+rm zx)s{t`QrfaHM2{deZuLS?U?eX7|Nq$lkFH)9BnR|EqasD*9N@D^wH zYR)&k_s}Ca9bum!;#VyxZrivbYFus;8))1&EWF7nA5~K4Iggwsn_MrBmUxu&-J49n zJcv4j6i3{r>PS~Kaa?tT;~R5`=V+C+m#0N}U#%vG&2q#Iha*yjbFja;p7#U}+9~4m zYyJrN+725kGP;yxX0LN%B20~J4FCEb;^#`T@N`Tuoi$V4d!nK(IS!KRnKz<=vQa&t zU9~H;gB2S%J3Mfp_K{;^!J7PRV8GGdd(AH!G2o-)OnK8aeXw;PziyUQ`xJzh^$i$p5C8- z36D_{$!x#W>6Wvm)jB73f_FPqJ*W;=w64F3=1l?L-fwqEvZBF%Cyg_1OYz8o5R39J z%Sqsp&$jn`XGaKJq40-L#Fe0`Cod#Omg)sz_le0I=^`kCAtdENaY|Qi_A3t`l)(~k z8yFCW|5P?EUz)8wR@89lFw&1=_ODbMKiw^?ZN8W*`&&-cU!WpTI3;jFxj#Z?eqL(k z^uXyoT(J%$SAM$266Jxa>ljRy@9C&B?RCm`A?> zpZ?kcVDY(LoV*iq@=n4l;_N~oO^t~Uck*ix!le3?wQS=NC%WuIfV0oB@c-HmJf(*t zdv=oyhfMCT&$xQHkdkYfy9?31$#Sk(YfGGPg`#y=vMP_;Zv4Ip@f9?Pr?#2&nTG30 z01QR+nY+I?E!_FNDac7JGpXsQi7yH>hjPm{#PmNm#Vp#2!`2qgECM6M1*7G%oJGlgR39_u_B{^CtztIYQe^gW|w(EKCp9& zpod2ah79!Lte^|;|AHPaTp*Y)#Ks2z-y;jFm4hQQ^%#}_57@K6*K*a`DS`n zAyH%3KHD#L1vagT*jlC$di01XQpH4+wUV;^I5hOwrA>jOGC>QUvDL1AG9!Ty(oCOI zelB(P5yD**In6iM2ngh_*Hs&ys4<6GTgE_e^$wVlvpT#*G21T>ISMvul=%sQi8l-5 zo+2y6fG~5MD*1IL3L_Z3>sFV&jmE)p;wlk5ZRdhpK%F06kJR?^jR`M)Jsy`;BaTOy zFvme=BD0E3GOjN*FiB4*{U8OFR>?cSx7xfy$LwiazT^g9Kmg25r=fSuew|so4!OKo zS^H5iR&8^k%p6*%jLXGV+#yO}#JM%=Dq=g$+4HMcGctjR&|kPw zc&6XQaXMC0n(-wlwI~Ot&-#%M$_P_!=a@a(q3z0yPJ=1rLhnl|V#v<0m_NbG(d5w| zAD)#dSSB9`MkUwq>Qf*LbJ-uBwFdtXX*3G4FyOPvqYOfEUz-!8y;_0W zvJCuAdTq#1NJa$>+sRVqb5w}G#gV>$nK&Qht|t>6I;KyHWA~o>rY<3@gTyX7EbLH+ zPc?6feD8Mh1GQ(`+HBgmV7(pIZ%CqCN>DRR?j(IQ{C;f7KXBPuCBu)fna$h(vRK^= z3in#BQTjrDD4^5I&!^N@}x47pzlvC^!o;n9Re3f;CEW5zus2g4B;2lK8%0X#{* zmBG$j3gh)`x+q((4GIrzn)U}@AWqGqzm*4uLAAm+62CQ~;i&}h6KL%CwR%Mh&F21M z-Pq%W=y$Yc?AXYQ1a=qwmD3|BcQe@IVEGxNVW^??j?}x9NN>203bUfWC0(J><16@YY7D>epZmkVi)NCEwWWCY@_{LOOJyP75C=N?y`zi^s7T( zM1$a7Ke-b(=iLMtMG;4iz@xOV$8qEg_VC71sVR)r$G(g6GKPhl+fBsoW=gUPufnl8 zIGM!776l&oSt#fn-ZfzuIN-! zSXcc?dIe2wNa){edwYriZPQ{Oj*5=gVw%1&lB>(Wo&zFqc`weM1EU|io>RKi>M=>G zdfjlx5EV0eyQjjc`V=hCKO*77=ZCCCyx;_08-TgbWB9^28SOCrT6+ZQN74)*{A1U; zub)}5O`?Oeh5}?|-7}&crcG1x_$XYhyUg!uk?}-Wx$^j*w0O%gL99Qdo-rkyI=5$GVDT{`p+SHbT}vY{gwq%A0kH zzk9#NR=8o6WpN3~Cq%@LJElF|8=|?U&VD5=i=YnnRw(8Zq+s9A+|>CH6Ikf|@2HF? zj+dxv-jpjn!S)(YI&i}#6$LhNqCVPRq>Op3Fz!6hWa%X$;HUmOXAx}_l@*m%fMoFW zvhgWVEY*zxFU-}}GxO9~_xsM_V)!?DH(lzmTbF!IrBw1_Y#VQ?d3YnxA(~{>rGoD} zal~@g!haAM?otW3@SAaZ1HyYy2U@EhHvVZg#2)_zSVc@1D>T=!JPR6k&jcoutHWGS z0~J{Z1B|lwBL?q0OC#y}*)&{`XP(m$amY$1P;GteTSt;Sf=hOTT_b2*)y3s6Y;uO@ zR-l;>Rgoh|aXa_dgqYkNfu>W22`tR2XRyR#(S1_v_ci{Xxjoiwc|Z&7KYwDz(>8n; z4;*2rB}EvN>5NvsO`@W8#3o|Xv|O4wzBJ6)YIcuKYEOf`RB^_IF2fw!Ttwjr5-XQ4 z7dIDDJ+k^Bu&$BO}$R4 z+@uvN(o}h5>l?O!zUyy&_lP*yuf2w~O4S;@Hu_%3dom6|YgLRaox zkD_W>iL~p-RL^cuVV!!t!0v;f_LtJsqTo}{p#}qqgF~Kz(R;c6)nEw7airh$3r+tT z7D%q^)UCL(Vl>9_DJs*1T}%=ECVtZx1Ow;XcydLdIk zXMLXtOb`=OQAFe@`dMmNrPu~EFd!c4F=4-h(^h#U7Yk4^;m2qs}J9 zfrXquB`D@iqYDWg8IXVB)0e7&(Zv-$CeS9Db_#;i!SrI?eP9J+@S+O5{CYD^i~`Ed z(cg*}?AzR&WLFhOX7=Iw?~*N~PA4La$xy8TWXMvSEr&A~vDu4BL*d#tC{Dhj@emvd z+OgHjU{8aWPaehM_Ezt6uC^Rg;p^iek7Y)0gV8EI@Ex9=7@L(SK!v(j*f7hA1DEfK z+fe<>^i(3x^4W2*{1!iV_e#>6r=&TqUE!0YXEU(7>uE&%JafW5 zW+4OFeNRX3rw*BNkCw0~V_*^{6D&WtCT_RlG+r=*<-=@ZFi_Xe(WG!ms z_?g;Dc@V*%w>LA&tP(m#M}5!(*YB7#=g}#kgXp5bx?6Y)lgy&FRD;fzWR+bkzBXH( zOd40Idg*AqlLK+&HJXrjI>i$+R}!$G?PHq}$P$^c{XXBc>R>!o8A=CMCP{OIpGf|@ zD{q;=9Fv$OS4cGMK2@dFgJ9(`Tm-rO@_3ym_HwE*!?|-rFnvmj-@~F{5fp}{koPET zD9zy6Q;jwz69lx+ICWVEd%jZpvdYRvjl+j5EfK^;1gX!>3Lu}^7|j}yc&W`&^8xn= z9b&gxbO<~(;yNb5AM8&6%^!&yZ%Gxb`VJPo?)lN!Df&}mYn$<@b3I5eJkBu-UFhqN zk?#7$2?xmv5X5>gZX>LZnhpaG=+~Ry(spl`nUGL&or?J}Oqs57 z7q+Hc4_$tYQd@6SxJ(RD9dZyCTi>Wq@~Gb-|6Va08nfO(EEmW@*bl#^L~F4;Gy&IB zy$rK-LjqY;(8GJ-9?GFE<#N&S-y}ROG)q}_@1*UdX=M)eqX%h~?p~3~k@N^kV4j{W zinluJ>BPT3cZ~@hT;&y?l62$TnumWZx~4^n!Nva+lKf$t9)fG_q*6*ii|0W1y$Sr#-ZHr1J8D}9S*8Q?SbxX<}|0DBv2mP6OnU22v^zql@wd)bb zwOs0WO%VS_j0$Bz&X*PaJf%{<8q{aJ#VC==@F+bVQT#wYDG4r#q)iOfR}u8(zZ(4h zPql#l?_wwa`?Tc08d28&ccn}JUW@;E_XvaCV0v~-r@|>Cc?(lRpLNjT;Ec(3uv@bU z>n1N_gCOjoLp_{3NR!u4tB2I0AG^Ej>Tu!Q0=xajv&U>CYD+jb;RvzR2Lj-Tu^PYE z=8Xf)X84P}MA9d)$P$s@RT>m+!bTK zrj7wok0{;{k(_(zzpSTfC*w>}7L#NQnyl%V2exQ75Ne;_8$)a6;=7c+tJrAN@5`o< zCJ755x{_FTXNA1l#|^0m^+$qwu$!^pimQw0tQ0d^H}{q-*I+1!ex~}4%N{2AOfb&c zgyQ@E;_e+51Ob$7%d~CVwr$(CS!t`%wr$(CZQHgpyL!}ja0c(ZS>L~ih#h;a$|u)J z-0F#Ck>>!W4O*MlCsh9WYfZ?-%P~EwnZ#c#u3eZ^I$Q6ImYEKR?GS>w?={v)Ebwva z#Lm+cZ&hzP<{PS;<>KlOQ!<9xLIG z-$9(k2Hj}xR9+c+g?`O`!}Z{bF)>)((HigO0}2Y67=xI`l;@)@Bkfw9 zaXLy^Z^Wtycb}EQ3pHj2%0!Qv+;J4OaK8gM?okWEpsw(Y+4&Lz2Z1+5*p2KYsn}v# zZ*mFIpwmepn z>|Ix>W+(y8a5aobAGqwK2x**9*Me_Fxc!jk?bs^%)r)a-rXB1V*P%0R!lN*eM$X`H z2ehkw>Wii(#c5V29+b(M31)a>e4=u?IyXT2&1%MU42g8JY&Z|C3q%kB`Qf5!x4m@A z9-k#@97I8Wh0*sxUUG2|cU@3JHZuLu*x;o|Qi;!ji%i!yz4&Dv>*R=*gWIRx1KDl- ziWen5rQq^6Y8|H5JBoZ**fQ*L4d;#omBud>lI)YUI?3=^OV5gV#m#>zu&Y^3un-B z*wP)W=n8arl_N3di-M$e?qn_VBlaB`;xX^CU*umhF_A9hph{ivXE1 z&VzAK2b_N3=92_$ZdV}4q)CZWwTk%9JAX_kYy^@fDM=%N)rxmHh6Xg&`&*KiI%M3p znQ>!n|8AWmBVOiPYn4OzlCXlUUk@grs<*7sOZBs>@!}u^x6#X+Ay(4usY`-mJJpzC zb0;!u5BY@+%@{zt=M~|`rZ3dMsK?nE(=Iug#Q%W~K{F29G)+nz=7A7s_$=R!|3+Gb zNyrDx{kyq$Lpg7hT*!qP9=q@xX9!;@^5O5s+Lsa#t~$j`Cm<5)8nz7HZd~roWYk)M zB&2_HbT^R@PX#!vQ{zHA`f*4>V~5v<#nuV|vlW$3Q{=Sf{GLlqKLT1;x%#*T^SAezCp0#hj=(wnDp&H-^ra>(oIr;Lic`{%Q{Gm#J8C?$1r(QUYQL- z!dR8F8$a`@2FD|9r`pujwO1hF89Dp?!21s2<*(kXPdQiWbij^ok@gXJYdW>4JUMFc z%?=Q7K5qI@l)tf>gBx(u`N~6bq@@|5Zbj~k@F+C_pf+9YyJcxJ99aS(`n$V^9*MS; z(-M<9`}`AE7-~i9h8QV)U{xGJBi8_23g$Hh;fy z>-=Wa?X_V)6fTXiZqJUeukEeTE@7;+LK4!dL@?ao_GSmuC*9`pvHQV541#2J4U0Gd zKdd0CMx7Z^`zn z#tbG@9QyYzwc!wC*sWS8db&O+L`Udl3;ae#J%U%>j#K%^;6R|N58$7M;;!Dg*wJ2q zwusZEa;;GgL3ASJuf-3NsRqj1R5a?{krAU8;6M*3l^ZY;LT|d^lYyH<{ps|a0~D3V zIH2;0?8JZ-`3GkzZ9*Be2(kz0`#M9lD7Bmk%3oL@WzH%Z(Luozz;MgG_x$@{C>>#% z3_rGQi?@rVL*Q24aa(lal_x`vpEd6M+7`AdKnDFr`Y2Ox(@E_p*Jxfz(qVVNa~(i= z95FawPh|*xSS}B}Vq46OQ=N8{dsjFpIDld!C>^;1uynPp5l4wW(s+?ET;50tXwa87 ziaV^ioDIDnXjz(TvgjN#*4XW~_m&-LlfJIfeb3`?ImN9sW8#ag;(0AQWKMsy!*PVm zN^uV2a)%w{XfvDQ`u@hT&9QQ)^D3zdQd~E@NoNbQ#x{(@wDJyN-i)y0P zmRuQgR4+jb?tkAA>FvV>1`liVc)*HPK%tk%Y7Hah)85}6l36Pa*mvv!^vy%&_9696qEn`iV z0e9<=x#!MzU$Ae;mt!$rpE{Ik({GF;uI@=7l(YIS4^iWE7ww({r&aAS#P}aP+n-H| zrSratxAtZ>B+dHtlZ@}3kN-5*{%@;K|KH{r?Ei+`|E^5U%*4v_KOXGru64Uw3Y^u4 zGAZ0@Q*#&>y373h*@3@w{htoZ-DlS_2JsSkmuaBI(9S8<*~_m+Zw~@!V)iK+XI#h%>rn!hDdaO7 zJ$S~)-AHqdUZJR-m;O0 z@-(sK^b%IAy09HD?pYR?gcG3b=5g#Hp~;EoI-bZ3h}KnEr|y>SO|L@>AtKecjDK&} z)e!SF0~`Wqc1-o-8^)B?(!l0Bma8EyFts7f8QSBN&`akUd+^jv6}#0wC&m@VBdQc| zACwrEP5Cs#N%1`2Y%v@@E2@Y2;S(9W!!-~;%cK#$7SNvq93Do=D28=z>O|?xSdeBS zaX>28uY!@D2cA9%AH;d335toJ3l)Q)O`D3BW#8ta=(y|e`OAX9zVEximX_pCm8;L> zKll|vg%~Iz?x$$lc zLC7#n(aRG?ESy!Xbv<<#8nhIY1wF_Kf!24;vtVdxNtl_*DkiM2z?PF$tq-p7YoV%m*}xM5EZ>ie}4Uk(+ z(p%7MpFU9g1EU){Qym`zYf{cqGR23(5PER(Flo76!SCH3aH8+Np?7Ej%rXyn(PM zInpMR>QCW)MYv1i#+u)iwCQ%UPTi3|!!ig*7dwX3ZkSNX>(}?M?K90s1BjEBso|aw z9IoP0v6j2oZ;}1bod0n9ex?a7BxUzqM*lVJ&B9(3wd!Nd}o`vy9mchHIB1V=My)wiKVW0=XU8&w!=RPxQMM@1LV%hUo6QQ=zR)-G_ z)v4Hvt?}tMIVWG1KMNDHclz%o%&Z9oZ-QtQ5b=8@-g-3nxHj*`KB)*Q5b)3iX=_m!f zT2Zz&Ig0!Dr5XiTiduZGbJ!x%qRd|L*2|S9qY_{Jpt`zXHd~KJ#8?UyW!Iqb(<(7| zd3^;tQjGq^!S$q5x8`E{IE>1}knim4bFSlaM$V=ywDc{$cJ1~im|ix3c0g_T;SekV z7RzLCD3{Q{%9zs6f{)!qiaA!+>YajUV{(L9sEi=C`$Ff}H zh;nvA_e~{s{3rc?z|SW%1}Nv&pqdYBw+Ai8PUY21e_;ce0zxWlFz+aE7}WVr5^SmQ zO*sa8bai>|l(eBVAL0k%8@7k!ERC1o=@$W0Wk);xbjX2w7N#bri7u~W$r=5Sm#)y( zzjCN;&9T+mEp-`j?3AE-BdawHT&eP>dT7jyJE;|F+Ow0+Pm%qfIyqz$8Cy^{*Rc-yAj zb}WhWAMV&M)%$tW9%P+x5G>9RtLk||vHLu{r5Ok>#q+56(lC6Jo_$>J==E*egIV(* zuac%KBJl0?*FBYXZ+|w8O|j2|g_qmKK3nyc)ZA5kycVI z*uGF_^**OpVKwCt1gK)W5e5A_Wg(HC=WzVT{`3r*f6V`%hDH`^OfbeWo?!|{GKxhV`hjMcF%UpOyzKO zBGj?V(c?MR#E9CxFF1F#`Fo->qq-3yM%mtQGfxmH;ko*cvKvLW3Vnq&q$Ad2V6qH* zO;d_LPv^h?p!N~0%ivX2X``q2)1KB2u^v$QHgAIHaR6Z93Yb-Yz+>IJJiZofJz;_o zEQzDOW@Crgc?3xH!Ib&f%sfh-(dGV~8hlE=_`B5*@(Id6*9FwK?h(MH#u*~BQtw6( z(=0a|drk0>JITU|TCotXWS)WXx92B7vZDb4Xy&&b`j;(7uFPF7&KBM~Ls0wgA)w zUT-&53_fWc(r+b+ILTx^K6TZJ7gCTT$?dhkl>d%}O=XJ?*!6oBT-gGRw*-O2E2)_J zXqn#=p+`LUmfKG}K2oQXuSG6pKdWZ;VpAG>V;SZjoFZe|cSn2AbL(>oTIEnfTuU`WVGsta-@*PxN3dG2vvpQZdKoUWF zXPbtnW7m-R!BO4pRZ0nWjy8dzhI zschb#N=m6PPse}n(=+g5j?p^0ZY8rEMs_OH?E3P1z&UF7f5;(dz=Xm+{YZs-b=!rb z-FNk)N4209^krbcxPC0+O$YBz)5%2w+%ZBevpC+bG&EgkBm@@+hDDQps0idC6qJ%> z6EDa14ue5d^D0bWYW}nl-*dXZqkf^jHLI?taPVf+Pp5{v`U!PBV?&mCJ}y@ZHB*&a zkofhzX5T02StEBoFM3kt@DVo>tw>gqBUmXrkmVuLNzxzY0UX~T+-wdGbRjl3!~RjM zUAgRmW0pw7yECp6k?i^A`lK^7-C8Zx9t>7BY0{swp=?~`=M@XCtXpD~AFqn^kwOA( zHuqjCw8j_2AeGi<@Y9)dV7DLdhNI!%jX|bG&)X+B(@8Gq@KBkK3PZK1}XNNj^_>%87rI!Mnj^Pr}9UYF3K0!=coIV-%8 zFz=81HT3;r{c)xhi4G8Ywz&WmH=1k=Q*D`k|uwGKO@c+wh!9l&#i*xl#Lho4=W+t|29g*|9R5!PmIC#UveRs+5czK5nvzT zeH&bp9gq`v)-;Q3Ns%1fovu(YKwT95wnBjPlWE7k9th%Ul0lZLSa}&&&Z^%pu3Cah zqhGvL5)ZTWhvR&gR~FHqn}`I7-QfB5L4nWR^;r%9b>}X-j>f3!S@DR34;u!~4dtB8 zHW}U%bggox;gBl)!!x-1BJilFsGu2r;ZRI%wd^O7y0nXVmSd%bPK!xM->`1r?oO3J zmBM5difd+`0^Odcb9wezPPvtDVn9d8%Ja7_zo`~4Z|{sRAG%>=Rk^cd&r3<0r)B`v z=f+CDFerv- zWPoI=ikti}FOdHi&Naq>o^)R?Bueb~+W-=tfCmHYQNRQ8=!#FC^N9(i0XiMqVd$;m zY;K##RADqRN9`|z2}_s2(!CIl_vx?#oJd=Ei%-N~7Vt7g-w1oBUbp*M;wo1?EiR%w z<3i1dRMcagm~};pYQ(Ke0~g#{8q~h*WpjCvqFLWhRai&1H>$R>V9?4~W+Pt5IgRM5 zltbsDHzE%ZXqYX3q(O;3VVw5ax}8cWWVQ&qXL_Qw2StZF6Gjkb)n7;Yu?sAT@k3#P z`dcYCqjoF{@Ui*mRp=GnlOR4XG+W5HfSAu5pahr)A#+TxevV0NK>9NO6hf{hzv{aM zvT8A&b$sX2(%(izrF=ApOj$fq(=SaCFfPUV3a%r>(Q_e%C@L4yXiPdpVU6IUA5)#s zF`JbWv#h&XFAjj-raQ)>YQ$MnP zAKIjZljCgZaMBV?A7qgsU|u5B`j^z@Hm^Pj7FK=1r;I~*8I60nS@}b7;4K~2wmJ?I zRFbb2QKhqdp!a&9lo3o0Gqkve=59ENkDA>M>A$fSL6<_3(;A-4R#9$7mf91pDxN{) z_%tUwJF%ispfpj4TU$3E3N4NT@)&~&o8!L-d#<6e40gxzXq@WGfvI<9VCHgo<=Kki zgi7s@GtR`1%zrFMMjR_8B1bRU|? ztoPKf=sLsXdvxBk*Vn}NbB#SmQ9Bq+Uq!-)qYgO@LlVtwt1nlriJ2gZh=_1yr%zP4j z;lSttwgitLN(!h+DvPDV5pC>xx|=CT_BlW#Uw;DK9*7S=Y?(Kmmb<2u|12Z9zTB#4 zf6a}bypw)_Dlqdiksk^UyV$I1%OmLqQKxG;$u8tQ96^f05qKWSldEw8muUqa-d8`c z9ZKC9XYVfyd{_9oExu>srPEYpK2n+H)_7T=jq{c*>Wdf_T#IACMV$b%uI5L?#lzL` zp8tJZE8~P@iud>!=_}8I!g`@YWvzz;sJUtGMqlq0(rPaWW+WJ52QoywIxp0QlbRsS z^)NnAQ2-NXG-M*#vWwo=DTbed0H5^hE2z#MWv7s@b;pPoN8!k7!UajwmMGbDy?B(xYmfz+IK$lPyUxegHkj>Q{QTehd=R~#& z$Rioi^xG>lPr&hza_>-01aRYzzh47tD!%e#&hWi0qZem(o^g^9@w}A5GU3sRmUj0aY>whrcAu6EJCo9R8v5-0@1ue zlmR-8&;u&HW#}S;lA=1TqR2!{L^@S=r2@P8)dT-KCOYU6#~cgoF>QWNCIK6Ij@=V{ z^wG!C2N7cMyT)_jH7rApBmwC6YuydD1bO1Q$JQegg*SfCfH3}c(o&m&y#T(qvNWo- zz>~!@G65#FCv4!eUJn15)>dtRSbdw4hpH&hY<3l?!j+ao<&A9y^M)7|!}l&><5<`Q z)m-wr-XWjZeK+Q=eg_>x+F3e(`)M4HjyHdn=j43Nm^I_5VgZ0|T3bYyLm2_x(8IK+ zUqT3pGA=oKOZgEF**DZmIKn)X!K(n%LARifV0?kYx~mh~rv~rjfP|G{6u7c|Huv}lpHsX@?wV#0)!(9a>EjEnWW)>-} z_5*{vWGv|^n1m+1q1s#P7%ED5xC-$3DlOJ???HykX<=d}c|_8Q(DyngMHJbg+=C&jz$?_7(6#E_;RHog=(EFg|LTxSz z%#bvpugejeyKDv}XX6wv>`N3DWWS z7SStKYQ(f21|B`5+{QOhhj}}vqLqVle+nggPTpo=VY31iTOCVShl3aoKR zbSfC1zakYy)_4E!@ObF18(!b;tJBdcZhkIbXEAam(%o(6P4MQB&Ddu{=kPXO-Tw5bfxr73+T;9}nG?m(Y~4UdH<(Bu{p$QJfm6QG^4ITZ4pZ zL#$(Yef@WGT7LEgTnMD|oXGH;dHeZL-8}MfjwV(LlH^1RHIn~NJ-f!g1TTMKf&~1_ zG0bYbqK_PYa+G?!1P1-nnm5W=GfW&PVYkBZKMkb++iL&+w;2WJzwz|Hga9!8Q?mVk z*s>t9z`l=oE7>&0xov-Aj^4Qo%FKT#3E#ULR}GW+k-om+ZnaHiSjkVpc~_5o0_+iZ z<#s*J)<3aki-E=NhTuS%L^KrTE)@b>=!bXT1E=i`*WcY-SE8QeOWk5(WW)Xo;ZXOmuXQzb;EX8l{F;tvWq6wULMgH-OX#Kdd7jQGmxW* znj?|>*ImsmTBI=?ZfAy-AbA^)Bm~K|bO8$O(`1HYFKb(nxNDok&*hKx)M9)QYN6LB zH`dF4P&-QXV74jHu%p&?*7UQgmETbvVU2(o@6oIS$>)`)8{gv3t~wy2(^h=)euFFc zCi!GS`!ByVSozQj68`pHZ=enpAL-Y$#8XR;Mj`VQ84C{-IXnd)PA~|yzRHKHadpiR zK=Y1ymCS~0_IT3C79f+v0sg3cnCXm3*ZoB~YUk=u^dchDxEQ-(#|=P5wDP@yq_`+_ z`x0QQsRnXw0LI}TD&w9a3X!PJMd~$Z_c}opzv!pyUR~mngvR5)h*?P{T(~wV5i%h{w$_UXi|7&035Fn|@PL6RX^nK}5S3>BOWXT}A1QaM zo8+CvZ=|>#?M@Jy6P@7@ZZ1eM^Z2Z_f|5B>KCF^5~`Jtf(H|d$X zK*2+8f~&3c@~tE`mpt4z_fi*n;E7{*QqhEMYD#|ST<@$CPL2MG5yNIPP<>yk=@9Nx zfxy;#mxpwEdU_8aUpaDTp+e^00l563(?o@o_m`0PVJ-y}*5V87%!^UY3AbUOmUND> zWcX#f-uB-smItCL2PaKiK5W{z7o%?)AtBnh6~+@QL>RfI!%qRuk=2}SAF`O0j0|H+NgHQy2U<9=9 zB6+7;KM7!INiRhyzWW{$0N4yLgRNb!(Q zT%;pq-KBgsliZ046-ByJ!Tz}rxJPSfv`u>b@CCm3A^vpr`s)JV=`_1U&t65dnOi?d zdAA_uQa|&*q*(A^JYq!Lvc?TdRCBi{OXHLtD+EScMC**8-zMj+OT9pA`D3W29H7=m zg}!k;j9S5Op0D5T7>8Rv7;o1l27%&?@6X8F$h!b0t|GG}P8K0^IdAv>aNlFiXOz~v zgdrl}i^l+7ZcXLpP~~~5KB{lDc|~Dou;;Jm$HbLxrHH-ra}Qn1@l*7H_cU>G%T+1j zfcVum#KKT zZCI3z%0ZxBIQMpyXZs<%DkcYk(0+^WmI*Dhe>1A0X*H`LVII1+cv#Pqj&%G6G&Etz z3tlH<_9SAjgr*zuidlcr$~GdE9QdN5u|TxpmA|?}{Tb|ElI@C%@@^f&qaa*D23*6n zw_%}EP^6jKXz$gFH6YuB!XJ#NuEF3%E*6-gq$p3IrHQO`cKRz9i6>uSUcgGN{0YyNS$a|1|qQ!)yNH)J~dylO-~ zV;ybeE~z%DMh{VC!`k}SMh~;H@Hl|jHMLqq)9Q~Cz*;OGAhM{h^A8y5o_tyHljUro zf2vDtDNsO60)=^3?T;9HB~@2MW7ap07$6SpZ3?60+}kK8X2(S@JldzfR1CS4a#A?WUj)wmDFt@EX;J?)A+Ct` zMBhrLI<@zocYBM(ORYMI-XUwIrlKl`?H!RMe+>I+cZioaC44WwMpM5}JuY=hWgUpN zrggNLLKM+@`g5!n!@aYl-M}$@qI}Hi8|>~1Kx9gT^Lm^8qijZv*`$L<(mxN96d>B4 z#ZmrbVFOESGG;*^uAXaznv>#pHgK77iJib@RYx=h!V6}3}x zLR0JnU&UJAxfRd56@4mVxd2J=14(>wo$7Y7eIIYBRz6fT@$A!JT`UUla|6fN1e%$wsi8^YMAUf?!y)DLIES$BcnuEcb)me;zyA^7Tw5 zYBFu%&rj}Qtp=@TObc7bBReAS(znHbDBZn%kY?LFLFI$|R^|y$qT_N$)2QvLH8;&JhD@vF z!9@rbVdVOgaJ#W!wF(>}LaC`Q;Rm~Y6S*&4btBSpjAB@%!-Z8cUz{m4e6jR00iHi# z1#kJiwqLPvEDrrKA-vD_@uP!`IbHtQdubhgr7tkpRkx>j_>Rwr!-g#!lt1ZlyP8ZT zlcB3BIFsBYmqi0GpH>&c7n-1pP7F<(n;1{TC)89gky+`f8bj8CO}OECS|i-3oANoY zKbwODg_LjKr$`gY%2`7PApPY@7D!{zvFaXnYyUF-8a6|r0Fsn6njUo9X>97-@P3TN zq(7PMY5dXaiC}NgsEifN(@F#nDot4(>nj;%=U0ZPi1>wu%9&%j6_F#m%E@xA(iCL+ zEgv00O!j{}{;d~wLB)cY1e>masr7}9KQ^Zx-H8OBa>i-PyW$lM6*{z#eCIVM z*jp(#iwV272LAk_tq zqKvFIff+)G`G^#A)+gIc*|qWQFVG}1SfH?^u)Kzzk}D>v=X5I=hy}<$)0519GaAuh zJUEjIcC$L9Zake((e$*Bhw43Dihu}UIo3>m;CvG)&Ejr723PjFruN&UobMduUJ@ly zAnlhubFKrA5!$d0rH8;mZu}5lTfCBQ-J{#JK%}w=3DX3Xx9K`Sh^n+kwxnq<)%Y_TqFu+QTzBO)LE%V6_Fz zVapj!1YFa(GOkC|iUTA;;slX42?u_RVv;HzrgHqsQW`PlxMw^upJU=dd3?wKFup_ZE+2jsP; z+=hSWTW1}?JqMUP$sQajf~`1$U!Y$lIc=d1QtN&DOCqc?yNhop&F!N>_b8CNoF~g0 zG=!2!UO>A=6iPih%Y1uhQNZi5R|Hf^Y84aC5h|Ssf3@Zof9JLaTY~dT6AAsm!-#`u zuorXvD$Kg13V?3E(qJGE0e{Mv)3`w3JpeI~|Mf(`$IP`|QKk^!nYCnC2FbGSh#us8e40gRoz$VfBg>WAxtspvwhW;?--p zInu<-AOjp@puGp7J=2K+IJ33NV@z0sY}7Px@4>{S+Z$@icRNi>+Z#<9{+|}T|83>^ z|MTR5k>THN_h0tu8JHRW$8N`4;)mtO?F!W3IQA>#a0eM}?S&SJJv+psil1>Af&5Q= z1(DC$GAU|oR@B-m@HbDD?w5kD+~RMARDt)WgbRllh_o{gpYa&1j+mZ&qeI&U3sy&o zOAK?aU8UzZY94w#j=p3NpRx^Kt`a#q1ZoFx6XklOMhc&jVeT@0nX0S9c1~so$vAZ% zHHHspPX&{BDcL4H479lJ+XD!GNhk4-956r*!oqB3U9jl{&{GtR`)8`%<%W#K+1`s1 zRo-5YBA@2RYa|5F`r&47L|mblLMfqFG>CfmF?Goj8g zOO^q|B`TpEwT$uYXW5TVh*evO*AY0Jg_bpRR=_Bz%eSq;hN~{(8so^~^+mv&X{q~f ze#3C0MaeNrCx9tPqjm^eK5hX|FZkb=#bQb`D(V5yeiB}AS?y6%Sf6A?Cz(BQmeo^R z;~42VBdlZ2XDsxr$3JUo|n9kaz)TV7ZIdNS)+C$gPq4y79JIKqVsAsy8@?c#y>T&0E&)K%(Y<9;7cNI|9hG-t zd1~?HDy$XLDD|bTfdF*u%03SmDSa!t;#nx9 zDi6G;B1z`>3^Yg;jic*+^hm$>yLNYmdcV;jWqv1zZ|LHb74}KK!2ss0)ydr5<>cgM z0vfH??4+ziAmkEJ?H||hyo#9#(yHIF@iRFL8!-k^$Vh^Ubx7jKdFHHP2Y*S;lT5Dg z3uP7EoAgZ~nX^*?)z(umwGA5-5y;e|5#B0j@o&xI1-~y&=v%U>Oj19hi^F01R))0xnKT@Ia`17fEeK zAl`BYggtPYc_Yz9o4}a|oaffO=ivx6b&IV)F=}M-rLT#|+#QilG^8%9Y z^&>)${=o)!r-D|@{6+XJSz$P&Z4#(V_?BKL&}AK9rR+7Nki5>!_jV?x16DomJ4onQ zhFm-h#Fotst>0|YHcboFW!%}#-O<8Gc^YoxmInRP0k$}y!sq#|{tO|3-a3ttTDdeO z*p9%*AyH|TL?p_;*_389PH_vu(iOF!?h^?5O&GiAwaW{tI(L^ottsYI&*r=VI_-<` zEIMDH@5lk3XSTF2b2ZERNH34XxYya+AFj;S0q?iGE@bA`ye)mpx?BT(v|%d2s=DQr zZU;gOTVP)W7q$(^uz?DS7_0zlUoWxz4I%kM6lDZ3zj8cQj!0pT4mu25f5r9dL0x}m>PyAEM?|X4|@o=dND}k&Wv4% zMl?ha@_VwLdd_+LozP~B%e*SB@7E;F4{|lkn|4k|AbnPrTxz@=@Epw%ZM=sXP0TP~N*Fjk>5Q#vHzSG<5t1JSVK@dRzDce?JX)Pv zhY|ZS#Cg9zM#khmaE7ZyGwH*}dRC$(jDPKa3j_<^b{2#1@8gFf5LdoqKDnUJSRanh zbZxI5t-p_cwxZf-N1YqW*LJ<8fxjM0R~`$wvQBfWv{@F;A*7&F(+H^qQ{~xTpfqgd z-_chhjclOXW)pXP9XGxkJqml}t`ZYNzD?<8O1K7@MS|U_-D+j+Wsr$5e(Qw76TB^b z2qhwqP!cG$5{`0qETBklWlt=nwvDo}t3)4rw4GaE;d0*MYfhWIX>s}=Ejbnt`$Vk{ zOF;505>2aBrI4Rd10Gu$TC#4w>(h7&o@t&~^R7pcUwPL>K}Y?=UcI;MKp`@h%*)J- z`kRoqZFzqn9c$VVqr!|5>!F;}1t4VGIwQkQ;>+ZTY&lMX3~Tz&%hOx0gkt*HVltN8yaq>r}K%sPcTrY-hyk9kB*NI67*!8 z7>pY3PvWA#-l@Nn9{v#o!#*~LN*~L+%+SQYx`xP>fk<~@vS8Cm>!%Tc?*N>lHw$JO zpY`moU)mElKNn2n-K_wOsRo(+u<;>|Om~KFPFUa0;x!PQZ!pFrth8;yrR6@tW&ywH zDHC_jLAOL*TfzuPgWmIo6o4glRA$Z;?0v0Q9xE^4i%`{z8`2M(7DZl}^cpb}2~5<66jR0Fu!Qsh(^d(al+c(yA*i1~=(20Nn!;(S3wq^vng3(o?q zmfJL&fQtK4wOlP_$x5TFT0(RGq~983|8GiP3xUCQ8)o6%(9s?}@(OTDme2K)oczac zr-c1K7lQ4Q=;|YjP-M1}q*9uiu!|Ix6G%XmGmp-=d*MwbU8;?%G*-3)BFrBfP38kIM#0T*I}IbGI&8VV=@N zQWQJ2v>sRJkyICp&0@)Wj7Z+Db7Fg%nCZ#B4fFXVz@s$Lb>*?wHfk#ja6OLaY~*4i ziTDrw1mc~tflPZpg|0AJ@6bX5-`dYfGe+rx5(>Lp?ieN8bN;G{Vt#v$q<7(V~7&muXQ=oGsLC+%w`>Wdf3K;TgPtwF_Br zu@l^-?LfJ}HUzhr4aY^oP4&-l^6EPrb`c%ykm+Sogs83@Yo+KpVeXhKa}{*-Gz$ut z)d8_y;8p=LhecFYRve0tAg`Vyiy<9{^w!J*SSJ_Ayzdb=lJf*x6ut6XM8r9zg=bqt zQKnc3qolsno%CZK^qbrKz zrf??1lBZDd*6c?7VJibjP)W8~3n;Z>?OKA->?R8}67JvNHlhZ1*2Yi43wigtQD#Yo zkZo6{2q!2Qgf>~DBd|7ZtE?}bf6sd+hM2=MO{e3p;Yv3(b2E3W6n zQ(BrbKO*W^OL#tWL+ME8PE460>ir%X3w7Ny7`exRMo$$Zmz)bG^Zt z?4a-8{RE-{BdkQsRZk(j(M6`J_b7FH@v`tAo{1JtCnGy7nz=Sq{|v-d9pq)MH;|AP1Qd$M>^#$4z6h#X_8F@IR+Dn zBoZR!hFwW0$;z6-coSk`e(};|r^>04kyGA#)e2u-lq4z?5-vC&DVMi=uIzekm(bs1 zHot};Y;6zLcg#tNO>AcHSM6t~>Mhdu_GkWu4+*qHAg%_+ypv-;MdMO|bY#$*kz&#y zL37YoV;4ya`aWt9Y)EF^dPN!SpEW~@L z@@tna*X$Uj*=)#`&adBBak@Cl_oWbd$|WKG$y`n=D~RtLg)EW+XzVz%B+mm6E2`=n z`&9qNdsGf?U0Dg+wp>k^rM?6tNS8z1vgln#PrQ~YCygg6w^EG#E};YC^4YHzr*QA# zAIU{3y9Z-=E&%w&`2RM@dDoACXf0PY&b{9qtC{B3n)EQNlx$5`G|oMxcCKtAOsdwh z=wW}+8=6?;LmA^l?a(v2Go|37TzUGaCacadT;NdXER|L0(|ok%i$7iGHVFR4U~f(f zyjs*#NJ-46Yj*@KnTVH#=`#dTPz)Q}B52k~iCmzq`Q2F>8V1vv*T0E?i8>)NQmXS!&VznV}Jaab6y!VgRQBNt1w*4^Rv{k`x|7R_awY{54s z!&~QcaF!X6fdfs{hOOT2l|C0_s$QDs44g9UsS7VEA*Vu8#Ai`CtQn4ipbQ(Td){Y3 z68r8C7n!StnjrsYr4!K2k?{cys>6=P&rQA4%^>dk3_W?)1%!wx@N^J;Zm|-(Blr}D zEvK@yfQv@HEBGVl*1Fkj_JIAIuN^6fYA2NqMC-Hqm)(Kp1<(1&WgED8tg!>|d`2?0 z{Bybb@@d3^n|^ta?K4Sj8k8;Y_`+Px)&6J7_E zWWW7-y#)w;N$4DA{m;Z$scq%za~e7E(>gh)yErXu$@+?qzQI}t@$;S%l1Iw@>iU6} zZ)#=j6_V{wn9L&<%^P|U5L2*^U39j_9>B)U>X~DMic!!xXg@77Z-<2qmXp_&(-~mu zfXjx}OR*0YUyx`uso2^U~$zKLw8>Pz&z1 zD_K&71;!8Xvj8=3?kfr7+8$TZL~;hvf_9Z9d$f+btUWH6^v?0fkZaD8wUqN}Y~_ z&h&L7Oh2UH{jV}X%K}R%5%acxipwkVv=vkQ2_vyrybKT&xiI3vr*3&;pfe6>*!o^` z+LO?&yxc?XG2%P0cQ?ejdjdmi&y)*;gcb9hae~k5fRu`+lF`gYm)1-zpH5-AKB;TB zc$2l7ff)V-B*oE%U!oOHcx9PoQh($8)u&3M5Ij`&K}T%ZO>62O>VY(R>FzcsyJ@9G zB%XuQj_6o5q!?r^kTUt2`29^7CSoi(9B*SB7Z9|_emV>nvRT9!dykVlE|(#ZhxK zD;o6GQdP%_+L=T(`TnZP^G1+<6pP}N=r^6wt_9KaY}-L6DdF1Tf;-wi!-PR-=-b)T z!i{^nSXDu>E0jOJqQpUJaujP$Nxy5|f0EJ9fU@j^v4c0e2@n2ZNVYCuYpYS7bs}6F zt*y_HYqoUR){7c}0a?t_{w}mj;m>zDpt&d0MUjIe)8tKT1-1t^J*L=KvKl?VS30dM zic$4lt?GqSTIwr>G85p5>=(iJJU3h3un6q%i<-pIE8F;$8TPiHQErkYTwtro>4i1h z`3;Z^=mls1%ifYceO%^2Yk}?vYen|&$sXr_QR&2V(AXAsIU5iPcnENjao-^k*T!hP zZ=Jd%6m-Bs;-YsKuJNNsy_tKPA`2Uh)F@`$lhkA#jIQ>W3jOLO5ikF~q(>=Ry4)-i z=W1@*=%)HWuvRk1y66ZO-64t%I@6=FO3M)m;~`htiC0*wy11*8qou-lCQ{M8bq~L` zPU=|C^Nw_0*}c7D5oucb*AXLor0G}i6!2nxIYp9H)JE*vsZ+7+XhTr$X*+cUXF0Np ztYXgOsR#x?tu5~z?nmBF8H&J+bS zg)}SZIs=$$dw{>o%CJeOydnp`-*9QNiL>wMt|}VSuiuKQ)eed9jwMrVM7IWFO``*>dqe;qV$8W?SGB20a~bc{Y~FJgj;GS9 zc!QpXjgEfuH)z)HpUp+I-gx@K_i4C>4AJU%&^&ZZZ1Do|#RTH5X{Dxq6))_qAu*i| zJ1MAKQQTj8GF7McJr;tLkh4&z2PM8ZQG$bUBDbLW8FR3^6$#CrQ+d%iMzZwt*7lM| zvBa6~CF>S5hZ_!2Mo5F2w&%I#;VM2Gpf*C59V1cLmp(kh$+Sg)AgG9##vVkRVSPr_ zhSK6pDbd`GYpZ%;p3&r;W)-pqt1eQjI_l`^BY0v3s~-9DlAamnM*ZMmA;GRqdZ6&c+sA=gwdPIQ zWiLyxZeWcrysZJwrtRvkw!v))wS~3AO?sc0 zK)nt*G^gokpUv)h-_|v@7s(f-F_&-M@$+e7^m%g5;5LFM@es@Bm8l9RTdzF$XL3G>G$uB^)a5s zGs26dzmwBkz8##EJT7D{ZLSBbRSY$wt@?Tb`MuOvN_(#oT;fblIh+rj<}s`vDC)Oe zFw33Sv~^~E2g zB?ffYq|zKbv%B~(bJY9Omb#~l82RRcQceg}X3xO~uRFBP&s$x3W@#>4H;sFfX`oqI zmEQ4-YF$8B}^ps}3 zqYYiv9v!cOcrOSvI0@*+6kt1qeC;^@THU9|G()2r>s7YEuSl0k!I)qf>WR7-R6z`0 z9t8=eF4uztoJ+%SK!MHSIr&)7ZCs2~rv^11UR9@f*Nvd}iZS#x#=JnFCYD1))MOgK z?H}EMaK3XCfC=eR01KD8b9B%Als7AG0k@y72|&B)1BBQtaOiF$0bFzBg-bCNp_Ut~ z8Fty@-XXnte2gI)T_F8hRib(?`>~*Lyv*LI5KypXlAG5Z{qk@K7c(J5iK}0J&<4pC zv{nbeoTbYrl{g~?CAAjwPdT`3>$gC0-vQ!gVl)lF3CM9Bo6nYOi@L#(Bnm=QoAMSJeeAAkoEF;Q`U|8M!g!Y$G<)hk^ z`*Fds#geDj8O5R^Y6QebU&|J%f+Fs4cgvPFne4Buh3Pofj45GNOX*4JpfS;jMiZ)T z@_zJS5nDRAz5KDb7-#O2AwZUgOBJ1uYmai1NvVjQ5(CU9mJoA8KM{jO^|}UAjaNQ~ zRO4;)i^bS6<;@bkM~jpyu|O!FfR{!zCD2w-d<5V}qgBa{H47bjr_4%IK}lfyc3bRd zbYyz>hv}-Ij>$32kWps3bCQgu12OFu5Q|8}|dKW;wee@ub@hBo~W?9Bf*6#bX6r=Qwr_J7SD$jr?4@AcPx z?e=%6Tmj-_WS)7Q@2;vm}+wS@7l;0fH?Jug0%>m2tNyNjv@~jBfSJy1&>$xI$$`7{ux5$tCfY2pO! z(>rk1APiVg2XEd7m7zY%x9hPWV%|?b$21zsFfDqh5rgSg8I5)=2VEsiA_3!@@4Kxr ze-L7i3-TdHCPRK=_%v=%Ok8T+J#iqdJ~TWwB4%Sv zhO0oI-h^jpwWz|IU`2!y*7l5j1T~I~N(y4GIQn|EpgM3YnK*8Py;bq628hu~#!!}Z z1XF1A(0%~BVLN~Sx5_sOc6q`cQI7`fjF-gLw8Gd7x42^psVurHd)2vt56Ndv^IZRk z0C;SJ&bU(3nM)L#N;isTtB?tQim9Ag+J~G11ZiuQezAq4etVP8iUFnRH4o9eK?UFu`bB{tS||D#h_nu`Jjg zh8#i7+NR{B`uP>TxJ1|<+e_%fHhuNe`k#HIy(Nh%;Le@%E6)ig8x|z)*(% z4i1Hc+$%P7PL1W5=fy{$qyabnrsvTl!eP4R1^nhW%+Qw$D?f_?qS67o!X`CdWb$S= z(cW)-&_ES%T0iw&ux5oNkVq1s<60BGZdU!+tZ-}&))?QE)%#=Efud(!EIajpiA`_b zbQh2mN^Ntl{7|*;;VniahvX2Yb&|UNCq5Yy2r+ti!af5Px7}@^yB*Z-tITh1+Y_|3 zkNkyeTxV^c+os`GN~399dbG*CwEv)GV-M?H1)J4PG3o%wDIWs1D@2+bOlji$t)=<< z_gnm6rW>-lOa%70T9^7JAAx8IUtk*cTq*qYO-!jdm3n#_U#~mDiq7;t!$tKHjAL{} z@e{9w>S;$Zl7&*l+$O-3zhsMZB_c|0L^JH8XZ7KR`&{{y6ehM)zqfA-a(Pg4!wFr} zXmv+olMD6ex8B$agtJ}gYxm*_AG*|Ff$t1Q5OaPM%JoftT}UtOfce>?b{gKqvl;!2 zbcve+inU+V@ZcmA5EPYSsqSBbt(%Gu4;g>LmCT5<$qOT}E(?4gg>_|-B#}vw0v3P4 zAO=o9gx=k%p#;~X{*D~WSN%||PdXl=;AoH~K?K!)nz@svns9X5j|~ysS$0miYXq}% ziC-xJ7`Wmlq>$^&d*O16?>w;X%6UdJnC5BPwA0dVJnT7Bw+fI)MQO<7gTsjeCb;A$ zTyh4)P7T6O6V61!*!kn<+yxmQkZjV`opbOZ$ztLpI z@{Nb+x@JdkD|#8d6t$i6mWm}ZwOMmv+y(-kJk%^t74kY73My4r z{X&8RWU1VOivu&+ac@;M^Ye)tzDu7V`4{f(fY1&lub#I3LN#m9`{RbiJF<6-@yU0r zB#PDXjJvPQ4h;&y_4HJ0B`{sGdc$wnJ1~!RV$z>2Mu>s|OfL%w&UAldJyC_VT=ul~Fx)smY{t#ZN%ff+8Jy&RnisP?nYBV_p_kVKo?%UH`Y2V_nBxxS*wi z53=EyWnkG-YVh;hk}}O;h%bu!ml`|mdWRLx9l2ml>4Xvp19-A5Ua(qonMH|t{ttex zGq3^;4up#36qONFTXAPvv|7SXmN%TRg8DQ zdRQ|Mzj}AW?Tf`V;3E*yoHwC^Al2EJ2$5()2?l6W`aYL97BOz@_Amw~YuOM@NWC<2 zt{(-3H@q@^rA5cgvunUdb@sCN;5BW$poF#2O~6 zg>q_vCy>7;Y)oh$=!*JRJoqq{A4HUsOV!563Mq_7L$Im}FK93mH6ziN(s$R0b$TkC zL5>l(1qtmhd#r|A9ns&y%7F~{B*WWud4M=%vz53+_wIeWJ(P@a5+vqSueMcWZYk=y zW;FR0NSu2T2DRx9nlJ3_*l5je`egs~suQgmee3?={zWbj&4teKwFs2ew&02pn(R}g zVN|{)Aa&~8@wU>kgxBUe8GZ(5mD{5uvdbiERe~5?MSPE{pmRgGXP|HvnzGv7lhO)I zx6MQo!##~5W;~Uz1+hQ(xRm%Wln6ocqvH{1#4!Og=K2|^K#$n4QX=8xuz9vTVey87 z*plX-`{Q*vGxS^6IKxtAYkVwK70C~z;^a-Si)dyT{7`G3^_dK5My+5yaEf;-K&5o}Mg6Yp&WEWm?B1cJaI)03{ zcP0E{Ql5iKL9~_i+zSlFfh)p%dBA=QvuH=|e%hC}U-4CbC&^pcx#1hXOsL;;fi1u< zBRN=PAtz;HgL;FnQL`}1-%%`jMGD3%_DY}@m8g-gGDsyih|G+QSB%}vjHqcj+{xe@ z>bc&bQND^g-@b0xRda6%FT(Qe^8)H(t;4Ubb|5)yLUWW3+jGm>&$^Sit|ZmH66W5V zx(@Wr==RdI4iUd6w26!aS_T>L%fCK!w+@!L8>E<9_lM%)T}32lhl&-Ni**&Rl|u0; zAFl-GD4pNhFd__3{yLk-h{h6;wuje+>iHsIv^ZNLza zM-BDs2*&Z9d`Vk<+%Cq6F|RB~%cfQxoA-syFH4=C@Y!7X0P+^Oo%+}$l8J7D$lW?= z+?x@n?OX{n6&G3ax#BMu7KSZ&!E{~^$nElwTj!MS(h+JZ8IGd0$7Bw!&||v;_f~km;_Ajkn*qp#fb4)G6? z;Um`|rZ+1QJQ4OTEEjvEwnZQd($g<Na7<%kd^Y{s-0jA^Yv zZ+ZfIAkDDU@Y(%bth1Qrtrr31JH?;WrJI|#cVV>4umQ!E;Syz%#au!axRp%!&<$8;HV+qJ?{Q7#9VRtgQ6Z$L-D6?Di)(Lql0D!b@p;>!M3 z#^P)VbNn?~w2mOSg`Z*9JUSq|SYJ<$8bYLN!f!T==5kT5$-u!+IH&o;M0mb?RtIu~ zdcLT|3uO_6)<*AD8)*)DQT!QlS(JP8;`iY`Z<{r&1J?WK!FQX@1s&2JBH+eV%H?-3sK^Ylq{<3xPre*|?+wvXS6PR6x4ipk=b^C4=41(0T_!cPBJ5 z%k@#SKG63pxHko$dL!8N$_6jJ#W^QM8LqzvKm6|4MyKIr8NJ63aD zX@&5RUMLdYtHT2-^+7$!>+fmzffn8ki_>WD2^C$~&+m2@+qw&$hV%yZ(=gird^Y=B z6Bim<04U;uGTdtSp4akeBxt zLE4)SLcv2K_tfY}St+8{-a9SJ4G)($N-qZ^sYYKUWFyfN$oE*6bNK7P+2-dm96a{f z7$~P}b_c1~`xUy0w923b6Dn$bmWG66T6MV5dLw5>1;`ZB=kmjcnQ`9Xns~@&g3PGJ zlRU>BmxD$1`4v&|{4aaCd(>7XXv5xx6gELOBHYXZ*;ieeVl@|X1J(q>(r9LdXz?#( zio(lZe`0E-ksZYo{Xr89toU+glqH=Khjq<~O||QUtNz%28|I5K{?&UleQCcz@ty9^(^2CT@kd7BUY@5{!lN}#U>vYf@N2Xzp+Jekybbulz(Z>Ng!yC) zqa{e@D6Nl}fgCeU3jx$~auSdaT;7bd8GR1#zwk?6$+}xdVUZ-|+>);Hdo>qau`n17 z1bN?Bi(N$mAIB`sHKukUlE#5*M!Z^%=c-s$9@FTp{g^!gcyOYADlCp+>Ii^YPPz&DBOolgJ;Hd{L zi_u*8iFVidIi~N4=M{;nC?SdhNE=j@EpNI_)ObE0uy`MUTc;2cK?@yXsvCXT+K?}e z4|5ah{hn=?6AFt`_`8u&+S}vIxthQRVC526=lSQzU+DToM#C?e7A0H-eyx7^Jdww1 zqTJW35bJvqyckO}-x-aZ`s!2}U0&Uh3Z&Tu2uSY7_NXDmfd5-z;lqB*QwDtap)x64xTuhi<@Q^> z4r@ioy0Sqj3#p-=t%!P9q>gARAq!Zz47C)4JL@~dP|1bbm^z4rNII4dSj`*5<6u(v z9NQ6_g-#p4ZM9n|FDm{Vaz=6g5bRbsXi{>DCrNF+`tm9WKowh z_QfHH(Ew&8ke+wTPUv~sCof8=11--@V3e*#3DfD(&%1SVPj19?bTw1E?w^}#iI+Uh ziKtR1V-D)*RSvq<$yor3mUl!1N>xgUM4+Z|ecv4FPE|6rrKsu7mxxf!o@?RI42f-5 z9yt69*V@+`Y|3*<(DVZjf+RU>d-!>n27@LcU_=v8K&hiWjm7W5q9=U=LGd)>?DBNL zu4JLvdGvtZyo~Gk2`5DSO!EE%jZsfEt4(bJ_B7G6sX*woYnWJL+a(>xph^ie1$e?t z86#|#HJqI>s)CsS`C(2;?G`Z`i-4TPV}&6ttd8pmMp@0Z+1R|{%rrJ&xC1`irXPNE zbdFt;mURc|4v}xIJDcSE;3&=#dDC$j??84kPs?g|u}XTKFD~i&Nl{?uhS*oGxw~-9 z8Sh#M`+>hKFcq(HF*~ z9o`O9?uS&xTmiIO0xWWf0Ufcx8Cv{k4VxES0|BVkP`N_RFTkp@V||i{+dF8J1Um$0 zYdv=?uNNC5R}S)C4L+gwkvitGh>AzC>%TGqzUI8+1`PDm#mhf~M z%3eyTTmWKj*e+A!rgeSg1-*rois7*QU71bFk^(Df9OT2Aro*TkA792vUeK&3dVQ+R(mTKs8)) zefRbWrK@7mJDDdj0ryf+#F-zMd-w~IYI}3H@E(`LD?n!-xF7HoE{r&4l5-zsbq{Ca zubl$H?d=kf-nszGf@wPnacb}%D{_KO$wFw0Wpp*6BZPSdNyfG4Q`%$%u5emo%)_{b z^!Ngqi8s;r^xjA$-*fUlg$B(fej>J_S0_3QV07V&mGIh60(1?N2u{grv=AxF(hSkZ z>AC_*tYU@qi}WhxId9bPk?*rzkpjbd#9Hylt9N$!+9P5{QQZp@J4yUZ30ZHh4o&5x z1P7kA{Lxl)&zh0#qfCy5(blYBxpH5AJR@vhYkaMc1j^NGzU;P=;Ij4x(MT6uvPhE! zavSF9pEoT&CO|dIy+E`&ycFYbaNs03>m5?Ks$gT7SZnZyQL%+4_tz%nHpxtr>NZ}s zleT9y02aF&P-%cmxHP{&U>ojAJW zod^CSeF)7FYw>&K6v!!Vrw$UPzmP#tu}Na{X6a&;OR}+|4Z5Fx2)J(ZLLf$~pk%dG zw{q|ozs879f|!NQL@q)0*Thh!EFP`CnIdcABOKX{6=a+e=s7=aTDRVEJ69EHwk_D! z(WQG=;qP`)fud8jLFj{&A#y`L6|?WAmX2#KEZEINitHsGL6pZbjg8~1pAgahNkOsr z{Fi7B;rNzkS&~~h;qLua?0|5vb8r}3552t$C7x{Yo1G-dnK&A!i&R67{S$t~O9aYR z>R$HK+!h6wU?gA^o%khX!S;sIIpTo5<$fq4x4QeSqcb#Q)|Ds1L3%zUIhDnvy+)>c z4Z4zqE<+V6+V{Xg&e{%Gn*#FsxlvfaPkJ9A)Zwn)!>1n7;>+l?IHMR!;xFpnb#Ew? zx@PRl04+c@PEyu)+kfQraoqU+v*3Z~T6Y3obp-Ts*i)QyKD^hXN9*s{&@4KiS259qX=5tfzKjZ z5ig{b^f^x6s!j>mUQHm1tk@hmk?lwi!E{)M#e zeNd-)8Bwy$CA+spP<-NJx{!_yaICnUW=&w8qvmlNHJLje_R!&hAJ1QpV8vnX7!=K2 z#nRV6Z=*LF`v^pE8xup==78$M?i!Qa-7fJ`)skz zfGTP#KmIU-q;1a5kblZv`r%1<-BObI`d+pmqf`F~ zp=JtG&_Mn=d$Eq)Ey`Q>!6U3&D$046$wBO3^Pk-`X=5}f%?8C~cPTZs@t1hLikJo( z!hS|Zg4;H)Oy5%u;wmb-KUqK89!ZzY5#|ehbdE+Jf0RI5PE_S_-i1(Z^mxSqZFORg zp`Y?mCtjg|GP^y)%Dsb1v;I6`85!W;)H4fkh(XC5_}%mirZ*In?DiHAq!q9%rV++>#N zUxfB2*%13Zr|1P_iX&r#?D9+10(al&J(27WUn2%O+0#80DNCZ8{S38^;ey6}4u{%X zZGUFOit^U==o=ZjvzDKV=zjsTH^#1oszh?|qYiVr+2(&3;G) z7vLSBhzhaq^g4T!7~d_$M^=+)N1Z_BLj~eEFW{eIxg5qwpN|%O?)u0oek1d>J*cMv zRuEf7P}Xg%n{81B6mQI1P0iAP)2v=O3C08H@$F=Ws7M@ZF!)|+IF9|-XX*QS?ges9OjKJ1P6fX}?bhN-U$^dS?pS77Ap zB@W1oid4KKGfDpjGlO6@CfLrs3HXL+R5wK-XT=7*K0mDATHb8?l=F_>^iXqc>Av_| zV$n$ffCHm6p7aPcW0a4)$8-XCAacEbDC;A%=VhCmLWOX#x1e6gAn)PF+n=xwl)LkX zk}6FM3Zc6Nk~&2=Ga-0?Bfr2)EWCf%f|E#pGtn`^N1on9ax|CX(}iX()cYDad7OJp zb(w)wS_e+nOSJn3am71YHcK{U8=HtCsGDBeXTv3X6aPS;HHM*MgNUKGZjKFL3V`#Z zE7n_~d+D<vk-&$T zeuK}nVE1*uaLl#xH|@JPXR%4hQZ=6%rh8%qw_u1x=Q{D16i2OhQ;cN-N_b0%INr7V zR^3?1`mLoV`&9D@<_WoTFCW6E))blO!uRP})zTOc`I*@u+OpYdEs-<{U?-f8FA#W%0OEbUT!L@YJdXNUX5j3g- zvCR67+-KHOWm%Zhb7DDUb}ya0G?gA(qgeB}5fGu5hh=FC!<_l+d`OHfsTz~ZCTmrE zEZ=uu%|cXwn-5}vidr2~$e51;PBEJ!CM^^|bnY1K-mU452h@ z9VL=_BSTyGBT^rGu+gJ4o++Z+D>ec9iPV72{7n!T(#Oqc$;%)H5s|$!#C6~4A56G0 z;%#xJ5D8I`mu)9GE)k%qDE0!7Wiibmq}6R}jDWL;$o3+)-+x2O*&xRazN>yCSlpg% zm6eEgU_?dMKAYDj^Y@V;9=+U_c!YO{&a#K|~l-JNQO zwVL|}^A8JbE1aSk#&ny!G^$Y50P2OVePjl+v?q} zu?Pn`1t#d#CNUfwj0wU-j=DzH8Gif-SWA>Qsl}H(436r8NeafyqxFPh6F&s$z2F$cOA%cGGd}Q=$RJtO|9fV*g8r z9g^rd(BrbU!Mx_pBEEclL9w_UI^Zh~nXA=$rndk4NxwM*XJdP_IU*ZT7_^F(EI-rE zWVjfT4g1y_vF!QKx7fOp&y*j_$5vOY(C#%PacfcfAZ4e=irA8|5g!SxLS_X5(So!P zJS??pIGFDI-+Csc;t=aCPW;T+$+PXzvO1BYK;#w(x^(!MXTX)p4a`c2Eo~?Y2wF{l`cZ#^v(ZwaFub;=sBW zgdW=s;qpL#s^S%nq?$9(?D0pxq%~H)jn3lwqFg`#2(6&79HeqYp3RLNUiX?;WIoJ` zjjyW1&L^3&@F4&v?Qd`lPRKv|e#dTdfvKGJhXUIJl(tRyjVWR1`CeD{`3m1Lb}EUP zJTYdShMAdZgbGZYDJHP9d&^J{aLjeWYsK&X#f!{2>FL&2)ND<{b}d}JV?TRnZK)&9_!J~Y!{Wo(?X zWmOIX2_J@|lnl0=f|Rj>)Y_hU{BwKdgo`Qa0ZvKpch)tD*`b1ktp>9 zPZ)rUHHg$~%ixG-(kZKN49a*!H|3%`st<;Vuo}XF@>+25mw_b_p zK}(|YUtKy4h3{(r73ie;DUl18NA4@o!Lm*u14&j4JO4s}4Rm@~Zp;zw>jeshxK$3v zb@l7am;5hKBkySU@BKWGwN#qFt~)qlkJ1Ry3@?wMomu(famGX#Cp-ge#0F3uV?w zC?%-Yw|zR}l=7WCoWg{0lq|g!EuNSI(&aJGu|h^nm-NQP>MqNj_ze&Qy@R{f95gQm;)0C8!4K$ReT#rOCRfX9oACH z4AeNyjvW(p5<~{7213S!VX|pSB?~R*x(iF0qc)NH>s36G_XuA&`aLk^ zHy;%1b?s9ejwW}&330dQs{1rbiHz%YeD6|u5*$ogszTp-AUOxXx6DRxO)_8jjcEn4 z-fj${ZvXWhSu%VJ+HjF6O8RqN8NNa_FH&)GNdjtj>?X30wl@(0js)mppgTaw58cv$ zjaylZz#DoTWi2NY7QuHWrk^pm;pZc1KOljxWkDyd$jyR~`P*1G$s=^@)vO7t;F2e_ zo!8)_5(u5pPG`v;kdkZRin@>N@s0gkv(8pqvFCskSuZ+4WXi8M;OwhGr`Z=g({zWD zWHYYSWtsjsn^@ih`>=!dS|mmTXJ=IDgic|wz$(_dtBut^jz}|*sR0{Zg1^46_7hnb z+ZkHgZevax=vQq3qX=#TD+tGK%h=Iq&?&TvF%{crVbzD!6krR!Ax3hBY|?3x_};u6 zwF_koxlyvy{U_D=h2)z^J<%apbDF>8W`ud*kkhphd^^w8CKZFl! z&-)^ir)~Vl=uhtpZKf8qnBNEI)(#`uG&I}pIp|f|qmj3X4xWFE8yp!?+^2topWCnG z1Z<;w2M@l;Fff7fNBGLPk}9mAn1{LsMBKcrKrCA*64D90vl(?4xTUpCzKPGPJ$6wKjwT*RwBha?tqd;ON;cR0f;nxGc6(Nrss@C z5VD{|Hi>#T_z1BZo2;!9X5`RnW=S{J8!KU%-{FUMCD1bMQA4;`f%amiUNdjf9>8Zt)@g=-o+wd1 zrl|3*+?T=ZG#Q>=>iFE2Coe432gq6>Z^8Y)*R(Wj8+LV7JzAg*lPDOaR+)Rr(Ar7{{pZ>MUtCjtnbD6tK@Xr^+f zRq5HJJt+Og3X+3$TmG9|k+$DtA~Q<}b{yPj53>?jUMlUniGb+r)f%8RU^rD=Qnx!; z$YDTo%Qn6pPn|p#eg_R!Tg#H_I7!XbDMTEL$}kY?F3_u{?}VK_?%>Rr;n@G6R}bxw z`)m}&>#ZX|vMc_?Wr~{?q{V4KgZW6BV3w;<$)HkQ;4D^4`2?L32RE_saY7DIFZqoQ zX9M`L6Ml4Qc!ujJIXB$53?T1w`~tv5Tp@eCf`1WTtl>~FcnL-++)-Q!=O8t@Q4m9K}~Cm?OjHa2K}e;1zT-aB{Ty7!FGv?FeT!ky?e_s z=@t&t5c-SdZeox#JwpIA|b!|<0II(bgWJ= z0`!-x;guPf_gGWJK|gw@jiz`H^P;JNUXZuRc7}+dL{{RL`8|uMgHT>ZKfP*CMO*Q< zusD9hYCzOMSAYS&k;y)QU;fk9_u+wHO9^#FIIx9$Vy}k;V9KiWx#yn6emsR2PniXH z_T$Y6XZ5AdZ#ckA{^AR-I`fxJ8n{si(K-HT2=dS9tb8uFv1&G@8S0+g_4LajqckMe z?rsfwXAPC4G<{?8c@(C)8^P>WT@>6Roy_gGrENIsg$zG!y4Hpzdtv89Z?Prq=_ts; zNbjZg)-BBI0s}cYm;j{jY0`^7w{i3G!khKPD2w%;dxi2qZ3E37))fsSpLD>K>Q7m~ zGddEjG#zPPMvZ(EytAD>5w{ewpke7jh2Opt%j+J%iR^9Y*b5rVEC2^5U`KjPrC6@Q z$iwhzQB9rO1eFk18~xj7Q3!mweT@S5%WHKMp}Rm?fr&)i{U#+9u&8)cNxZR9!Bo?# zl0kFZ2SkEPli}aKpgRRvs3UR(_5Ej-9{4Sr^oEX}$Fx-u@4MGXfb6>TC3ci`#Py0| za=b_{f|WYy!jLEysc`Qf2K!4&?DnuIh4N-&w^3r~nF!~19~pPAuw6jGCR;u|Obs_ehH-yAIj49x#67fV7gWCO=z%YPb zOT`kC8yKJ;yr~RuhDso7hj*RH=HPPf$Coa3#V<7eaDAIz3$ri};)2s2`K_~)O&0gC zB30RhK4ESo^Mn{lfSOux;DX{!?Z%E10FRAL?`S#tmR_qb5VW*EFWU-;|2@Q7Rc6wp zm++cvD&lO?l%qk>=!Lc8by|5i3EJI`^Y7)&(60G@^@lW{q^IrThef|}*`N|^w~M8| z240(MZ)7ZX$o*n28DUpx%D5+E%l8KwrXCq5k;SrPuccp#L6nmd%QngnBRvJ7e)UX$ zLN_$W(bZ*-`Y;jaqn0b8YcElMAXT(t*@=oJbXEK{2;9bbaJ7d)ED`|vT4j`+L$sML zSc$e&NEABRcOrBMybJr@#EjiWW!0>?)Yl747BZUnM?1?t;XM6T+Rqhwn{tXhF%DZa zfTCJNmwLo>a51sOZ_eeiYm*7C&GF7j7N4~hHQ@Dlz_%XjbnPwUhZcS{0K$%tSo+mWuK zmDild9v*)nKPIsb+?p=&IFtno(S|2A?Th`LKZ;uCkj&9xKs%`jl))deei3Za_1};7 z2+Y}GlKqqOI$0~o7;WUUZe2Q($(%yDEOphH&vx^;CZqr~MRI&2`kAI)xo)NEpVaWm(QCrU54d;yC z;@GLiXWN61g5|2B-(TXnw#V2pgUGpQl)`K<3y+MFnX=Vw2fbmOYQ}T@;dN3g&(yQ6;hkce8r$4l$FL!cc3P#w?mi$i_J2LO=pDq zSF0==iYLQ7=8|BKK$-)clg5m8qt9pk`g{zJc*)qI0rFhm9^UjF@=Ohr2S%U9AuVuo zI?4R<%_ScK%59AZiskthT^)SM{I_k<%BwAuC&pHWg@qd*<%0G1Ud=2qS5&Rg6Svfq zUlMR*oG4gQEdpbe4Q@K<9QF!9upD42h5#44j22_?zBy>tHrYxSgqz(3;5YMaLs^J8 z5dn&>`3u7jXDdn{nUBo+mkJfzZs& z^o5O+XFX>>n6I!9QukD5vJfE2{Ad;Pdt{Rd1d>m}geue;nlAhZf3IP#)cFWI(Iq%G zf~AFRgz#zWC>4%dyXa+;+Rp6*4T?&|xCT-YV2szIOwFwD%thz-?yZt5UBmQum&9so z;S4rsAf(V7H5kcR9@%X%Zkt2c?nFNN;}{Q$6bDm#E-wTc86paiL6Tw_koz_(rYa#8 zYRk+l+ ziR_N!LuCEwguy0K1d>}caVq7%IW_Lzi;ANi{q z0V*R`3`U)kWMr<3{TU2L;}?>@@}6|ZsYl<&CZRq|?0W@ew)a|xg zSQO=G+Zjaax3&Dj7T_vP+Iay4u#A++xAAstgH16R;q92%aOwG}+i>3qLXE(?7xk;d zHBw_y@H2crW}T`cMwP8|mLnjD@=$+*o@h0Zv&Q4?xE}@O8cxM;N{DX|g$O&AYg23p zwhXxhIvwp=*JrYc&f%~uz`#g~pTZwVXLc0P6IHWi-^pXVa$J)6PzPOWa}=ATi>OPF zQo^ckAH%=Jbn0L~CiDZf5_|;q@k;uBfPI}PEgp*CD6l^&`r$w#!h(4)&xoxHAspR> zb{Ka5)#dj6YUW4y)0q_kkZVIuIyW+C-wVnirBP+im4cLGLof`fzZfK6m?po=N7ZdJ z@b%l&!^|;u^pjhh2=@ls7<`TVJZI!@j1aR#9=trBes#i_Jyx7qtz@tU@9vxsx06WO z$vD91jOguAtxVe}!PsU@Zts;YOI-78q~n@iTksCeFa6J)`pX(oGI_eF$0QFKfL!#3 zMd5Z=^C<4l107k&2-ZHcgtD4!P?qlN#h5=^qt?DkJpO#YR?sShmTa)(WpW^%9YynG z2dfvZ)|dK6)SkpxjAyHLZ9JtR-qs3$?0=Iq=`N(t;Pa-lFJo>Bh9P9+o3Gb;K5YE6 z{q?_F9?gH`y~TcV`Pl!Ly;|&NXZv^L_^ZBVd!)Id9h{!yeRF;h9c}b#DRD^t zBgdEt^pHs&Q4Bx&b=|yv$b|V_igYdBi+EJT=sv;YGTwHA&o49ElcNqX|Dw}Ag%fK^ zV7a9Hs3PKoa9XI((+~c52FOLN=jH*{DlL^*$W=@!n3Eke(r&t#&M-m|ji}sT+}~AQ zsOfr-S}AL?Jfam$mYloR3s11TQ47aQ{E431 zlVwX=pTCr!5wo*$EOpt=Xf@#-D+(z~h^lNYo*9NV`w7Tz)>(I@&&^lpP~bWl_@j+` zBly4H*r4uqM*6WeDuQG}X$8g*&-U0B8}R7qcYxy=*g9lCeYMim-uLg_mkU2!DI3#&RS*GJBM_x#sT4@t2 zrqNUz%c-unDehNpX9$vSJN7^bc4*6^HOgn`yx|EQWHMHDGy?m4V7HH_U4Sac!c3Vl zVS$O`R2yu(Et}tBkupf!3Y%==QXs8~&VsW8iw{>zSnMt$ifro4n%9lr=&T5tf1{02 zb>f~Bj|(&XuG6B=yw-rZRZ+<52>vS9USCpM^$wX30!LdqCm`$cQvuOA=%hBQ`D?A8 z->6NynKNX}(=fe$;+kXtjh^VzdN#u5EZ22Oc%0;(4wB0nVWFN=#y zTMTvbQY!M~K6Gt`&V2#-F_GXSBew@^8_1T+lNwmlUm>zIk+iZ0J9+;7AX=;RQW=MK z{lagoEXqR8q_jl@ID3L$_m*PkQ$d{)mnJ9#>W|PiG><`0=wk*69}IP)Nn7Yo;$w_K z*pZToFmC)BVfrb6fPllF*pj8!LUcRuI9q&5FRd@!6V-^@Phx3y!3;vx4u2|-1Z_41 zG)8_{CRvyx!zQXPv~X%UN4ee(Hglhu1+D+C?2kn0TjFnCmCfPJO|YaP3IoYdmk59j z4rqt+=mXsEA*G3>DcXo5>Pc~3q`7VXB^tTgD+-(YQ0OK#5oT7mX`AP*+aM)Pd&DJZ zN7krbYK$w8@6BIT>*GZl(_KNGUu{oQ@qI>LjbUrHX{mA;3*zPYoZ55YHNVu;d>6B} zO0awA!>vP|0-Ym*xkXh4&Lck3s8A@(HD_UgWmTT5ToO}Rx%(BEyb z6H*Z_LOpILbuf^N8`ouMwRybF={f7LvV_lgkT;E6d>BG=5#t)QLIPLOXVJu%fS)6N z2%YPWw9bN<@ljyhdOHl6Xn%3oj+YB`lQI@uuF@XPhkd3yX3@XthJ(t1+i;w7uq3sH zz1Xul=6%Pm#=p7hrj__@yx9OSrGs~H7M@QWmFTZNxDL>@WSKUD{%Z02I?142X0Hcq z8S#M_Hf=@u6;w!Gk(ufx%_Sp-uRcOu+n!dIRb7g8@NFxSw6`-thA=ZA2gy zh>0lot%^I4V%nS_yqP2`0zOA^)1Ax(&5RA2IATJZEYMP(+a+`W?zyIs#HJ8-V0b1~ zcmomDmT=-sljTDC7{qO_z{fJ=*iN!5rIO*BNvfm-3ESpsd z&}u*K#CA-TUFnL4J+k@Y6z)c9F)gSOWNrJdl|S0PNhXcO>%nj|_&($+6b6)zY9b-N zO{QlO;%3URkytlW!Edynkr_sg!{yF`_<4!WOODgXm3UX;(z*e;=F~1?p~i`z8KHa6 zeFTS?;r&N(Nug&Xkptz=CWg#*F^4tny{--I@OzdV{z%*URp7?FUei+230i12gw&z@ zmIPLVE#Jx0Z;&`Z(RpP1r@(F*lB5iBjKWsaG;x02bngbJM>gf2y5sU`rXj^{XpuM4 z{0+aDO_0U|h|wmtep1wZbp)C@>RPo=-(`{{wW-~O{0g;1gKF_X@TR4KLN_7L-9gC| z%jghgDq*P%9EA*~gL^E2j}^zmZcH}^ktdB34nIPK@b5%HBl^y>+t`Jpu+=bo`fkCO z_^*{=4*Vs=8IqX`c(2*=Rd0KAW3H( zdMtYr?j7 zf2M)p+*C59F%TQ#5jWxxr`GkLOW!Re)$KW~mn3ZhbQFNhoOFUOtRw2?R>GBRv{@;t zg5f&N%uza4?I^#0#vs~8Ac5}jau-1(PPW<$vBw?mh9-xRsIKkA%9mh9QgrOo!`{+u zSQ&{vYq;w&o4+^$rOY=m`p+_#W8 z(xcmf%}+!0lQdpX>ob^5r2Y*beA?CcS%6~r`7GnisunF&J10=R$6jqn=DkA}Q#J&D zXGDWZ^OSlLo;I_fk-|gy*BZg2qJaT|3jpGd>VyP;2Rn02+m%bo?->BZAc}njLLWPd4)^SG-N~Qx8t3Y#gW7tKw zY=vkj_+R(2xron-gPWrR{*H52YE;hY^mk}=O9A3ULJ^7IyPt5!QVv0r+056J82@Hz z6RbI`YAMZ5=++cr84s+jwMRL-#`Ph445A1$2G8$0%H;FE2YrzoD0z8LplCg1Q3{G; z5M)nn0~@>VgTKH=HEe4dB^%QTD=KY<)I8wl>Hi|RorWKx@8=mss_jU$BQ3o*ticQ$4be+?M`5~p_n^Y|sJd*@)GUl-kG z;A})1h#wrg4MN_BXBK#!rxX0x57ZU6YQNaWh`fo}455iW*DpW36xSsA3_irmrajG- zvt3+K*yRQiu}X?ZnQj?=&Tb#RP#Jn(zT$2M-{CCKqpI?M?uexxa<~Sf0;2y6mtK}@ zN)}YJ_llr71lQ0#>Ft?<X|+gm~PZob$f?P zGm}xnjmuGDTZn&7;JXBSXF~3CSWxz|8FU_JGSaf$bM=iDuj)`6rQ-7V%pSnrgsPM5 zmm-5ZHjGncnzQ+Q*Ki5is788l6Y|UAp-Kv?5Z<{NGU$mU$>zk0(hWgT43nIEdc?}P zARCU)l}qX$Y57u+U!JTf;JI`jIVhBK>+Q#ePkonnaY0PzT+(qT*F+~}U*JpU`(*Q< zKpl&XhvsliY5wgtTHsWmbetnZS?h)2XZ0-hEiY*x7V528L^<#jbol9rP{>?%XAz+P^u2^fjOQ4b5|LujVb-4JEK1mIrngEkK|DvuJ8mo2q&n3#tcjIvFHq zisWXk$P=EGdg({HTNMw$y%erVM`yD}WUv039`Ko{K*WA?JjZLcy!?WMjMT_? zeT@ECw1cb$6#_on-zUyC0UDyM=)^mdH?--0ZZ6XdxUL_BMUAq)=-V5juUS)cilP%9 z#SAeFNk;)hA)ARHo=XMqj{RKPevPi+hqA-yd!BQU|nmy%e$ut7Pd+}!IW15F>m@6@s-1uI0KJ6*v5MB zYH<+2fs;uDJGm-Kxuk~RH_Tb|`Y01s7Wb}M2{pf|g`;MRfwr@ATkN?oAG;|(2}Ibk zOsXoK>@nS?aOZnC$&VVXSZ%?7U>pkL!YhTVCiMHIk0^|P)mbATc9L&Q>qBSc`O>&S zcfJOtDI*-c8ae`VyxWDE3grU7ZTs4_y;M;f(8$tp*j&cUf-n~NbbWl$5II0#k=9{c z1G;}Cgs4Sn5%&qXbfhxRm2nL4>Qi!RB-_!N;6vfcuyX;SQOEJN{obHr9Hr8IzAlmN zbo6$u@=z#{0MHRr10&ygfny@&ND$$%nyPh5Ud;~U6e5nZHM`$3gEe2EsJDx0=>XsXmR2zLIcrSMY)1M?!3LK-$Iq=9 zG3TY5R<@Jp(XT-B+*&*(*Bomn~f-XWE(s^ZEd%e z+4zIGALC})vY*_fr9XpN@#=MyoF7umUm%k-bL@HeB8vjEtDi2THtigJ2tqT2VZ%iV zDhaa`Iv-~p?CsRBja5L!k9l@&19D@Mv#fwhUa&?U2kfc~tShPahgMz=jNl0??LdtS zhd?53g2<(AL$XPRls9dQXNrUn&Q!50SI9-uDpRx#?RuAtV9EZN^P~?M`vw1B-%P8} z4UxoO2WBGtuEX&jD;}vW6CUQC9B!%CdrZ?@g;lXsVwb?gsGjEBm&V( z7=-RX8E~Q=Pje>I+%jgGsMKG(tkPL>A7QqC6~u}94v^oUMT=A(07rH`ltD?N^M{!3=2QWs`TDFa$ZrbJK-OZsAj{33tmF|#@Q&z>@fE>m> z7kebZztLE+ZzC{GNm#Q!jxAD}6u&sGS61W9+m1u0`}gneuiPk;nEA@tL;1&l73z^kUvF>_JA?y;+8R7h!x|UpSPBA7b7N7StgBGR0wsNtssM1Rj2Ji zky*3w)F$b*m|-gWkyJ3jl0>wBbze-Rq zwu2!le9Vz$X~s0!T@qhFXU|3Qp@peBKNofUMM`^8?&m@w%Em)A4f7t!Jp>O#qB5io zOlZom4Zw|UK|~5w^$V|x53$6~C2;gxtLhO7r}!5d*E1N?*qwva0h(+5&9T+VuA2CRoPJJzL zqcbn$Njdb~NXm24VK;0BTb~q%pk77NwNtx&{yEGHeBR{yzZL7ECbRGW-#dy85Yy*Q?x=#qTGYXuq=ln!QsXBE?0cfkAXd1C+mD!o7zdVMzwJ2j8r6 zV8T#7U|@`uYry>L%qq*yDc{4#4*Uqd_HZsKfK~j_C2L!%=5!po^OfXOp4q01@mN?s zt4|jI%0mCTzs7SOHn>`ng~uI>8I$F%?@7!OqtMI*z*>=Fe|U_Hoe*O=PLNFw0;@NV z#sZh>c7tw9uRmhrNvP?jDgrknupf(3j9531{Uq~YPeJOmgZ_EK#OUf#gj%J|<_thw zq}xOQPz0YlU!Eo*N>@Li(|iEjGk}*oA0t&y#}oh)Q__0=<*|Wn{(H34zN!QXeEB;H z4Pp{QD^9;R1*~C2U7Vj#*9Drlfr38dx3YvdW;BVS*}E2%^o*JR9iz zFRUfFSMH#=9_cQz!Sw^7!s!Jl98&D^46&;JW%COV35PtOp^} zUq|7lcymwJoMwzN29RvDPC}ra3Y=tbvdjU$(w_FB`3Ln?6i`&q%NJX3<;yfarnWzEucEc|tAC zy9{b{`BT-C%Lm5H5wIvjKa&wXwh+;lG8p2aO*RMWO_i}$3jYhr&hh{Jy6pdopZ_bG zas03MWdBos&c?#Y@SphkmcP|=9`DXnaY+*!B9JKBJ;GKuS+C9Z z4zjSkpI)<>R74^mt;!Q+6$p+DUtG>`%2s4XV3%_Y0k|a^*F5)-rGo9k7tg^#s`(ZT zMe?Nm9J8A9uY^oTS5y@4tIX%Yd8)c*$XGqz>X?3VSAD3I1b z05c%gH+Lw)x#8s4BgKWGjFdImeyxavzpA&}fq*7%UWTOc8w-{F>cSyea}XQX&y|kk@AE9db~42kNkoR_>B?$I^qZ=0g!2)| zP3_zC^tuZ%W|@_g3ImpG#SECJ^qca}Qj9FOf9_V0c@PUL_>ro99{vu!5B+5&!_)ag zq?fnd0tT;mpk2PoE*>3zijd^#k%KsF)1323VL!g9hr_v&xaAxm+^S2vv?__ zQ5k0J-O|S{(^Q~$H!0`i(HbVr4*32s^`CHTXdR4hTf0mK$n|eO<9H`mrt~kfSBU#& zV7^d&4N%+dctI;t`C$~V2{IKYvuBvS@B*NYUHer3yn^ZL0-U#|_nxti-(s|l`ZZ)L zHF4{6jmODyJVx^pknEq;R*P)7Dn~;EY)Xv?s$zcANhfmvLJay;@7OZb(qL_9Y}pUxGZ>7+Nws8s%QG&$-Bz8C-tp&#)p zMxs1SI-wZKpbF|>A9+Qi25g488GkYJUguGwHk1T@17$1_T}|kDJxk)ZX%qQFJ$ZUI zxYV#PbG&^F{np4mLJPeCEiT6z3O-v`P}H?!4@m|wYQ)0QF?#Anzbk8Yh8y=P2B0u? ziB(npF4IM58`)ix#22IBn$Wb#skOiFXK0~^7*Rq zBC+sP+}P7W!$aP+CX2NSB!(Z8gB^uh8S6YWM^9|}Kw)-6B|S03e!5h*`U_{1dsgQw zi?<-(S#xnO$;9l#ZD11U&y%VHuYdOP9mehz3HnE1%i6xI)A((AIdl# zL!(U0mnCPo=n%|ZZDFn}yd|#E_^q>;Moj{lF$|bZ$R)*PZRQzD6LrX3V62FtT{^Ne z%Rd⊤G_+lkx*Qs0Hq9+A1+Atw&XIkw|R5;OWk9y_G4b-0_qPYV|L@Ifm8Y$$ml-`p{{pK~P|`vJl?>(iO_ytuIjj&9T{ zDcn~aEC~8-gda}TCKH(VgAF|;vv@-cOQ-binykd+vkpj;E=ELG@)+&7davp!Px4Q? zYKd6@%#kYD8n6&Kf1X43mNMJre^>LC{374<$1ZY`Zt^uFOU77qa}Fw0gzU|_x^iOX zmeL%(Uw$kxPiwVt%GB*fh2R$LCgh#t5Ni!B0g>-mX*3tx?)RcaE``wLHa6a=!WYS$ zQlrtc!x!l(>w17!{Ad{%O`?e2CaBv>Ar$gxg6j(WN+pIIlCvG zjxe&@_(v75xUEt}L1?kDg(n?i=$PnN-7K?C_aAbfV?>Jx-*4m=gH&Qs5ScabJD4tqCU%J{$b1-A=jq@NR+U^#cmowt%`? z@dhaIjrH5`$s0N+vticCqT(ba-GOBHabXSV!l6$AZsDa zo@x9Dwjv}1VyWcG)6Gm?HI3)P%4iL|*SWjJQ^~F5g#W{5Cn1q2d{KmBW?ixUNiKRt zeUO`hH*@Q$flQLHa?sKsXYN`Bcmb(tLune$Y3X~gWHhXbgOIA^qJj_J$ZdBN77nsN zcnra~oqWj1-o)L?Ok>QtE|bDHAbSssJxeGfl*uH{of> zWl8>$4d>&ZHauX;OrGu>-d_Lt68lv8N*q=%#gaG~mTn;jQ8VMM6cE;_hC+_Wc*!1}$i^N#T8h`B(Ga2~; zx>1Sy-32Hnfv*g+E^#(urf;X2c4EaF%J>a0Sk6MBRpz48ygfgoOAwt6jei%xbBtl6 z&99i>lvM&gjA)5DZQ5hPYQ%)HY?xDTuWv0;MMLU=NbXg;{{efJ!*hn_C|b9Y7*s+8 zavl&}G-KcE+>Utti&kf*c5ZbiE}SM45$t9a#Mtr23+_STnc_2gP=6Wty|J_}354Ceb{oB@PIhGj&d!gB-1`T&ixnn1|<=-%Q}cyZ$Q5 z2j_?8UwoE7)z{CeWmRo=TG!3%A!g+d_c~Mtw>w$bOkNmcMQ1~K=rR3wMI7%RBF+-5LE_AL6l z%E}+Mj39;*%Vct8Vb^nfNTQ~Y&e5aRurthGKdqB8_y!G`aZ1S>`F3%7FXwtPMvSTF zt^65i{w^ZBb6;SG%RM|XxiP?au*MYp(QZBn0)Orc!mH9A_JgrvvnYmbP@yDM%pK~E zYU7!Yd!RVvCLwlNjdvTLdzw*faFW7f;lsN8k!YFop4?6 zr0ns1ZqurjBQueIBZM?Ud`>4dCA!fJz$U<9d2voXyk z-w5R+D*Mc!#Ht6?$E+Ed9Lmjj>uUjXld#V4S`^oOOV5e2LrNdSXUf;1%7twp1F(yGODb%yd6AgEvV8guMXpk3qg^!nK2Kcb`K<- z&L5`;y_`+NyJSyN68=ms@nd0Oz>;f!CM=%!>FaKprlw|8IEuTk8%%?+Yzi4q$xP7I zdG>@j*+7>m9VD1u&3r(KL`0Trp`4nCZg9$jj}QGK>Mq7k2lQ}zThz*up!-McIZ{HM zR4fdoEdRoiu3fK;B0KWB0>*-#eIF(<^u;+cb26LVJm>YxeyJ!u z>>JvlSLm%4jO;#?09{QNNKw|nQ%KW5CN3l%!wG(y_L8hIDL;K1{(QVz^hq2SE_Jny zfk&I52LJ&429bM!@6U-~9r2+|>1yHuViX?cAA_t4W_+&?IVH0epH6r>PvaJ+L@L#F z=db(eV9EN)Nu<^uK0vIMzlaLjbIxU3UTUp5?e-DcH#ttL{8&f${KpP!O=QKtOMqPf zYuqhQ95-6F3ZU6~4tSU=$wr%Vxu?E8YcWvfyy^Q!i*8x@q|>W_vr>N@?2_+s5}9PU zUON6oS8P!Q-m*0rr+%@})bz){$5W-^4*fM@Isv!(1;*+Zy-?n7feQM6|8`Ke92}JT zDp%BEaxzOf3qf3{@#k(W;SqALWZF;KJtOR2bv>3EHlQ;=CxC5~K!~es`wTnJs}V_^ zZuiBN51Vw(M!ccD>r+!jc?CqbS($T!TruA4N!?{DP()Z4I_vW_j9eo4L0?<%OE^% zb7~^W)00`ne>1!=HO3C_;c? z1~<@s6&(*Rr)wHe8YZRt>P)PTi3RI=n|o4JF_%b36~18`2HOx5QRa>M)QM5;NHC(o zykn#pq|vdV*WevL=0X@fydmM9eO<}5OhTi^!+D$Pd|b&d=Y_u7pPB{9X_@f^719=o zP)NpAG7*Dxis;%Knj7g{Mru0Ru=76IkGemK`%_$wcXExd#Zn0Y(ZsY=|9OxZAJd52 zZxz0#s-){!GW_e(@(qKh0m?)<2pDoJ=BNNC+S7TU&XaF%SEAs?Y*SKpgLVPg_)vo!=ng&s!b#lA%Ehmv%Bz z^&-x4Hy(%eRzV@(B2}122Gc-Yv?vKT$YYVb=IZB~LD z*#e`W2~>v`I{Dr9ML1WXn={`U2uYV~!FIk{8mok)R;M;-hj>&=OP# z>T@}d(6>dqlyrrM8sT7K9VK9u%_Nk(_qpm?Jii?4zqG;)g!*qb4pVNGN3Kn6a?dLx zN4P)AV02=uL+d0Tj1Eed3UD*31zZinSkF0*-Kk5j z%}{>j`$KsNfc>vVr368*7z7A~TMEz-`=WxRFdMn{!5S{ZG zsmhaD!WO%qD9D!Nl~~>mBX>?sF6Xuz=M-x3SG$Yh(c9@{*ICWrVdVNZh{b^B$c7P3 zLCX__@{eoVnK)@C#-&`g)?W{}mS&l*wiUday78J6lgU5sGk&pj`D~$91C|h}x1JuT zs-_&f}&HnGLGh`w~4`bze~!A0)&9GCs5ihJ(cl6B7w7RQd%fif5`^{0K?_ z8P5_q@U24-&CNy=Mu|Y9{7@xAU{k(i_>QzUoCV@ZN^T7A@^OY1Uh+ zWFDDu3Y`4G7~s2wp1}zBL_Er(`O|(p^H|`f%3dh_wcA0VvTRT7eOO7G5ey5t^;rs{ zI%{lXE8P8Cl^aGCx{Ec??t%~A)nqo#4ie}pNlzqs@H^>0{q7?0#g2&vV?gE>jo@lE zGE-UaV8<6>MBjt~J*H`{a9)g2_JbJD3(8#<*M26$_BZUPG2iSxE=xYRH?im1>W80I zXia5%GZ~`foL5f2j29qfilg-v%Q$K4U%C-@iQr3MCw57+u_xlf_A&^R3t0=2m7#Lg z%Uo=wtMxm^3TzUH*?8cCt}=Wi8`xvLesu7$$9HHqZmgt>;IqvRz$Wv5UeQ8f3m0sp4 z*}XihiUCZ9cNd+5yWvDNEUjltPt410m0lEHGeA5nnzr7dcp~$cpDAv>Y;Msmrql?! zNvd7Wx?g{AASQPHZ0*T$QT57A!uP~csO7smKG;+TcelW!X0WVoe_LH|I>ob^=vAU2 zCSjxkdf6L(rzFTn#*Blm z`UoYTbjQ$L@qd%Ek0(Q-`6nXiZoFi!UOos}0sXLqvaACDQvqEU_KRLELcc+A7tBlG zzLNE(zcLchUK5s|-^0$qlN>*m^AX6B>UWRO0-xo7*ayY$CS_j9i>q8)lM(j4r`;+; z7Z`I`DW>!S^m$0`DVI*;5;adi5#OFxak%d4&r!S!ZnNH90*~d)sKZrExfbI|RDmkSV-HRqMZ-*FAubr z_ZNzAz4uX%sppy3d9+|Gjo|G`AL_7i4`S}ecpvIcnBkzbw%pp^O+7nE_aAGaxT%-u z8Ey2(SR%mnbhnBdmhz*$+-)MzxnafF^NSAS6*1( z{le4cE34SPj1s_+)6?but2xlT-oZ@63k$J*4=s95!$p^eR$#acGuiMQQ`AFTl5q3Q zGpweCbiKzv0FeGyiPrx|-h=41e_ur=;|GWDT&VTvV|Ct4Wg^~3?M_PMo zdC=bE?8Y2&MbC`OZ1P~@znn;Aq>~-hy0ou|1ob50mO$263q$e|UVVzo1k^4u1fi_F z<<%%gNYDkeQ{;VA0_N#`uXpe2a$Hqwj-`1;(px?2=0IhhF{so4^1&T_!hA(cy-@5Bnn5}2 z-9bWwik<0OlQs5Aeo_*T4>k-6nz@=8)ehZe(QX$KAEcnV{8)kjj?%hVS*AGflCU_J zG6ROl=T}!`!g$S~L(k*Zn|4~L7CR2=!uqqivBbDlzL5X5r}wScOa zcEgk%75y+z2)Dg9albqgaaTC3!g zCh91|5>JIG;is$2OY`J51CvyRwPjWVGJ@!; zw7&~^RO*blFZBa6sX+avRKYu{ZH>q~KFG?K8zUyeY-C-zRJ{eY9@b`$CMb+XI8HDa ze9)gnOggdE_oNpsaBhj>{u=BT&wOv53p;$(-^iF~JBr0{N|KG*!FF*859DcSBvcg* z#$!5KMj`&xW6t|Z%jYdBya#YP)i5yp0=J1NUtj$qIK|HfSTnOJXDG>l!y+v><0qrb z)q-SQX_+>v2fPUWOain9T}p**BARvLul3QCKBfZbh&u7F?x=4};qo0=3;E9up8ov+ zMbT$wm`q*ROia-zoy*teQDy38VAEXh?pOz%C>fng%%eFoo`}zw)zAk~Egm6AkchtT zs;+>xi;R$S+Bz;%w(2kY!`m5Il|d(bAisyaT|<-po2>_H}6C};% zxbt>k_t`*fA}lyLOcyQ+QG>{H0a{=6OJHP^&$xrK>05nn@_AoxI}_DqnE#!wIU6&)#C3{_PL5A3>XtD=eqpX zU}^s6d)uW6*pWGB*E*SC0Ab1UT?oHBc?_m>nZ!=*COlyfGj%2GgQIi!uBylhIcmO7 ztD8@kFdMI#s?7xuaOTpJ^d03O0z4;NV8}Pu-L)_t2;c_fOamW`N3ia-m%15XQLH^4 zvswAsfaYcZWx>ltzN9`*uU6piotp2{?}+NtZT&l zx8RBlt`R(@n~mtOSMB;8J%3L;B|G|_rK%N*?vc67I%Rp)0?GD+N!d^Dx55)pfut~5 z*LLity;W-33jYFPc}1_k5mwh5fWAA|55b^rmJ#!ch>xZr3c@WJdfT=I&$T=X8I*({ zCPSs@XENC$3}Eq$z_=?~Ojrc}N=#|iWL$V9ng&fD!BjmmIVw2`(TtqVgro!lWpMTo z4yJ^*E(E8m3L2f^Fx`Dbq*XZyLz}V{b9Xo_#_!^n%h$jN=4B$YfB2T1LhMYb@#=?$ zT`7Q^U+2z=bSW!t`Ko6CyShN-im-uqq`E)w-&P4* z+oe)%9p=7Wm$|a2?J1rP2B6$UQe#uVDLH?9!8iI}WjjSH^Y1lor#|*28#9D0lz>hCX-^%_Wjkn={GcOvDF$B^bG@P#G9XT z=iKlNf8D3d9?ordN5lXwh~gf;s$OXSJ~XV%#tbfK=0>h<$Z7Kj@=Cq7`a&U!zNmvE z0hiG&ULy~-5U)b;FHBzVPpkXkri{w4k+3P~3*7V0#&RsYVrsGv3?T32T0M|{=9(oD zlH$iJLt$VnOawE0A{!#VCe9=TKo&?SLEf~Efdi}S)B6Kj58g=}f*ONL)=BO?7w40U z>8UfowgX~6HhxaxBtUqc+o0LccjymJpq`hJ(2XkmDNvPD1uta>ESW9&0g)it-NR0!>?4F2eb4F2nLYHn zS~Ywnzh4CIF@0@Fi+xcA5W;e_^be!M=N&^eG3X5nkFZx`5D!wiGp+%)j1P9KJyNMn zPfQ^>ulk<2G*VFI4qr#W(c4<~oHU|lPGP{U`MzGWQWqTO9Z0G;)OImM!}gU5LfO*% z(X9B}?GejJX0j9@N@1Ik8@{P&JZiLrKlk^kD?$*ek$z?6!)VAP3+P;M6p6b7r=`zv z{A8sHrAIwVtnP1)mpSAy3LEAh!c_J=d!$)^kV`T{gxzNV$_66A@ivhN?V$}qJQ?e-1|bEA@6VffP^=D( z=Y^?!IKtq1hv@G-aQpF-$SZLk|2_xvo5~{>W7eg6E=3uenMJ`BvdYm29UJN}nzRrP zmr6|9L=lw|pEj~5X{p$h$mpnZ;L5)0+KZz`-%1;6+RcgIsOUvyE+^7BESK!9GI4YQ zAij>u$rO5F^5n`g18Qc~o_6_jh5~D&X^f|0Uv8ZW4RTVek6Y;2(20YAc(|Dbf|1Un z*^0kNAdQ)D^7BsG`NI)*$9O+#Ba;I=-_~F}@0N3&9WYHXNKE8f8FZJ*iO=(`mj|t# zN|syJ;3qYC*VW?g2Xw+`${uRA+{*_FNGW@G#baO2@O1{4S(}CkbUyo^-HTuodmJKM zbgv!V_X4vT%d3RHm}CAsV3gPld4@@mGWa3QQ<+CVNPrX;%8r?~gt7NfHarSldZJpI z@>$0^r^mGbBF>2>`OIH|d%Zu;3SR4F>>B0O^KyXERIAe_<2~JtIsAN)2_V3?u7^Wd zLZipycIN9v2zrWU$y_{`t^RN}b3!JE2w@Hx$IL=A)YB=`1&l`US&Z#Z*tH&2_wilH z*=U>SQ;+yY;}H0B2{%yReP`zH0^XjdWmIW@?dvE-#6K<$>2 z3~-`UDh<}@2=b-^X-+DW1r$PCf*O`<=5QmCEnco~)ncKSnhP=u!o6@DC3;v z4+Ny)ysUYN$}hJ%TeQ!LLke}`42jOn|4`@zT6AE0xjDh@yJ)T-*0mn|Ddgx^Hff7b zX)?~&D8H90kcXkRSX5H2*VYzyWbQMX2I8yJl}RiyJHeh=o)Dk3|Q zMpqgKUMKNGCKP#a&=Tog#qB3I;KJI*kLB74TY0-6>@={pB*L!2e3Yg7o1y-|SBnlOJ; zfWgxSf8=0VEXdQ6$8TGTPD_IU9vRQN_NU)W+dWK_#$JL1+HUVs$GAu5Z~+sy_ki!F zaWGPHu%vr$_1;}3MFKr^^cZ*WWwbX<`#&KO^hWMmQ3&hI<9gYt9J%4jL#N7(P1A@? znGA8VeHjh?Yrk$>1(1cX(2J2B{rS;ETP#C47wzizo^_C0gUheln zy@O@28>|4|DQuetsvyB2L=pxc0EpI>EYye2|NeYObN^(T-a~(76Z(_YQ#}iZef|94 zL}g`$9Io0C>8)$T7oX~oJB|CyItu0O{7i8|WRn_g0Iu%Yl0B{xjRo$GU{%{*UU zP6H35H_&5+~WOU+@lAEJJ>sypf=Ag};S4hiUbm@KtbAW`yv zk_jYs*w2y&ZQO~PF7jUh71WVYbNl%NLAY{%gmNs*JL|tGh??9#E)_Y7>BPt(5gA#X z>`{gfqGt135f$7 zR9Yi)h$>j1Uq}5C%C*jj&2caz$VBygjlMeLPwuM?Q>dF-9kz?hk30QW=Pfw?AJlyO zCuhfh5SsshE&s#x@xSk>WMUv-{?{S?zc+X=u>WUVGp!Ye5eM=-PCz@C>PjYuwm3Xj zZjU5?-cDR)sC-m`NF_}NX(1Rpg$(M-{@$jG<*l5JeXL{!ybKZV=w#ZXvFWhii}vc< zC7(lhQ#C$Ea%+gy@4~4BhKysqr=hSXQGk5#2w;SD^W4jwDN*|ivJ27fz<(jMSQjDP~14Cxo9bOV5SIh=|O?7a+ zDZ^iN0sPI3Wwt6M=m4$D@!M60zza7RYPUCHZI7p*zy%AL{yhY!UY#e>>t#D5%dfGp zMnfC2T{QZNgeDOkxa~=BD7K)GmfCBcoleNNkko>2YCk}az?ETrBTwX9$x1fEq z)-Q$DbjMYw-oPMbM|6^*zUs6Le)kF1iAGbi`uCJ~31oL`WSq&zj8ep8XL;H-W>d4jP+m9UG1F}Uzd0ml1q~K}pLwA@ znUFHbyHKyAAuBJOmqvPC2bA)xq%;1H7&%Hqmx%2su#2zLz*Y&L@k0=f1F`vBOj*c6 zN$G#%?wx`|iMqAjSSz;etk}tlZQHhO+qP}nwr$&X^5@%CXV-tRPu1DCr!VHLYSirN zyB_l$&(m$c5o_o|9}2AIV*>|3L&Z|SVDVU6;s|_B{0=D|Ph3%Tu4*RHsNX;yw&k_->Y;Ub7aJwDf#m)`wOUiAk_}haX|oEzi23Ve zx#Gx3>odLfICVEI=>>mz+Yy#`nKEGqDZxVB6d^uG{g8cuhb!-^AJ26ws`7*o0Nmba zNjP8r)k4I0j~h=7Wr!mYG2E1vm?U$#{I;*{Ch@2tE-Kj#trSq^_zKio8NT!`@U_kj z(Zf*rGubogSh>|Bp??I~^9MoE4UgZW*4sJ@$VKmilkl(g(&PTy;+_=T2dAx zooS~s>q`2lo%a!W1eLqEB9NFy*WWJ8K7*cNmE876iUEs~Q@VlCQP}Z|*7|(3^k7j# zWwOPO!u^ZOn705Ikhy*I<8=TFNPv_`f8mt}b!a_F-gyJZ$foi~d?70lgFz12^#^HK z{tgq?qEUh&mr6nRes$yq;*7|Db^{JA1GsI*b=aVZiHAUNrf+^n2#Qj4l9YuBen#zNaQ{5I#dbhJF$RMbuQlY1(B@{_+fTOwQcB-kJtn z(BVtEdWSHWDx$_5&bUM6&#B$#G$o-|m+N4)u`>F~T7-(y@bQ}sjUJzau?gVMjzT5_ z-!~EAlreDe*#$1Xbk;4vQSkg&oV>O0zFv2+>O3_~9DcU}fLdN<_$V!r5F|EL_tc#+ zFvIxZfS>4h_40s)z1!w{+9bj<_N)tstMuoh9F{X7w)I`V9Ty=j`8hHe-_X&Pl%9SK zV2W?aQ_TFRU!*6649w35iWClWI;e7v(iN{j6&m3FuAx$?Pjv}!WyH4hDA->R=L2ge zPmm22C~#V^cM?~;DooY@=k zqicRJu)Z-EUJi3HL-{helW@f}6plu&pVMZ-Vtrk>*#Wk@M6Sz8##BDW7!O>eD7ZE` zI9F{#%<|S5zeEBt^{oeM3Rh}l&@lIl2nHtadubP0hbN!`%L&aCj&ajY9rf^;nem!c zz%aoT4d!BD4XT#z24nEisdE~Z!}Khdja%c#~B!Z`dt96d^by) z>eJ;ffoQDX`!{9AC@M6hSZpUZarI7|L1B85eH#aK8q;eFD}h3y{13SkOh0>mUj8j0 zHBmTF#7QT*iy-}>cOJ#3<_7U&N$@Of?Bp<4zLA3<88%R%rYH|+m(5)BT4hzkN{yDp zQI$DcFUhcJbyh@b7z8TDV~S4_dGhcUzGPa>r>RkaepunGIBP>SYT2_e>#jb>#V;=R zTq$`H6k|)UrmNKRk`K zm1ZFl%TXcPy&f&U5tVse76u-Jpg7!DOG@2-u&gQnF$(ZP;TtZN96z_xzN65tUM5LJ zWlu{2mNI8`(T@1|db)(x5oV&}>7y?$R+@{6V-XIKAX`W|$sxJ16j1nKI;+HVt>QBE zSX&B55on*a)e(EfR5X+T_Rv=dN~Xe%v^QV@BB-;=$)B?yH}HS357hdkJX@KpWk`sG z-_aNm`r}2*hZ^+*T^iIcO&~&j$08yrF;JLu&R-R`moOoH4Eqx)ny(dWI9kvhiYdUn z=KOa_V$fKS)+g!v0Y_fnQg5knMF_5FAelsVuR9S6lqnAfq(@ZdjU&YIm9&;oYNeFm z_R@w#4pN7^?s#c$Ap8~(sPG!qR?M{gbAPZ{nfTSjFwny4C4ss zBdHsIQVV9g7_}9Gk*3jJl33>3qh_xY05U6YW!-OhZ8}OlMqHHQVZp>;K>jKRxI9`y z3z*LCV6Q<#Z5|IBtO&kWn^GO(yWO&CRPL5h1D=Gi#juwHF-i|f%Yn0T8D4TXs=kaW zmS}IS;^lIKBEJ~oL0-^P&Qd;p$RRL!@eZ+~HTPP)`R3o%`U6NxMc%XO08<6lpO%~m|`B2S+%kyp~mvL<3i_MHz|bn zHpZV=RjFS7frKSMk}9R(1VKbJ={-U5WBBiyF1{)>Pdem44c88kRurblUh1=_ucLcE zc1}Irfh?v08%5nV9n(9wAboZ@qExQj#muQ`(&QmweH4X%H6H%^i&&#~UYg{gA11|g z4PjI$?`GH3d!K!t{BqB6jCvE^t@&uyr?4oe_0eece=@hdDg6cH>rP|az7`r3#xt)6 zlP#T#D0F-XbHl2mSucxgTtv4sLy}c*?i1?)?+4tKuVfD&X0QK@I#fa)zZ;EO=h_8c z?UYXRI%Wbf7Ff6~Og(@2Mhl!0`l`Xsz`5y6f%vvB;^B}ZuciUwVWJ!VV2f=0t23B- zjh4h8g&xwtLJZTdmGME!;&(6JXtMiP z!a1y@_3%f5P|q-<=kC_QK@4na++^jf=(&Uo>qIal=OdVnj|#>;f!L3~0Y3nV2o&kp9F1+$6NYpC-4mNhmVR^6& z8}~Rk8eYr8tY<%OKMZ87kSjNeDPA;mOea0CtmIB|Xy%4kPAo3tt1(3)J)Pqjh zD!6e2GVG*l6z%i9LMhX}J7!d}Fw{qQv|o4Nn?bPnx}8)+C)0}sGftpmjv$A~3pHKR zDv`|AEoEj+cEXmlz1uyyYen_u4>+zlrPwS1$UyI~ZXC&sGi>IOs^}rl;fY-~(0pZYE(;=D;AoCeCMo)AR#wa<-mVU|2r;q5M{S><{pe)?zn5f_& z5Gknx)cJ3Y?N~}u4Jg;wDL5*@bg&b1R`dj=a;zeitVT6Z5%B4=DMaeR=mM~MSl%aa zHlO!hc*?RW3^xyh{b)EHE>XCK5Q%E3lUkeUtpB=-r4A3cRl6-T@gJiYTv*5y=$PX1 z`x5rr)z)sZj?`^>9zg&Ny2Pr9>G(=A+=Ltr98J4?5akJnGFJ*A1Ut@6D9MPH#>MKl zSb;MomazD6k2j zilphlhjEFZVolRPHAW$elfw7!67avqKr~2&hmzxaRA}1r-B(=9T}w4a%3ADqiD<54 z=NQy7F#|gnCB3=_%KG(mS`!a(dBSB~%J*dmB!ZmnD8f8#TycEtcR@XsGEu{%{Dvndz))LC6QST-a^ z3&1Kjw)QhN!29RnFzlgBT^h(8PfEMr4u4u9M=bjLwyYaI>RGIpGN{@!y{#w2Hp@G* z^kcSZ3Hk;O^=t!WS#*aa0j5p^)Z3w^?uq3W@qHT~7{JytlzN^m?s`U1{S6DZRI)MO z&ZY}uA6?vfgPay!5&OG=y^SpfUUwRz4|SWJ%CCmFi@A3rE#11lltdP}&?kvA^BkjF zR?IR5Ef3;Z^2i8}6yWGgEonxTWR^(x!lR%lP#3!t?$F(@Lai*8yPyl1^)!7U-R&ir^Lp1jhgk%C=7+(Jlay(r*iq+R25NQj}U~(guaKU33WLP!q@;|6YcT$kq;z4&+-9J zR6<|5oUkTh< zXV4n(UwdnS);piXfgL~f)wx_WqV-HqXsAKyl7kdEZ7_Z{t}@e=jCnR*oeQCtUHpw? zAbZxl!TkRgUw5A2{PJm__=4WrQKdS|f}S#$b$csKcmriIU!-{B_Ykd;2J*6I z&cFzDjhi}&n3mYn|A=7olmJ8=oME}w;WQMnc;Wu#IZ~~i$@>&4Qv9iFU=cgYM`;Xz zREe%=01C@MLq4pUmL<4hP8cAAA+W{6qv8V5Ow?bMfMOkO8uSa4yW)t%U_MoXv3car z)&n>VB;GRm=yAtG72H+8u@v7)7jy8?BJ2sILqj1$^(kCZ)Zr*xiqOdUfW(rTV?EeUcMu###rbP?D9YM?at?YL@kXs`e|%*8=@q_rnkp96yHG@n*fgr61*}zcgwGIrw24_?7EvE;1<+^ z00ISj-_KTtFho0FvkuxT8zS|@7nEb5^`M$!6tTx^k<+zG4gx* zBRXic$i&Hp>KUdLQ7`9H5z_My1gci+{AA=dllDfz9%eI8)^kaw+_}QaEJHpZSbgSo zZ#AHj0wz4UZ1PcSQZ3wcJ2POMHlsoq4v`aX47;77tGNTk_Z`b&jO?0DlnQ2FGbRn0 zwIM_iAD_a`JA9Oi(&+f_8Z5Fz30D9Mn(JKI36j?7Y%Q#_*}F5JcfHJHJt$$Gr(8m% z`!u41A(L6R@iz#Cn<=v(;3lYy7hD^o#yR@Jm6PNscDir>E5nFl)4UZgufS)^mbMNfC<+z!x($0+%cmb-w3 zEb3Nl)=IfhS|R7cKh}1c2~eun%dDlz7$sBj)^`RGz%@-q-O7`PAIoTrf<#etls%k_ zwk*;xO0CEb5O|HcPsEBTM`>N1`X8~&{^QjU5HO*MhF(FXj~{9eX)?P^f#vo>q08xo z?5JKbg@UK9qaROR;LiUc^~U}mM6iv%In*!WSJ=$b(a0X3R@m~F`73B-U}I?XiwbtL z{T)?h^v#V79HD3xob(<4>x{6Cy%iMgf5!j1gC2^OpWnt6UxSW@?zjB-pMiz(za}>N z|4hHIVR<758z*~%U)Jz{7E>_QGc>a{`43uH!Soj`%=F*bVF4RU8+!#?J%j(^4huN^ zns*rg`=YRowc~%DQB?fRulUUL1y9c51t8C5 zu~PJZNwUZ^%#|&dCifP;c+x6K8n|TU8)fn-?H<45AkjtE_Z#wu%%nusV%^}0P(`?M;2sjML!;Bv^z$t*DFo-v@Z(csc;itMsHfAKR zu>$$zEvjQkZ<(|J(p8(tOu9~G?)@`L@V#xs+XX>lx(wKP1HeJHpTO^kA3|q~IU9hr zIwXE&FgS6!qTo|cg@%jejW)>^ij~=h>2i@8T#;ZeaoQTJ2IQ2Lg74{Xesu^w6?#>9 z&_>=h06?$B?nyNlZ!9`^lbPELM480FB|(hZ|#aKP0d)1#xyHJy53LZ(%pa>rgnu)u6KcZ z+6+Q5A|Z%;O-j_F=5^H2(kPg^&mgqZh-B>j-OiNMosK^u?GNG3&4)Ecw8Ytr!euC0 zV`Us(+~|fSr>2kUGk}d?&1Dw^Z!^S|IGt9r118SU>BRm4Gu;Jjv}B5p)J~khg8$t4 z=c7@mGR+RF{c^(JCoL z?m)ufSoPRi0)jht&PdfS?=78BIL7dj2~j_4&Jqy$B_lKhOxFg!sL0mr%R%#4g~daIPZg_%|gJQoR9vKy>dKi z#d_U01N#j*;wep?7`pk(a1NqDuIEH43YYrkKtM?LncF;opsag#PZx`a=gE_GzU$|W zdF}!EIKc6)Voc#G*d&MBQLSl1T(376%xXjKSXFujeolh@g6{+*N%RclzM2fa4Am#~ z8HLaCV(V9e+sV0MesQKg>-5hp8XOFbC%nd@Un7GWs3%O5+Ts@n`ks{3Gtr1;yo5v<6(dm&zs{7Jpm8}vS9e* ziMlkl+xJWW@OO9BSg^5_>WJ3lx74{las*r~>yf18t3>bvi`2(yFGhlH6*M?emw5egXh)S9KqgR; znv)5Wa3ke)IRNQ;u>P{`sNR$bo+cPLkHc<&B2EJnM?>j6YK>` zQ{P92h)B(Ehdd(IY@ruj5iIu2h?|M?K{-rc-^-mFR@EcywaIjZeys`aZ;hY<#qQ=~ zOIZM18{>JA{3S2TLzW+OXa>=4kF*O@?F#_aX^mM)HLBV)k}WhxzDjmtDY$oKCzuhca1w#rovn>Zdu;U|1*G|?oEaHvHWpjq+P5!AP|8dt50U!~H7CBQM z0CBIB;by>86%&jHKq4OaC*21xd-w}DUq#0_K4MG}vmZUZY_YkvKOYrmZ`uViYt9CO zT9S&%Ha9CKxsu+hR5}z{t_Za!0%`ZZ&E7(WjmFbqFy2Wiv3B(*gvp}r3tTmMo=Rk& zd)w=w#3w9uh>(bZu5ag_l=7h4k(Cp=@Ndbf{+ryi2*OvmfqwI^(WTSEnUll@8expB zC0I^&7L(cRdt?xA%&p8QIu3i7NF}L;p{?_}GWSv6fhp)Ii8fS!fOTNCXZN}*w6keV z8P&m@PnY+z-&o+PX5!&QeXhZoUmOgO#!v^5WqD9qwwbf>6u`vt&5}WJOL%Cv1w>8- z#%Coj878sa#Xo7PkP26dnc`z5iiFY{jxu457+-|VN0mmJi#$tqAv$i>#cLD%tDxL4 z9rdW=ogE))5NJ7=dnxx_>%bbKMe~YgZKVrQEPrTk)Rt|6nVn_`{w~g@!6`;<7~EWW zQV>(KkIdNoy@ztFGvaxjptpw|ft&|_v@y_aTibdd5JbUJbl7~Gi4d+6wtp^4%3K2Q zNTNA4nJwEi7o*Dv8yqdyH+K-o7nC*fn}EzI{@>pTe9Kl9x%o7F45m<$1_#)&|;}51c|d6zXOG5O{|MKUTMW*j_8i;4!NeQ??zCIcw@t zOO|K9E7u{;q;y7Sv6-4g3O7-VsjwlCt-#&+$!VEOr}X85TCbw9p5xe%jN_*()4I`K zx4?l<@TO1w=;C*k#+_6OyW=COx7fQIa)0M!vZZaZ!A$CNe=sv9n~68hpR4e1H0Fv( z90qQPS=O98e-^%f*hz$#5IsXda9TxbcW6q-#| zPwa|| z87iP

      VR?{pKOiZ&nvm_Uqh*iV;10UfnxDoF?BUVSI-g38 z;@0aE@MzEQcxlNwgy;#yVR*CDj6WSk5xE34Rg2h5MDnyuq4P&Z_ikgP_g7)nrIc>N zS1@R&@`lfxD75y?JxJZqL5hKDob*{0C4^8U@#$V+f6v>Oy|c+YKG#>qEh6A=E;l>v z2FOKq%ZepTjgXwDWqs>8Ks7u%6OpY*p9(x?%^8_W8Q@;vo_&wbGf15wI_7QN&g-A* z&l5~<^zL)>wo-wN50+hz`&!gZ%BiO z*oq8za<-yp@Y?2P^6z1#DWzSRTCM;2Ml*nd$y%`WSNu?oVSR-%Wk+SC^Vx3bRa2cok{@C&wR6T%;tlh8Eatf2lC0X+ zXF;Mp&M^8l$}olByk)R-_6BfGqMy{gsya5jIZ1hmj0|o=6{L)GDuedFyw$GDQ;nt> z=wRU`^L0tqAQX!aRt>US?y9KGiprd#=T{(C)Ml*Tx|7N#B2}hVT{@-5ZZRw zrEa+x&pA8&a+u_%Bd3+K(omClZo!#q@=%e2r-s(8VS0IemNvyqFoe}mYg*^)6x`Po z`%o9pKX&^l_z;?d&v_2;vjD-1qa`>2mX^9!VUL>?DXnAf;l3(tYujk7kfe;?aIs~7 z3+k`T$hd=GjA&KNAJxQl?f@BD9hc5jxv|Ti+JTrPXCHZv&mL(EmTU}oTG?e!<|_8U z6R_;!qM??WmLEo;9;{tsz`4`-;`#b9Sw=rTB4Dif{QOhw{?|O&C}q$62}i#(zFiZ0 z?vd)q?o%7!b;`yxHZYl9b6HH2myMfsAG}a^ZUJO=nhAN0Qc1N>yQq7c{>BwyLe0T^ znTxDQX0*QUs;)ziep<`2;U1$x~w_Ic5BIm3*duRV(T7?nYHvSO)46u$uUs zgY4Y&6q zq6E!>!FFE^U%W7twU~_R-pbwv^5*lrEHW{lFvP?T^vu)eI$>Jy>Rl8mLRQqBi*L9p zX)@8>hfDU#hjSUfA#*6`k|PF{YRv#E+{OQgS)29$X@l&45v())H(mcP)iDkx&i^r8 zyYIA(Jo40ytX{CgcbdAIt;z^Vc}w&7q#7-+04ZKu^TyD4ohlZu41$2cz=@v-tLM-_ zScBgiu*%})J(HBcl~I48%45X-^X@C5CNSX)xd17W`Nv(>D+oR3{RP~0*T#{lKveow z=%cyFdQLhR&^h$X%wy7I7fr!gsdi4%bDzuSB)6@uL=xxRiV?z~eddy*-xG-9c$vE% z70_^F!fED2u=sQTS>B*l_NUm4BPjJX-I+QPpVYtd(FsQ$Wa;o4yodwT((=xDVOphY zC0W7vI>YXw`g4>R^VM-XbU2lEj#rwtCWF-4i8fTpQ>Q}CvVCLLQRs#O)q5N{_n74} zC(_>2k$!JD|8q%}`mlx3-sIw_+JLuNsuHQKQxM2BCj%-C#hsGIGfqD59%{KtAsx0Q zKiI5Rtu+~R_?ISLq3U(Sd0Cf3*8I|y-^AYusm4aXeb&sJ&d%a${#(yL4|3y5&jB%N ziuy2tktQVB$v80_$MPKVjau26j5rx7IA!ATGnr=U07#;~ilJ8d0QQGDEj0RwOVR zA!0qmW`iSQ(0LV{GY+0-WZa@lP3X28`PrTrv&HW{Dd1b9gItxngja%ju;%^LCO|Eg zG!4D_DW&yBskd~S!5{=E$K3QZ8O|9{`cw|jC$8*l!1>6BOIl#hLb18nNBg6G`0R|R zBI@ZgP4gP6^=+cqi&Q(lqtF9i^u{r}{5S#$P6^)U13aT?l1k@N4gBLG9UA1GVb=E9 zWs%6vY`?`y30~rF^hV1*SLP<$#_ouO0<%O!D8Kc~`f8|=S-bA!^Rm|9igK`O4amvX zan^3`d2Ww@iVdYLlWpm|#g=1{+bt5ZJv1v&mSFoYmRT&94YsHPQd~*4*dkF>tXmO^ z{i+F=*sDin>(PTVMy1B~m7D)6oXXm0+;2RXI^fdCLa`wYAuaBB1WO&ba-CDOaE_qU z%^-Lf>&FVK7o+=m6ZZBc^9SQh=}4^@<^i76cCCIjcWScX`Jcp&2jQaZ~dJtC# zs?JYuF!+-68q5K~5j{d>c!s`|D47__LzP&ZMnQ){1M}2SLT+(&NiV)%p+EuQ^081) zapo__wh(Chbn!w;dgO%0V!9jqC&<9(W1V#9Wo$ne7RH^9oNp&GEzmFq^65imqs83) z=4mEVn?0lRAoh6dqt%O5vPsi5;{IF|nK&5nXn+4lM}$p223KOWqFtvumN$o0Je0tb zM~4e@R)Yfxu4gUVDnWGn3{unbdF4VU?U%9gXTIZisqlomZbdPw(g4+s%kwMZC}#OAJIF82lWzaVD*RH}uXr_N3eJD1NQzACx7Yp*h3d($LB-%dHXk%!KV+}s8G5X1#paPDW z)@$uhEMI$7(Q#RMTs?CTvRMHT;zpjB`*5W_=)dQ)YhS10L3@q`qpDr9Gk?FR3fc?= z*Y)RLgCx@mjah@2cW}&`(JIS#iet(wuCIo(OO);dnqcT&@_MGFpHtoL1F_I5jcpfW zY$K*-$A@%^RAq;1xCvK5jWJ>I8jNSA2eDlrMCacy+RsO(>4MzIERkO%nfyADO#@ob zL6qE!;M1R&7(d?i6KzSmH|-Na9;I+V$>UbJ?>S{7-^DVReHPCuU@NW^b^WRny+G() zc@dQRIJ5^^@_+uwoj}q}R>qdvGvZ<3TZ=Zx1&)}Dy2;bY9RT&H>b9ARkvvwxe%N>8 z)L`|4D1$3q61r6!zOTetx_~fAsh5H0W^w;|bAU4<^GlBB?~!x{Sb&>cJ==1K93=47P0+#? z+#c*Z89?50j=k-OW9<(L#q%<0_Lxc#R!tPZKYVc|3%P|Fh3-h99l_`NKybn%V^m?R z;4vfB_&3T6VZxZg`bCTbS`>3JIkvGWg&GuD=LJ|+%MKYnzR3|XTun?X(OKf6;F7Yl zoCkh1VaGxBCmfnUZ^|EzL70(?Ek7Of!x}Z&wf(cd>!w5r*XYnJq)cZecH?MCC9WP0 z#I+$7*{=4u$~BYauXX$D%w)2>8SFJqv8d$ax;+7N;aTkWZaIn?bJfQstO#Op9K4{D z4dATHZ0Z#zuTF397dh)=D(qV&DV&mOEU$okN#=t6{!7I5xi~}uq|e>?tp-JbW>6?Lx6s49abU)*VMp)c#!0IOKCqewcj#H zaNsIw_fQ&9CI@>H$=38<*+`3q5f>*=)~4854sC!)d#4)mNutevW%|=8!vTv>1(zIVxZ}Q3cMzFZEQoIP2p(goG8M?xIM9tiwO^T zz4_+jY(=Db_uM}AlJCwI4>C*&xrXZX=jd& z)@tf)TOB~ghw3;~qq_sQskX?J=mNN*l zGwzHg6$+j<`latrK&Z7vmxGyDztPkb ztNc%JB^>!+i~J(tv%ulCewopbLY=z9-Du9JuL9A;Z1ugNrMfwRev2TF-|k*V86$+! zX$1IkvAr^fiF)IHk2MmX4#|%iq*R>9{U6(6_4B<}z4f`xY}p;Z zvxL5PDi+b0ip*XH{~-hS#VLCdQ^Y_8To4DRmgz70nH{aKF~S?l#cn}ScAAZIFYdoW zQ%w@NO@=sRDZ#i40sLb_{$UGE zxLUjc_GahvKjN`FXsgpXo#b=QZ1h6IUe4b#tO-HLB2opD0IZ%~PDR5J!=cTI%#nBm?wgZL@3;x;FN#ofyts3tl)W8#D zPnqSwYf6$f`B zJZZUng91cD5hwz`RJ&{Mafm7jtY|IsF_68P{?oY#QW0&hVb!-*(7jh`p8F2P=)V~E z0PH~zcn;>41Jo^C3!)raVs_(8@? zZcmxpg0FFKV_}>>I@1-&9M(9AgM0ZPqmk6v&!=~F1c)j_FxLa^$h3|nIq+Db>;k|* zK;LL0VhGX6IL6}(0_m*j5Azus+zAw$GT}_?Xq+^I&zua{2wV{+GOs>2<_fdTIf?+$ zfy6O)r4X#89^51sPOP1AUEc?PBx}H{-b6Xg{@1j;@JkN| zf(0P}u=N17-}IM;VZ-MOf?4vcF&vhIDEBqr9Wo^D5a)~x;zuoK#C~=j9NB|EYA5J5*|k&O@57Z@=k3RQ`eWQ~U9?uxm+Bq^?MWXw>&hH;HRC zeo}*@CRG?QUQIH(bLc{c+9o3PA1#G45T|uyrav24y!@woBwP_*K31HgvcHRW$Jk6I zloQk_9MIeok)r^r9ke#oBGBhUbz5qO{iZ%I3@Ltiy)W+Ig1{lu>6*n-+Rq{vILUiu zJosuTb4F6oMrE|am(XuTLFGAVp7tXB5Hu$y)rHmbgUbJ>jl%!ag4zFdde8jde&K)V znK3c`&%=FJcd=Q@(~GQI2#>;OG^?8<3vld&&Y&2g30z1s*hKg>SkH>V>sR%ig z#@hTPNXb=<-eT?G*5Y^(oZScgrc4*(j~}q8`AcLm<#8Mb?Z6p^TAED6H*q^>`Ns+| z?I6YxxiE&VNX9HkXJ_>qYRt*2RAq3d8fmJw((p5FI#-Byk2$#65gn-nWpgMIV?Bt=X8tuwj_5WJjSqg=5z;-ldg<{GbDDUsr)=|P0@v>EqAHRtOy<`kj zHQRT2dM9b5E5FHFm{wC%85rh-%Q%zRVg%itKW5y})hH;(E$-P|$TwYw z@Yj@hsE=i0TG9xGEN_&1$W&CYMEds9^^l3f)d2+J#XD}Ls#hGpI;d6}QW&mSK z3-6H@C~5O#3~$n(tqu4GVx-NI(f)Vw&?BSk-(aP^*G2x)*G90b(dY^R-A@HU&Tw{% zpn%^r41pqMIDIsMHy}jT>nrsKP6O=`J242P+C z@+E+CkZQO3Rl6iQZngPvBplx5OK60pqQ1xZ4(+G=>^VN_=l&s}r(G>A6m(!;$iWxQp*+)xt5XQ%Mhp8yt` zYMqTUJ}M^TPMw}}?~iofD=CMy^6$`f7tQ1Q-@#3N`lm)VOjC`rrtoP{m7lKwWpO&- z>=j8NUEh6ljLjAY^HNqP4QQ8IzmKeHO_1432nBj6VfWs1tQE*9$4s&#L4S6-bs^kF zio*A;poe^m97G5T7bUxN)VJO{`9Uw0+ZrMCTKPW@G25_gzbyT_HFQp7AyI)Ru4ozv z%f$jgl0|kz!Z8R`P7@riDRzQzD;-j0CNw~<8hi!{U1sO$7JK80%AK8K&{ki?Dk~aZJJv+*@)jiF)LkJeI1KW=#oC41=@Fde)r5usi-V7P>}|? zCH8W4c&9?K$C>pK>qW0lPy#tgKr(CUm%SsSwOG#;S0&x2 zZ?*2=m0LEhS@}g@&L`ozR(f6OG{&ep{}JOBpi|kVvf@4Tf|nI zF!mp-5fIrLRY3X3)bBRDD6%aHCifwOvLnh3?~$MN^`t8As@5=>@zq-3?H;pL`(SC0 zlMT;$|B1spL2HY7Xj{a}3ZBl$pZ@8)h%}*!!2Vxl3MW~k;TxDTT>R7DD-FI=T%&lv zAG_&#fh90RaC;WJutpugqkiv*n|nuR)oTX!n^)@;eD?j$dh~)w0sTqv@I@Ef+0e8z zLW(uIBB}y++__w{$Cr8v!ctx1)#b61EilR$6Gg@ZM)&Z_)HE#q8*W5aa{6YaC~4ritFlC+C>AUoGf%0w9D zqeU@E-9VJ@9~KY*8#S$qj!=_QQ_=oroBn1-<54a4Q4fwVqI^Q)E9&k2v4{=ELx;}r z)jV!%2NPBu8#~bN_v!NKWl|RZzE4Ea)S{l=Kt&6p`04wn8i%eqg#veY9lR~j*Iw-m z*yn&L_ogI%74*3}%X2tgSmL%miKV0jMsbr`=)V-=MkNn-luB(=^!Y?UbW~U#erc_M zcS4+i4hMeLTN7!R-mZmWRHu$)P<#)xNYb3^a5o=b=q=_$jCkWL*4W!nE9nmIxTL}B zfK(^vwO_^DXhI*x{2BQ*&ph@5mhs!dB$yWs)i;v&ed09QHX23^%L*hm?Q{$BhSx*L z?PgW`e0>2_<8w)JYXRBEXt>pwQ=x58|1E^hZT0#!ePf`;-^NCXa=}VwxmOA_aA*RX zE04?c1iI82uieE~y0rphf6=G}2E?b-ae$7t+#@&S+<_+FhE)W<(<{|X zaHtKG5(3>p`}|=0Lwiv%6#_J}Ld?(3K358bHh4_fU=44R<#Gq87-3y!H?mP!|z z%~-A4JaVZrEdxs|suZPkIJNJYFMZ!u`Xug%{By zVEv9+>CBX?A{ONq?LM13{x^l$lMUbJr@0 zVEtsHFp^z*b`Rn6K^c!==_(VW%D+L+#rR3CAiXm5sG*_p1C ziXS*a=AD?R{xK9{F$%@z>=H)>RA_mdFT5e|#q`((wQ$m*?@*ZLv~s)nz|5mL0f!$F zl}Y9I?vQ5IX}Zw-5BD1FXFYWTR|bSTCvL6&$7G#c-qL5o!9=9BuH{i+< ztJ{o#LQ7nNj#y8^L71y+`U7PC{eejeabv#+qP}nwr$(CZQHh8r)=A{{`zi5^xuO! zxjpP0?99D0BX&j(GIPCaujds2|F9CtueCRMqQeVA54B4q$PUAhFmG4{UE|Q?I4XE* zDl7A5Y4H$Nc^cBw;@=xzr6C@%aF|24NH9}nnhpUPtd@3Rr!w#-Ln<~xm$!G4e&iiC z2c9geH3J|M&NN>g27zqnMc}$Kpm3v+a;d82M4aO@02H1U1`o!E#gC)?$5#4pX{3RnT`o*>l(?W^W)NiQc4BKq(g)kI8ANXjOR;gePH3YIm8m9(;8X~4obvX+J^&f z8!~!U$WG-fA}37Pz+H9+POPM5`Xg+P^zkYaYz&*xe^-T4PQ@7?eLMr6)o@0JQ-6i2~iKM`2P!f}A}bW^k>AL+6AK^8gp zqtXCzn@gM+2@H)oe-U&y3kPtR%@(A6kpe`!aqrS1_oCX#3!DNR1KW)eY%Yg#>V*I3 zLJHNipx`H-ko1e-E#JOrMUO(}EFiK@a-;+7i&Mf3fr`3Qb!j^0ELA^N2Ee-86b zS27e;B?-gYdZ{^pg;U*o*)BGZs@Tjuk2~x9IEPjqI(p(^Xc5y-AenTbhwMRTw8PM5 zV#d4pgeoKue%($KV|*XY=Rvzrgi!#CwcE8LjP@#pU%=6@zRU6;Hqd00C&St{NGx zP8@>PMRXOQs>2fY%oTOH*rdNv^xlXmNcL?$C~@?Dg0NW>IMT{~g4x(Mh{eX`eGBeB zvcq^^v5Ag5m$I`U)a1JcnF{`0=g8%OfLKX7K;QXWI<+S*8mo;24h(8DcM)}I<&x5~ zB8N0YqL&2#g{}a%$;THX@y!EZx5$a)K|89pR7fp30uE`N>&vlV!D9sZeHqAV$#qZm zIFmCbg3&^XfM&@7jkAgB%jE_;C+QJVhmwkR>K=khzRuC+DWO_7&8|MyG3!LCvob%h z7PDm&O4A=#Q1c1F*S?3xh$+!xFKjcauWDH!hUzQ?kAJyQmTKZQi;IB6FGAG+^%Bic zw$nCX?)z=tO~zE51az2M6DP2*ejDN$x?pAd6Pp|}Y!&H`d}t3Gj}FUZoc^G`8L0gh;1l`rNQz?>nXa&=HUEhdnhqgM)^2)+sK~Jo~3RgU1h}LGp)Jv#$|RTnd`)B~CU( znM6$=OxrME$vbN9U+zFKDwE8E*8G4x*W4xe!FTvHh6)<*J5ap%RQc6j@O3CHo>nz9 zD>@ai#Ds^nWSHewz$cxDJ>?ikc|{xaTKj z`hg0I2xdn!*6Mm6KLs$NsR2>g62U@_*;DGG^t`0F>V=4yp>b-?;}5f5Uy$+zRIBhO zU9+3)&)2h%jvk|+;yq;db80UvwwIRX40Dab!j+o<_exw;g8c47REb(ejLds6Ln5Fx z7xUc{Ky$f#mTwZqYz*H-Obaordo(`c@&XJ244vZ+m;#wmsr2MlLJS{Qo-uATyWd=e zI!z~fsI6Vh)`zus%7dsIlYrLXtVn=c6IVTdT;f;0P6I9PO-6Ci5o_KBxEtirr!0A` zj+my#b{C+paUIQ9q(`?}igVy|f!^+Z%r$A0o0-ZTCijLKUW(i%{2z|DTDi;v#8zbY zv8Ui0=sMAvx1^!cT9@gtL{DWTePKvNAmer5?Albl&xPFkRtAYbAx&WfM2@k%!EupS zp15Bp%4xgHqM@ezqe6n47XiQaVNt^|k}0C8smqzS(t6@x)R*~)OtqQI7V#;BuJdos zYX-qr6$$R?g#T&qBRbTkb%r zPYM(ysI)|}d@%ng zMI_AM7cnF*&jWZ55{s(bNZ(gHv94~UYTVrwWU}l6*gUgm{}NZ0040d3QF$Stl?>Lb zkmq_8O^R)=m}WhJxb$5aC6GmJAM3mbgC<%isL*>1G99WK1a*Lt)Hq`6hHfERe?Ct>h_m8qXAzS?zM42qATgzy=4ouaC~;1(=9) zOj&To0=d3tDOeZZ?+0d(sX?Wi5=wNWhs!u5J}cOcWl1P2!!>ApYt0oE?aB3;sLABC zF7A=cJbBg^e2#;oxU5IPJoTeMcKgJubw*n`-if&P9BP4iS_uT?jR3mlvvY~iQ}yxA ziT>0MyRuP*{vOMClmDG5j38DDxlSM>rD1S@P96iB9RQS-<&eL2R&5`i%u~#P^r}Bh zt(^Z%=Im8gWZiKc{g2$IdFc0DR#|7S!zFx5dGLK*k zy>A9ZfwN;OnFszHypF=^mRq2+Hyy(}1Wmnl09YYc=xL!gSC&PE>4V+w)n%Wd_N*eE zE{*)nH3uT!sM3JC#WR-t=CGnsg_9MJDmlbDPfC?{2@P31tQ<$G`0BbbcY0I6q&!s# z(e$j%6K1814Zn85wJXQWd5YGR$7cv7!jqOPqhau=d#TMezTuFO*f@)uRAD2hmhPoq za(OT-7zjwv*0%oZ-Wf%8;l10F^5a8MR|!+IKofK7+JV)-SCvZk;vIgiKj7>9CP)gj zbKJH5hDB;q^5x?krI-$myJL&u>NSenB9uY=ps{i~G`SvpS;7uiQzk=VkwB=`% zZB=GASlirK5aPB~oQ=OH&Xy7jP0ip?$&E@{5LXIDQ(fH1w1w&s@)A;Ror{J8abZNR z89P5_LJ9hlMEpgXaUk`zle%wTL-IPjB`awE!_;Djd5czHQ7GssNhSX! z@e@NIjac6U?@gqX<6+V<$zQ8BWi5mvWg6V(ZZ0Aym(w_J=5SNf8Dw=I1ey*!B-2b} zz_%@Ur2Gs5{cR+E?H*7WE~~8EFA;4bJ|W)`x^HOy$1Y|C7eKGAo>#IX6Ol4>^YL(t zrxMfyLnzBTKi_bJ7=jcC zJTTsCv;|Z1A`>9i8!eO+08QZ7W?87=632!sOrW1ebsA!j3MzH^^tZ!@U9ur-dfmnG z$)nloWZ|l&Btl3D`A`Z*Uqg{XXO&b}OfpfJrqzSX?Qw#j6Rx4SGmax3#?vlenl}48E!B7ex5@L5EU(IhuBPwyW@J7eHXQ6Wk%EEzA#f@m)E$>>#gAR7 z%g=P)sm2|imZ82Je7iw-qUnmO>Qdtv7UrSMSzmcQV#2m-Bjr?`Pha{?rI;lj(UdA$ z1b~k1qS$lYSH9TN6$PqCeJnM^G%8!}fB5~*|8>xB^f=!O9t>e(h3Ek;QNMje9j@6Q zji$U~OTU3M@~@LAi%oh}3EOmguBjrB4eetDbZWe>b;V#RF-I!55Z%BCX_@{TQ}8Qi zNojW$u)Ttzp_u;cKN_-9tok=KogBt-xhP**&C1{0=|->H$~UZGoJ&2WEjbxbJ03YK zAszANXvB(|*PcnNw!f(Shq>56SH~~GI&0=KA&RzqG>^!Zneep7aQ{WYdft9DCMo6mU>}E&yiTHv z5qb^-yPB|4b6Z&rLJI|+WpBk?nez@z($o0n3EnI-4 zolF$2eY*6KuG$D43p~Q@t!j~Hgx1^+A0EBkVu3D_+iIWwke@thFcU40hl=q=QQLYT z_-nYd1}?*IDsUYBVKj+X7is_u;a4<$ja}IAA_Ad&pg>VkILqO^nk6M?AN~XBkj?nl z(bY5PGlvjQitoKB)JJ^yiWrt;p*=P4fOzfBpB43I$)0KHqrIg*DC`+ty5&btLP~PJ z+Gru$IEU8Rhy;PYzu1eKHRO3m4T`PJl3-wDL&9$Rzl;0-pXRguUnhN;e^r40DXs0d z#{2&_v2sb8Y2`@)g-@S=jZq*vMl}!<`rwasF^L|DZlPSEHl1vbC6ZgNgjhAw4+lj7 zgvmfbG9VuT=8-TNdW6qxqw2RWQT@~kwrvFa?8^?=CqvApX>B=NYB$^i#y9ZKu8R3o zd`Z{#Hk_EKi7B1W@w}ljopP!6nt-iTAGMaT-qUpF?Yw^Ja(61q;86-PQ=(BAcR{W1 z)1G+|9Fz-IVAU_xNW%wbJg0ZRz&mpFK}F6+Ql_eTMSwag`+1G|8A((Q`0@y)#ALy< z^C$hO%gDe6uijw6jbd05mx;fZkQ|_aAtd<0K}})F`v>hrY}D0ndHn<+a|I}x4gSE8Vv;k6b$+R|tlA#cr1emD|jR^KxQ#=q{$4Ny$HNju>IQUI zSj@H>^8OpWL~%C}06#J7x!E|~jt|%~0tGUnkA2ed6Ff|$)i*A~&qK;tZG)QMPOSq? zHv(sd2jx`sz3Z6b8Ghp9DxE$?UWCYAkD>n78qHXjGqc;v$ zL*PhCs3WttJf|w(80{M97mHpiZ5t3B_}`xKlKw)>Jo-SDi_h7q~K78#gAEl#kz+Qfa5gIn!gGooeN3De}fn@M0w z_ZG=-=kC^QYx~ff&G8%#QA;FP!uTp2?4}-MxJsAbydBKO3oj7;rr%X{Z6S~bs1Y)M zNO6UF6_MEA&#oH`AR0OI4)5 zX+fDeuH5FlLjhZw`rv+}W^dsF2|}U7)4%VeW|qpghPT_*QUqaE>Gw*{Yyqce=$${j zW^BPHIi*n&r2B}Xq`>ZY0efwwTS zuf;y3ESdF-d$~|B?nhj6Xwou>B>fQYu53q#vi3ueNyl`G7LRF$0!Eop3ye}xI$a3v zqrJ-LSr$H!53=&3_5EB+pH{@|p+()kc}>U<6{NHkud0sa$&9ITd3|X*MRyn}LzyUE zMIIi4?O_r`#n?+NvTLmQ83HcyNzNGMxkT!Q;gTFZJm+qQxN8+neEA+Rnc9+Z9)GiZ zfSD~>Ivl*Z6I~`Ht5(sxdHPH)ywD0JhB=|UoYspQEzrB8u>k;G95zz5d_7NI%xb_g zS1!i_5`k9JAL9hCfSw(8uz?aSEUt8vSBe7~+=LxbR%pV6*L)Jsj5f2SSM;YSEa3Qt zER%Ytz#6TDl>NtYTVh#zqL|dOGLOH;8ldizYca0{g>|!YDZ-xvGW{pE`WKlV;OM7R zR38NM80*Fh|E#X>Gyt$YYe7P*H2#AU7BPL3U9T~K=|8ZoSte(32by)M3G*O`X~eFB z^04xxNhQa#-<*UX9=HA+ap@j#@0k<1T#)I>{z0DT~_C7e%lu#gcG1CIVKzuVnc_HZ%j) zfbqxHRohY#vr1hU{xcY#%XdJ9XY8xtyxKd=Qo3sO+Tf?%eLg9T%#6Ie)!YV37`Kev zl*n8ceOHAV-0dU$UI~jK2RK9AojY=0@s&v~dyE>0mz;h z*pOEYgGz&a**++g*my!51NFQ{Il1$Ku z54QI$3O`NyO`_8mKOXeXx5T_%7jc+6MuVogX3o{6{g(RcO73Nx;#9E@SIvRRsb$>3FlY-u_3qhl8Mk@#g!sewP-qY3`e*WDlhUFw|uo76`iCFQHF+n@xKkTtkgxbW6X zpW+Rl(xox8ci=gWYP*AK8AT)xMD?^N&^BPEJ;`!%lfgAwqZ_Ig_1+|Y|l zTh^BjnwodFRTLn!D2AW--Wo+(GTG2pR#AnSS8@E?*{Mh{EP0 z!xe;vyyvVWs60^hpMOzAX^P@2U}ueFcyhLeMg@Xc-XIIEK280oDdfRA$?U3*z_U{`O z_i9Qpmy~Qsol0KB8#Iv$irGH2=je?2*8vj1h2IKT`%9v(sk!HU(Boks=JIenPtYxt znN{wrbSJ9R<3=R{eSIxrFpWfwXKY7B&jFL3|OGUr`}Y!B))whT6Z$8`I_~hDek3IR$d}*XCF~qYE-h-; zAU1rwkJ3%1$l!cs@V4uDX!TgpTVYBdsXM+Vk|SS?R2E{KvK&)2`o{|h2#01lutd7h zi%^MO1c%@!OK-JCdCr989<@q6h|&!@DHj9-G%~+4wPwp;JEG6Sc!a$!<{M!JZ6CUxwe%PUw5Y zFbYY&!Bwh9@2IWSvd;1ajl>b7i!i-*MCm%igu4yO2)m9{XphzE zl@d*I$=Kc3MDGGVQXNMgmDKY6jK`Q&W8OjGgnBeriJz`Q@R3*f(R8ml?J{T%-F_Uo zOI>m*ZD;>!5-kdGnm@&uXAMDAY+~^Ka#tVL5((PVkt(54#ArUE2VR>vM>wZ&Y1~>_ zuF|?-#z`M-;m&a^ZSBXtppGsgPc{2B!ypsmKuz=d9)NH6S=DG1maTDbIO*>>Fit2i z>QI`^NI2kjpHE?#XATqmEW+bEY-s-)TQov)#SjceLAjogp3 zpJjZQUEzW^dQ3yY#7@8uQcg{ietZ1Q1FR8B=n7}Lu*-J3mFFnUX227PpFEW$Ga0OD zhYsen9p#@gg(qaCp8MYk0{>4l-2Mj(0a);uS^kIAHddDZ)5lt7BiSAWKL1S*por_W zX@2-Qa=)x*i+7@;Iqs7KtdRGnUnH2zVd#kh9Cz0mG~#8mP-)>13cwow#D6PNziyd( zs+|7j+D9BJP-(J1)-gb0eE{GQ0TS)KNGb3jk{qGuX&{-u|6!fmNA)K;_gfz6Z_$M5 zvQQz7hE(}DzsQRMjK|b-#M;a8OKTb)1_Z)PQ+?%NAofCvqk)E|hn9zllD>aJO!qH1 zkyh*1Fo!4hT?^iC*+Jll$X>V8bANaGpQ@|@l{@CSkliw9#9GJ!#EH#0#qdNg2H6~g zjir-!b5sndO1(LNS>Bv(i?soz_c8-rptH8E4em*6HvLp>C3l$~DOig1UVZkPH}6ku zsDb;68dG~(&Z0@?lejMYobFlkJ1N;ekg>8;_;p#P=ATXEv$5S<5)mX}rAvR8FRib~ znPxDR5EGo}429=rqfM6$0~ic?`E)97B|F9{V+*7mQWVWfUOfSr-E=Y`q||ii zbXJ82>ddufsuzj&h2xc^tme0*EoP0sbkSvGomAe09l0sm`InlY5uE&JX}$Ez znmJqK$sV!fW_k_a+W~XCYC13{nG?9GlIyTkzCH0QT-`)FS{w+DxF{;vlQ@ zv0lN@oly;bcKjj>j;r;L{U26*@asTt8r_+K)kfVtbg&sWYKa!jXRy7dD|@y^BTKpJ zM-0U4UT8CyDTvnSOH_uc1?gLlT0={`T#Onc#>;i@_TYil&q+UAi_ttD@Y{-LT(pNZ z(x-fz-NU1fC)Jjn-C~5j7=mH`j9M&&vJ&n4xiuanWj3>nvEG1m z@tAE?To}m7q3;d@bH5@1A6fMaNhAbfc~Y*yV`4C#NT252W1NUmac;2NWLz8M44m{x z6|VQ^%s-9nE&M>o-_etZAY3(eT(lk8dG9+MmX%s9U>=S?gKn+bxMM6bxyICo=icmqzbl(3P?^sels=8_~XFXWCon?0t+y5G;b0Dk)xIG@wk)+f^f+on_A>MPV?3=JSShk z?yQ->uG4VK%nnY0REHYc#lMHBFPDKZsOJ-rf(Y@lXpfWKzHAFIDkxISekQWVMKeyP zx&qHEX+mT@T0L*_rzlBZr;Gz^I17dUmm%1{ukMy5F3i^jbdNbpyIif(EBs0TR|Mgp zGypdf1HnmyyjLwCz6bgR*U7sXHkzpSNR#zr+o3FQ&e9}f=E|dV@$=za+rUrR-Hn9I zuHSxG>s`!mk)Rn?Bb2cg#;9oj3Jv-*dS|n&B4h}~(GsQ-t?%#8BQeVE8Sbi z{RHXZ06^N*V+XYYOmfy7rAv-R&no~$4*>$0)3c1f6Iu;{hRk4rd?`8!BxCG^W;S>Z z>SAz(3^&R(vvOMjAY&TpzFE3pws*9(xcA&Z5{sy z=lR4I&@K?3bcN89O0aMmv4$Ge9hF88ex|I_Uu*1IHN0wUYj?qxGLw(X)^!uh&>ELe zS#wywnTUaPqh32EPr*Vz&)D@QijKD>!4kw(VVBE2>xGb>l0KFjV(E%5jUfxyq=;VS z+*Xs)KuO>}_D-Xgeh1Bl;7e!XYygS6w*WCsc_H{(llWfshBp}=zJZ$Lij!9z1?q_}f%&&fwWm#lkb3;x+Ah&6km>Y{We?Gz#e~J|8@j8M!w9Juu ztO6ZVL=WDHZ9%H3??u%|4UY(TLbSRw-Eh|bz{WRe)TL7^$2U_49AfR7gfm^K_aZ{V=N*v49^r1y5n`#D-Hu4 z@m&A7f#ExHI|fK0|3;tjyHD+z_^#Wq{U|nxjI?y4o77Ineaa{wfE24NtPZ@K5X`qe z$x6?+4RgF3d(264#CA}+$@!-i9br0yzdKTM6`vsNxtf_@zV7;jq`9J_b`}m_O?uF3 zmD{o&1T{(AaTv(+`(9FO&m&}EoOwqDIP)cE@`vz=6(^zYizdaOK1;yXo4uOFE#P0| zzI1Q9=-a_1S(q0ohSF0Hi{9HFrMAPesxT1_F0HN1_L<4Pyt4M+pnqa{b7jzA-s}-a zqk!ESkpi&VQQ*eMYFNlDK1@Inpb!3$&A4RIuZ}C4u_Di==8(sBFlFFSCp>8FRPn*H z3aRHEpdFWa+#}a($pm!O=pWe*mJil5$vR$i?&W!+@Y?x()Yk5mIUw!$L7g<-#m{R= z(&g};pjce2>VmBjIe@U%a+#ySf6AoQdysf8g}+onxP4*Td77bqXSv1#2$+ zc>`h4hBNwfNs?MCJmkBOMQsYh0V5UIo$N%c+sb7}CaK<2Nv_VyNjmnuyALh$5$EIbJnIq>-{+ z-;@ZdVag7T3bc_Fkd+%=-S_(;ke8(cekm9qQf^8_?C+g$9Euesz?#k^fq#=WwI6a+ zugzg9Kb_d^XGFZ!*_F1{7nWmc<}JJ~JS0KRcx#X=Hc{m>T54hpi|~*Z7T}cNal^VA zm2q;j&8z6U^5#F9u2gnG>9;U6?7KwD9iy4Ya?wV|a$Rg3eG>gnvg70Qe+}>WFqlPy zGI^4a*Y<+?(^P2hAet2{4Q}*Nbq#gLeDD)_gufqsd{*CONz*BB^}3`RG;osu+7Y{% zwgEEg6Kr&1f#bDE&6gP2JTS|-`ptBCcrTE9NPyg58+ohcuHXFTOQEF4F$Kr2DesIA zYYk9|%M6)SvWUe@$1;xvVo4FTr+Xd_j@ICqMUruoM>hoqkd;o7h&AtANatsAVhrYu zO}(`BWGz9cnk(7!$J6gB85o;quc2qUlM3iKU=K*wM;9qOk8WkTgM!;5^;z$7~bFt z=h*bfq!HNU;L0JqyxYuze(HOmERqE8l9qdBIA&9z_>1~2_f*ubL0P}fB-yfd6Nv7S z49jS*Jk|UxuIkE!%y3_R0RGB6YXccKM!BD@BQ86||GmRMU;CT(Tk~>2W_)3*0 ze2JNvo1*oV_7Mvb1vNW>7L9~#sqI8tQh=oNRxlNZwxK2z%b1Z@?tUV^yQ0=J*Nd!O z;H5CXi=V@O@lv}Uc|R4QC8HXI8d{g@8R$gc&$|70rB{nd>wUs7X)5)zJ(Z0;(DjsL zUpRZYtJ>IDQ!FWP@u5{EPLaQJ(EYRTE@BA#hvDs@ps`i?>LboXls4U?zyi#aR?@cK zo4BXR9?cYA`V*k@;iWiMvYrtlU%Qa@1q_SNXng|J%yZ_ZRQUw%0FdU%NP~C663{Ng z&s~>Reb#z6vb_)^J1uoF>tas%VbW`9U5+PoZf6@@nE0c=aJ>Xw#`}5%_r~M%mC?FJteaZk$f|P_&w+7lyDQ&nra`ZbrS@U#~_(|9E zRO`DAfsU!rB+Q9oLEF*u{3q>tzAioZ+<|DpZXPI*`$~N`mXCU&4RRpRkD@wxARnXM z-b-O^L7;?N;qHi;w>jQ_P`qyNuN8V zYvc5vVI?Jo-{Johv@1aVc9?!U|Eq@kx5M_^`CqyH|Lf%etiRg8|DIFW%}G?z>GzrZ zXJS#s-STt;$VT^nY6InXC#C=5SpfF8Xz6PcH&kSF!(6Ej zG`~%BTMR&EqIV2AM)_uRQv9g7g&Rja?YKNr*(^6O6flqNDWrWJOF9{pRZ1ve1byS(XKp{4|g`a6W*X=Kh_;16tZnPICMYD<}=!A413be521LT zDW}b1O*jXFz+5`t-g)}{=u^MyCIY}tss&x<2xRNUrDE)t1Z5&X)sL0{Sy%?ZxoHE( zXOdS#0LXWZGyr(@t~(n7K67GHff6N(n~{Z)1F%m2#w$t9N@FHV#%m>5ekzsrd;&98aFM$kG z6ePjov5G{*%>77y&J_|)f*A8>mo=Yex>0kImHLxQ)2^2m^Dmx@)(dRrKTxznl(^DOD4k5TbCLRWj( z$R!VTGkP@}D9U+@w(To;=MhSiCgPb!K$;Or!qWB5hh~U%HUa&zM|uYavx~TX5Qkrl z)Y(T~8Q(EA%&6``6d7o`=Rq$Gpm^2oL~2R zjcUqm1wO`{;W~q@kR3n($F#VSUE2^2kvXP@yGUyE)IZYh!n<>$bg#8z?4QHOXRJi@ zj1|2ETOrr%hFJFfrK1-@bWPJTTM!|dapVbcN0I~rj88DT(T=h6g47wrrIF*X!t@r| zfd)ENCJ0!MZ|OurS^o9+MkHTgU?IRZmi6>S8jbIpZioh<$%ZclyidFg0dk3As+0$86<^u@}>RHI;q2? zf(rg17TeHG(QBdv*|v> zRi$;nH>AGFPn|TaAV7UxR_>rM6jWSu@|EXL=eimuxeIs`l4=WkzfUYy*xu21^fr>l zpkHKMb$P<8$?6u4-GP5>){aheMXn2lg~t_a=Dbty90;Xzit;z1(kLrwDWLfjR%+;w zqw=TG<Df`cnjTzJ8iY44r_D8|sPrdvh<4nfTLow+E(W={$Z8t>-OKu0)*`=4 z5?A;+M0{+yuKuwV%67HjztFXc0OpO6WH`Vp!e}EH<)d;(RzwH z`EISha8Bx+vGKim_pi)wQ_2`{oY+9RnlgKO<_u1cOV{uA2fg@{V}W{1$mt zAYPRV_Tv;|{OlN-FLpM72Y&0i$vzN{J_azK;ni3gZkCjeUOzynRo3LvJpl6si09+R zX@hv5t{vwv8!)=`VKjl4ErNB~0TO*YuV<11v5thzyBZjTuqf zk}V*A1YgwxmqP8ey{7+At0x3b+1WgN#;9gEl+i@-rfE+$E@U_t)$4NaK&ixVjj2xycsvL#-DgJvu#jDVI1@!_DdZqb%+eum3rLyD_ zOG#LxagGTXP1gKQ7$tTbkZ#HnqrH^5vH#rsv!IDMg)2!%kV4ASL?-N9@qvHrbq6sG z<_X-EvLbLFEm|1o_=x(4Rvcb3Yn)E_4c`vV(PSuTW#*$V<0mMn-3lsLNtcwR3)_1u z@|;qQ>E8rn9fo&3Ju#q8c0p7WzB4#d%~O{ zn7CYE>8MO3sdLo1jD9qfHUCAigsUttD0NHVa;T|-?Yu`D_WQcWn)a_tUDw*!M&s%{ zV5!M?B0Zq5#W$!=(i6h+A~>C|OuWW4scD5|#07b!d;kKeMVO7%+O6Zju$Z-rxovRP zf@A8NqJ30&8{p_O9}AfkxUBP^BEEIL+;y=GFi$tq_W{2ip53=QU&soZpGYc~wU;=` zj@daFCooKHW34v!=3o6FDSDS>4IAzb%`q3w=mt7BhF#Z_iGA^^GWsF@YNAMr4S$|?zZ`UAg zu+bt1RoQ(-jdN(zH%&D=L=o7}v1<G1~o8LM7!@jjet%axeLwa8Mlb*@+a8Zc|4tN-YMw3$7~C?@)o+o=0fmz2Wh>p zJf8k=jX^hE8=9bFofpH|96o4nJWSaSEgg-i<|xYK(;G^i(Yv`|q35(C3ar<$YosiL zpa#XKV$@;-G28za^g7qErl59KNKB5=Zh|*Ie%^)l4yU!Ru(2(jo zJ?VhU04K(O&`a=7pj5+@=8VIarq%d=q0LZtD zH)M(j+O{|hQPb|+M|Q^O{KD7C#+Qg)nwJrE=6#sw*P2^Fee7+Cn@C{SU+ih8P3;an zT)dI_RQh~A(C9HGbUF4yfuD$c4}r6(D?v|jLwL4hsQM0FF!lpY$Hz;;{u&rOLBZ2P zoQ5(|lZJaX=4oKZuWWBlKf^~&`z~tB>Zn`LX|p~E+a1AU8fse$GH;9u25Ui#T&h}= zx-Zb%HZAbh4C^9Ej1k{Ji`m2iWQk%b`Oo*6glb&7oD!T7s|-a92TT=UB#q1M*(pbhqMAHYr1>No+ zV=i0GEswLU$^pq-blINL6Y&2)7yLb6PyQ#&`+TfE|M|Vol&~RNYT48@@xl%!D=ZE~ zoVED?!e6+U01Hdg+qKW6FP0jZVuIPA+XF>8(PPVO3Z{nLJuGAY4^_`*oN>xDky@{G z;dl+~7E3mq={I1*W@R04R%`-!eA@~aiYVrlF|j6CxqgGiPNXkwr}FOhbPAe$w=vn> zKj!>30SJm0f3|gi#DGOa{4rSc6FmFN8#;iL$iuFqu`u2tP7o$Ap=RAbZ!-HK1p0Zc z!z`Az&wedda{$s`jzN(!({=J?+CX}>;4*~=|MriBz1lY-L+g<*a<&RvA0U#O5cgpA zcA$qtr2T611N?*mB+}Noj2PSRZ=Q=07}GXspYwuYUI)4RsLFq*A^bnhmHQv8C}8_l z4gQBDIW~5d|D&iNYB+RoHk}IYrnF76=DvOWUuw-5XZpimaY%&2{K`{G%k(+N*~Tqw z-$U};4sC;P#6XoS#l61Rt7dPi^NR3te003MvE(6#YF<0%PhgX^Dhqsw?a(ems{4|f z5~VtJA*D7Xs9o}GoT#M5QcUI`7V#PKmR;81?v;F(AjE~I!C|kNyvuV z^Yk+C2}#SijY5ikR;Jd>TlHBC=4h8&YN9>!E}LaVHiAW}TPe^$Ca=50IN?I~Z|_9R z&*1mGjU!K4=Mw=$YQe^=`7xkkzbaiOEIN?*q^UP{q4qS79$RsFUW_F}m@rWkUnZ%! z@fSg2n4+Um^F83$opY%uSTWs1ErP&~L`IM3R?XKrCXosFx?c=Q!FV)Pyq27VqRXF|p?VG|#PZ^Te+5h| z!3jgF`gtVJ(%9;4leK{;`H4|>I+TaXVMc*1p&Y-fO^l@K;l&dVJ4bkKo7ZR?`IY+W zSq^!~0;?E|aarZZ5*IYVaXZG9GaYx#pG~MeV*E*TGs6%b{ zMyf)k3XFT~QD`k;r_hoeO^Us0bUFkwErau?Cyw*YS3-*4xH7`F03Lk8Q2~S?0jKrx zH&*JLtRe`(!#~Jp6Ty$w&5mf+m!1>Gy2QU8>GnFv`6yfvU<;d&Kj$%i>dru@=m$TV z6+d^XmC0e|iW`YpOR7*FB5$3N81j=axI<6$%W##oD0Ev!62;E4+EWyUQ{y6lebbD| z{QtN+hs8_)HcQ90or=ELwr$(CZQHhuif!9ADmE&{)U0|Iy_mn3eF5(Uya&&5{dEZi zEo8XtLle?Y(E|Bdc?}F13=Yd0oL`{KWMpF~R@2Rp4f3RFpQsLL1yKLvHSxQ|q!TBs z(c`nyT3BU)8&{W&W?y`<*rC%g-zKX2z;m0GjCK%5=J5to?=lWWwRwk|xiiMFNrK<3 zBl;?s7hOYrLjqMFN1aB>ldhq&6Ay@}_t^&WR7AS}>xj~on=f2KZxv1|l3>T!kxC;U zlQhnH8N#E=DN|l(I(oq+PCvlEZr~dYX-OJ2ng(VeU_xJfDuEiMCF2b8{h#?G#OMmI$QJ3z{j#5=F>kXnm zw$!rku##wRfPLvHD`1a=kbV8kUW8>B(aoDHHk~5$LrFIXH6|*xr$XZY5yB*C(E`db z3j_hV=EHgI$31<^3Nk!eF(KFbTB}O-gvY6hfy{lo)ktNW8K2Wnn&xLpU@29V)WTg0 z_2{;B-4NKz%lguSAXSDD^XmUn(ndSnq?E^AXB*>0sC=FVYkfkb(DA%(wdIOY9HG65 zVXC=Q#Z7e0#Vi+|e2+G}`q(Gmr;{|R9P*565c(z>q>zvAVAnFU>4(ZE+=9a0tQ71+ z)VSK=6yyQrfCRdd^dDX}a5RPL2wCeyIgTA(TN7?zhon8)n_oNSr(__Rjxp&c1uDe> z+bV``8mSK?;%*w8sEBvSeCmRy(a~wz(G{}Utvk?*at=zy)G%V|7wGG^cf-_*4u91l`8p!5>f;Inv(;L(JMY6fkbo~JC>IgDoN56LLXE=+ZR17(kqo0*~~(87nYe_p6HgHcWlEekbw=4X#^5s{kU@dW@Kha3nPMeQMH6%sKlD&_z`5{{^jvpGYTv zc?OTZ5I-PBPhIm6k3SRD7^YDR>Fw-g<^0u>6hW!8lQ*sFV$@ zXk&pHMRCm3M&j2g7uSId;hr*N9a@ZBRgU?^9RRHKV zFTR-)C?XtW3!6_=59ROr6-c!l^C`9HL zxDkZT?*t3#&GD{~NGH1o4JH{~2$v)ToZi+mcBAb+RkEV}bnABbARu0-L;B1`DKYjD zK*6e3;^Io*e5bppT$b9CxbQ8OZdo}i31_X?YcK@vy3Wg&3pC&frgVPf`jNXkZlx)a z%2qWVj{vd*@}X&b^+TPGBu2sM8Aznj*}}IG@&o^Q7WbbnAki~?jZZfl&!c^}YpE=| z(DMM&QrcQ@RKP6>xf}A~v+4TXkz?>J1W5&fSmc1<5?E>F$NQYiXI*d#n>ZrmwJck_ zOZQ{QdSr%C`HvG{h8#Z-3D--f0ESa>CQ2ZtVE9K!ONC6}*fH&nwnZ89^Csw~*zjsd z74lorHV5-+O@4nHv`(EqbuZMS%z2tgxvH4os~Z&GE$<{EV^=e&#Ee&}apC4-Wr~=$ zfa03Ll_59L;vCJq5k!?uW;iL@t%BD8x+mcM-h24BJ5=Ay3uhBFK(Y1P|JA)8H_|#i zp35RA1&;q~2V@7se?wi{qE?8&ZgUR^GILPkD!$!nf_K{BVTDfq@r;R5x7-$NCUwtB zdcZ2Ce?-}_-(dxh{>j7EX$eAU31ex88rfZW4YUFk(mx0LIZ(MvNPZQ^3rhZ5%|MpR ziM?p4^GxX+7f{UC$wppniTk2sYLP(J3zSn=mYHYP&SPU}NbxuQXG=t5K>%|fzkmu# zZtIx*v+-!K{mWT(sHIyII6Li1s~y;5a9P!f6EM&_2!x4)IV9Zs?{H6Uei!`MoW77{fQ@{7RWdn4ArbLU03o@-N7Cw^~6j58}QjI&SQ_ zZcyL;)ke_m;oKo~y2`|iH`H5R;^{Q;l$*c%=VG~aVvKJ#dqZ-a@}jGV_#+f9B#FO= zH@;+wP(4Op-|5$*cCz&(F_1JRQw-no4Cdb_5YJ`tfrnAx!n;C3^ z0X2N8_ji+x&y&vc_-Bpt$~lg@dNM-DlAiBDWcdqakAAw7RX_&2j1@C~Z}yb3oWiitHh>OG z-tq4suJ?ojK%Te_hzUBzibD*tNN4w6oA2W3L>b@)8?-v~T;_*ID&%>w*J$_PL;|B` zTFV#%FyA8hd5h}E%&*{x3Hg}gQ}PwMb)JKsWI#gsaD5BCD;1+LboW8&_)o9hz4SEx zeDhgj(L1(k*kk!!R$BWGQTJn_4qlu;msQP`6l5D+oWnZvUFfljLd8QMAuFvkM>BUx z3VQ1*p^VAGn>0I9BIUzQn6j;^h&X;5BQ&{?)-I!J+8LOHB%R=Zc5jb%g0_4cL*;UQ z=>=MtrsHV(3U{Nv8iZ@@?>yW|j+r5#Wc# zmUvkPisYLW*3hKXE-0;S9T9n)Hozi#)ONcZQQKV;;RZt&Jb;BQYUk3CbI!Bs?PISU zMU{~;QI67Ne4rV(+&i*uO{KP{cHKl~n${A1FOX&U-lj7+&-W0kuGd8WIMd(kaHA$m zRb#SOVIyOhH#I7a+Y5gJMK)CKW;0S*|PqM&u zyD(#xhqJYp)1ALLB=_F9n@Y#|HGhG6>uH_m$WT$#qkfaH1u!UAbLDC*u>T|Z941Iv zqls)@5-4>;TIjlKr4@?a%0u>m@2$8*d8~=Bf({43E(9|YJ7qc6r0)!bL!xVLZlvX` z4)?9;)d;1*(RGWwfB2^=e_zCZX^Hp@tkzU@JhV_L1uZxP)vF82mmy6iBy4#9!JRRq zH%BO#--zsO>MVk#1yyNf4{QXpR(1&59wUH3>7J85M8cBA6l9ZW`SB)bt8&Ec52h}H zDQVneMU%94JSwf-$rYRfl}br4>G|e<!W8kd@Rcp~D`klLxN|H@m zQj3;A%CUBdEQr_{Eh$Z&0vwH;-%@R?>v7X6MvTbqw^fek;LQb}Pq<-q7Y->UZ6A<@qYTMbtr z6w+0TF&nJTC$Ocdw@{aY`H)SErJAsmI0CNf@0G#1*V8Mx>zIqbD!UQ(i<=^}x1Xf)3K!-RnrN3+Y zW)9XH{$=1RF_cI>Tno75=JJR0BSvoHi(9GOMLLq5$qj;VW#EK)AK`xbYX=q=IPKvT zeZeGhi2v4SLpo=AS6G97*gpC0$o0wEl1V94k_A)%-6f#S zt+7_TOhrCEei)79qps7XS&EYx^m#K5z|2gI3!B<}eui2)Gx#|nS?Y$J_;i_Rf1(mv zGJvOJgbS`Zq~NN;%-fru zlnwWz(Kd+*Qz!!Blji~_y6Rs&2-s6`)K9UV8q;f5)@NTY?lo^1ntw1w=rW2-0+@e< zf$A$-7MUI!|1IPRve2%)pl2M#6{V@{_+jK!?%@S%_u#1%VJhasz?i6ocU|2=80GoB zbz9E>QLy)EgqZtypWd@xbJ`Za^zf2vd!&(}B;TNC=%Q$q`+61|pMuWJW~|#qc9Cn% z@@2ogqi|XiRIR~Jl7*9T`#jMv73zDu`PYr*BSkR4shv7^)jBqEhcKghoVKdxH_Ytw zqe_G7-CW&?Y8_|+TL+ME7z&IZ=0>+!k)Y6oAFt)^Bh%LzWQdsDtGzpVWyXT=@t{c( zu(h#a$Bou>9G(phdRZi`GTj+zPp2g-_;Rmuc*=UEDLgx1Mxe!S=W?)v3(l`vuLwMm z^tId6N5K$DfpLIdHO-oMw+E_FRZgY2%pk4aIp)q4K>4H|&ae7j)#0K|{)Icv=Ef+D zBihNbz9IYS#ghaG$6pEUEZYb)61nnm(5F|`-F@5)xF6VAE`>#0#u^q@Nc^b z0A6?YCV^G3v5pF?7vU6lsH`{6!M$x*@BGj!b)BAMY8qwHVchx-%uX*E^F`D}5CG~M z?*as73@xD%Wz52S)DI9gXGBh=h5hrr5t|nSacFoqp(Z%xqn2c~5xW&Gyrl0@=w~7_ zcRe8{SgC5-@rx3er=1^!HFII~ zVCeY?$)(j0q7h9t>`!}tvb$N^o6b6hL5Rg>{{l$NP=aqLO6w6Gz;E=VQ^w+q!kZhr zeX{CsWuqUYE#UTAKPcvzoct{^lJLC+duN9rT%STPX?n)|btQSSpoGZnuue%Sw;xT9 z9pXLLyLp$Eodc)wbCw>=M8Gv#`o~AT*+yY!)&M{ESMp2u1_?N_MOMlzsn|XjRLQdv zV4qJp0pbT*dpP3GhW$iIPv$%`6!z9ic1x$=YVJz%dO)%#3GQs z`Y$MTxQhCDw5$egTUAVD<#)+;B>h*6Og;Zg&Aei{Rveu4`)5qS$2i-B=#O=A3MQ#F zEgTa&3@G&$9POo})^$Pqg@BZbVpJmI?JJ*4LdFvMV1gpov9@s#z&@vzEAxCdqXR** z#P*dJ+PxO*K#F!BTJBfvvI-ww|?~5NnLUa>5=w&kIFmM7(p(0AE7QJbSAgo>DGr_0~+OE|f-= zxu->1xX$5^FniV+5+pT7*>AD?ZqQ2kWS# z*Kfp_nD<+Wm+ski^|zK3F~k+4XuCBXkGphNFhT1|z)E}Xn^QB0xS@s=0C;r5kL?1? zO%c~)woR;vm?m34qk9%9f9PN`xlkD$40q9}DfnX&Kb9}~!#9A4_(=Q}@2**ePVfd; zItDcCv`tOm&0_)cnu84kkhsMm2f;qPh?^oz=cq>) zA7$|G*y)Z?x2VsdmcS#q2q8sq;sD>7-!Guqa1y)z)Gf$+GE%rT_=VP?JX23EByv2# zEugfv#VJ9*nDH;fY_oDAGWW??@s(B>(2hNK#i+zc(t>M^WANs?h6P0?$^r=mXF!TZ z57`9;1UiFNGGB9=>8V`aQ6hNXzweb5WLCLA7%Z9kcZ{zgb*V~ONYCLFslNpjNo>KC zq2`rOc&+ri<||2#?X2TTl^TCq034JJQ1%y)Ds_hmooHFMK zDfpSgh1f8x+Kpq?axD z!S4yt^5-XvR=T;$ zxbUwQ0dk^z3~Wk+H)|;8#vCmGN!8H#)n-WnS*%*pO>F^Rk13IO+c)vZ-2;L*E5i#? zjQ6FvIE_Tmh61h-gHTbxSbj%s9J2xk+@tfF{F=Whbb7i%?|B2%yZ~tj(5$`LIDi*_ zatm8b7(#H-fJNA+XUptQF7e#OF)13OpBhp$>7flm&0oNS3AYpx7hYy8Kg;`+s07}c zBSR*9>h@o{!UN;?+@A6}SB2WTy(qb0CuXdI&zYG$q^L*^tC5u4M}A@vLGyHor2$aP`=g}3$I!(Fq$mbB zGuA@M$PLW&ouTi^o6#%05j29;y5eDZ0{)sz#LE#C4l%r>eLjod zwOY@sxaxEZRAYr$LD!P4C)_*?O)5&>#GP&aA_MpDOqT=%7CZSgt0G)7xEdiCtpWx$ zVZr+A0$lw}pD)Wd{upRsk1r%z(ceGr5R$EHo_k9xixuK-DZt$2xz-Z`+kFiI(XDJB zP)Zwkw#JQ#TZ(aOUvHz2dyQ@fz?<0>EAy~X=CK2G35N8Nh)%?*kiU&h5`Sy%t2>*C;9W+MN0s_cqF48I_Oh^IOKE1S@7Q zsE@gg{<>2JEe%=OD>KNYVkr01=0gex47jx6@W^d6g3b5 z(A77Wkd@Dqo>ZTu%srh6si6G5u$261V7920-xs_xgCiOOZ;40CMr_Kl! z{(!cr`DD2wCH~+g$V7)eTL1jrka(2sZE+I+wkv9;$@hX+PdALwHVie}68m7rh3grJ z^j0_TP|z6qaIrpi;Y6@VU6o%QU3F>?cX(f>e#7B=3P_?lP=arCHzp1c&^5heeb&BajatnCH(xS1D;kf!6B zZV2b^AP)ZJ5JMQyXF+*l(C;rUt^@iyVM3qbHZ803_e}FHrAx#})$Q$f228sAlT6f6 zga_!bmC_6UWJ?w-%6Cx$rNuF++0RsUo zIT4c!n;c`-SWWcBFN@<|Krq^arOE*|(sQicK&lrP@x`B_F->$<+3J<=^B^r6N7p2M z2tgn6VP2mBXRYI`@IhA>E8i5KKeNA*Q-_>7E1Psyn_6+@5szvWv>Ct^h2*stknLTpteA4^Ia6SWF{&GJ@2+vE=jEbKVPRFj}RF}fPuv9Z&bj$@#w7^Pk z)=mo05K|p5wCUe%yb_$=2501bF|{|ie$%Q*+;z?XS-8Zf_z=JW1A?WFYh#DOQ99pl z2MH?TpYEx&O2(=e95ruTQRyCOkktzKN0fJ!d^wXR@+?o?nhyN&-Gdq+4w=hbOs&(k zd^InffNeKD$`E?!+esfseb(GR31mTThd(+fl+eO)eR2*C!{9Nrr+H6G%sYp$sQ}ba z;rf_H($T{*m0vX1y(4>qA<(EL=jjxy45X~9I&lF}hN{GyiG=+t=o0iU&^6J5 zs>0nq*YM?FcyW&EkhuA5r;;-q61XdzLTPKP@q09j2C1Ir{acd~=Z)5g*DvCfgl4kw zJ%A>dryzt{rSYkL={vqG+YZ?;NKIk1f?azoO-e*N`{vYH%ut5?Gi0=k_wAf@V^zc!Y=;j0f!B>+6XzmA zc{~0N35!@msrX7p=+Rj8|gRvIM*T%(D*#oQd~ z2D-C>L!pxCe*Vp^q3GO?D({HRDsB%z&o##>-8O&|k>$VgLK#7`i*wZ~h*hwt`6N*0 z+l$s=^P5!nTG$~iuLmtiCtLw!A-vp}nRCD9AMhrilT3J6i%DdLq;v&oR>hPAUWpWP zz?KM6tQ!0Hp8PaMW#ya?i&E{XoK zMCa(q-Y0iEC1CzXOzM_obns3=-3OhUtj0DaIBRzOzPaAji^b7UGpTTs7*U&|b&3LuW z_a<^n`(jM^lqfbsc$}_bmnWyyd`hycffzM5pA&%E2QL(2 zeRC=hEI^JnF_)!5KM}6`D{2R>@KxDE;fegNWQ7HQ~7`BSmO|9|pnc##wl8s2H z;~^qeXa^I#6reB^G1Gl-f&}+sisZSnpE5F;EA@m+omyXf#~s`>l*#SX_oPCJ({udh zlV`7{UU|cK*URnf>w$Vw3qvc=XvHe=tXlPkyJyh;Fot)_4ln_L?pVrFbuT<`L%vi= zJeU|N%|NkeP{iYiO^2Xa0(WV-*NLvTPiKYK)<=hlAEYiC}k!AQ_;!Jzr`muP_6&1d=UX8m^&hG8uf&nq4C`G-nTO-NFFNxf>SH(kUDWn z#6b(bz0^1mjS;Z}hvGFRTn(jHr6L-D;|yz&Co7_*?6e?<8Sl->E!uY*HejU5<^m*}CuiTLhgJ(O3Vrc+t6| z!m+(8v9L8S98`)iOz2I3s4_Xpx(oi5nXFu;reC#|<7~mAJ{oCu1C=O=kl?)nuS%aOx?4LX8yr|1I9DE=UZz*7Z%4IBT5r#1(#gZr zpIHW&Jxn%Bpug4s^6L#sd z@j;DsV>r^l?vBj3F8Ix7piu+OQu$bt92@sjy~_Q@grjmL#Iv-oK#z#tR7*t$lcteQ zJWpy6f;H$gA2@yd#4*5me-!&ztkwsZ{rk{%&#AXy*7svhM!@62g+;O!4;8a#2((xO zgs_c6G{E|W>oM=yEY{X^M(Q+p zeJ-;et)Cy#Sk@9Ns(g(C^vjt3>4RP1fmVbnx^zNZt=^J^z*iF3Ij{|?{>*bga&Y^bFOl$j1o0G=`AJ}bp)q~tPsoZ| zb*RPzPF@MJSJ{gvdm2zV5fs&q`=5sKXk6|hL)r`V#Uf>4%P=*}8~eE>^6$6!IXQX* z*hjymAp%NjpVa|&-~;4Fwj^sPIALSrQRrOL&qidU)}SHsT?uz4vt0cTI?rgCBM=lC1;oR4MeB1!$N1Y4`2W_?ZQTXuwNZfr zNRJQOFW?DFh3JE9hNeRW-imhcfM@rX5|w<0O_iP3=u@@>Bdb?+@)fjBS;5;@g}x=) z06D1eY2Y9J;yIu;xMnkdIzKA*eApf$R^;_mp4PO}L23hhCOirU(lS6=@o3Ow3cV*2 z*ZpC*f5_ryx31MuLX57$PGqnouZ79$?!2!PIXt_r$NPgU`)JCvAu4!M(-?js!{s!Qder-xf%s>cboUEHBVeQZm&TU!O4-eY$b$xf8&tZvoUPh-Q2;bk|)WFb) zITdVC9lHJ=ZTX#Jz}bL6MW9Jw^Bc=&XXT#06$BzPmd`?>`*+JynR8a{YE-?}#O>+& z^5T@fBvDVr*bf~-JbGDp3RFdncy-D8Ecd6I5RreZn5Wr(nLAapC18O2BkB={bbza+ z^^Gr=F4%Egm00JH(NKU>1bWET8CGpjK{mQ~0hA z;Xp9tcfhh(bt1^0RTnOnOoUiKhJ)9>Cicgb z!@$=o2m~@VN}!n_aY$940&2D2*3^DIPwIx9G+9Y^FyX)i41*?>|99W^|7t?t|J1r> z{MWB%W&aO>eViQ3|IhD@iX_7nz=n&`?)rVKa-PEklVc+b6e0Bns$bw6TY21_9WqHA z003kfn1NwwMYiL)5@Qw=l7Iu8rOMn~lzNjxth(eJ+S{GouzH}WOSAXC%UIUFlR>)B zX_$F9C5CBlsHL5{rC7Lv>V7g=Z)PM!BAbt+9SK`X*%>?PO(~TB&g9Bgkq4Iv4NL>L zW3@Y7=H2p%W1sHMsb6cHakqW;fH3fq71yuM#Qg@osojthto0?6y&xHp7L$ZQy$|WX zhD5-Qg|Oh74^ly;HG_B-=9hUe9Lb$tmte4N{4$t}A%dTPHiP z)N1S=>C$n4sfp z?Whe+8mn-lkX_Z*Yx?-W9alM|A336r@AHBf_pK_g3oLW0kgPP;OA{KWIHomm;-&Lu z7YKs8RpO`d;$TdQ*oVhJ9nJy?UsN#pJa`G8Pg%llzFa+h8mcJq3qR!XgNz@HMqt3Q z?K@#vuZ_pZg`ai%Qnz=t@(A+tk!GEHMaLfP%_~Z(XG9IUz7Se{c%6N z$O@Z|Z22?MJa>rv*LaL-N?nRH)%S^+KygMmVXyxE1;xyGQ`zwZu|X-R3eOm3w+iM& zdhITa*Cy4|a&N?uP)e=8o^U}M1%v>{VI(k0@JF)bZerQnOTu2HM~RcJ@JS5Rg%nRweaBy=<^Jo@1MVwLF{(GOhgGz-a=gcV>)AURNm+bh&kxFYTKM5Qg9O|ostiFZ;$Z9jYM8uN z5%#o&P=~Ec3h0Tm?80x2okYcZEh;6{av*k5$PtG~+U@vL*>OH}#W+wQQ64A+hBSLm zMNZ?!_G>xRT9Ryk6=h+qt)sF#b(_kKCL;qnpfkJC<%%F$=gzv4=ExkqoGC*j!zLKF z1mw#f3F@H9Fb|i=UTu-DnXzzMitgF=`Q!QGymh5877!zkUFt&ge2yN}9|6PHHkyC< z(-fSstp@pOuBB1P(j9+A6c(}n>pCGNrN6_}(7%>+otOH`wn#=!Uo#UI8+}T>9J^M~ z$l7%XvtSkSl?CtLejGPsuJ$kORtVaN@#0EvbSA3#nyJQ~6~f?j1Y!|lU{8f1O!iQC zkp%Z9q#=4c(VL9z76(F{B@d36H`Z@$E)t`@Eom#Yd~Wl`@R5Fqm zpDr%-Lm=(#h0N4zaub_m_&G-R4EKc%?~Dq8yBd3vEitw+(l;19SjgZ%Ql(GT6#S9r zB8PF9*=}2#r(pm(C8XKk`13hFi*Ta<+9<*aNvGI+9twrUojJ9I1;f@jQuG#QWT+1Y zPSoxFP^y!!90X;*_5y=v{(8nLXXrOaP!5)GW{X{0IwyNAHh$&p3*sB*xl2i-K~TdY z#oUI(NYJ}zN0*UZIQJsh>9CFJ5kT$jmR8Ayp1SW5MvubRT1NB00SLMuI)v5Oprl!# zh?8ZS(s{z7_z33VV}(TYnZ7s>55D z@tedG9p-Xv3#U_hG-+f<&M(~MEMf87U|o8inT|+2TzW3oT!yRm#fFA@SNIP7a}~2i zO@xPn^b1_Gj{U|R+JnMsFT>k zb@kVvOrhQw0Am);9U#0;K+m-DlZA!yRedB|;c0}pcw&2w9yK*paqSU8di?W#4^ zOnMI!DyS;6Up}W7ax@)rfEMo_ONhU^r|+5&QlSW0@ZY0W^pBx0%AsdZiXMU-NdNiM z8!JDdyJjhL(H~%S*@w7=2zH7_uJxINJ6MMe+dhzS&HnX4N#d#f6PW#!=&>5?;H+t)o#fsBCIL`fcGgKm8Wy2tN{ye#=yJ^=%$Q1vh&Uzd=I&=uWkn#gLYEq$afx_>F z8OdT!dH57vBC;lnZm@r#T!spn7X?Y1lsD^GdjQS`*FnmAnK4P_>G=o!v_JUQ?Bp6s zio8T%8@_@XHHd?d#aj$DEiIf_EV;BZjefaQgyXe|>xjJhlNn>% zx3ES>0wS%u+Ig^fa5x1u^C$d<)L-2CCsHAYaK~>0ciB3xU&4~w7#NK2Ub^<&Oxo`}v z+;r{!8>|LtJ=uiqdzGZIw1U?V$ncm{QHzRn^p)uUew{+8`%N`yJ2tAxnHA+vj5o z*$$l!)D&urFlA_1$KEuq@Jb`YTL_npnpcsmg%DKY30Rvz+85xx2Mpt#-|I5!rr5mK z^fAh^ZAYwp8>SMQsg+m2N4~0;TlZig;{^PV?FC0fE0dV6U@A7tq)1JN^{;8xL1?8H zSqvtB+W-Si8YY!W;-iyBL8(%r9QYS6ncogS|BQDf7W#P z{tAB&OJu@YMAMQw7fiX1V|sszRODS6Uu%`J-x1>p_I{=ZV@Gm`by5Kvo{`cyoVsOy z?XH8y0%U$=Rw~{@P zG9I$%!AAF~vuZZoFM*!2vR5J_;;J*_JIWJVtalanT9BXX?dy7)HM{!pnQqe2LBH55 zl1mDWkDN)j`AiMl-%w$SPD_Ts23EE1=$eK_knUX!#QS?#c#Uog>$m^e-*P& z-yr9pCAN)Up>4cF#P_{gQ*ks7>-4Z$Z)!LCIc6O{#q+-9?o5tvBMIbGOklEp&7`LU zMi|=zLNnHkFdzc8=!GC&r>ocDJ)B~Xd*M@}S|Pi4d&0`Qa{Npfv}mhE6q*Kq0I&H<6>QI8_S=%zm_&5D{}29 zNl6w`Bv;)f#{X^|*4kd!yew6`9mY;85?=Ex(IS$aN(f@sZ|*{SW3^lg9VCSh)Uu5e zujj?n&?MW7hH-Iq+?q2l5C>N{to*h$s&d-2=?8y1P7wzar|EC^^_n_p1W|0>hN ziN8kdEX%;~hIr-MDxf`;{Z8dyJG>_9!-{9(j2y!4vLe& zxhO)DZbO)y<5jYFhRicCar(VL;@@jrD+3? z8DVxLP=s=ZQxg0&<9)&O$Fh<($KJ3h)6sIsQgG}C5z7R(0wd6pEg}~!I`H=wCX}oT z5duy_jeQ_J!axES(Yk7z_5xD+!CZ{jjqSC|rx45+N$xmztN>0+M3c9l9c>J*3V!(m zluDgOgU};^6X%j>Ou8CTW-^qt(kop*RT+2XALw`S?hMP{6kw!O=~}VTjCC>TzOEGd z?|PVzDcH&C!C|b@x#j@3I?nbaQj&FG0SyI_vm2vu`hK9Sb=`dJnf_1`T}l(7Hc23C z`;qiz{@6&znbxE1?ifbf|4-tX6%W1zM}_p30krAP(}=JB$HkLh zVEX${UqJ)#d?hig76V$wgiI;8o`u5N{ifq8A`qA?lrTTK zQLrIMW%phF4jSU|N8%p3P7u-i3H~vRK`=^d5Cxj9j54B1^6N@ z*tU@Cie@4@bBRMAnxqU$RDr?{)afTYEaymShq}uhYnAhIE@Wutyt(sp)CRzpghzZ7 zGe$oR+WZ&F#(g_I)lT=+Ulg{^b~vBxDDavwW=328Olh45baJLF_cL{M(jgNw6L%Gm z#e2{%CrOR?zkFs}hRdSp4^eCefe%GPmNt3-3j(Z z@c0Y=>B^WOqV4T(@!aX<5a1Aa=%J$~seODkb@DwjGd~q-1>O_&XOt@8cqc@V7bKew zeXt`!K51N+18LGTnftUZe_vJ@$mXBiccC!Jk%0{wTq*1~^PYgdYuKVc(Cw>hfdB!? zep_8%A`RK-+C^-P$)G(+y5lv*G{G5}>s)*(kaw5mpL#(xz?G^Xr~Eo}o>5_5_f+ndy+85&q??aP;S<#z4bkp4iSeJvwj@3zD8lBHmwA@{z{yp8>$xx25evGH$c3_5p=Y6KgGMQEM zYP^1yMXS?|R3VsX=67L^`xho+eRQ6Ar;_IRZ`I!DO=ki>TE{2F0>9ao=$SVUCEi($ zGn(UDPyR@`gl9Kzs@+bZfIVd{0>yix3u!+n4T@m~Kbec8Ei{ze)gqQCR9hD@8={GP z?3vHJW^=`u&;jUrqzZZ%!}3G2cd0yiE>{_bLZ`8+neRO%eazr(6kT``?bh#dhqNLc z3rI1WODZ27LN0e-tb?v`q4`ah!k90ZQ&NyAqY&zJNZfoU(~5?k~8VVcmOI%8g?KH9Rz zr%9mj`mc}CT$x;c0ii8Napk<0oT2-nzoer`?!9|n;NBlCce(tg&-Td*?_*;YIDTp) z2oP0JZw1Joww?}Ht~UlNHOq*rw6Z`HX&I~etG!uPO{$}M6A7`QmX8G_9l0b7YN9;X zaz1ctduEz@fb*!_tCE_!G8}18B}RJDZs>Fcd1H5pItou57`oE2>KSch&yYPn2j{+iCNfvAb2 z9>*EfK`}=vsj5obdBCDq-WbDl?B$dH^>UcwmN6HkXP2bx$l$+F-w~P`wW2@(IEnqR8)vEO7nu2dw
      Mhc8@Dn~X}9W|bB_7Iisbu?pp z9B*D1QW&&C6>o72=K@}O`%E+Qxh!onM;voew@#ew0-VV*{t8ycpLju`yPlly8dpCx z&^Y`l`O_26apT9@6T=``{Msk3mM&_r>M#(p7rrBF{6`W}APYV~J~A(k-9~z?FE>Li z)!c37&qp?>t30TOEP%qguAcAs1Hxjx$DhT8o+#Dp&4;q4eFcyhr9 zbAq;|64dYr6aK@#*r8-eXK&`K6R$!VAwIL6Z8j7i?X;WHs8G=8ca1_&_M2JyxobY> zaeXCz0oQnax>v|8hm&46WTvTF-HvXbB_w=QywdU@lBzkaMi zS#oJl{J0F%BVKzB9gpk^<^;*#wUsv7TAUilX93Pq{W*RSk92PkhVC2Xgbg6xR##m7{L*l)cB!Z606$V*nu*{9i-8WoA~-M=@M+qJS~N?c%obq3y%n|Ky9S_hKEZWK zU*Jd!^4;UsZ?FopW(8Byk69&{Kk=?~B%{c8puPc)E%{r6pJlClE6O-50r}O31UO;@ zLWF+Y?=Sq-X=`8uujsCnXKOJsHSVK;2)7HZz7U2$lppbV1L2pi!VXNd8s5$VblyC~ za%d50By6E09UwRSv_mF-y&35}Qq_sTgcPs=vA?F&RuLXpa+#*%#RQ|)eZAD$+=eqq zI!rXmsa-?teY*Ryag!iTLXM>hj#!?Oofv{YqW_1xb7&3)O1pJzCnvUTJ2|m!+qP}n zwr$(CZQHqL*n^(*9e%%ISM92I;aOE(Yw@z}icwLJt5}XZou1sm5HPFERHRBKdkv6S zqL6>tHDXK5B^B({=Z>R!EG&kfqhJHMRn%uYkZ)#y0;jAZGel<@-$0G~Oz&P*XD5|n z*3MMM*uC?#BHpLe4Ec*(@@Ub*IEdTq+^8xA8`;(|`&(go^O<Ka8b%okO|P{4dPjeoU40Aw7c zOP(sfme0Y^%fIE4<4U;e^@+eY?i9P%*H0HFskaQ_QasK8yKI!iBezr1Nbvd-E zXp;d~oq+r_wcvcAeT z9>kFN?0HMx+1T7<=!kiN&VcRW5G*dJ+q=J0JsM&zd6NXI{5h)-GNSWBa!gY!`L-3S zTJ)h3CB5%_3I;2mPw!7@^p;>$o&Qb+p-eU0|lYI2ioz7OE}^Jd;$?LlH)hhF#i}bwO{7 zQ*(di2vDo+Cj~Wrw3D6>?l%e;gu@*w&eoXz%9XyP97S#UN9?BnQFquDeNFGBN3ujA z=Cns04}bHwC@$?D%o^yimC$esfJ7DhJo%DJ(_ugYoW(@$r>gT27Tt0A>(y+hf;DfE zp;)}!o~hbE2Xs3k7Q@-fHfV4!CiH^$=DFmQ3z##W(HZa9DyOuXyu#KGB1)-F$jK9J z3@8;%R{Hdm`nH4l07dYd_|}oM=4$vr!j->%I8NkPYJ!%=smaI@RB+(}8hbWRQCS@g zvsa0P;0GdMc(<1q@;vDWOjKG?`2oD(oTQe9H3_K?Suig?H3vRrap)14h5OGHx33poq;fs48i09 zvLbY?!Z;asXf^7jwfw9oOlehklsT7U?UV;E#LUzGY_>xMQ#8@cAMrE~Y+~Kmb4A{t z{-lPW^V&Uy?%{U3%@y9YH&0D|55mZwqus2#EzSu_syDhI3EuK7fS;9ggs3^rWqP}` zPh$OhfqnOKIoTJWmfyisYw$PjtmnJ^MJP%9LR2$7DCKz6WkAXol*R&H0x|+e*{0Rr z(%w=nT9$d0J+oI`#HJRCTpP1YK70arn+r|>UqII6tJr?8WQ7=jY5i)3e*)O>7ghMF zTaptFVp;O>R8FI}s=An~L@Xt7eBo5G`n4V-LMHMp<{L1g9GRITP<1EQH)i zM*$rJpx5?Q`FG(N)fLb;Rapd$9^5HqX0h)YW=ZZ*vY+~zz+hR>C8asmt2A)>ws^&G zg~*d!(Jf8ryzo50t=y=5!!y>%lC_E+B~jI5pPc3jA2&#i&6y!?qM`KN83zh5nltlEy&sb0I_-c;XKQ=Dx{IC`T0s~un6kjq+Cn85VIf-p?s{_ruJgk| znF8vlk#u9k-CxgzW8Ehu1o52an#?>8w+^ERJlcF!6qUeE3qA5o1s@{VeSfiUl^ym= zfZ+y^qJQIWeloeiG%qxHeE&^neN@_P__mjC6A;poSQ4$nAfTqC(A!Ir$zl2?97+LGHOZvxwBC7c&dcm)MTgr6_~3!nv>@54bijtV z(?;Ibi^^(mj*@OE1k@g&_)VwQ|4YYD$>qJY-h+M$%(wTIwkM*knQig~msNZ|tagxw zE=_25!8C;l_TRLH|I?Vl|NmG8(?6x*f20;NGyW$M;LnV@mE#hDE*J9X4=AW`z-?GH z6^FCIK1}J{$*ilgo5_lmIK{QMu~7`BhR?Qw`;YWtSqqdNU>TS3sKs)jSefg(3N%Lk z8%Xp< z-bja0e|W6oKg$hpsbH`*O8oEV2`X?cw~DS`Mf=zWsU7}cJm0?oqX(*KpLOEqCYC_A z$t6YHUHiM5M$$R7iVT%fQe#2G_8YKVgzeS@;2jw8zAs?(W6GlHz6yN<(G~04Tg52A zb;<%|=iH$9%FV8w4Ny=ZcUovytJcz50j5dHgffPUcy50jT)v(3m2M3*3*{oIq8->s zw=h5d95%lGLfcT-HE&aV<@p;b4hm=hOtlP-2wIxJra}Y$&<>ZcqIpa$9ncU`5IiQTy6nTmQaOA?jBT zxb28B1uISs%RWOij_Ti}E9237B+d~OqTkdEelkky12wKrCa1l=fd3c zp~R345wVF~$J*2%4~q4aR(0_28=E=ysbH2hx_&{_tUh}wJ-}b-Wjik>ke+sL%^yJk zm|x1cL_t7Yx1GCSBQ|gzUt;HE$?=~)t7TD!P;~r&R+l;yzX5Pk%D4dBtRLw!{7__u zntRtj*)OtsT)L%R;@S+skv*J5;p2DM`;PntRO3C$bHUx4ZKK5>_HL{!-pj&Q<9zwY z$i+%48Bh!}kGrwVi)j^`Q}8Tv*ks!SBA1U-eCfw7QNl;w`;4|vaFeRE1Lr6hx+?P` zbwu^G0h#HMvypj}*{_6I9?DHD2>P5q?)Tq|#qiS$rA$0p1KavRa7%quqqk3YOY?TKU{tlXLO>L}(q732S zTk+EYKYh%*+%6+K^}IgcUf;D&*4EPTb(lWenX`0nRr@X-XX$p27`=|L$Y_P0#j;Uj zKWcRXnq#42hhsY!F0qY$DfF6?29kTI7}xx@ggmwq4K3mu>CIGyVY5%{)M5>?$MtbR z8=6Y7^k`<-4hfc`^_D4l5Gm{W{tUog;O;>9o;Fht!sq#!=Xz5@dW9;g6n7x=o7OsD zff|~8ab}Q}Tz0AynxL|CXWWg=<0gPKZ}HgwQ~iKCQK;bE&Mf_ok#@^9beEa!mu{6; zp9ly_Le%Jo)`eTI1S&mWx#B1bT2uiml$ck|Bd*t_p@0Wfo`@C<%V?Lb6tGif(yYn6 zB5*+yDn4S{Y@Q>9=JI-wvI3r6l-A900^+e{*`nAkA_2H5`T@!_Xj~+8u!a%BNJfO~ zud4yL>~;{Va$8*+fU)EYe@=CX8$=D&;;I&pY=&$#`xwQ(a9Ll*8us}1fO^EFDR%k0 zA3l$+!9aPO<0Mpaq7I^=`XI%zw~D>MP9+1uQFIcTN1hzSlyaDA{yHf*WeG@0wXzR3 ze~=|gD>M0GvWY$1*i=4!WJlQ};}$c--@ZEn&2C1-0nn8tM!E@(;3$3zAV&emyy249 z3cGUx|M-d|yOO6?C4XW6mWs|_Nsn0C)>%{DQYIaYE@OfoVPrlX^l%U>Yi_7Ilk1Z& zGP9Wg%s@>(=3P!b@-FamY{xm0_mbNoFW6qFD|CVElzJAvzzu}NtbV1@MDu`6TG*DJ z*e|OrVR8gsiAB;+9(~1i%W+LxzitnDT2YZP8l2?&f-{yDXy>6L zs@Pq(elO81w#6iz6mUS$hc?R$rSKMp<~3g7)t5pzylO5}qY)9=tI)MHA)<8Ou5qUd zHM?FQ75bc?voPz{w3V&ahECaS1qFdG;d$g+vwg)X;?@i1!Ls#HuhElt<&qW|q$6?v z!=6@qtDA$oy0U89s*F(NND^Qs4;WAx07KYNtdJBMQM?}etD?6RCFKE%Bk-oav4TK%`9moPjdpvdNZ zT%_11Uh8OhevzCnfSNwusq^qk%$Pt$c^n_0DpVNt_fSiA({s|jWv;8JhULm6nvl5G zL8vi$exJ4sel`Q=TuprYWSA5w-3g1fa=%H3wm96y4;eb1{Aq&T9Smo6v1}@iJyCW8 z`tC?c7E|$4aO}RzSPARyMxu8cuMxjG?;zIWL^#<9q?l^-K2+%oE|H~_rxfX{i`lLU z)|*#MZYkLloDt6SUrK>bbNR7ya<(5SlAF8!t1usHTV`1tN(e1Nm&$<-(`8fqs9 zvw3$yL*8kp54fj0&7-^fdc@DjqG%+gDFDy;=OZTaE)Q6n{+>j%MyB z8pe3C9w*29J$Ery#ePljk3AjJp59P;rfOD$e}@4%ktKQb!c^CPQLVvgWik!CydldX z=eVE3&QqpA()Xark$6*ld8muqAiBm4T>{fHn8|+VT%5NzwUGj~X=FWu%%!07=OtUX z#`&ya9@m*R#MrX0Z2OLp&dFJ6FgbHV@y$Cmguq+Xg`%a!>irmGq(5LT%fDljNFpM} z;U*h>;f1n^N<3ii(5!yIM_)9RHKml}Jp6=I0RHTz*24^W{vL;Z_CK|TMW_;XG1t-m z%dhVJ>-^j|_eVQ1@m~TCMGpy;*l;G1ZKye$0&4&vpXK0=1({y_u|av=4ZxbkO9_z4 zG3lNF;i;P@L~@)f4%(9;T3D0|_aiR}GAxN9vAw%NJ!*=`eDeGE;3S79(cjjg>yjNQ zWFC2C$3uw$8H=%Hk@_{$#oTD zUrnJg+mMt%O7kVj!RH1)?Q>6p(B?1&p*D{56R;pNtHDr58>&2fm^fiqYf=U5NhCzo zPUtDRGKeJ@@)0)D0??Wsafr>@u=+Hq3YgZW>N2pjn-Tphe|&0ZF!>4^k%g_hJ7Qls zm`gkZl9FO*vUYcAazwHCzJZ&qFdH?6g!{sz6#`$x%9uq*P zXEbcEaG1al{@ve*92`ZtWbg%05GC@F?7NtdEy3q>VUq@6DqYEECWJlzM|>tvv951V zm8&#S*bG%76NGS}B?GnDq@atmF}VKg@42cf>=j?n5$$NmZ(ZLf*ZsKG?Ih-BiUf8HsmCte+47WMp<15G@mE#OXrb*ONzf>tj)3D4_{wg zM^+7pg6dHDoAANTfEkao#&9vM zO*tYbCPwm6@dt(te{T=TS&x@~*61C<7gKp=bNlru+yU?#y81Y_}NKa4}cV1&}620gX8TKR0;8qMRA7MJ)+^c{})$G5V zCWf%{b$^35%N|v~^jH@`aXB%?`0r-xh~3UF31%sTBG7@EB9#H%Q%}m+FQV8-my!kY zzzq?`%hd0k7gwMDCG3?iX%uGpWYjw9xA`&bWRIA7TJE%GuiW!|hN9S>U~~;K0L=M7 zyrYpz1kaMD{NHJY|ENhqvTHt5reFj{s z4n)5a=h2;gyzR>o>?qGH#{YtnA@(mU1Fpg^rW;p-6XP0V5jf0qHJ`q&eS8j$Va_xK zl4e;7(Ct5;_Li4Ve%SI?S;GoF==BG&gBEm$?#%NQ^5%iWth=Z3g*;&(((0raDzjC(k`fgk@0 z8*YMgE3MkPqnWiX4X}2=C2p`zj-X67L|ctTr%Ra41g@y7D(pIM39Ervf1r_N;0*&| z7Q9{#E;tY5PUV?lsn-XsS5HS-$n@-k1u*Yqna@HVzMH^B)#lc*f;_EAbvz7&XcMan znyKcK!889NXb~^aBt59fP;pmNipv7(zYk!vH{~+QHQItZ;l!kiGoOQJ$#&T0jcL^Z=Sc()9!@R$4hA|2r;iI@N z94*^@u5+%PRQ@JwnvAbFkw0}`B>AY8z;j5rsD%8e%#nbkGqV0_0dFp(vpxI2{S*Wx z(Pk{2z0q9X=Ap7~@o$Boa&ih~0B6W40!1-PVjZoz-QtELX{ z!_}-BqPQ{gG9{`k?M;ryt)Me&*RQUGpV;e^Zhyp133U58 zjWc{CL;Q&G?Hy=!8)!)EWiiRzs6%1hjD6LOWJx9H3u{dC z>Po!J%uED9wNBETxjK)bUI70d=ia@Sw(9$>%w2%esFoH}(YC+hgw%Cw&c~tkH9)i! z1ob0;78-lfQI}IuTXN}rnIh9B0?M|K!D;#fHfC+Fr{>S*k;ke80o*MFU|doFtdG5ACYZst<0c-n-^z9H^BR#GN+ewc9;%IzVkuXe@?*a}zu ze$FWUH{+iZV(NwBeMVb6g?%BFnf8LNh1h&!wSX0MYw_lFBx5!IR`S?7U3fNEAVYR?=xAp)$}Pilr1+B%iL0 zCAg!44eCFeRlX5tud_^WP}N^9kWvAy*R7tON#aOip?Py*6W~?N<)h4B82NN~)hjyl)&D>-} z=Tkm`J8&8>p&l|X20{v2;Z=|jq-=f+yj|{bF6==vU-rBDqaV{JkSR;28-Uc=oP|*7 z1rX5Kqf(p!QGwcSfJTJ=0bwU5MqZOdFH0)aKh_%5xF9KFutU9Kr(wtDQTWYNdvwa? zl@cnW@kz#ZPRX0SFaB*{%m}A zt8r@fW%W}%QM_DFcfiP++UYwa;yet{} z$GzPUIVh(0ON9C;q4c=Gady3&Mp0Q{=gKPN7!seKl-B9M_lC>lDUA%EtOL!aLz30X(_=3p;z= zKX6ura^W0w9O_fM{?B)7+{@9Ms7usMqFo7{SzO>20oskgz#(pVqPpew5Infgpmi?p zDbxWY&JN>|8K{a;zc?NDV`xVH(akCKrpUP&9G7&EOtaP*W z@VkG1(+ZOOrH~o$h0f{8<7s zh|Qa8_UwH^C{sy$D@9X>aGd#@TmNCQxl#<(`6^A#IzEVxRP7BD`}Sq-KQ`D+fX zu~t=u|9(4;3N*8#k0m%Pb;1@kwEKkVFsQAEj6Af@F>Yvy%)@eabgz0`7OzFXGrwkmPnW>Jwyqr8Lw0`X#=-kKQuciOT1wQugDxv`2ZJYhPoT$ zmpcA;TcKudlCSN;Z~f9czw6Jq0^-l)*;p?`^9Q|Q#4INgP@`1R`DxIur7HP46W3CG zYJEa^dmR9_YOG-^NP$a;FW)YNIY-TNw zu7UjFR#Jr)IeVcrXx>MmGkJ*OZ4Og3aJBgp5Bf9$PF9Y=0RX;noK+~2)*izN1~t$k zYAPzLu89zzWK&z^Xz1_)`8~>%Zh-F&An4-{8|RurNNnZRCRjup8w2Ss1!*icnuIao z*0@`Zp_7(c0M(v9AibOW(8yE!da3g-pd?*#LE?Jo(Q!msX@}X$VzNV;8V(A*33;(N z#Z%kfDoy9jp0|g)Y$ZWVT_klTTvNNo{VDymnmam$=W3C*jM5#j84GwixqtbiB1@D` zAd+z~X1_{SVoxcg~tsm7*QhtBLVGdMtgd zemLtu`zJ0fj3air=%HkgH)J;djFpyexLDS8oW~`BuHfyGqprAPL$RI3ZuRx%G(*wj z`$Hh=V*ALP|Blvg@GF;QMttc!KNcTeo^p6>9iZB%Ch^ukxb~5`x{)3I?3mL^jYE+c zVdo07_yeT7J*(VpRmQ1QawaRWINBASNhqs z?w&?j_DDO+eJtQ#E+#aYQ`g6WRwS{1%gW1gzLdUvVYN{L`DRYOUyOO=U!1D@A)RG; zRnSSq5K4v_>Qx@%B)+km)LD8{(tSPLgj7=OY;aP!g9fisukz$P!^$Gh1)F_j2K0(J zl%%ViSv=O9k{DQJ1oU*U~*v%wU1v^ZngG;{~>P?falyuetEg518Li-ZO?Pv&r zFE_*;N;(;XKIf)>>yPfyN*wRm-+IeOz%U`>_^%0F;VYFRkJlafx1-N}WDV6T&q@?A zhsmhAkbTCj*Y4gK>gy-2KN8A%%+nsyjg))tNL$!iOJ{e=`^t3IoxeseC`N#bD?spJ z2zI_;-mH%Ot=!3L`r;-E^KVHTD!0(esz>1Az3Sie`cDBy#-6po4jkC<%F+N~}S&?2Z1ShZBjSu|e?_O4) ztWaO(AV=KrWEUURzs_Eb2 zqG@}^p!oZ7G3@U<*EsG>b8MfomlM4tgE42#dTlu>V5_yxObXE+fCjN_Ylde%Dp5ZAkqi=Cpw_lX zq4+8~36NUQay?fZj)yyWcU1Z)Kz>}E7>XG_lH_ZTPd=2m)b(C7@>&jyZdzN8xRJMw z2_;k~ly8O+uc53zgo)d15;RH0@)5>$rP|{eK2ylU;wD3Z4fu-J{ZmG@Q%t*TAU;Fz zL*n4i(nzT+DufWGp+NX3z}x0D$~@_W2u{ zOz?-xYUsYODr?W#8%3e$hDa-vB{OBexCwNe#;a#@L4TXzsJTh0q%Iz! z!;E~bSSz_Rt{$Fs+j`f~ptxq~5udveTW$}^yMLU7mlzpvtn&eFLu1S4d;stC00OnI zG+zEf$tdN)sV2jrMH$tEiv7UHCr68oTn3p!^F8u6V!4yNnfJ~mgBVm|3Ph^C>-}Vv zRM|^>-@Ilq z+c?&LPAeqX4Aa)aoBt45erR@>s98pm!isV`g~tV6^t@qRRn#0COB|^eYd{2peW&qP zuuH@8kt`o~d+&t(oH5q&BPT#!k%=&*F6;#)L@sBW8b>q|2EE%OAgyAFtW^|aCL=|4oCapRqM0>uHv)$M{5RZmfX8V} zWK!p)GIwiRO6rR>4azxhz3y7_Q1Wa*uF)khzupGf1WYT&E4C3?y#&R>rB-WRf&v`D zjZInoHPnVo_`_fiv%Oa%KJQ$9U5(>3QfyT6{&1CiIKJg8B@DhCbllyBDJqNWZl~dX zjv%JIWH4h#E28D!oE9(LSr;w4y}nFf`FXT#Nn+&aiqJ@dJ=nYhpE1D-k(NOn@Q{qM zg0eWd0f+Urz>{u+XthRPmr?7;X`M{n3{2D@&Inm$c%; z;N%g*-VgqS2NbezVBDljh^2Kzaau*L34+g#E$mf-WzZOxk=RHua`SpS!`M!>d_{5} zpy-|4A59=Z2p^;y2|TTg7l)Vc$z9`6=^Cc*)Q3mQ9U!lkLv7~wdL4IdaI1xAFb%_b z6~Teo=~-n2!JMP{TY6Yekmi6Byl2B4F@!d83mI))g`4^&C79vsCx%()3JvZE0tK6; z5!baNeA2IBEwG!dd8VdHsQ#HYYnqwMuIg&44^E_+X`O0&V^TL$z{8YVrt0LPMWiw) zeFhb6_R59K`SPWm#S+__dejBKX~6JXA?>1v9|`Y+f{2~Co}=covgmO{H)k)>3ON_9 zctYJ-d%MLXLUoAjSN69a@M(962EhG^_`<`_k+L2QhwNalP9prHz=k@iUN=t6e;|J~ z^IYpS1A3@TLX*`mAO$c~I{z4O>b(^BVkAS>??cKzaWTT_OOXcWWzWgWRFoT{%zF@fjPu&{@dkwj;G>Z~-r}ys>Fxp=fIGS#u8tBxJKuJ&-$Lh8 zEW#^sI8a2xC@-I>l80r^uqsA5BoiG~ZiMMN;FqzUXejT>ZR%|X4e%SDY`D~#xaWY( ztb7|Qf1neu^)`w?Svy&PwI=RoQ?ZFJLm}-@Q*D#xU$gu&{z`s2@#JW6rEQB!B1Lyy z3*?W@rdDlpb#EHQuLLwc-gmJ5{?Hy%^v#_N5_s}XFq%10p&R=Ns3lDPcx=Yw##A=n zV`u4;Z+B?3ZZ;`mobWjom+iv-i+_8{FlNFb z`O2TRl)>BZPYwF!!RGNVssY4a-vAiQexuPv0*w3I{C*0n@VZZ0{XAj9i0NI9L81D% zVh@H2Jh3*)$NQ5h-zv7oZR7y!>EKDlN#V}cy z;aw7d!Iiu`ag+TE8@ECjmVBGl-9mQFD8H>SNrhq(6#@gIcJ_Ora0{?VOv|{n*<2f& z4-}~LO&uF_kRr_Wj_M~%w$k?fpqlUW%}Q#u0EutGY+~6hlhc6cesmdUg|Mwyqd<0r zjqwd>5mK|8t2Vm#PqvN0#z@HJ>y&e5pH8}d!T!>zIpH1#r#i-m!RC)ZLxXtGLpw|D znxkQSIx`sXNI6AnNLn0547_F7TERV zUlvHK`ivHd@bgpI7WfqX_8gPFyZ;Xf8wdylT|>HL3PsU;6txO%Y(+4%Jl!+f2Dcga`P+ zdpKQR3*&t?E*B%9{!E%TpEBZLrQA$6FY2C$NO8I(k9Xt5h83HqZ6T%ix{T+&-^@L)OF1vF=pPk z7H&Ps4<0A`wrU!FR`~UIJ~;GP@DcSbcwJ&H45`G{z){Z!zX*)-=y9wzkP+LUugMz* z!=lsl-EC#AmTUAu05i8Y1vWNkd<8*jtW4CAhN+)p#}q?RA#%dFR4^$;L}3i2;$=jw z3uwQaJf*+;ESChBGBBOHO{Y1Uf%@n7hK>tG6Q9+W5`h_9CcNNi;~W4sM$iei!3opM zEHH@v@uzO!61)9L*I;23AoF(*k)J-;k8g~L_hG{b*UM-3v@PtR!D`qWOm{NJ^gA|p z4&OMeZRM12`<80@9lEpm5J`DZ%MIqRS*kxoEi$Y^0BAhpuRetB@M%rk>xG(HZ!8^u zK4*GAEUQ?=KE!;9dPD)hWf-pnrNGIpN2$fxay<-SyM04zRpHjaEDA4FTfT$*0y$O# zq*)?N0ad=_yakxNs-QTsJcq*cS%cwZ;*8f2W&XT1QufU~ZHwLN7xg@6Hx+E)CjT6o z!h-~|Xs*egU&)>ztk}_K*k_w0u_Gpu(Dot3mTzei^cc!Ug?(m^BsK$!dqn{>2%LHK zs6_)Aj|`?PD$AAqx&|&|`});cK7PYf#z(xB=uM|@IWr%Wt`&yCM6IeOZ08G60}!H9 zGVh*Z<|rmy1?c5NNSQ2ceY%MWEJ>>uTB*}Ah%`ZqjjDxL-!N<22GK$i$}xrKH^X^B*|<78=us2g zMu10n2A zCC!(FtRTa83tr&)s<)PsVWPgOue$T-dtcVqT0Iak!z?MpD&w(J-@ZD@bRA!M!j0pI zJ~I@8ccP3F~K{w z0emL;$buAl1sV$P6yTmFX9^fPGNvE0giV%Z3GEDibCV-Oca##TDup_yf`hgYn%(fi zq;nu>MlE`rd3=%xD!t5^SEp-M71ExB>ZE(chv-ix2GiK&ZpPxSu1ZdiLZGb_JO6CkYX$qD5}XGX0EM+YcDvNb6&k^%|vHpcRZ>F$1ECd^Xq zNN-+??O6nXvw1*>$;wwe5X9h}(#1f;RF8rDHBTU7_JA0si;Qpo`%urCL}5y4la4NByV5ER+fNvN#c}>=bH3PK_Oz5D&m8j6s9?Jh;{gu^;PeGXve4D|0rDim85w4+o z1%`&609ldQH9RUThM!(oWa#}c36mm3oLn-CdE&`?Hm%#DdF`+Loe7hcRfVKGbbCf< zqs69XllW92s3UfNimgg+rFSN=oHH`DjVoFP5EW191aAF)?6e?k%y!4fXI1&B@T66d zQhHYh!_$%NBfU9fNscqi4Hlt{Np+;;MIJCZ4qs%m-5|#M+hCD+XeuJRXHX1n6`|r^ zu!*r>%X_kq2Sb!va_cKy;OukaHd$+JME^FSmF0qJH$gt|GxnSTA2(YW7S9Lyqz5*J zOuvFp-chrA>v#3@>EjT%Z$uobDc*T!3mHI*=IJrUTr5j@cY)AqnP1m$;S}&TbF_@E zj=e?HpP5ZEs=nncxn&FXoISoT|rfxQs}U;&gg%LhuS7owtTM5Dn)BS*kKF z%ifDZ?bINva?1$VS6Lzv%${xi{@md5a1O>X!9Lw&FA8Zbzn$?=#0&{_(3F9}aincZ zSo3Pq16HRQjU(sSzD=N`HK zyIAT>Nz9VgOVVG41%xo3`?#0u$D{c)3ZhxqIm=0m=`A?kCNESmH|_nFpP`s5aE9k= z*cddK0~VSa_rCe+CGxT5Z#Mq09zTIH;K?Q;JD00$2Z1i z`z@-S)!oer(GEa#VDSU%e#+q~14ft$g!hiW?5p?s|dWZfPa{tD>aEUi#9+xo@M|7%b2} zaY{=PrvrR6XSNK0!vx$x3UFUOH09wwf^r%PK7xYJT>vyZ!~UG?=DVRL7xBbbljr?5 zSRXmvX&*`6jndtGd4R<|C@bUdG6AOmJHkfNvKe)*WG`3(&u`aqQfG*v4gpS$%S+Bo zqa2-b3=|=)u6KIJ;hekx(W0VAZ7r45+Xw)MZHi=6N%ulX@_EC{yxVkPL^v{4I zmr6!hJDsx5tuP%qtEufBBxDJ^ZBQBg>U~V2-!IyMf9eAsn}q)+)0?iB-lqpworcTb zdSH-&cGe{WR5&J#EgH0T?gS{cuis)cGrs>3o&K(2NmUp7-F(?rNrR{g{jd=zp z4aapw8EqOt)&_q0YcOXR#f4qMdN-5sxWP99Qm~lhT$e1)X+n-}?5!O!QH=^SZ3g`^ zladiLwSs&AenOvm7WOocom5?bN4PzubB#3BI74_yqGw1!`V2JKwiZJn4smocO(V*X zM!ChPj(T6JR_8Hq?wus_?9`^u-)zp;*fQo+nRwhYLRwOgN=W_3@G)R*nZI_Mn)I;= zyIkPv`U5=z|0K`Uh!T*;5{^(C58{s;{~H2Yzk|xf1=&6xK9kR|>txf15!mz3mpz3f zY*70Pv%FzVK(2!s?Ij|ouL`Cz3Bp^C_X9Y%UE1*Y5!5eL5Z>LS=r5xYrsSI`uafA7 zUw?d=1e}f)-)S2AwrI@tPa|d%EWU&+-we2$E(TP!z*Cfv-L4@o3d2`KCWV=-ZKS6E zkRq5sxb)B&V_;kOBl$4;Ih_@)2weO)JA)9|-&$U{CN`2;5?4gsm0I(Tyv!#W$q|0J z_^xp}TPKGOwcEOwld_8DY(CxGohJNO?MQWb$F&&MYwK8I&1}yQ9qET0ON78;e!t?J zhvEP0fZat3kA}_Dagw>4x#)Lly3)+dK8j~R9d}R%Sy_@gR8F4=0KC5AqC2uf{Oz1 zG=5e_3Ian@a|=zSJ}~{$zPW^@!zBpnUW`_0ML&7WUIaZNBv5z*;MG}_x@Xtpr&|^! zp!@Ute{wq4iJ ze3%?=#ucd)Q8BlhCocUhoVy`%p49N?q)XOi0&YpUj=z88&SWVX0y)j&9bMM4f)0C0 zM+9Q*&f+C%3-9^3kjILS@PsBgtG>MLfvps^l4lZD_RoyisGKL2Y2j7^KqjpOS!Bd zGVd{9sjc(o9+s<~MnVeP-hv)If6NZlh(Pl;O`>Bt(KRP8W98#AK@txfSn&D|w+dgL zcr~s!HsFL`n9=TEgdxt=DwpER2wYB~JK`7qy#9zM8C;jI%lrT4w*tW&JK-QPI<>Ci z%&~P~J=2*S5MJbB* z%O_fp%Bt?1#aUdn4&JN}9cyJ83(os}u{^bA3p*H=x!qocOWt|5*RIB}Jcqpvwh95) zw;-539@+9%B(nF>)@19Aufl)C00qG1Us;+S!KIHga&ezLmWsmC@{mS<8@@wK0@N2; zm84_F6O>$@QUYPPcy&u3IR5Gr#0=u6UB`-S1APni$CtYDy*eN1#G$xmi)jC8Z#CJD^OhH|^(aZA2?whk# zf<&(KTh%n;5-&-JUJX+2z9v1drpBp}E;-nZx3)BC z;ZV6u24r!SGo{H!{khqV=nMmMD}@33d3K32jgx4x)s!hkV)YvKK#|+9!-!<)Qbg>0 zOmzk*qyg!9l@Ia;H5Ut$VEQ`@w>+JJ82fJ$HEZ+(uDG?`EGL9Mubr8Y#Lip9#m2*p z88|#pmU%9-NyOfChr+WMyJ5;tG-KVb(jJ4_$s_`JwDvDo$8`&y+0(Xn9Nmq&YU|#d ztm!l5$kaj!gB!azbKOhuKdmP$h2#Y=Cs>7A`xe%Ab4|Ocu+SX98@}0bH)sE;iw`p@ zgYuWgRY1w&jH;V5Uba653JlGr;O3C`7yju5{{3fp-QFD$qWkwFp zxq>EC?kzMH^9GJL^{6E_8nDSZgJZSzSWlql5}$U_C)!3Fi9IMkZwAF8SJgLe`N2s$ zA!HBE&g;$bp=~J7Q%smA%&xkPoqhmFq$XgJqaYV9E0g4O0NwU0?xSB@-w-%|SKQ&A z5chEfXV4Ou2KH28x_yL{YZ;D*yB;@NxhLuLQmY$+qwl$5a@~c#vV^zo7R{JjsvxH2 zJ|<2kpxMdb2}uhdwouuT2PLoTEhg8uKB!ku;VLuSU&oG9p!(m$IwRE_b&#jqW(Uh3 z(iY8fZN29k2Whf+ApY4%%(M)>lN<$%Fx4kaKnoShU>4CM^{b=Sfm@n_N-7bTsqO#A z-8pn=0%grQZQGT$Z5x%Yv~5<}w(U1<+qP}nHf{}j(38Hy??;@qPDJc@Vh>reQd>jj zuaA=!PjW8vNIAj}Gsgw%0oHhwur$H>r=G6UA)lTHu@Y$`U`N^|m%B$uSuN+w=yuHc zaJ9mRxri833-j0ZiT#L};l>wS&T^oEPezcUm9-msF}bL>^|>n6st}v89bss zBukfBG?rGwV7%wH09Znz1$d@n?=H^c<&j?r{hM-+b$NRuiq2S02Etkmhgf1E6$XuX zBH_gme4BpNLs(LZYK#WZ+<4Z}d+aXy{{GK}J`b_j*LtzdSt(xN5!y_>B!Z0MHn)(s zdlUkkcqbNo95JgN&jmuQ0{nI#JP83?a3nac@5^NtpTvOmX88FWxCU01)qLVw^oP_+ zi2BGrJr{Rincr`iBI_7_pHVZ`%i)+9_dK`X<}1ygR&RuS)|kWzvDBy%Lh4Tc0JJ>v z0bM;XnnDEzJ%vo!)lo2m{$P(3tBRi{@ufb=S9;_{Swg*svumzxTuwf}@d3atmpuyW z$O1Pmg%&rvq9~$#VUJ&U!`y8XGeHj5f8^rbw)b+ucN5Adp0ew53{E~4DO2fHqaCqJ zMHg{H?^XRuPKu>LdjBrFm&50Rezbrm*T&pg-gU{I-emt4Wuw@<1yI|8M!eBkQ zYA79C%OZkd=|Of*tg}hHD_pQ4O2gRq#+(@kTa6@`u-L;w(vzWY415cqKo4bR%3YTI zmiPoNh#%HFCTu%^Zz}l99^90|ZzaAqW*Ku3?k?NJ@@jkVkO`ntOf90EY6l&R4TGPv+@uI1rfoI251MC(D*U ziC0GRu>`(MLyhWf=Ds@WYhP2MZ3x8=d`Ow#AslC}j*U(a4jpUOV0>^|C=WTGD}oj9 zB9gX06Y+VA|5=XOjO24}9p%}7XckVpFTBQ=XMidKTenSuceOWDRkpM zCT8C_wu)Be;8=Mr$)xffD74_r*x?jKn26OD3s@325!hOSgAbfSfb1=7- zltk&%2XNdyKOI1vQyc{oapHoXX+cG?j(TPcH&S)u>wA-|5clSVA$3%X+9-*{DPsAH z1ZaFa%IPn0uSv|*htQ@!?sq-~XE})ANfHXKGQY4=a)kWh#opC*-5R1x@?&KzRfyen z%q4;j?My3WE*d`DKcw zpot#XX%+0*NkdgKnLt*~^@+Lw2;7ZAJHg@#=0M5oFcl30t8{$ohGyC_iEJEVnc zmi)`ZZ^2LRC63%s`m`V$!Zzky5_a-$By|X8C=9w{><6shA2X7^o+OQX|FRDmynC^H zPy(|Yon^If5Ili%$0E;P&yzsF43y0eXSEs~F~K`skzg!dLLrlzk3R8AG>Rr6Is_zm z&?n^1ynl2;Z2ECA+r@p+#rRGsanGAUnGeu0@pS!wHBg_K}8pIXtk+m`^$kcGs3YB-z-F3eg}#7X=cJh_D>{i#p8NqrMwZFFR_&9hgj@r#d zE@CBLVfkFm$Kvcn5Pe@ya_Z>4dop#a&jFt2OOxK5m;`SPG9L;L(kE{kQSrWO6U_3b z`1YWd>r?UWE4(Z1b}@~ z{@p(eyXw+8uQkfJrw^SRH!qHrudXcg-kV6#SyxZo7oZ@2+LBnaofgRU-@7m_-@3PZ zSQ%db;b_@~yLR8MQ^3+~nOvXReln#nXah{WfjiHHb)5T3-~gG$oPytqph3M5te~c7 zsU!>ML>Y#w9$ZO5LW@{Vf$85@ufDy>nGhN9yX#E#sT4@1YZfAUtP7l;wmptZpq_Cy zSoD?t0J^l1uy)BS!KNkR7W3P@PLYTK>0XDt__|jT(M8etk0Ek6?I|Sae~To+sfEZa zDD&C?c0^WVZzgy2%Dmyk;3#d^kbX>sBL@PL`euQ<1c51}r~-z0e7cnO#wv<+v=t#O;v7KjQ8tDq4FL&Q-ih6xLZ>@wRSo*?AZEt5wNKAH8G zxZ9z)D2YC{VBZX|jFGYyopw+lQo3Bms-Yi!)fRxq^SvM>+x0|?tI1lN{>bemvx7o0 zms`znQNT-X$e{dSJ|JJd5*yM~Pi2D{?FkwP?=>J5T6E?uM{Z|Iy4@5W$D3RmB z;0zplQFQldwN{Fnyy>|%kbAlLQE<(x_`YnzNJ3Y2jtIB;HD9`ZLPBS(@fiyGl>5CvD(NZqjspP?Q)g zj2)JT-0LhnvGpVt6~%Y22QR6O+=8s0zz2G>D13qEz?97Z;7k!xY0hS0n?w?W!Dvz} z8+)kZMxfL?l0s(f(p!C0l;}%q%d;QGkG$o-`pASP!YY;*uO#m4O)G=@n>dv#G!6K7 zsH=@|!wlKj1GB4D>(2huM*bFHazBH)3o51 zlU-d%GNJg{=jJ41{pe zK)t`w$;la99TlA_${Cf|zDLAzssr&XOtGkGzP(Gplhq#Ht;E)_2mNfMxok@eEJ1yJ z_Oy7hh;qcg**IQ1(av10$UZhKt{RH6Ty_Y#E(} z)|-y%?DY#Mg=TtHQ@|dd{W9%}-1t&mVJ~$_aCkyBUQ^~j=7KgjMhXKOHgk}fyUv`e z<`_zj&_-<5x>FiEN!=<78Rnn_&(%!<3+!_6C?U^=$m)fjQ}5!|6xLzP`lG2m@6gNV z+!!-iV6JsARqbF=-SsDM-F9s|Mwb348Q;St$F!=_sspvTlGgixmIFg7Y=Mt)_XJ2q zI;Irg5+!vS0$R#KcOxE6GgV7cO*eIC=sDqIm@U6t0(27pp@4|VDKFOgvo0pJhT&CJF1w+dW^SP8xtMxYFZfT4$B_cK4 zn}dCpS5ZyK-O-s+3v>p&fXa!?;HzPmq@GV;KwRNNzWT{m`DC{9Si*oe7hF6)r5dzF z1YLO#Ib)pE#A|VBO(Fl&59A|YmmIjy@0Glh%VEcmnSdA#re7{ftAYw|-HkE}D@;{U zR()Q0%!gYSQCb=UgIFV2XfN8;t`&}%-KDh;((5GM3^=vkWA>OeC$7;br~rAu@lpgV z#NOKz+VoUi3PqFGMSFFqYdR7q4KVBN)458QD>EVADOfd42)yX04?fLf8%2Xv4OwJBD39 z0^jrIrD^m8G->0Z8DMPJR<)d4(tE;;pkMmh{Oz_8*aQ5dNGXY@$UgxL&2-vw6r_50 zlHS*Swrqo5NWm#Lvo_6#&It{9#|Wi_^VqGqn`}(+6ooQ(YBZIVn8%QQ{iXd0Uw*$o z`3tEsW1Cn93FUBnC7f{bFPA9?S|i@)L#anmF^JVf>N?y9?8YLSGiayAxB&&QoPuWivj~dw{Z0r+jFp3xQlfr7;Uqr z3HkVHw@PZ|p$7Jg z`-9FNl9OL@ju|A1((Mxg_>cW29gwO>b}1;x)mW9Qa2#NOGyES;2^9pW?Zn8owXG>S zgszJtv`=8y@CY?cM7dGn?r*T0X?<)w?P@t&b1JV@+B9gWh>pJu*JupDBwCc)7HQJ4T%sNpPH`4moMrBoTrh)X z!Mx(SA79l97r;Am5qOFxzKXXPR0u~$l~eH&y;rt%b@QdH%{`vxv}1>{FBMoFFqtN@ zJB_$W(U2)8Ny;bR?|OVur+&wrvWDGqKMim(FFaS+Y44RvcUvEE>IEquN5ag?`aLAd zOHi(v$fJ_GS0(KWj_9&OU0@G-7%V6jsjhD!RrQ!)am;g-0?+qV4`Ef69=X%iF=n=2 zaUN46!g1u*dj2RTETz$IQnC{inSQ zvwYAJI^YwxB8B&}#f?a9CAlnDRTvIi>;Js7z3p>AhPp_a7!8OG$D#4O{o1|U2mb{S_stkxcF1_YsmLg z^@AF|iy9^?17@3dc>Fb9+JtmAB%M1DI5i=g6hN&3Ojwg{4?)V_XyMb_`bMAZb^cM%9Yv4a z5i1%Bl+Wi5{tyw6VIrD!2;RzF?`f&wP<}TVUCc^qyxY{{wk1{xvF=}Nn*?+o?i35# zM2x!&1R)|fmYmL^g}qWiuKCwwP@o#W9HJisTg#YY8u~ERBR$B7IZ1i`1Q!vOoCJ?W z3N_(y|B)cUp?r76!w#j|i8o${QHSBk0Moeo>!6rNQ0x=FBa0)D4f%t6PI-opE`8q@ zI&R!g1+|Snl-7ZMMW-pSAr<~XPC!!V9Ju7t?S!NCK6-+lfUQAN1<6rK3nvb0O}^sV zv%-N#^yU3lfg-Ac<_M49qxQQ1?F&4w(@q8cAdjOYi|;Z~-ENuuX~7>=m&SR$}nYTn0 z7TD1E>B7w7v;3uz(d|320bPzZ2}q!Z;KWnNR@L5^8eXMf3gdQZL!ZWmfm5WP|Dwbb zCduVm_|V^LIzfKj?CJ@D ztE$`$`0t2#EfBE#36*|%6(npqKF}W-k$_bK>0VI++U~mF@7g-||Ju5c zb!S+;O-{x!Mc7#w5KKAIrw)tY=#L|)11@6nC85Ryo%nNR$Kz4NKnK7CD;|L9Jr z@h&M&u{YVAfCFfTF_uhnOTbG_a(!2o^zJu~U5>ZMUd_(sSh+wPqGR^*1mAh*A~S05 z=-H1#*7&Pt+DGzf>5hR!fqx;q3TbYAeCDf+y7aH~aK#X&d=7@v0DI&L>^RQRO5&;& zWY2vj#tF5C@D*_m*w*DqJnkC5-}@6Om>g|7_z@k0@B)P&P&AL?!DMeWBGP1Bd!}&v zI9w{+I$zH%hzzf}3e9l-G1ME732+Qgb{WNr8q|N_sUQ+gGAR@3GKU7~nD3=5^Bui} zMG9)*C5^>s85dbDd8qTaZwNy-1(K(w z&SU%3-I+;HBHxVjDJrOhv`$Xq<0Vv|A`itPprB=>6A0ID%zT2?zecNnJ)mNfI7?05 zs~n#VA;A@KCVmHd>rnS7sVdcxcEN=BsbXfSK}7O;cXuWWpX7@s~`*&xnMgUhro5}$Tpqn+kZcxqY6l~=geo&zA|V6{=S2W zcn&Yp8N1KO5N0l|@D{Rvxmm(btYQ#Pr@jvd%sEl7mVu>({eSSZZ3Q4o7HQw<1aF*1S=wDWiczT- z3sA6pJna_ZOpDxy_EKN8?XA@{iE^=r&X;gbWx`(uTyA6#kb*mP*!J>oAY4PG(2lK(P0V>f=;3;ABm>R@{0Ij##nM8hDw##a^`zg3Gh z{}YJ^hu~A9pmhACO%eq5Pa64@C6uK&F2GkrIK{ z54o|-L!07A7S^N}#kA>e0e_*ul!cF=Fwx!9?}VyF(Wkk>^Jarr&tavE~^ z$-{5EcJz{@>iNEbf@fsxbVWi6Gr8&lKbKn&5-rJC0-dQF+=%#Er=U9!h=}Z0Lc+x- zfMDP%Yb8LZRn?`MzFVLX)U4|2Hm1ezzIM^1)aEE4}4IIAi!gK_Jx_?JNk(Qss;^r?}Mn;gowI*X5aOT3zHp_}o-V|g;|0<;DB zdx0Bv!?_t&Xmzs`yzgtd+>066K$#sip-ZTP{|Vh2IILbfu8pwFNUzH3U1j->|E_j7*d(HpGyg! zh)?KHAqyCH2d%pl+~t>?VWwHAI>|2NwYp0lp!<0y}yUZSbXKqcTh zE;Yb7x!x^R+fpm#eUfY!&g~oeV zHnPkFbROd^NCfX%vhPfoW?(^#)X1s8uUt!#a~L`-{oc-`118CoUH z$lF!hYQl0GIzogQnfKx8S)7*e1}TEi8o^wbsub3lvsVD@L_W$sZ(pqdFq0^#uI%m3 z)&}2E*jC(%+I#&O^vJ3wic=wQWb&s$ML3YxPRR1-5y3N5^XL?9bYJ21kSf!zCoRy3 z6z;fon-d^3AcReXhQ~vLl>E91mF5#~pC7jY!m@hz)-eyyPCbobj>7I?U=S*bd({y` z@>uh);+3S4CcgnVs-}aOdBP zM@z>*eGSd3D- zm3}mFQ#A!BmF{^(?gl$6d$7Evt!F*E>hRI8U0}hV>{EhDFfeU9&53Q(5Vky?&HMtR zk3WN+iHk6ALhUdWXsoTN2{+l|dDJdL_!%BZdLZeb6QO~a`{ykE{`=*3>ZdV&9nAXT z3+}e8b5QWHf~}p&Et0YF{;?Vxr)^)$KF5WybPbNxE8s2mQ0b?Jhp z1%Gk~m$HR9J&V#;`-Opt7~6{;toz_9YPI@rC-Vy@69~Wu#D|eE~7Z8bxU+(K&JxGc6w(_f-Z^u@v zA1wo~{2?*!uNrq|^7Q@Tp9z<^@Z#~vLlX>+-x9mTq28@Y5|&AMAgT@{wtJ<7zyK`ad7Gw8kqeDQ)eT{WUf}J2&4fDe>V1X#HZZo_+Btx$FIp02e!9&b-BLBN%?fBfRPDV%PpOohMYWAjf_ zI$<*)xsefGgQ6IfZ;c9{H3tWyvctsnK3d?IXEkAw2HK=nYbh0Yc<;;KnmUivWz>mq zGCyrkmo@H)uDSeG9da)U&Fs)0uU1#K+$B|fHmXXW8jVV-=|(#ZT)RC({O7vyfhrAi@;9sm@&fW-iPq4`;Ibl)R!tQ2C!p_m zu+oO+g;;5Ic=8b>&t~RWI@PTZtcqkGb;(2Yy-kncvfkwLTXks@kk6gVaTg5T`hb(v zt6-<9w-js8Q6Oyx>Qfm@8>$txtz5XBoUl{M?4v^HaK9~z>zg+XNO^dG$cf>;G}Ww{ zyZ|WzczGtXmY*fxx44g6{58kwOa)_A@a4*uRXM4wqz=+Blowxyhg}Af78pBnGO`%j zern$4<7e4EkT)m*j50@6ij-ph(31$a(O>$iMe9Nq#d*{=-)cJInWdlPxdlsITr9?q zE|M0^U-fSyx9iPS3!E28H&3t^!f;KK(I5Y|1IBVQ?Zc|>=|2JiLm5sI146jA7GQ?q zNJ`dpd@O~z-HmoH7`pZm!z8qW70?uwQ$Uukfpv&Sr#p9;pYN+rIO2f2z|TgH_Izl` zUgsLUg9`-FEruNkT10SELK3FKI2dqg|0xf)Mpo_z5oU66S!Ph<&KE;wDf zcD~nykTDg^AkJqOj3`u9<`xQB*E#-$AOgn9KM~WR7tE$cH!=4UW9CEtN)Y@xOMe_i zqf&cFPA!q3R&i6{fbfvoQ^>OPpv2uTBskXQ_s5M7;9mgH z<+S>nNpK6u=W&Ij2J4s4{1fBTVmh%?;yTE!`~{`im)p@$@zf(?*kAumgZ-aoFZ~Ze z8x!L{)%8EpmN=RIb8LyR0qOV0W)+n1O~6u%z2BCvi5WBRv&|OeE~z$y`?w=nYQ%7< z`;z+&+_gaRJp5)D`7!UMi?RzHQyKoJGG> zxC_-T-ysNj&GkJ}_dJ?^nnlI9xymXUXTEf3~QUB%c#RyfmaJ1+Rs=enhMkidqe=Y|qIX z(co7ObhHZ_l8}Hq_{zG)Y6J;amq-iK%;Nn}idL1sbr)_3qN=B7s2)i%>~}n}%Y6g6Ez-C3@L(|za@92#lXP*6CaZQY)ZGp7z0I*?%uue)-S-!&N7kNAiAAvD>m{o)%Qmg=8m2JC5#z;T`H0CrPfD&oICFZuZwWZk03Pe zzKKH-mrnBEXhHd+5W`4nU`yHp^K5pK;Su^*mcrD|l;77k+aCSafa5JeQoD=`bM^X= zu97GzR(2|NttqwaU(033i6*>gb{DTTPCAM$i0aO~q|6V>UzP_)v{%WgWvSa|ad++& zZ0S?Y0K*P9a{CKxezc5wnS(6*4qi4wlNg1N12w8{Zt5p~xw~56R%n{t5=$HkF^hwzFqvXHIeoQM$mo5YFxfrQYi74 zP4(eeTC+%D>Z;!REkizsVOD*tlg5PNJ&)$BK2SR{-h87$BA=FvtcDYN77jqNK0Eit ze*`XvKPOB8T>Xn;@5CTqQ6n@7rL=7 z{a@^UJ8+u2^SQ}x9_~ttCWY`}P}VVD4PmK(fBbSQTu}nHE3Jb&QtW=EQasB>?>Yr< z;Os3&(0++4>A3Of_+a03Xhin!GNXoo-hasjRuxxu%omH_bBv)M8gr~+^0d9mYY>)_ z7`6CBgx#h$8=-sfW(=4~oEQ7a!am2;C)5*eRL60vxx*Dyd|fk=rYBEaB*yj39R1-1-R5PEY^*q$;;vd>QcHk1b`)-Ymx_HQDuLj%NuD*y7 z)~X1XAxu}p0+11BMh$4jw>HFXo|R#Bw>K@uhnIgZK&nv|Yigj4@NUCA(Rr>B!$Q6T z_j?K!t=fAr+Pr%YXZtdUC9?C=A$O)4eB(@6>)6N9j?h29Nz5p7bPTZZ1ls^3k4#E4&CuwAUi35lb#If8(xMeJbzk`I$22z@9F9380u1z z@WvYvb0@B7;$g+#)XS|*`d?N?uNpbmqG!h{YSHm zAEpqqqhNP$1vd^3$?ULhqxn$q4E03&!iSD&0!p@$f0nnXsn^0>`*4F19nwyHpYrlb zVhtD$I9l)l! zF9jy~lnm;Ne(?w`TieMW*Sj`k#dFgKoWR`QvB`-QS_NP0(x!D(fCtwwsJC6!`9RLS z7PdZR&BxoX&FDlCtO(Y+{IRSq52GPmuqs^&O(!vl(ttE)cQr!2TT3YboiG|g)S3gx zmTOZ*6^kEtMj$b=)BR69|Webqtr+jgL_d81B<9HzN|{{zqpUaH+kKlp3OB1AcI$k}yCT@Ta5Ct5clpZ2Y`??E(Q2L-sFp&(yDJo$f7Kv?YqQ!|p9- zPHxA?&v3GcwjKpIt3P1x)mdbbOCi8u4DI+zyAjrqLFbYRiYbb3(x~<;@JcUT^s$5B zNVQ{4N_JIvCbqEZntqCLm)*p-Hl;bFQRtn@;`>wH{i&7nRhmx>;fr0lqR4B$06a&ZqByZ)}cXth{BHx`k(ZW>!DPDd5e-c=$caJ9L&ccdh1 z2{J!*vXi;-d})A4)@X2?+%QPLBV&Y{&VvC+@FOVEO(xy!yn^0`{$h)>eMr9DeiA8# zOE$;P0^ziIU<+-BNI9QjXE;}K-EgqH3RZ=C*DgHCW40lRm8yt|rn5x%D^DHx8+Qzb>2Xkkwt;I8kWC~(K$hA8sg{o@oEJNke(L57exj(w0WCMX)&>~tzwSZW>Wj$aadb{QwhXC-hI;TvX@pu%D zP&Oq+C32lj@Y9te%IDZIs4o0n2IcEs3AVYAsMtjBwLgQ@tmeOXmcAvGk_&2Dr)bne zMuQ>$@{xcYIIuf2l-mC}_n=^ynDv#ZeTO>q-E6nuCVSoM(I%>YhGb0R7D0rt|8|wRjqyaGA%b6|!_F*G z*!>#e**Pw5j|0Vn^y_TDCATcWs}T7d7)92-Kz|Qf2fe{&FZm^t{)~mmrO+f#HqFn| zL3Z!t?BDmxC4@ZXdcTZ-hd~AN;sdvkvwbi8_lDLj?&%wPLZ2DkBO$f^;1jp0yCPO# z5eRQgTgjj(lmMw~3l=|-j^n$Xj_<4_W^J2@(JJaLw+D9vrpm||#{uHp#W!9|G{*#y zwc(EYrEL!*e&)$iN&4bxyAq9lcA^Q02zrV7i9FjHpBbw;@C{~fJ+jWW>ofxlChov5 zYXHq6TF||{I~k{p@+~)9=%W5Ub1Vv&&#XpBS8{N)dQviAs7$yo9Rss=s=mF z9r)27woO!t8;kV&D7S%!;XxolmruUx`*&r$OzY)$W1OulP{#EW64sd&%Zx>xs4qtvC5}>8ms7~+w+8wcB}yur9pcuL^g<(v~y+E_CIti zF&4Oeu==+}gKEUj&Ex)WT{9I@`^x-J*AT=PNCgUL0U`O5e95HL(+2z3y}MamhFWHL zBnm)#0B+DB^{RDN25z_%^@S4JhHtP~E#*wsg!h!>z4O%n<{|u_=2iWF=eSHvglt^@ zBfW}^nd?7t+@RvYiQLrqTNIfqGJkImY7%G~i!N{9Y!MfJWt6~0HyjZDAfo*WI}Of7 z70H}$H3SE!sU$`DJ&(#1g#yS%vE4o^Yq2~!rL3tPGge@plG};KQpZYKA|g zCdMA4)J2wGpH1l;G2OHFdl#IuB`}F~z%$JPJVa>KZ&f1Ul6A5fjrD78z2D2a;GIKi}Sds`1h*1K@#Y2Vj}y_{Ky7%0vqdSy$BAL= z-8&o@q_i`esmmU9`Bak7dOLo-NtV`U3B)I78D$RL#rJWG%C-rIR=%!|suG@AKx>0Z zkNc}D4E-n8r^QsivA`RyPNm98!6Bj4MFg$W^T{!y?>t3_RVh-ySg5~4r)xxdiYZtv z5{`c55v!q{HFw%Mo|+$ndVd^vJ&v!ZdFWq7=}%yV^*=hfJQbBtKvZy(!L_^bYaFs3 z6pw)?t)3jtYhFN0dh~PV5aLf1#|zh&#M z7%UINx#bD4u4y8i^+cXd;l=LyD%0q_>w;cj)WRm1r*skb=vi&n@(~JmrD)z!LtfAV zuHDz-#7Q*E`4DYQPs9nZ5OWhQhO&LZ>rW!_C%%)TMqs;s4a^?w<9sHCw5wx*Bx={l zk2x+B`Rj6OXjXZ(m2Q=OuREMEtc*_h%|f|kqSeYbc)&-aFe}L!7coJAjxOy1qc)^n zgi4(yxc&`n5p!YNEJ&jao{e6Vi%eDUFokZG(Mme8C6wK?paeVqWjr%!OiK(v(qTTN z4ZU@aqu(+rWAt39(`owjj99yY?4^dJ07$gwqT98MHZvbU(G*2ZVFxCJ%vGd^;CLR9 zT-@q$+;;O3x*YX*65S^=!Bb`YMqnladmIFR^bFf!KCE7+@Szaq+z~biqkjqZ6d-K* z;KqfY^Y|4oY?c znh1*{_fO2U9X&9U9IehIZg0^dXP4 zAv|tv7Ng~}!R#)WbvjYfJCU`mnjKD;oFEevzi%KZBqWMf*??`Xcw? zczL!tdMW4r;%V$@dtS+m&)}tho;rq1>Ngv$@_LMrikBf`vJm{%f0w{MI9F2&zu=={ zllce{9`@#Cz<7aEpknk*c+51#Y-6VtMLvKGb-foX-4LhgLH-mdu1)7JUxAsk?Fqrx z$H*xV;ng8MylRE9Onz36gt@0x5{A)2+MC@E=V5Bg`k@^p`{~b*qtp{Qi6QdIzN5F% z%m(5gL0EvFFW1=tbL-y%n9C@bW;TrV&eU(7FN_+fRs&wBCgUl)ip@kH|39f{mNYkJLb>*=hFsFtd&fGb7kreE{h(tb2yIhuA}`B}Vvk zW}<=(JlnSHkG8`b>@%nm$6w_R=3M!2BnSlSi>cC5VbkA)e%@kxvR&HHU9z>P;kl0xW# z5sR4r}lqulsyxY5+)b9t;Oztga2 zX)RU)d=G24*>xu)+GbDJ-jl{)j`V(VezduVLkG@jum3|F-_LU zn1b$rTG&^#@zA*1_%-Q?JVfdHh*z8gY87C2l%@!IAfn zT{BwAO3(z1Zd|hr#n7hZve998osDD=Ew;E)8$2aU^bj!m6dQXptSecWiQHQN0kh#0 zMDW}57t0*T^2s3i!NcT*D`*Zq+M&O}gL;Bksj8hF+!5W(CcC+OO$PEB1F+6mY%^Q` z+jhCJXK1q* ztA+r3kSa1Es!!RSs)&Wfo$*Yl_q(ZsTGZF4b%qL+?>L{r4x18pQ;b!}p*VXu<=?5O zZL+k$)KI#}1KnML4Omtj1ngfh>J|0`nK_NudbBJ1Z+0=G1dqCp<{%$vk%i`)iP8mD z)r;`_6R^3sYu2vYC|poR#;~r3^u5qTEX$75O>OD%(&=Fk_~Dsz^|pW^Y`;&l=B91i zXeRU(iV#;2RFy98z;6ngj!=-yd0*+Jc=*NjS=y9#X0j}u?Se#$wN&W0r!o`I>m*Zn z1J5goUtz#WB74f>8JqG!%MeW>%k=qjV`Jd)tJt(YXlQ0=>`ox8h|XcL&tABGSA z>WT6-E3dt3?o}$QRM6iNhAeFu^R)1@L~vf(t7gt9mUXgLop;6aKY?Vj8$GoR-4qHF zCHWq*#4Le`t}bnX+WvF(SSA^1#5<}U{VI%W$`+$hC0!{mIVdjfH{>k+Tto@4!)zg) zeov1F%l^3u!Ga`LLVQDYZ$OUOaqIM+oWzgO^y9Vm^C2`k=9)X)qF(2eH)b`g+_8Xq zZQF2QcthSJ@H@DAJ@Q7;X70nzdF06@=Wb+ym8$P{Y`>?g>jt%o(^ZMKOb`$lZjQu; zS~FsdF^v-!Eo#~JRuD0zssp%U0HVFny&p}8mBT4ofm+L0#$;w4D+>7gGSZOM@R0hP zn(*8FGj$m*%qKB+Onyr%YGzuv279@C92PCp8;~b`jLBuM`_$;o3C>%Gra~<3W^>Lh zlZ>u7K~2u#3ucew<*RJ!JlK}{2AetfYHvZxyM5_IkIWpQ;0QJ1y(Nz0`RsGh5OR6R zFldrxzyRYOZ-5V2IG&9R!E7uH{>M+*%TR2OWIml`vMp=A)sbusfoykV;ol?{0lbrh zowz~!6=aa(>zv2B8XRSD~za64y7;n9Ov&fIg>!j@T&SMcf(0d@W?8EYyQ_VHH4Jv7b`=BDy*bbB> z2t0uCKe@I<{~&dXwX*_*MlCDRGlWK#sgI+v#g#pTBg1k9t{W5e;=D<;Oee4Xh$tBi ztBFYh$x|4yz#Kw(?eFc7UC48-1!tacMO@p9Y2J9w0|(n$s(pYRtdgw61kb%og;>P- zX(E5M27HQX^e$IM6a)qcQ{{c+kfVAb(Uuh#uZ1r z*Zun9Rq-6!`(U6dTGM$>M1hs!3UC=(*lddV99X& z%>qhOGX#JY`2MRNrj>gZ$nR?HIPo!#pkGDmR9?4R5b0(}J7zj}`u71c4WD_>h!*!z?Ep3!YHTUb9#llZVUY^b@y3_A{nYfx;jb z9kv^8cpt1C!_e5TZ@E4g3d`*)inFOeCZAKq&nTV!&;JI{}eiC{c%FgR&0n zISZraqqoSe^&RN%7GuUgG^BxI0aD(z|Di)sO^!g7%OOgaD#CA7>r6U{r&R6a7fh#& zdG&N@3)8&q&U?EI)_lmyHCfT%PY*>~k`&OXad85KMM#^cZKq*^lhD@`{P7o-b^C_F? zQTl6!A-H87fF|Oy9t*g8_>&auqngeqG}Obmuht%MVTrIbxC_!JAn~cC4hQ6a3C*1U zr;SSgOYzS1FDCzw4kb3`|HPdaF{q5q2}5=k)9K)4`xx1Hz}HQg{8jA>#-s^QF z?zkygvkllynIa&!ut9UH*+U`h4Zx|xZV$avxNOggfaky_J?{;B}ktzB!!GiDa!fG zBf^MfSS0v@Z(tJt(Plnv9Q?Nw$0zrFW+K&Mr8J|#v>aXlNd8d)gk90_g&>gHP=#r9 zc1D?cq{q#_w@rFKL(wmywRIpUGef+Z{lptVs)ENy>m;MVtSWsU1v+@L92u=ZqXKP5 zTs+Tz%GQA4Ac*X;wel758>z6NGL$ zg|p^%Pzk^akeKxZm@9Gox`)Sge#(;_06uA6hx1M#Cov)*4wrm>X3`0luqFj zI^f=9Tu1@7U!r2&xw1!zMX}C9)1lJoTF@l1BQ0}-gJ^W@92Q)$Huk9E1`|W09P zTp~k!s&HKnTb45~(3lvD`Z){mu ze!DW(XxIG(^S3|5?~6rUT*|+<^0RPRJr$c2?*`kxY;>wQ1lQY7LK9CPB~50t?}4J? z*CB&n&NSiDXfS}@wSz-?8x+R2-l%>IWa;Nlq;jbT3L8&@gLqY0s zj|##$!IbANPV+kHw!wRPIK?Ba8*vd9w?Xl8NMP)Q+kL?>ZF$JdImipPjo1E|<~Wq2 zKI!oOcArJ4&$fOC;KZu2mPYzhLEYxza+(9~o=_e}GyF8NexG&o4C*<oY>9ZoUq$3_fQs$y4_mQv@_`~@rNS(Snqt$BCy z2kfmgu%#J%_ycI%Aa;(M1VuUqTkcG?b*;ftD?B`LYo~R?IBe6HkI`c$8)MW)uz{v+ z{7C85$RFd5|8y!irD*McTnB$I4)i0P8sCac&M zt_J-TSFh)&3tU{PqQQkX^QUW`MV`Tg4jmkDnz6;o#xs`U*WJV&tgwBwcoc^gS+$Tr zpK>prQxR3j#M`9K7}jcrwM94is$s=?z~bg#e{cdc2QtwysiQ6YSQ`x=lG|?IkBME_ zfMjgRuTVJhR9lD=0h-CdIvcEmO1+gOLBEdfAE0L!2q6*IyX_TSvUjvV+Tv$R$i&ci zh5g(VKcje&8bIV<_4}AYy<~Ue5q~Ncn8T+LgT( zsCvlXnq!$yz1IUd-&O1kSML8pBdkY&cy_i(0jX}Qr>?K1Q(`HebNHB%dR1(n%Xg(> zp<}@=cF`5iE}%r`CVKUHk{bCu6v8hCIa|O&wHnRHu*1)WD_w}Xjs`u$@Ac9~paQ<; zB~?kl*jae*FI8ttVPuR7w>1|DD!)+~-%}TW0$?@TzWZy;#-o-0!uw|;wdkQBas(sO zW>!(dsTt_6S~%B;=bn6H!%ImYQDLyK;?}Z=pNtKYJ4-(iHc1PI_J48prX6E0yYPKh}u*x7kPCBq+&gIE*+x zZgJ4SsKFc$P|-sQftEaB!#I{anG+t5TP6Q;R#kJ!jJl;Z&!c)rl!AU(f6ZQ@jbm{I zo>Ma8ES}T8)_&L&xHIqHg=3L8*v6Z+-fOK&&bdnH#we7iZq6U99?5CKLA*E{MV=SSER!vOaxP%~p`;7-ST@;Gu)%hs5w81L%1DR1_XUdyq$Z8FmAn8s;H4RH-Q zMDmXlIH!x8q3!!z?0^FJo%=gK1*m{OMWkh^21%SL5b=Rz?-4F%&ZOd`i`4At!H6$P z(=O5-^z&R{Y`I^at(1W(U5tm;g8FVn@t5ptq!5trY;Qv8>}Pp->ymeqn0p!c?E6yg z{NjB4{WVvyt=J2X2N@77FyCNC#bq%d?NR&@uhOgAiiUG-O}oubFF8H+erU?`FKkTv zq*+T1%{W>_HHJ_ZNYRi7UtOV_hg!pHFJ~`k(br>U&GJCiyl)!l zI~rXJkIb-OiI+tODiaTzkc|NM)U@gI1nh32j$3RmlxFJ84qDeKLMPNPH&NTaQKn#6 zUmy`~Uk4CBaI+wvW);bD^m^a>aa?&0#y%a(x`7}U1v<>D`7zX$j)leP_Z1k?J9Kif zK|{Jw#sk!ba&o!P>oQWXmT zD#lYXRY#fH(AHzWCcj8>S?0@&Kze_drlC$VbF!}{eQsX(2pGum`T6i_DvPF0Sdj03 zLVQ&YH#``XQ*}C&H%&s9UPv_ljIGyD%wsl3cYmn5y%UTfj}4(coMF_hhT#AJwRG-o zb}Y#o^&;enO%Hy`F8Uq@$cIrc^z;;ib17g*b7r!o-p5E(TY38iM3&8zLFlx&_nhG! zH&hi&rW|`7fCR>&N-8CX>>dr33331$))9OeqQm)#2yi4*Hv$bt^}Q}9kh^LS)|(`K zR{wCo5G1WZJf7&D@SUBK{!oXoCuT^Y@O=0aHj%PXP=Ddsa6!U2xXDi=o5y*kvoFpjs! zL~f7-F*Z~cG7&Q?pFQrsx;E?PN)yAV14Sz|PSMl|^j%hWs@nNGvX({zAM2vG@f-LA zVt}REiK25l#Jdib?yaFP?)n09B$*73>bSxFcwMdb^Qwd4dB7r(3pS{Bq%qY!=aOQc zEvKKuVEuxr45(mBIxWay?~7$nDjt zk8C^k7kTmDSN57P5DK7);+Y9oOOXF94!%YB8XRXfA)zWlc`{XtEw(RAep({)w9iUO zc=6ZL7qQJ0_&JbXM%xuVe`Lh25sWF2$OTRw*ZW|%N<95;!5~A}YL$y(+6O?VrG5)d zQp4C>N4EUL(gK1pQ?uA5#y)fG5kXJrJBQ(91+|DJ3Sey&NGiWB?VF@4<6tdq4_n)g z?1j8F%I%Bpba0p~eJ_xY5Rys zRGAIK7i-}M_Q2MO1a|Q?-(&nrgn+IdCzIbHH>^lt{4N5Z;BMcz1WvyX`|5f}V}kKo z?Bpz{7z(7g-72z_6As@Z6nJ@tLST7F7x9TYDztUK;1xvDdT2nO=lYgG=6OPT6pO>9MG{#6iExM5_2iD_ceb3!0QA>`BMfF|&0i#&@5HWD|>a zn(p?Et{WfOM#v8;>M~FD8^6@fdT=%#JCRSy;`Mb>F>c z6?z(nX6^aON#tN5FtWD)8UW+M|*UoeSN0c7ssG;gaNlY%Ae!4jDFFnPlmh;}jcW{R9L7 zC*g?HM6$_ztU zW|%D>Sj6sTL1t=1ifgj_G7%lboHP==zcGZAqgUtI7=ej`U97Xhey8a!aT0L(JRF#Nq)E6;*D$GCzui%iJGc`OoO~HxWE0*)f6-r4sesln_z@ zMUdMdxIDX~)!cqIlj&N94XEJeTEbgqAG>l_BJydjj1gwJhNK8_wN%nVf=8I>JZH}6 zqWi;HcsrrkmXDten}ISM7~Jt=ze+7ZZ{|-1!XU}pO`ZM^>pI**1F_nu95+O+cd|h* zkMEJ_ypwDYF9+6MSNPBs@{BgQAhaKwAbkxU$dYKHvqee)I}FS~$&({C_2krk?FfqT zpW0k=%2O`YsEvQO_4c-?3?~ZPKv!bzP=Oi(##kecu7kc?D_&0Uqb~I<_h$tjFEZd^ z2Gy;JCG{vi10uw=zxzepDW*9LlI>`*dOzMuFt>tM)+$B6n4=3-H^E&I%z}QcSM>=x z7KKb!RXu^T9j8Gbnt2xBXeYX~54rJyaxTu_%QBM9FC1(0C!pixr`^KH=SZw!R^4L( zSeEONvg*+1W%QWA=doL4JL(F+O-4gvTW&MHGwVKWzzdC3-Ki)KS4iH!*__$MxP)%V z3RZD@a`j^Fx{ncS$EpMRKjO>M0^?z2@S{o!<1n3dA}y*R^$| zRr>02rt1(?Q|laIE%4u=F~-3Xe~tmfr%pNo%8vhN!>osTZEx!BUS-LjuX-~e^BnMv zI~x>#aO~;+6rM4HXznEQmQh5H3A8LF@IoIy9!B!gJBlp3a@1Hh>qgk?rq;- zKyTMxH|~r7CBu-acP^zVV>a(k?>w7^BU2n3ufMNI#1BV~YK+5T9_NK!m7sJG&0$-i zEq29t6xp&XHDDJ-FK4H&q#6>LDQ+XIBrkI*MB6lCfYPd=<|oULf0Wkm3aYJXUS+#- zHPsrSTTlHb0PnJO9{a9mtx!_7`)WjTD7Y#2dX7JemS8N=Fe0clm z&W-_pPSm6+(emb~O3gH0Cw>j4A7Jx#{-?{b$CUv4FmWT0{~ z3oHgMRMl*4S@w}AkQ#LL66I@N13lp6p0`(n;oOG}Q5HH^88^gQyy+!aewq66w0yor zRm*%bL`p9=PRb^#FPwe`g!ss2@#Q_5n|cpU=)oS{1_}ldZAMZg%zJ!2`-7X6>k(t^ z^LWvxfDi|Tfa~&FhIO~PvfAb_qzmK{1j60=Mm02)$0;Uxoq+A33xjm{{d&KB>SZ$_ z9!&HPG?AR3P#$ao@^4CWU2 zqEVb|ck6%r*GBnL^ntt`*R3Yom(M`AV4Z z=SIs+PQ`M+%<+`({fllN!wvY8+{CT%k$5CIh=Bp@H$uG<%VOl_^1EhTv-zsBa^%lg z@ldqF8*vp8K%jFEw8(=~hQ3aQeWp?q7P`ZMt{SFMp5ZTNSYYaWk45hGrIc8_ihwp`p9<6Cz^G9?T-H5O1JFjCZhpSO~k)P(8sB5u>=}y z?g-xJ(rTzCXR+|R;CHY7a|t9( z&i|ICwF=dZNSQCPpeGQ7>%Gr?1-w&dhO?)O9lsJ+{IIyJljAdQ>cjul6ME+xm@Z-4zhmQ)&ZQF;-u+OS_uD|aeU{e z7)cGNQ+k)vBMg-gv#u5hOQu+b2L8VB%-xcn4!(3qD_DlAX)cBICudfM#&XvpDXl~2 zajoh4566PqXY}T}N^U?jBS4P!_)$07sJXc+6fdg$PViNwtwRDUe!z8A)72qL|BpqeT|8!nY! zTvnx`RM@&bK1n2gHlw7VZUP%%TW~u9<^l-TVd^1D&2Ka}&G_AL>2%%U5mr}HNC4uP=^dx9@Fq$`5GQ5y+SRf68aKs7i(E`Ob*eJC|{%Ju#b&t~)}dinUpG@?tLZxipHxjKac!r%fOb_Vl#$np*Q( z?Tj?)a6;_f`j3@vgvzY!4W2f>)BS~H?q2SqH^CdL32OekkcD)iG{;|8Dpi1^GF{?$ zjjz%9z-f}fF z)}corY77-q2s!6Vh0SV%ayTIS-(vOuY0KCDQgpNY3)26i*Nc;t<3D)tcyjfnt<`2m z>@!oCv(sxC0_$tbL4914_w(;nc8+pJ-tXD@0;@V2yB^SGIZ2o1isQ`l5Ye9ho zViuv=FxRRWRJ3{DB2()??@7AYFIk*V7y$TREl8`wIMWu0nsaBTZ;s8X5X!{lbK#Xe zwj%5_t8V5Zol0AKPPqN?9;<@^U?TThwm z(x?so${b-g8hU5#YueK=?)yhuoHi;v>)DgRtO=Z50x4X|AyWeswSJ@M#4icP_AE#= zwuw+C7G)=`kTKX!wR{*n7t>b{xE;k?lrx%J{fpfW3uprMfSei9tE0%F>L*(bTamOk zDrGsjy3~X!c8T|=v2`qn6#~Y>z)J|=RWgxiim}Lnpf0oJBh9+nC4H->+-9bZe28k& zU{5cwy(~M0`f$e>5<&gu%9E%muuJjpYNfs8d@t{au1{mHS ztchGAUQKYTODp;t-3=ob>PnGrF`7nheYv$h*a!G1JJnd0t90N5bC5xR;6W60Y^e>M zB;X52l$-Nm8kriUR`f9g9vS4x8i0Rm3ngM3EL!P7E5T1CCZ9K+;{jO&XGznu{(E~% z6Kb`2J!Y+|fOT#nYMa#yTsRovNgVk^82r`zLx%+~gDN^AIR8pUX-{q=zdP=^+Ey%3 z;$gk~AfSXHRJ-Yz>Fr2s=E%OcVPU0rSgBXH+MKZpKdDW=LvHqO5zS@nj@0DH#7i2=4?nV5L@YX2iz<@B3IF`cn05N3s>%9~!(tDrYNzWDAV; z4M6LR%zW#I>MXQaI_i1g_6KdsPdn)5al}4;t`y;0vG=fs$;o9oAV4x-^TvH*(}FZR}#< z_)8~sba%~@qdt$gKG5WeYJ{UmpYzGgCDaaI>?Vl>NSm+!<3>RwYMMsK9+GNN*F>S1DZd6$5 z0cr}aYC2x)4RIwB(P9jr%ZUDG>hdArVOMyXn1Kv-jP^6u3=#tKN!pe>_3)AV7uq5V zmuXT>uyIG|4}0O#hZw~l{u1<6t>5!}L427aBrH!DktM~hE}3}6a8&5*I(FwEoF#&Y z?`6>6gxj+`zjDNwzByCq1US3w3U?@L&et z%np(ylyrq#a}l}`f$Q^`K+lb-NA96dNZG$jVc7Ed)A?6%CH3TgZr!)gL7*rp^gTCyFrm(@K@a9urB+E`Y z?`a9&=-&(*+tRM2-=W~AqF$*ycSmRd@R(=iSukDz4aIy|k9#HybDX$;k{}<= zT2}&*=M%wB&hur+z>qGg#JF5!5FK<0CJKT5-sqFJKJibt-V4B>mFJO)G7qIb=5u(4v|eCXOm$ z)zaHEabpSS!o?v=RAOBDlRQG*EN7ONxXv*YAwrbC$}hT!-qI*)Y9tCM4~ef*_pt+( zz2J&#Od!P>^6S&-PjYW!FqnJjwn+Jn5h^DXPqsKcmoYFsP=LF0@Yp1u1=8(`_7 zL9`7g_`HprAQ!4)LG4f|e~)Xz;gPJM4O@w`qzX~3i)h2m7zGUT2oM_ud#2`VnVd=8 zv^=#wVpk7~Q{>f+r3(i+0UXB3wg^RHz5n>R_hbUsn>gHhaW};cK>g@5sHKEcnr*?# zyTjL)_YGTX_T)lN|K##kS71JFjW&9EnWiv>;?}~yTBHRRm81*9E%(8&|iDHVzR25?lncp~|)_6i`G!cLD^&tfFnSBIw_+oW3eAh<_%+7dd{HD-w z18Mh7Tk*jZ)u)pV(7`~R@#{**g|r7TwMYR@??OfO?S^YIVrsDMC?RbY6i2=xVS~%a}B5Wqp!50 z=P2j(g0ALC;jY0WxYr`wfm2n|`+aq-f40^O+j#EH&t>;ok3w}U zI>6bnM=0&POIf)Q#Y1xglXya28*k`03#iG<5EtJLuVc~=dnfBfAAkTg_=C}=ZRYks z7p4kXAcOv`&%7K@gld%!nu%Fp$Bps1IBatUKpNIGVX|b7iFm+Rbhd!FM<=<}0xv|O z@x0)ayhcc`@>CK#3p>G_|+&|zxrmvOy315tUSW?@#osmZnF9*ZOO;Fd6c~o zs1kvvU85Lt0z?2|MZi@cz28`CuB=7x>`Y)E)e2^rPg8qso$Eaws^(7GB*RrQ&T$+l z-gxK_&F3Drf@8;J$`}7khz3|K@iEVx3`O(8-U3bK!O#GQ*FhKfxl>?2o}F}ql9~s} zAWlp&iuwJ4ZAMF!tR%0&R~KFxC%ghnNs~OEr14>>)Inp+EOi~M=O3w3z+$=SODnX~ zwipbFAU#a2^HVTFtdbZXLzdf{%OO)F%SB(i5$atn`C-98sagaB+j3!QFcRSIKmMmKbH^~t&$_Ltz@3uJ@2M$6j5}Rb@%xy2osEG8-q9zRYl~18x zzlt9YTR*q<;u<-BAzY%)C8|9MzQcbPzsSK>nCZ9OiOVXB=({v06_dpLGmGW}{H&Ph z1#pac!HARq;T{2hNCfd=4cXZ-d(-Lzh3)GcpU%NmKAB>y5pDe0GqUHvGas^Omp z0rIe$7X?o3WES{Jx1Q{w9y&K8IDtx6uB%v@WNml&fKh?{?GF{Ns3!8zi}2KPmLz-q zz5fzWQ1EIW_V1b=qJ>2Vx?2#aGOA(n3`;;RU+A9t!agOC2tWciuH4#ixTeq zJ~qovf(F=r=M=`3tg=dS^aq?@?@T0NhQ8wh`lPe+wuIs&vKa*)vD7?vJg!gBFtLg8 z^g8H_ry&&bohTA&^->f%J4;-hD!b49rzx>9rgP+rdTI3X&vkn8o2T-Qgm^FW&Tl$% z#06A)>4b+Aaj3NUF4LE~rarsI_VzHZ#oV+=W*wDhXuf+EN zCE)3E6ZtO}TUdN8z}9Cs&ur;w3H19QsjA;lqo&Pa3u<^s@8aVKPpxB8Ittx-EgiAU zA4u0B13;vB6WdR~A)3K>QLsLMeg9j;$9Y0Z+cH>!7V{mIIG_HA($=#HeF}J##i8h6BJNH8tnnIt5%yx>OkW!-@#H z#nX-JrG~^AjjnO*a2>bSL|1UG2(Y}O#v&C~2Y2^KA*)J)9gLGw2+<)`ODU zpdP@UMgfeC*jFJBDa$U1(+9zV6r2tW!aCnZPer>rdNZWw3Aw7>Q4|(P=PX5s1sFI! zIH8{+M&Re=p+c*KrNtZ>+WurT(h#6e0*s}O088kJ2Y$m^aTM2b;Cnfvp~u!9dx1Tx zQkxzdpDm4!EaO>ZJ9>jcLZNb-0gw8+4g=Cc(F`H*^Bc;%=I{LBXOLE>we@7x!`P}e zasF?A8vm!QdH?^Y0PDXWj{ni2$IAYnbI8X~T^#92lJ2jS2rQsz`~+FE$sxk&otuZE zm*^za(2Kg9=ZN~1&sZ39IG6RYy~1@3={!MPmq{Avyo4%UfYNy7~ctP#(Li>Fvfns$U|Buc4wQbcP1Mi!lr&2>lTlDVcWRIG>k96}GeAsyj{)_Od*s05AFmwq7Z@+tw9`R*~Pbjf(J zUG%SNJ0mOfUH9j-P{X&X%$y{}ewsvChuxOGQH!ajL#h*unaL3aP%f96Zc{L*r=zgG zhAMHy>Z4j_smK?+UR8(@6|fH(8t&hdFUY_qzt9_DRCmkV?Bo=(t%r4H=qHX~!WvD9QY` z$3^J#NMPJ`P9@V>dabnp%gmm2G55?ZXrKv8oRb5vK%ioyplX8edZVJLVGjJnM#Dv6 zvzRxoA|&k&@ih!k7(>))c{&H4wY>E%LBp*E%i6XfR&-Uy-O!Q+%2F%l@RdS00lOAM zhH2Wobn9;jT8D&I(+Dz(mp+LI?)Ni_rorfS5h8)InV0?Iu6JkbAV0+}EOX<0`^;PX z{d#6u9`sf85^G$qm|vaP7l~GuZSjz~ld5fUKG`M&Kht-B@;D>WmhzG)04cqY5=T-4 z;@~Tfz>g3sQ81sz#%vM77lc7=bCLj)@Z1%?o@Oat7_(O&XK7NBqlYr&kN@m<5QzOQ zO1zn|qJKHaxJ-&H!LWdeuXRC07ZgwviR;LOFb1&?<;NrDIA($sjY!XXSRB_( zoFu07$3Py0ay!%__Q2>YKo=P`^JhOG)?r=8y_48P$yot)k8=%yH!nGc>cBImIJ(LG zMNQb)hbB{%*JSBh7ZrhGJV+%!!Nr5ZL*(wdKklaxgTjK76vO7(Y#jM&tx5KO)fB2Z zk?W00cj}!*`6vQzojT;0`7_x5T|d7cZ7rj?==w9M@f>QSmTkMjCy>plT|_-nf8p?I z<%_gOLZHRF-v}Z@<~9Yvk~bAt6dfDO<0q5A7n+{DV2A8Df}szM_(^dLrMt!e8~Hh# z9yk5)!$Bw-S!f7(Jv_n5E5m*VCNfE3{P<8U z$+$9JR3vTyL9psKG~F#E#+mc056HpB(79RaeUszOHYPb)$A&60_Y_nyZ~|p7k|7Pd zn^E2WH>kBLq=`OQ%>|RkXE!|f+Bx_w;Bbw+%PAmFe#SBAj?KdPmBeXjW{Cl+ohVSg z_vkY6c^i4@U|pN1;du4daJ!H)H~D?}p@L7K%!Xx2D%{{iA{_0nk0#^HO7A^w3gkf* z9j;JfAX`l4ru_-gIzrsKP^_n3Y;E%O_2QH?7LV)e_;Q~AV-@spJlOqNgQMU?oHa5c z1?ic+CWzVh=RVj)>MIhZ(T>@tMUXi@17~$DUBdpxY?Jb-``DPel&H&Lo5-cMt+5gj zFzCnS7TvPG>tjJdgMfwx+gA883i5vX0KDu6&y|AX zZW8SISuRSz&d1u3l;h?nG+e-1tzc1{TY+=+OhhOK#75l3y_pv*UB0q6H6vAEL`!2- zRtLOOFEobDo?_6lp;;F_of1I8z54)JuExOm)6)giHfbvL)JFFu5D$|`P`3U_EG3@^ zX>&goa>M$F&C{M$d^r2TrGc8@v3|~SkA1AOrNfjTD@MtWu@^uHZEJJ9}YI0rzn^ur9NCME$#QRu==<4-1?_p(LFy@GJ@YQn;_uB&e{xfkv| zc~Rh@Ng*~wCtcGA>Zwk;68#<1@2xv9oB ztH(l>>E6Y2IG|g7wjC>fy)rnXo4e_3K0SMG%xdY9$x}7@Ryw{nac&_!;JYo?1;Q39EK_oia$i(LXtn*nrwp^kH3Wcd;Vs^8*m`Ji; z#^zqPE8E~uPqEJe)38$uisC0_r{B%kW9gQ6P782JnO{tg$8%3EZHY#n#;>MMZOfJ%Cusq%)7v_kX{iU%+QEzt9i^6aUtM9 z;cqYks2ZbB02tv>s*NKk2O1Ip_dPo^eVN$jJ1A>9nk1v(F#Kw5IQaYPrL*{AGOP6m zSZ@lZn1;5CE|VcqCjsjsc1QL?n#xI&vrL9Du6$mTVwyjd9_Q4!yTKN5&CVJ*!%EwW zG-?4VEGgQ?>w#QOL71IF2UlCupv@TH)xp)i1g zv_UtJbOkLKF@GZ|`!*Ez>ib>m7c|QbqWs!8J$4zZTKIa_B&}#bVo+k8pZ)#jZSp{& z>XN>^)4$BLo(=SlA@2Wrot+(rk)N|$EsP2TLjsMl=tFQ~*<WMAd!8l9OCG8iU0(yY>-fjSBFk+Uc5ne>FP99QvR>t}?b z0Y!UBZK?|9G3eJE$uE>La#19oC zYTJ5UwSaE*(&-)O8-EkS!>M38uJp?*`PW;r$^UH*`NhbKo9SlbGj!FOl>SZ-NIKQ@ z_q}kE#QiI*qHFqCiwktK!R8jg2t@Wa(6`nQ|CS~QAVR>3u$oA#Bd=h`|0^tS5sd<_ zsoMc6gW#Ge7^}M+_&}h@Ec!FJpqFgPLsc0kWenwT8l06&M~YD{?nt@e=|4%M`UX=F{0f?OuNc0`VPcXkexGVOmf1+G--s-Nt-FIbJJZZWuIHH>d5 zP!LsCn{q(CqX!THEjHkOPzOeny(G`7X0aQRd89+JK!X8Q)bFDw(Gr{Oa*)lV7_PHf-dAG@4>|92q`{z+eq8?SJ z7(U?`IVFH)D5Noym*&aY7P%^7OaWf^6`3+zG85c{=@KTRYrr&wXpO3hni?FFOz<2g z566G`g%L@x!NKk;iy!)VDuW?!K7e&JnBch7SZPT5@;N=FMf0;HW*)mKx!z7BYd^P5 zr+(F`^3XbyaOZ*Fl@$-Yhbt@Qmp)1=#l5(P=p-s!NOnNU0h-!KX3%wJbrya4a+Qih zojl*5C*G z4XEa@bWDd28W5M1iU%qRTFc=~uUs#TfQ-`pFDPJssXG%(%4BgiIDB^^?jV+qiS~?z z?1WPqWeMwbLf}fyo5HRO8krAGro_rww!^t$Fm*h1)TZv45bl(d%Ed~()`aOTBB<7f zGrmt5+-5&ASAT?3L;fJyozgWKV>3p#Kz7PTmZGax@;u0t>w>iVh5jS5lN5|rtuYl2 zKkbQyZb^|vktja&(URL-f{mVE5;gyMiyvukhYPjDF4JpTAI!3A@WI9I#jG zgy!~Qf^V7l8Aasjf7kW8=;e9Ac4uz0t%IGC%TLwT8U!YKCQf+DVME6k>}rOPY|pc*Y&_k2FHsqkCn2H z+I30RgXs7}u0rP;tIFV7@wceIC{;Ve0+Q}%XnIC{y%wt)HNB!=~2sKFo-Fp@jXh)R4l zYQ4crU4Pt{8zz9_uA)1X1?Nc+mw_Oz1dTDw%(?P(6146&e>H{6a6~WLDG+jKXfE z18gsBJA2V<`dR}1a0ZMi|MQ4!>8QCRMrQ03&NUtT>R_!ZW8ipZ8J>Ef>mAT4j2Z=Q z=qygQgJ?d|mZo=E{TC-_5+nQpFW^QHCbSZAp|&^}f3_HFKSdv8DC(x!zYz8< zN|u$h3HA4@Je_W8Bl(x4D17Y|Q4X=Q{|a0qOB4e;oJXz_Oty1%)FfxzW8)Y z`mC*f=Tw%dWS0KTt}zoa;YoSRYzWI1lx_MON#7Hdc#DxkiL-d!NM6SCvP%`2CQL1Z zwPrq-l9vYAPdRXzj>;cQ3iM<<5XDdubbI{Qm&rP-StC>00J*l=yvY{oNwLvm_!u{vhMur=@pF>AG>iHvEBix=WNWE7 zP;4?b$^Gs$=g+k<#XavxmZNKd>&wbS`41^A`Fl!;owtjpfYR)Bh>Muu`Zs~I2mE22 zZn*nR8GLsmK^X6PME^W@sKg(-UdauBtL&L2+qx13@W1n*4R3llyY=AmVS(}-M141* zQHghbs}>n3fe`U4E)RO>?Sfr34##t;s)jx94)acb94Zxz=l?CGPm~Qm>;;6! zlv4e!z?yCWlr5t#aoi7XoJF5YDwyuw>6fz0VTLJMC-`P8k<0&$Z$Zpq^K;@++w<3aU2lh)uwyC;DJU`~yR1iR;oDJRN1)sQ7z&m1-Df6!*L~5{SFlWL4Y* zZqe#;kc_i12cm@|%}6VC^yRk!h8$e>4GD}f=5nV;?$jX+!xhK)l>KI?*^i&WOPucc z^X{Ml`le#LVBw&nJ>~)K8QR?r-l1q%EAkXq2UOa^aA%~Saa5h zYk=raG`HE6Xd^WaJ|n0xZ`Wwvs0^oeGE?I-kUs`uow=DzDO7ZY(EbyXcSo}lC?x5TyYN-^Wc61#GLL-#Dn&}VCI zv>g^GfnN4{WCykbY9IzYZ|vGMzm2cwWyXRqvJA&QROC_YB8v`qOmS{)#xhO5W}s9n z%|rYS5jLZjI(JeV-gQl+=RVBcz?uI^Po)9@)b*{jq*sqgDECMFhvMJVx}XYlgi(e# z!W$Dwt$fDFKxajT^h5@}iAiLnOAQM=xM*Pzu~%VYJ7zwOt{rjb9p}EiggHblhg2~} zAvqX#0z3J(Y+$U|O^_G1Cp>_tGh3#jI|Is6XuH7;qd2{=L{J7b6RB5)JSl7L>00=z zd*2MFLU^hI#)8*u@U)0w+$4=&`DbC$p#`ScpUG0J6WC~O&XT01Q$nNNRR!&gX~Zzu z@n3h3vN`BmS8UfB#qV`2)Ht)TG+<<1*mRC;U?2DZ%{_jtiJU3g6a}<8u6v&zf??eW zJ8wFT$884^6xbnqo1q{77WcVTnCfQzV4!wE8jl_W$CZ$s$6`HmBd$}zpE zEd{(?p-@(p2q+v(AfSWkgysOtqSHY~@xi^6Vnmm69PsKZ=81#5i z_Xdc$(OnPk*KK?hzmGCIV z_&E~C1moD#|IUNlhlV!+zxKdv19qOW8D*1(k+~cJ92Dr&JKO`?v+>SI^oNZx9nBa% zGKvw4Z&I7Tt};24?6UdeE7efpFiA~R+?&d5;Iu=v1!MUWR%7~?Z61@JGp8QeNq={T z)V;)U%GGcsH-BK0Zy^2HP!d;iH)~YzKxv8nJ$Z1om@F578~s|kLyC(9oKZ>79l@Nd ztMh5ma&z|%o}+IKsQ)Q~YWkFt_*6h9+YKW~3W0(x2yDkvwHQYYj|R-?o1v>q7lQa= z1L`k-)~pJTPOk!_K_GjUUU9oYtE0rZwHDR-TRQD@Pa6( zhXEnhfq7VB4IS7tAlIGZUB;NuE%(>kjF(ItzJY;LD+VB~e0EzQEVtq~~+pq`OVCXuHMiNrsJLryX6cFe=0vC*UWP&JCjt9;ebM(qB--gMW={ zl+UAG2@*7Aix=Ep2_l%7+bTHzNLw*HjcgQ_Ga)Adjd)STLdq60n-ZoL)3kUeDoS8M zKA0K6AGO6|)1ET<69Ws%&HBiC=hgHYNVU2#G1np~gaT&^3v-IQ6Ka=8q}rR~h?Ea^ zUrVi>kyv4m0xTRKKIK6RM2Yj5EZxso-UK#Qi@fJEqEYArJuVb^BmHu&$NFA zXTSB~z$x5@rMNzw`xzABZd1pF5WcX-Erf4+sU&SP7=AV>Dhp4Kn#pYU&QZIjNJCE3 z4ZSpcSkx(i%~e_Qj%J8q0vy?N7OxGi>bOVM&NI|71@xhLR0UbpnM7hBx0*c&6X$Np z<6>NM9ct8^dc>T&0_NuOA<-T#?-Dbnl0pXrtQlqc>a$Lc%P^#TOjXO58c$q97(LR0 zZX0dWn*AZ&>>!`NW1eR0nc3Erbm7HbV;#>C41~Ty|Cq_Dv)Q$M3@;ycRcEJO<14?Q zbdiH21nXvQl8tC*?;@~z^|&Lq`A{q%Rh%__Y9f_69vC1u{2Eb$>m8}dl^2PkPC-;Q z0PVP{$#=3$Ca9|y9?$CIG`bV|3}$N1zO_?rrFjpy1en7UOTHl__S)w7kDo2Q3uhZi zfOC;Q;qNT^7VF*se9lQ*jO+(dfckr5SEkqob(Xcqk(;ymY2JB5R4&} z^nPk-vQS zuN%P0{9ldA`~Oedx&CWoQUEz&q!#yk%DZGkZFsdMCQrD28*vM7UUSUjEBo zq60$Bi*mF4!*s>EK*cTD1h{H4x7&8ci;B&gdi-toRIDg@zhK=i8;7xp5ih+4It?E< zu^3%B*BtM^98AL;)}P-ZU}k1r=o=8sZOVyI7jOl!htnFxQHcIF0nQA;aH3#foY`az zLB1f5hIoaExeOkt(GG9iQ`~Z{J(Bn;C(-gj|lw^i#Qlk+VD5JEjR_N{-FxXZ2CO$Er^lXVFoseXp zTeqNaZ}g@hh*?+7W63BOO(#w41S1piHL7u|!mK*sfE(NL z!TvfGK0Y07SKXO|G@R!a%2?t#tG*+R=`17xcge&?j2z(twY{#ByIAbtTO@105 zYtUW7u58HC^-c+Q&;;e<00LpnlWlE06LAjYTdBM6M48ZX;%EcWHJJ*1wMWNK6P`EA z-!@q@12xCgl%Hawo8B}Znl~q+Vd4W}6w+A5s-F8D!>IdN+*302M8{}78>%Me?~!$Z z)Q8`)Fgj}PUcEn;{mT-E{oAKAM4uv+|DgIHhBX$?iyI(7;86~Sp&xhT*|h^>?IBER z+ll-D{NTaoGfuxnwwtxDDqz9h$5Cfm;pdF?uVNa@A)?S?K`IkyskL6#-W?2PGI!jh z;!a>Dr-JcGLn(@B39pK;b->Vo(;F*lZ^$-~Zx=EK3q>%&xFOpy#WZV18{eK50U}5a zZjPwQ91g<4^5t$>h0YXcLOZz zXS=^ui~86W1H0O4C7ubW|Ba4eHPQ;=zc+>PN_v%#%BdLAa0YoY`m=c3|6(^9?6>+^ipVZFg#sEx9f`!4yyjuU>s}JG0w)sUY;tp@}yrkxs zCKy0{bnYJg{NPuU5DeC5rK%arM^<6~W8rwms5g&FLWSz~&qm^Gc!{ymLnI+x1zKyf zRM!w$)cN>8?GQ@#m$q@vK>kJKAA4*!wNGTkMS%12G#V)~ zQhZ>&HoNhQL%%|0{Vqh`Cvg)BBGE; z)ywNJ1L0kaOzZahkv6z}sVke@aq>uyRolV_x z1P*s8TCCqPQJ! zt1G=W)NPMo2W#DPTkOw+#-*86aOG%mKD18cf=>RH2Dr*pngm7Iu%VQh@?$KdW~?R< z+OR81e=iZL&~_iQ)F*Y+*OQLPkM}n+e)CdH{E@}VmVX})ucD)XPyl^4-vDdZ?No^@ zu^B3cxpiJZ492(2US5b()buL@(iHO${t%{*EUDI5GOrF`x!Y4Pkg~W2H=2V4;f#8@ z4W#+3dVo$<#stgvvh2o}xb9G0&UUEJde^uie>Hu7#zCUd6}$z_mGXaXswtUbM^7R*@nU83yjX_7H4`J@`#?fvgA3$Q=Il&OOqD+z zXC~@_G{Lc8U9Gxw1-&YOKFkFEvgGl)zf=8Uh2&g7?u{5t&x~1L8uqm3$JL#N;S&`2 z!_jCA?%7N_ZS(%8qEfzhNM3N>7sW0%zE zj`eQ>ge^h`-%+CdG)^fXL)4IcvgEnD`-ZxS_ zU@`0)InAOKatnwOld*o0+nwx5+jsrSJS)Dp^6i2P%RC#ivffx^D7B$4A^wJRe57VM zA~wHZaR@)5cUE_UNxYqL3S~@p!ztqA`;L=B?Qz2&2D47KZ$Jq=B)ZCNlgy4H3e}Rk zPgVV1plLQby`5@UMrRfXQZyomLj-X_$@mwFsu#EdO5sJVN(~)58`G;t;)N{6xL=6Z zp#!Nav>2VPA$FK&gZ>sj1>Gqi4YyxYrBb3{v29tJGQ&1b>qC@B^YLaF`t05vX0x(F z$`D?Ehy05CPWJG6rC%5eaC|^{;Len>`JB15`6?krOg2&Ffyt*w1X&Kq;X75{ftkw-ZG9T*@^)P@!^o-oKG z=U!SI(?O~yhS9{`s>b4(tZ_PkeZ{Fu1deF0!pbQ&B0T}AScN%t-~Z}(pf&=*)*dl3 zz1OA4c&n?GOMeuCb(OCaBySzR*#@85>%^FVwg`vI&$?fPSV&pZA zf+DACSsULp&|0d?O08az9o$53Nmy$N%OsiS|83*61UN z>1HD)L^GPC=lCWWYpTw!kosW=*t*0P#Ir%tUQR0n0lu1>1L-#UYOehk@hBQJUD;AK z$%j)=f0QJ&JlQ3lGMn(wp>W-{x#jzjU%N@?`FMW3ZM3a1L@J}OX+PQX*F7R_tXqpM z@ywUZ!mBea6X_tPTICG9a~#y##q2NJ6=gIP&w(xiu95nLPIZf6ug}q_CNg5B{xWCz zm)!zZFMPyUEY16OrSw=*t3C?YVOjJ)&VPxDDKLvxGx*IgDC}Tza>5dsri{aFnf1*5 zWIxSXlP95eMZc@m->6J4Nl(lNFo*ff{Sr6uM=$5iYy-EbxDTv!joP_h-^r>OT+u^B z7c6O(6mq?1Ta^G=W3q`kvm_OVJh(9)8kWX`0c1%(4bIec`yH>giMocBwgdS1Yz8+a z>xS*@7HX^WDZ~WS(~)!mmM9w(Z|qRaMygpuUn9-hCV>sX`#UJ@P@q{yX~>!1$agk| zZ~}BM%-Q5LhuYCUK)^clVWMLc#p93WE16K7Bdmo$p%1HJziIl}v1F8db0AQ!i}-_P zavF)51gD38oR{Okw+svA+|BYX|G|Bbf zD}LBFaG7qecXu)LN~|q5?5!fhMRZ+`ydgz)8*4K#c;dEkmlB3Y+_vou2~S3cnXPTS z*-(DRN2tX!4tSC2XU*X))N@}v);bwVU<~G|f7vB(J0C}b0UT<75CH^9_2u#ZH}(Br zjm7&j2I&^#teqj<9#=tHD{eVyoW>BZ^&Mzt+p}_Jt2py68Zh=X zF@0DawH(Fu%**cM=hV>l3vRxDGO1zV)PJU*k2_Le6tfZ+*6u@v5N1>=ehU+QPpLV} z{j9q`&*nO(O!F13>YPLH`5^XquZQh|Z&Tib?^*j*m2yH49anK=C}f;8$Fm?yR0q|B zdKSE0yyj3{SBX9Q4*uL}dOTAb0$SCktOuVWp6*Z5-^QEAO&ofoUcoU7|A9rhrHTpY zb+leddQ0c9Rdqddui8;RN0kaUqC>IL2hl-aC!inf`_%nN8d-(|EnC<1-x856QG)zL1=?fF#umH4%{@kQ0{N2RD3SuT;5y9fps+CAjpwz0RF(aSw>8w6q`ThFy|Lqx|`YBBpqXW zZ;EUqEV=2#0Y3)SqK9F}t9z8w!qLQpme`JA-m&4+dW>)091V4uJ8aa>P>BydV_m<) zGB(rL-YOR%rkipnX5VD15|p5tTFn*v`bP_F{mt2?v}7Rq7F)&H1#_E_P!K0RwhYXt z9~AX8f>A*|X__?2h4B@(W#oYd^WbW5FJmNnrY0 ztR1|KO$so|G9+27pF2zqtgRam}YH$NmSKbqC_(1TaqiI z$oMQfbiY(Gu%V2HN0}gof>g++gk@v+f5QD`C&@zyZV|Od!-ks*wi>`U0TR7^YxJ%S za<*V?p1)zY!qCaUn^0ERsNmiF8V>V5Ip9u5i!ivTDlUmL5HX2o9L#8-=v1zvd_V3gGNVyuCI9BIrc4F*ZWZZ$GVp5Cf)(}eAu&-}q z9Q2;l|N6sSXj#YuBJPSgU{6~|1RC!*>pf7U>4%!|%{p@g-JaG>A_tVfQJ-wDXG)Wm z6$1qUPj=5*pRi>(_+X+@%`V8`0%D+y$n4S~TTlXF!WP;diXVb-{xBTknZ}PUZxhr# zUN4H{h;nJurg)83s04vi&LtyRka5)Uwc=hHCAL&ZW+b91B;bPV0M(d*J>eABU=sxg z^F>!%j#?T6>rLBN1}GVlnh(ivr@+U=#)+9Suo3Nl z!S)v-Kb)XAr^UsNkAUuO;?hrzWe$nSb!lo3<;@0M^vx; z8(PHZSaX`?US*;r;(l!nl`@gV0|X+s=9?*UeMKLP|46W8E|ocFanC97)yf@EjCQ-= zL6RhyJW@s))h4Dg-8v1u*qufZ79=hfeNqe_`?~$c{k$#`N6<@f*7jvC#S}i9r~)!4 zNwxEyT<0Kp^c{CiRzuEE#NJzC2ASnRcIM3p_P~Ta)y*pl*c69!Wax206nZhZ1AhJl z7!6C=2TMN`LVo$si7s>aGIfXh+|Y^y`fL-%Fp#J${Fn+c(eiaLjBZ;pW{E#uJzbzz z7<3S_&+hG-RVV$psLfzTHE=(KB}(^oPzdMdQ<_7fg6*gw^+n5w(o`kFVbaX2{}WCV zB*EAzn|}5Q`8aL3Cc3Ii9B#|L(Y{pC}oc?^kH=nMbI;=>x; zx2ZY+dX@o|q(q*dtZ}abof4nTxkC)fs0E}S=4?6gei58I&T8X0Bvzb6TgaCJtMeT0 zg2Xg@*hr>Ws9hzf+b`sf-&S56I?%+dB!E>&ydgHR^pFJZtbnPonCOpb^w=l0zqA*> zO7P!Lb5AFd0!oMipk|+WRLkE}2*$xxBt>my5e>}3P2!(WfXVb~#q^hw_o!OhZEkgp z82Fzc3a6I%WsL1##NoJXuK8Zj^mry8t7Qvyb4y3q+J?`p`1J?kO+ptHkj>j8Q7Lx=HB**}XXj{x5^tCALmK2h$+|WJbtn4!4^s1aw8P z|Hj3hr|yBT5!X0l-njEm3qwqv^UG*M-~V;?Ay%DvfU^HY3zdqvyUx}7<{wWl7xrSG z19CSXA+cVW?pqsY#|i_%Bw7VX6{(}8GQ5sDq5c$8>LK*$5cn+$qq1TXk6X2;`sD&e zMvB?J*YC-A19VDvK;_v~J?6sK0Lru|rQOM0eT)>I(S(F83BV0ib}M-MRT_B8q2)gS zMAsMD8WEpfKx7IYkz|+p&$&}b(s{Go&E$SLL(}Vm*@TpNYBwXQ^}hb@W)}vS3cqeH|}t}&;eEqUUDRpql84)v-ET0$1o^j ztr!x$k6RaG zQ=FETPq)|B0gBH#qgqhrK-9kLi zvayw`B{;zV95#t18yhfO)FCy)7ML#C+y~nI+31yCjgt9}PZP7KA#Y}axpUL6*X#@| zknsSnGm0RsZ1E4j!Z^)$`1;dHN4t`Zmu>z5dfa;u=|-^Z=2UO{kAr>&ofxSbg*~h9 z2%|MB82;LQ5cPT~PEloBvcW-Y&$TU7C-!DstkIe=<9*uM$7=YNB0{d3*6$R>w$ooU zpAs6G_8sO_C&rFc*}?c#RV2e{ujVZhpGDVgAr@bw2kw5CXVOxv8Eh(H!g|QxxJB29 zKi-a5xDqvfD8nVM9{1P68D2iU^einQ`aoN03zpbw0f20&-d^$hJ@pD4n9du`WxK$& z6Mg_2U7jQ3UGiJma1E0M9>?LJ_kDrCqnyIJZo0j48fI9WB$sMbNOo*w@W~+xh1USz zF`VxKbSwRLMCWdlXJ7C-TH}VizojIl3N1|&HS!YxW7J!t2~+ljh<9G!WL$3&JljnUyZO)v?| z=Vf@p=k#yeOr1eS>(3}yuX+uXTrr_wXvl{Z{2U+VzmU9S1hf!BHLUWQ2;LbMjI{lw z6K)hubM3nH%VJ_Gp}f{n!24*{MQ_%bghJn5z1C)dT{Gn9t$kZC3x^TdouAE#>>!y( zs?SMsdw@N!njLUckvD9%LE-y!_R{3C$$bU^;pbl8$|^{d-GMT}`3vEXkUjEt3d2ef z@Hf8vO6m0~WU(EO`#Dbg$T-Ao%SHHhwO>sen&Oy4^!o9^byO`?Vb%CqcGc$;_ouji zje|9mkrK2>@K9eR+x!1ZNFdGGob| z#8@^U$KCZX--9c@=Rv(~WdUu*+@tuw+1ca`P9cd;J72Zek8wzez1%yps(Ht7p|T|?JfU7?Ody|F5#Me zHFv;J>~A*M-g5e`LO8^aKJ6)Qgy5-(m|?!cU?Q4I`O7xzom>{fY3T^?Aa7JEUFG$EW)UxaxLi-FR_`<(1S>t$qXl)X9G56LI|v9(=Cz zDeaFw>Z}CWAWA2JFw)^Y9kfHU3p5LIgymU#p=ewDoUVOz`l(poyr3K|e3>OyAvNc1 z8OKke6XerLlxOK~Bp}s(*TENvyQ?ztNL{e+ocz};_Fd}(4 z1+uNEAntX7!miWvwH09U@L}2hW^>P!lv*j!l`y+>w3E_8?bY%HHc7y2>b%Qh@8gaPuhUR%Qw-Nhvc!PCch;Zku> zBLY!ocfO>?GCTYCUhlDDBVclcD6c_etKmptm;iBA^``51(_KHOoo;4*=wGy>8}rkiXAS#$U<4!nbZFI$G?@WD2Ix0 zKug9#V-Jiqo4U>i&`6Qt$vIS4j_Ngs25=3uP;F}o7a+iPg3<9+sjwW}kCVVu(#}tQ zo*uon_s1Q9_M#u_suNOE!O^d&8PNGHw>NYmlZ9dwtg&;o*Lf{^HZ}E(GNQZQNj@tS z!LO%ig7%HZ6vcyl#(+a9q#qAC&7pX*vPm9^p(Vx1K7%DfCPcJe|8x&d?<*Qu1(DX= zf>b+ekL&lY7%=_l+_o4V6mY{+&OC%4sTs>03Xd{@6vrwvkAXl7Zl!%VAXNOb*9ZLaB-&COSm1dm376t@~t#X zy3n%rp4XH>)TsoJheFUJE~n5`en3#?1CKtQ_>IqfU8)$ljx}ko1>Dl}#ta;4c%kaN z1_U6bHuY@>qNRTrS*x8HT#!5zy(ujG!61i4f&y6(f5p{8i-NYaP+r<~xuC9qDDtK- zGyl&$m7z~^c!0NMm&~5$o3!r2nKuG2m&hz|s zYRSrgtSl_QIy1tPuV5?#Gv?6%LZnDtQWzKJGwZl_Gc8<+!6u^P*eNE$msu;uf|kxv zu@%kF-c64IOu!w%ZI04Wq{;2k#2?XL@PmHBI6fNL)$$a?=#f**UC5YGEmX*iTy@Vp z!FMRYx=oWhv3pL=hu|4IXWr{w@@ie#+CBh1T6A{bIB*tA<*paLE#)Z5|VNF#IHnXh~ zN=392Jbt3eQ%}6oI^@<@fCDyrm}OPN1W#{*&(04jULBl7)yN^JO^!oIu7iC1It%dI zD>O%s!3SdOopb|ExH3u`{iBXb$i~oZccJ%V29XEGN@j`l0_qw;t)neVv0}8tsB+)`dj5yvwRo=wGNyj(A zH7^LJS|DBVt7n_@PWRi{H*9+&^tAW4GS>(8k|>uH`6mNl{?||QC>;Z2GK^fz&&bW)p;Fj_ObNl|)kW^TMg z7k@d8NKd#*=5KBzmGlAZiY;|O+Q`m50w%{BIHD9{8i`9(;P{;&GW}Q#9AL;~W18VP z55ipVu`4A2*-q~`AQx+q@C5Z@%!$;OBIm58&m&=Mh3EN<8M9I2Z^X!3;U9UE#tAVx zy+?|7J3_Q*bk7j&?NHizDQZC+0zRluNjWlz+=y;E?@+8rUe>@-E5@Nz6QM1eLvJ!p zK;-%9=lX2pt(-97&l1VKOnX@Axk)Pi!#w%Kw;}OR?}xp3-FlviJB!!yQ*EPHZ#;(B zhYH#dg1t?zbFEapTArqu?Tb>iNR+d%x0rK=WB)4Y)Cm$nCmZex7VrFOA?79Y!7xn1 z+s26YZ6v2mfD_r=HYrS%&Ko>Uvc+l}QHp1Um!r;90@rDX*|4r~GBxO-jdOg06aD-e zg|szU35KGI_3IcsiFs&C!H;+&=cU8;@F*4d<;jfjQI1M$kE|Q{%$C1&kgl2A$bD?c z=EdnHImOnb6DGgmGd1F69BT?(Y{~NAA~d$P^6dI?Nnnd&?U+HjHVq*BKF>ugIXRO_ z;k~43(O9RIsx-biQociedxP&SnXH0~Iq&~aiay@z6!mSyPsf4*A%d-RUuwI+HhZ*r zdW1N>wo@c%aH7E@t@f`F7tGu`6;*y%5_?lGte9Y+VXRVPv(B|d(}-@@>#i;pnuLEn z&uJ=UAKG%IBd3V?gK>j#^l@3-COH=^>X@P4Aq~Cel)8l+5g+Zs8vprC$A%8R4(8zS zOGu!ZS`P6*l3!|z5a;u`(N%VB;IOMM+i#zB-w!z7)cgr%J+j{!H@exp8i-wVHF;^} zH4^f65b&{OjLVWLu3K+FqJU)9DI56Cxj)u{bd88RjexEocrTUM^7~|Ve+9XSlj+;y zC}L12RnoseT#J=2X1W+4ad=cZ-ffVbUp7}D6Sws1uXMz!W;Vj>bgJ@QtN_#+ruQL!)-!G3=x@N*(0M8 z>}=x-dv@)m<^k_+l8w%vH8_EmN5g%iTvR9f)x!MG+4N9xE?4im4m^{+NlEHufO|g0 z7s>M(n~s_3-Y9vc)Xak4LI z#835)Y-C64jiT9YtH0mYHXe6~zP#zzVS&-eT!Os4Rvccp#;W8Tk)bd?F@e1G&?99V zfsK)tbUOvJg-B&ZZrk06KE>F%UGqL=?17s~S!rJ0uNn#V3*byXHzOv_8dk*;{GhDr zvaOfQqRdK0adq8-BptcgWf&|QqH_WXtF!utXyDILfC{?Bf_|J5!N4fmzFr0KPITyK zakm~|?U-U+>B_-JpV(@e}qI5)~M+78>I~G;qh^V zHlq|;6KriBf#9>1-kz+5i<@$>(yK=+fl$qbFIeRbju*}R>tgZ(Tc+$6_dyc!3QB8R z!v;uukA9V*Fj^MVO42$UkgqmM$vF>qYeO_;;hGMz2lPkmD5h_6;^d{uwTMF_=i?rP zR(#>lj(1L_oBw>Bt|s>TNX}zsY7Z0-7eg%*--83L^iHpde{A+>n`Q zIwU=UwjG0O#9s&WU+9)aN=Dl%Jf#+&kokxCksUr$C)0=rx9Z)eaM@#M0Ta%T1 z&`_O@fOku9ukkr|!zXwee^SgDQ*37$eycSouE?-m4r;cHog+6yt&poP4!awdXruV_ z7IE_qUae5Zgsyfrs~9Iff48C1mek~}junKlBp?M+WK)>T^w>JbsI!sRirYdM{s^gE zB*3;XejESr2q%@XF`GpU-Z5C6;FB*i+~v5b9|^;?h8vk&1@HGZ*|KBzdk_~vG9Df` zPuou+7xIk~_&I-8#hUV6_UhNyR*TK|b=Y+rikZ+IjwtR5nMT`~7Q!)&53TwkqRk91 z;^TcV+`gC1q$A$%+7ypA0o7>CBCcawM_*)&Aitwgu%{Bf#_>ezojVVG&`1$V;XsXX z3o_{$T8cHOJ6s<+nOP$yerYv^#(BgnqvsTopbWF~ z{;=`+Rmrv>Rn|BD*_z0&)b{VJYKG+09;Y6fS!cr=M7u4~H`${Z{ypaIXMQB)Wt9&G zY2A69MhAGbc{f-(SYImis{6@Yn{jR>IUtOH1DN zOn!>^G#*z!`{Wj)LrGVneYoq9`F;br*PXJAsSO0RD@rQHw zRI_QL2?+z6;A}5}T&1(p(b=EsQ(O15*gD#h>{<;=q1Bi$pe5#quD9eXYkFJa>LsZr zg|dlmoZUquJ}^TAXnpOw?9?DsQDyNFpMqOzt=knR_!FIpQWwe7VCtUy6A*MT8my(1 ztX|#GN!HPxDj==A9DX4@W$t!vSF)6m{2DGqe@E(G8`zM*g|oBQcSSJT*fIThQM(4T zgC{xKxcS9_U8jyIc}{s0HS@YH%nKi^;K4G2n6=yl0^wl=oT3o0k?a~rLr^>qvIT(w z@FU)@r;uua?lgbFkWKMD3ly``s|o83_>a6nol*b&Mod58E2JW^@JfyzJI8jlM1`II zG6=ufRuC2>NrviaJb&`P*V6Q8fNFc&uuWCUeujFlOQ01CdI3cRf7(^5&0AORf(r_& zy{f1L;@Q=HEp>pi`SrgFT|Zdr0a;=sU$?1(z|QY|h+tWEV%gluCZTw$*;xS2_6g0R z;KN4fU$y$|zu;WrHq!f(^M#VgL)FlRTK!PML0HN8!lde6a#9>%l0t2DStCGR=NBks zhTwe9hRn(@|Gtv}9IX}?MDU+XmbmvcGr3tJO`ze{{4%{e{|HJ?4W*eNdog<5eR)2C zq>~u(QSohcOgh^H3sP+Y{W?7>IP}4W2-jWJFba4vU>Ta-`IT~ZZ8a^tF(EtlJur$Y zMO2lsOSI`2uFYApqUFs33!ZoreLPdqPs)l2FYUZUch(xd*8Fh#n54#Gk;*cO4E5VE3Uh% zCN*Yit}iTW;tSjFwjSw00_^tJ58Td9PFErROk_NTbb{1hT#E{>2fzm|pdy)v&t8}R zIJed@{Xg8D!-61ymSoemZL89@ZQHghZQHhO+qP}n&Z*t>;%#0p`!8;4*_ z_e|Pq)9qCB%0xo;(tQXK1|BlR~iO>loCOKbEzZdrHtb0D?7BG=T-o8BRGs#x_qdHiw$*|?h_+FY4+e=}@WNYHvApK+3A(!jbRyebIXr z|5upYz;n1p+!mx{Z~#MqUlcKyIzENcvCLGWL8PeMF`=vX#QiYTV(q&=<a^?4cKfj@yL=V=hhl(55`cFn1Fwi48Gre`2$unlr|Hm*!(_%iW7(i z$w9sEwdo2(REF}~tA7#h;nKUx_8wp~Jo44uZ<|)pw~gMZUli)6tqMD?l?S@v2H4c_ zeB4Lbw<4ltf))3e>YJp2+M9R2>Na4c@4ZI5Wp7O{;0;|-hDS5LPIL)2wx`%3z|lAj zbbX<)&AU#?EKYA=b>=I;PA8WR+0`_6n#NB)(>(XkjXXo=q-ayCsDkN0Ret?qc&a;1 zC<JwdkD{3KYG?kaxe^#VZ$oM-FrHlQb7fN|zDSJ0E0ay5{#LqNj{-5sV?B{e)LA;oz zDW%w_7Z!FCJllLZrh!+w9kb|xhoB+t|#E5|J|9Uo z(H$7L4KpgS#O74yHHbL4=TLHq`O-4h(<%uShWsbHR&j57tna;>=^DN|v_1aiRN^mD z>buj}Gm?7gGlrH7f1MB>ejSjNh+Hhcerf9gG$$G;w6XY+pdbYr6tW2b27IKcfl8o} zOx_H6sIw3OsK!g3%<74QNFiOw97OP6s|8o0VXp`Uv`60@$1K*Y(y}P2z2YS-1roXz z_5vam8Zo{;{BLK1CNy^dX`!cguIC_RbG>tkR1g5C=H$$hB$el|P~CR2cO_{i)xH(sk?6|s0M#u9InMX`qz5`HHT z!wKA%+JZ={6cI1_aIl7rzuo;NNVr+2sS(sckrT+Eb&MHho)k;ERz0Y zyO6f>;DAi+#9c}5yd411FX5r02eFm(x8}Z4BTSE-Ge!@g?%g@TD!me5nk-v!xgna9 z${ZT{T3w`6ks(IMxHj@$jEgHH$;AP+uV+wi-A2k?T~uUSMObs@%{beo@T)DG7eiE5oGy) zxJVyWpMisy9Tp2e$kzBok5S)tg7)E{uavW0_ z)S;$3AaRISIIg3mQfW1n=A9-2P9fpa^P(_KEmJC5}E%SdO!+Zf=jj}$oGg@d|gT(~ z9~OFrFKNBuvq{vwD$f*+To*A0%bC`jM3&GVwQIZ+s|gAvQ|HO-(( z>U2l7OpfK5MiRbmVAalvfdFbtYpqR9G{cD^I`d)jSX2bkLf?@LJ^+f|-2cXfETOIV zZjYZRQyeSTdFs2TBs)f_7*M#QG21=;(b7yV4!aSmY#}FBG}~}x)-77(c!U(g<&y(D z@$8dq%Lt7^L33edeyQw0T!EKoAQxGL_sl&(!5blWDYaVeR+jFrp>l>~J2;7<(yr4(0=8O#adSoLrszH8o-VbUb9a-;| z@l~S^q%2&12HIRx^rya0^FOvn7Z`BHC$frl+EC=8^m1B3HR7{&QX09+<^`qRW~iGp9QPGrmD?#;uMWn|WhG(<$SrWjLg0K16{SYX?whLek6~N5y zQ!!RkcFBnnA6QA5ZtMn+1pN~E1z~0i_mbN;os8W(!vRD(?R^Di$bPewLzT_qjs)~g zi0SftztHn1uPD^h|M)0iABe!N=+kau+s+0JbKIAE)>UjP^masX6y1wb_N-S;$-hiu zztXm0PiQ6e{t=H8U=AtW1~KDIXisQ1nKqNQJWmzIev1s&!;H&SxzU4ObNygpcCb%^I^QlhxO zf$x-QDaDMS?6XGyR4a;+%Ht8wWT?VYI8kW8(<4La*0HaP=a_SX4oDwsCeZEl_vyUq?@j2mh;Nxbsp2R704pH=q7pihD?un$h__4oVoCfx6+Ftk3O zFfn5F>;8p5WJFQKhi^Z^q5G&EOSF|68G#bFf1mN!zTL~5E#$e#0o?JC3=)xMUt)ll zKlVf&^d00SZ{Qe17LiabjjkSLKk=I!h2RKn+ z7a*l+`QD#ndAQN5(+x^PLQqN`-1s6ZOINo9-*r1-h|}YKZRBDOBMnLsac#DbteJX$ zK2bQ5Ze%+FQjn0|H1hozFV1LOR;WSJ0xLjM88cF$FsypiiZ7jg{}E~w1YM51zBU9# zH0zd>G9w;=F81oEWe+4-_UXP9rD|~vLic^>sL|Ei`D5n>96Zg*2{_n$S0ZL~cW}HDm*K@PPvOrPXUo zioh;vw2CFjsyM+Nc}3mNRVAyF_ZrdFPiH34HVtB}2$v!qbQ=lILP;XfUT5*G&g3qA zdzE{h2?Vo@dw7>!)V0e;s+^GeBeK^NvnLB2G*I51eHf9&rLXF3_Rs1qaA9*bp~I9t zK=JcdM(9inHhYb|5Q*TeQD%B@RBa?FF%EM??M<11!i_~KO&)ub9|B(mB-A-jsYEiU zdwLt8>E1a8y9;r4SJg9S8=K|xJR>`^-NG*`{4h{jAc77N62ZLWmXNo~ zY_A(@GGYkN`b+Rb-E^>E6>#$z@9I@zs$$abS^@w%5(sJ?VaV8^ z40stFUcECgvP<3Ed?2Sg7U}`U$X0g%m7n}(-8$2xhkJLcG<;+SxSp460X^=`QYM<~ zxK!9a&t(S>*jR6ZoZmyp@$Q;KyCQpC2A;?m5r$+)8O^8UOGo*HimyOp-0N3pOEisU zls<+BL^d=6QH;{bA1Tk5G8Y?aP@%wU(m1KDKPeuDafMLKE%BSNq(YO9jo~;aB z&K5t_pgU9fKs=z8LbiElRxw6HAXDjQh>$it?4dUlTIh?DZMX!4@$XX?^fSA|A5x`c z8i^;MEB@g)?4Cj<%%;6|`(1LfE8n~HDbF>bE?H;SLNjjc=P;)f7k?vI%;jhy7M=rQ zDKvpobDbX>x;f)rRz|Swy!s3f(mYu+fCpP%8w3A?erEVT%|!ce0$9d>iuu2!pmF>| zs{j8wbvUBAn;qA{xByv-djc{!aFcMaQ#-xMZ67yTdGq@fXNN&R3BoKjFs6<@5>0P}a< zANF}j#>;^1tz`_cclOqWV}z0<&L%D3VS%KNOUN*(>vcTTixr`?Q)cwcb61x&w_a+1 zS{ZZ2QHC0=lPqX;x$%Z18-DbPqWQJ+#jRFlw^1)VO&Y{bc;A3)Eq2>Qtq`=rL~Myd z5@LL_a4~@bl?%mpx?na31rt$U>H^28sdgI*O|oW|!7ugvW-grV(*3HMGBYbYEdDZd z^8yHxsPl{DyVwijDYP!M9Y)fBKu*J@4IQCQ!Uhy-Cd*&1ZEJ{_r{X zWs6B`YLf?Gf2|{u2N0&ksA_S|t2H??0z&EwCK`Ho8ae(ZYtBHQzOojlNnrK_Ge+_5 z3s)@eM4|#1P*LCazlaGHlUC+lsXD?YZLuXbZ73Q@Y4u-OS7g>mf?QGt6v2a}O^UZq zXw=WO(-x-Sh}*UjmhY!agQ>Lu?Rd)bGEpZ^wQL>VitvMF!}T5 z)fst6tSX=G_zS#5+$g5jFuu(L5cF<2sh%8nr&YL@6d9bFGSm1{X#C;!;}Aj3J4Qy7 zW*JhgF1XdW+($RVzK)M)`&5I65}f|V%IcmCNR*Jt;dbpIzb{9rp;V&=bDTVus}fON zuU_vN_&$F}rCs2ak7!A+(-m8IPrBcM#@kzN3w5_ml2+GqZW<~$u@)bru_5H19kdh|=c(20cUS%#6Z zA*Tm0!+^Q&`*YFNW0^YCF;K4j({d4H8s1v$YgJCmBG~-A1@e*)S*T=^Rgx~1123eyfk+~TGl8NtE7LO7AyD2 z&f`OaLWMza%UHTt3aK3TzMCD=9rN`Y-tLsB$}XthZ2siE`U$c1oki0s!L2oF2j&?m zRii+QZyy%mED14Ge~yIRRE5cR+?ew`aeo;`fg`6@w|$Z`r-4D?B2`y{>J>mD$lRt% ztloj5E^j>If$xNN_Xk@*wE{(h->X7OJ_e*FA{5{}TmtJPjW}zv z`uKbQipO?e5YCbGnANi&QJxsp9q7y(x^bbMt}9&rBYS#H1yI(AX?9A&8e3<10AL;I_fx1n`aSCr+jjZHT`CuKc4cdE2#e`z7bo*)3^>vwngcKQ~K$DO4Y~hFg z^ZYm+dvOJ;K%7Cp$5Ghd9Ebq2rIg!19kZT@+{6h$ytu33kmswIJeJ@{Ro#6_gcg+Y zKUIT+0&k7%vskdn`pediFk1f@i=dVr>iy#8Ad%r)@K1UY1CcU_li*beryu@C-!41Y zd@EyJ$J~$1>;O?0(;lN`TcPabNuN!$is5P3K`sB96PpIQJ$+)BU^=-{x9n7!Y+~t0xl~{Ln$eaFPwIZT| zT&OM<47ehCPgXCZUIu_XH>owCzg^r3OcOBb{&lQwzB$X?NPOYQkB7U9Los`{eq)=% zfT=*}vhZ-YfDB4V&c+NJr80x`C=d?sf8heK#dc-=H=)5PVIog8i$l&&?7@9Gd7gQ= zR()4V)r>kOz`$19z_3Yv1nVt{zds`9k}0g^iZege;XRAJJ5lVbkN!aS3}V*xwQZ`c z);N?t?}kV`Rb|pTlLzXFS{xVaJ2FEVSDh+2MAW0?*G;JMeVqPupTAEECoBwNWa@FB zN+AxgO|LVq@_%@S;P6(^LN?*0ic(+<_yjyEdmuCGJ&tw zBEtNe)SD0wA3~}qI*8+PxmPe6ZgxgkB$e~o6fI^mLs7flqKtVtoCr(M zt+M=ekg3YEw8PNptPplJy{<_yI5$biV#K?-OEHd}C8~^Ww46`3C!^elB;xsS_8!th z<&bVJz#tw2kAcBqwXJH+>k_@zcMJ_7R@Gm7Fc~}qJoP`LKA(X#;JI6{G{PT#RQY@K zB^?XH%3%z*GB8JMWF6kg#cJ&I7)-TWg{Zry#!*}v!@*&Agl)pp<;L@QYT;q;kvGxm zIK~7x)>D{_GAm5{H7v++V%6&K@Ij8SY}U@IZh199zYSYe#4Oy3SS@YMPyBt_^tA0TmAJW6e;b&T#PHow@wT4H?@QRh=$l|)UzE{8xZB)`$38cPdx*=`7CbE6M?J&iZ8Wfs{#f| z)nBdfrk9CuX ztF-V~+kwihbiaXsDFGK4W2l?V(k256jlJ|%H01Y=o`+AI5s+2bYUJ;ZEc6M-m~l-W zdzNpoN7$Bvj4V zqk?uVAInd>neFtpIXurN4b5itPK^u-z&R2ZW!K2AJ6MmDNGwiQN#k**Bq&}2B3Mi89L{#H(K%0gr%(F6{Q(ULZ+)Im{kEAOy zU=%g#`jp@D$z1!bY<<+sv$A^zk8DBf5xDiL{TUd+#r>$xJ%1xz-B-43mya9MWmfnK zyg$5UyN9aYXbdj3*d<47*&(j)FgP&{{|3<)eZkO5@o5emOgNg{_%Ofbg5@WQaO==z zr-R%WKizjcb0fq!prC|>P=S*~0V?5ajf)dA;TRJr5}6*t@{L&Y_Jw_q0r!GkHJhD$ z+@X>vFz`>?_=-!5s1Ov?O2*XbN{gCS)!wq)#A)KT!a<(X%mV1~7qE|Gp{G*QHXBly z%>&yNjbA6(UMrXLt%1sDn;4~jDDKko)brPd2>svIB;~}qRflrD)5d(3Rynnv_Nwr{ z=KzDCP3;xgo*cs0L2>h?u4O0f-`#3CQky;z=hdSFPFWl-(oC=Cnjhehb6|QEoD`JK z*Lmr1@^JxD&m>!Fc#u$AieA*qN>YW2-+kvM>pa@^6ehODt#pg6b9CSrOT^|E3`LCh z;ON;T*)|3rVTGjpwVQ}FD(aER!rM8Y;Of^q5vagzdd{+4X+^hSsE(ONj zNQWZ)nk5m^!eX^d5HRIAc*u5-Py($e3Y;+$KKj(Cje5~bi8+T+%?`0enC~hlO%X2ZXs-hMMAF`!ra5rmK?V!oO%Ap2*(eUNCN%ysGte+C5xT@M zYV5Dnda1iCB`w8m2X$42(t-RD}RTVd&0M0et7z zF`XICf90ScM}4Ou-)Jlp9Bp1)RlCiy1M53COdQplBSiT1Dzk*)muoJ(pNi?5i?a`c zE$djI+W2J^;36xa^h92?7p)HjxY@KEZT`{|))+enaqd zg`h4T00TDa=SeVz*d~B}fqW7L8#RX)f@ICWg|= zN0+OSmYu7=KC8at){|Th2s11r?geW_UN}peB0Wt5BSIcqlyJQ&VMG4sMiox^of6q3 zYd#8XHKYPrF3I-NPuWQKDB+SRS*68!Xyl=_cEW3xk55R{Aj*8Q%HUj&*|QD`lSyEF zn25Q~(k?}qD z#Q2SrUdx#;)2Az}Kh@8$!#Tvs9G6X{&ksZF+1JS!_rV{+lnbfhl}`mQT6328EkEd= zAkpXSB=?~{F|e?G;3NsnV;`k(YT58o1t5dNMkVi-oe#u<7w+m=YS zH0{pqIAtZ`V=c{I`lE_6upPEi9wq_Ij81C5*RuG}49yChPMGY9%@MLc5tWllD~a-8 z`FQe4585J`A_~^H(CkxjO1Ltq3)5$dsui&h6X0I~Tyz{^8cR1Op^{7|R=f~m6(r6z zAj;^ivty5>grD%^O6xY2NI{@aYTbKoFE1Sv{J^-M>;8c^%ESRW0PzQ)h0n|-_b`2M z&j~b-Dwv)-{CfJf6kn-(Xd!g|S;4RpE9%g=4HrPmUTo#>Y^L)jX#K8s9Hcx zrxT4zPpqdIG`MiEk4kmJ^rDs{c6(`1-a7jC*QIeNO}|eaYa9ZHm>CXNt>1SP-UA_^ zV+%f$Nh6%ol)0gEw#KDXg2@oAdy@>39|4BXx<0}}8}FHrh`6LTN!yLz(WUOWA3V1J zgZ*ZDy~@(fpxTOoF{g3<{d!k;RhLXn0~n%Ru7?C9g!WRY-*_ugAB2LcsW*x=x z{RTP-tRLwFU@KV{N#~JZQM8EU{XqgyeZ-9@2~w^~-ch{vlm{sXOWZ*<9|5TUs5Ef5 zNHu|qFL98^gB=Q5?_kmMqzQb9c^Cj)ySVcWVErUNZwHnwsCSnVKg$XT;l+)-R@_iu zy90sd2=icV?mphUeVB)Eum6HQ`e;jirN1Q1PS)y8V(72}+Jt8s)04$(LH!wp+ZzS9 z00t1Z{6a@?UyuI8?CCUy03wo7D3q8>j1Dp_ZE>V0H`DMG>XoLyAFQmKvf(wokUDQ& zi<+N|VH)Z@)hJ^QNf*mtZzU19`IAgSc(1`@S8TsOY z(9lZv5S;Lec4$|1oo9BL%~*?GL#;jr7k+Bh&MyIULhfcS3!R$KNKj=K=j@?5JROlFrfIRCLl77e)Wr480fdF_#i1cWUqB&Q zY0_%-B;*$nqCO(pDH7r zB;u^g<u+=|1+b8f#xaeWbG82whh92EUd%6k5`k z;zhk(RTu@F!)JUiSWD2CR3pkoq~}CR6IpjEKrh^Vtb1#*`CnfdsP!j^h)z)Rn;c;O zWhEo8MOzseq3ieGds-&i-&Xgyt1Fk=lxX2=@Hv$#KU(_zkNC}`{nw#wgTOF4W(sw- zFKTOKM*YJ)kDmfoUd2DFoMnXgjSI-*F$-91m9e0qlllO`iI0^w6d!+&$T!fV#rwaM zT%t2*TeCB^TZx#_3$4ZN-5>mz1MwX+5(rb@+U@#o?Pd7of6$addK$$^-UR2z2COap zS|I5QT8`pt=%udr&s69brWnxS!&-ouA;2yc{S)s~=C<9H5<2!+&8g=75}V^znNo>| zvTD8QweJOSGou%t98iKP&nYItyFEaJ-Luu>r@# zjZ4HIrqTPwo>&Q;C5k|fr`Y;Uj`EbiSRs3W%JY*hj+uEU%RTIoza!`ux23)$-5jjO z)ZL6>__R$z&?LYhRHS@Qc_d4Mw_L_7>0{~!9}y1uniG@cz{P)tJ;16#03?yIrI&W( z$#)dN8*&Rkiz~{_*m%bmGuPXiQKa&wxf9_lqpw}d;jB#}D&1e-HCta;5gy3XIW64G z`f$y(QmEgaMnU`V$2juH?b8I+hs%cXU+i8gAe~!G=K>WDZ_f$5 zUM1%_YT8V{vS*70v*>_I^BDs0%Ivd#LG%_8N|=3)B6a6Ut&I5m%wN}reqg3KDpA%S zRaPjat-3J#;^w3Q<1G|s@8Tz6-`HIbfU+v-P@}hCf9&JC zEukQk?~FsuW_-#TlEmP(53Y9X3Rv`VU`bjiKSsBQH4hjEj_H>C zX~^jo+G;m{$=;pJ9bw7vDkU~Isd57RM+&9)ZMguVY54R-wU_?jjQz-uj|rm z%)SdYcBDf)qLGLVkM9oU@In$>WniyEFF+9?RaG$7Ay0-1fq}eZHNHv2Ldh^q(l&w) z$AvVf!=B@bWj8f4aTi03rOD_g`y)DIl=%~AJGX}j>0R~ayn*mbsK% zd~fVUQ8J6H@|690Vysq4LH+UWR`050b0_TKV>6OA%aTqCCdY-GrK~)QYvmI#S2=S)DS4E4CBZVEe-36 zZ`Y;_xGByP)QQ*$lj%%cAYBU~rw21?Ya0ACl`b9>ly;vjL&nZq=U`c*R!`6okAlps zP9;opawl>{%DRRjY1h(`un*_hnMDf#k%O&ijx6JlRM2ec{l zGQ;hEMhO4wXRX>>E|K}C2pWq!~aTcL=Us$sbF<~yq0W|ay;@8 zzj;Av{S4>t6s`k2=&lJQWi?(dsy7Y#kun6dV|jho*TM4tt`IyWOPRSxlYqUEeaUCCA${= zHQrv1rH(Qxv@|Q$8899CyOON5%s@v<%GhE{7(!X_IRrpZ&VC1Ry(O1gs~j{Sly|%S zTF`K5w4#N|6=gX|iPC$UV1t9oKGk}@&Ljyzmf)c8%Wg%Ri&oJ4%ysQp#r_7aX;`Ez z^3OnWecKAB8t?ZDLN14ne{mK(w9Ge|B;ccX2S#~&^_GUt{Ob}&{8@igs?aBLpSeM( zRw7jLyd>f(j+gMy@4~V+I`ucL#msMbr4_MfGanYU)WdM;rqdAAARyUPeClNRify@E zDuV{yONVz3<_#AOGg`m4^ECbOpjhk2KIw9ETK+z7+TxY@F2NzFDX!18xL=Hndz}kL z%22WP6tb@4C>staHK+2$8QXNX3~h$it}o8aln`Y92bGL@-15W$g~&3#0Qs6<+>BZYr9Thup!Qk9k4KI^G3&&Gxp6Y;NxyA1*-UeZv*JQk=Yf{DJ>_1)F*tz! zs9F4ZNFjR9uigm<=qSMUg8=()KvC!|4Z^EHGTFWM&HCTD%Qz1r9$CGcUmhXw*cI8r zj2^l#?Xgdy-ADJ&R}`Eo$~m_MYo2= z-8xIIzmP|+PDB>?8lF~mfwOPS=&_^>$(@H__?@Snf)_mkP=`3pF^lwHAdet67Jt^t z)$2zsmVoU9;75DIh(Z}?_7ifX|LD~5x}9gRs_rpg9$G4KdI0VZsLq}=uc?)if1Z>@ z4*jT_=DZC={rUsDHs(B<@cQ}Z?@VtELtKI#h zYu*uG+~KkNuJ^e2qIY&cLtK3J#(ZDoMHeHIg=vqo38kAt=m`dI zraWKSr0aWhX!{4MJJk?BRL%Ef(<6mfpa-iQb+O$JbHV117bFyLq^oQ7g$30D20iZ{ zEcp_qn}Xz&-=_M{QsTp$Hh~=lUF)w2RHsyv`iOXyNnxiUMXAtt$5vKnI)z#-l30NJ zTI;Jhv2Oo$P#w>uoDebP)lE!pR)~nf* z60{gbMD_IJBc$e}K&rD-jixDvWs^X2-)Pr>2a(Co*rypK7T$-%yO8l!C zWaV8JHE%D$mL|RRR6{Yav%a6-(rl!-q}F`7mO#5Pl`gMQ81rcFGIdj5?+Nf^Z_${?x0Pg4#>S}hPnEZx6EG^uu<^pUi?cRm7b@(?vr%JuaZIY6 z(3{977fhCGTb+JVh(Vs_tF#fLkT=TA;c^9=3=7W0B0AJKfyRT824waz#8l&s+{HF3RjE z%t1=&wTaX_IF!IO-jWN%03_e(5`OS8UZ}9D7U{Q`wRozhv;|b-;)BBd0f`q%qAW7F zZ=M12$qYP?E(UWh*-)ARBdhBi9FGB@Hcm#Z|}qIbvMXnx5hEuY+V=?(gnxn?aS*|&ygL4b7nn{hIX zWc%T{^;m9N_7r09?RO*kREqkeh1iAG2v=_sxX0Cy80f(9P;=m1<*(@zD9L5ply1f^ zz@undhozMGuZ$lv+<2y9GTa57yM>y3bQll6tk7B(`L2QFxjx{Xg7C>o3^_U}dnev2 zvEUY&iL_B9Kx9<7FY#4zC z${a#&eP03rG9XFk3G(%tZvh$o3fdud>X8Td~>}0H-)P)|}5)@N9AHoYbeqb0o;$F#a_965vZ9OOdCfh8IU<1^&$X zS%LTTY*Z$IQWA@cEJqw805PIoOGK|+hcU*_Z^Ig#= za+`bG_xMQ&;#JV-8u^mN?el-@w)FH7eC2#P?^g3W+LERv`*tofT#YJCDnLC6!oGiO z^Dpf8zR0t}i8!fb?lcwdp}A!Q8^@F8oD3X(I(4ec81UjG*(jVA;M9U6go`R(ff5A) zNx@KI2FAbfiYKMOO=Pb8dJ<79ux>B;q|!&3!OU03S8y46!QSK4q7vPCxEAY##~*Q| z5A)J86B61_QLuGYPyQ-*4{f(_OIYfat<4HhOXeUXD+Pyr`F5Qmo05AIHM%0v1QQE7 zy|VUN9-vtg9*V`HnRY$Bs#5IJQEeu+`%W<9N!U&}Mo(a z>B9D(u~j}k{n%a7ERM2HLWDC}7p50V^HH5Fz^)jzxh}cr${G@nt08w>ac~oYSMn3& zl$J2uKZ7QS$4*u2)I25FmEk2&Oi&xcYQv#ZlC~CgxZi*Ik8Ge60$a1*QE|6Vc*4F(HF0~ zL25gFXRyCO$qFUz;aQWNr{;GhUKL0(9L*(u8Y;Ma)Mfni4q>4H?ov)ga31!0Asl*=6c#n420Rlyro!hpXx87+dh9&Sh-as3 z06-ElwmL6Iq+R>?M-LqU>Eub%InJ?VY@+>6FYU}gZcFN;vG%^Ry!dfh^C(e_TSP4v#Tj4zX*0gpIlE4{I7 zvj0bf&Of5VdRE1c8%eP|F$qG9cpHkxN}qMNUioz966k6!gunAEzk}F1f{D0-^sE3Z z`SXbcdbsA#^zzUt*hHE#w3bQ<{=yzYkjA++4h$pR)JXZOEOuTT#8=Mt@(CX_$gj$= zU}TpQak%?_&{sO<7Z|LoR-?$`QkNa$7_JtT>3Ve$$G5xO3OtT5I?<7e8?U&S8slI| zkkZF{$USkCa%hy#e}5;)E_;NsqJ&7~dC^8q%vs0ysonqJrAB)ACNLN^|y_ z=rbxI?k@kLoq3R}r9qe;b7T_k*J*Q2fw9m*={ox_YRz2_9nNDBx1-H;9(Z1#5m;KD z{8Z5?%u!=!NEX8!eGj7`YY?JthJ~nzw%SGjTYe*$^(hD#*e=AlYexuN04_>rei6eP z!HH~+PT@Hvv(!#;ZwUKKSQpQ&___?WV%bk=&ysvwPv>_}P`Xn%ra4R*Tl)%`uB=Kj z!&vt9*Lqb9mF)w@Qk=C^d-WK=&ep)SiO~h|sJ48FRyTowdQjS;Z-6mq&t$E~TNyuH zKLJgPb#^CQl&nymx|kJssWFLw+ExxOur0Y1`KU~n2vsG5=k8I!srdy zO7+mwhutGn33fQXsJFoMm@Q*ktw-&M>ilhPk4|(9E9Z=KmCHx<@`!!)G*bq763^xL zP1Vhg8l^gfA; zUwE@lzQv&TU~nosFam?F20{QtvswS9TPj)9XF56sI2EO)aBZpK=M0?uF>SAW6CoM0 zf#NY;Sqc3$qK(ZC7KW{mam>B-7O9QB^SzN{(Rg(gZ*tf&yFc^PZ?7zKAZbElEA1K$ z&ejq6B2-W}LAU+Hj|pe+W=}aVv$H3Um9i{I7IPW63iMOvi1mG9Lpl z#^Up54w`d-5Aw~Cs$>4ty1X3m*3*NRu*;Le&G@0317KMwFJWUQrOVQzp{V)yY8F8F z`ei*O<>MD!?pY}Yr38C3@e1%GU$<$+rjlFR#}Ra;$$0S8Ly{D1j;M^8imRdl_ad3Y zmEPH3OP_Iw-HZB$)X8aU@rau!L!X1?%6!pPBEvAlu#Acs1WppHb*qF#$aav@&AY^s zIY%ql>;6jVDQU!k2AspEi+i5J)keG<0aUPVML;dxpudRm|0fgT|1{X`f1lp7{Iewf zOUxT9%m4f`h&42NJZa_zB``j^6MfvAL!jSHjK@CYd!ZHRK;s@~R=HKo3dl2VX+Vw} z5tTIXO)=^F%?roKn3l`d*$@?LL&#i7a8(OglyzPmtDx4sLj>QlCT6o(P<4K8!(?U) z*mdQ~Qo24U;P`0$39YUC;xxg=YC0Jz&F@!LFpilg6nmn}nH0Ld3%h(4+Yvr$j#B1y zI{GFm&S1G0*ei)C8{Z*rxrRb~nUG=tqA6f@XYFH+qiO(D0>YAaql_aTy@3>DI(jeu zmYOEuVDscNA^e0ZD|%|939dtu1*Kv@Zy{?#k65-CCL!0D$lh-71`*4lFOb?@l@QlY>G&Z4LR zD{}8oL+b$jRHt%hIV$3AmxOELqbA#qu3@Wcw(rQR06OTclZC;uGsmW0sqc1hH0B5S zRTVrWQq90YLaPqO?l6K!Ak?KzgT{DnZ9-IPR(nyh^6R!)(zW?e!qNAczKqyRrv2;w z1sAM`rMw8iiB6fwdcV1_jqe2AT01D;tI}Q7#D@Y^bXQhT-!&?m^&zFA>+)xXt0)?aQ{?WnI{d0+8y8VW^Tc}RZz=93% z9)A+ZZM%R_AK4Bj=b|FjCO#3}zq7lx_H@DCjHP@7PA&Ew1eUarX{Ex>6LIco?8e=qj1w*S!JS(?jC|$0d zBvWYfnE6n{57LSmB_pf)=}6)Oc`Yfg8N1{mT%z1&C9M+M#@6n_g3=SPPh5cVb~><+ z$u-sdUp0_I3hbb}_#>8k0--eRw=N71ea9;X{qRgMa1VZ@4thER_JKi+7FE<`v#mlx z6=5vTqNy;Ym}N?0|_a24|P? zx$VDBOW#gHJ2sIFAo2tB0nGPj>>+CD`CQgoo4P!ldA7S^b!=YwV6$0!4d3W)gFbKfAcfEx+)kP$LABaNVAj3cc~j0AGDMKd?f#Ie&!vdrF^^i-X_4jNurI< z#X(fhUc^xT4!6-Gqao?9dzGHLyL(14VC+o(mVof32F=15>Bd#3Itx$@uTdXObHaFw z8_^snT6xA)dB|dw>X>G(4m$Is#Z!+rj6L;S<3>y{iI$yT4+m0s^&xCzA4aWo=tXj^ z78v&&wguSbQ_){c^INq1&ePlpEY|=^(%1Z2uH^}LrbD&g%qv9>y@K63GQ;}IVEF;p znm}rsPWsN(Nfebqi(_>FXnd5_(4la;FJ&5}@q77H(@#VYacFkWu6+z3%=CshoTdHv z1$tXid=+u2)|`TL<*%gWU2`MBU_ZH)$;wSyEYsT3q=T68ExBtN=pp)5PCCRTRCN;; z8(?Zc@m|>JZ}CTWrdqUR?IH9V-kp<1COY_cAjyY*CSL4}ClxvMO!o=h>AWNo{f9Zs zVV{K|oQ1f< zAKV&Kd!6W~cI-6zV)2J+$=t11;p)rEPQ5pkVlOJ2JtU@A>8POfaq!qOVVt&?`#1ldFk^RGBZZ4! z*~1Fw9}TJWW)iAP9v84qGAg*au58kx_Yg+{c`FH1`aM%}m$5=tY;6au?y=i$!mcdV zlKuj(i2>CWd#EM&*342az9!d~t#PW*8yv$A5-LY6b6pu9UB}SYlRGOVx`;gYkiZTY z9YC||ER+-6BX~{nedZd%EHGv{7|6gyEuhhRR5;*xULPDxp15GPOJk!TzU`f@9J<(k zB=*V9g43s=exqT&K&PmLK{0-UXZ~neD^>N)z}kh`@12-VSwvo}ND>Go>p3sk&Zcs| zoO0FQuEPu8_C}!eI)RR$!}8PEL)vIlWI2Q^q3@w;kdne`Kstx2RZ1|HDx_L54XiDF zv%icQ_ zXPj5+aCgTh>PuvaMaRHt@SxRvPFR7~bVOC>jpp~PI4(mmPyvWE7PM$gWY&$5wB18Z z4^DY}-@0bocV2w__s(6-Fs7?g8mD#Up-i*MG*&^?k`MGUm>jQXqqdPEaA|QwV}bNf zLdKXu0tz!wYg^GH+Ho8{Rs6gvH`qvll+>~dr#vd|DZSh%S7S*;7+8SfX7fqJA+iv# z{RGG1#S|Trn^$r)+snYUqH*KevYpSwq0b23CCUsO_QEmv>3Vwf_13!*OVY_gR2?b( z%IMQI1K8QJ7d$J1>W>5hVJ)YUyheCHHsfZRh*e(WG&+{F{c+ke`b~29m=x&50QrPc z%u8?922CuO>_P^Fp>3yN`kCwXQoohAzZ!h#`A7K+hWh75`pd5sEm3M_oMHHY}YCUB8eSXNPuzysg?J;qIb{BhHVARVfRd zRF%HR^o9?4E!{oJp4SMSEl9#b&Vs6B-w+Bzab4Y9y8-OB~J{SDJ(utzwDPJOeP5t&@5IMZd+v`p)*iqOScT zS$z-vI_Y*OUyfowj-a_^^DZlRJ6)0nRo! z&7qqxU8Q-VL%teLHZCFdzrs=&fpMwo=1d_gRm08;b+@EFK20@H*i z<+G+98RQ77aH*&s1mL|FvS}PPO!v*Kt2x3jZYm-k%8Wb;xSCeHf0jLIsjb)``_334 zmmN7)rA60|QOot-#r`PKck4+%NgWa1ZShrf{>Ft+hVCCIyx2M&NqU_(jk3d(ZX|^HrUp#zGmI~>I%io zUp;OH#Q6Ng{yTOabI+J*BCV@qW?7u#dJg^Ld%>{e2jo~WLW z<&jb5Is3s+QZ6r#L>+`yX7D~ac<~BLpOK0pS|vLJM}<7NT->4|qRG5Q4|rut1=>;d zC0L=fRh0Iv6o#g0h?aq;YBUO87aVX8OEpn}P|@oI4L3AG-XJHHI^GcNla}o2znkP% zc@rXLAoEVKxKI=@!MK$K-dZErm#2D$|Fxs#Yu-#y$6^U~%7CLxU;4X-FcR>mG}$=7 z1=VzofPyY9k^&90qvK2xY7~ll$ILYKqazkC&(OXatOR$(5u{SNWQpPz=J{z_ZNx7S zVHq^zs4j{~jDc%G2PkNxav#nb_@@As)=r{VHx(P-`jqg-Z3Jl36yu8DQs#~#4qorQDo)M@tv5O_U)s>m{BU$n+-;Xg$TOVP5s}g_5Z6WdjFFx1FZP0zbJNkd_~CLt3=$L z#gv>4oK5h#x#`4|81R{x{W(JYhm%LK}A%yhut5Y?!W zsGsvu6y1hrOu#28UX@6!gPaeVxF)be>38GdPBsbB7zhlEtySZMYO=vHzHgLiYB&DV z@={n-aWTgg35RGmA{MEHf*^W1pqHw6$7i=e3!tT?Sh)dj05!1_B{V`xm$?j8UR``V zMLr%zbM>@%uuUe4d)qkXH%GSR3-=t!1-iLONN&Z-%9mh7>2l!ON(6{ZDnE89p`^b7 zTTLclJ0mzDAG;Jj137B~vw%Cyt*E5%GA=3cj=h6U}>Q{z4=C#LP0l zbN-dF2h$kUmudB0F>+1DG>3eAnqW{U%hMqhyZ|Uu9-f75Z60i3-0;at!b3}pb(iwH z7uYjD`z$LaB0|{ixy6-6I7F8m3-VI?Tr5a6Xz`< z*H*N2z5Eu+pV-MyQ@QCn52-yRsE0yR^jE^O`qkRkrGf!0TLQ*<_wSAJkxNofpM~j`YhZ)7%JAJMgvq>oV9Rs7bYcyZvKhyNAcN% zu}cnfKqjI*=#~43NhWYGOKzsDH&k*+{3>;9arBOf`2pK@9q%Oa%_`DB%YOGUvj+RM ziD<_>)patwWLug?DLR`8hCP9#i8KX)1R z+-J#I44^^Tsf&7eVkIori3f#sV=U^SzI?lkH2Si$JfDAtrTZX7V)5D4I{v4^5evB? zWh&bI|9txkWEF6Lx?a;5Y-G%T259K>X-VcykJR#>3C{Qmz(3GD zU*|E<<9u}ya3&v*p0c4466MTSY2ixuHX9?*g=4Idtla|xj3SdGLz#E?_>Rj&dej`(x5Si8YdsEC zlxT6JdmJH8B_LWw4T76iDV0}|4V0Cggxy5Wvgg%8kM=NsN|PL&UmmaWH4738nR#Tp zX?{J5xa^!bzC8VClx-s3I6$%nQrvu`61p+a9u3kry`?Oju9F2ud>0n!Rp0^Fe z7IQ7E3Awu9?mZN;gJ2h7PuYntIB_yVvp z<*kMN@P(Vd2!RA&A?&IyR|Lx-L~%|_K>#qikZ8kwC<%^l4vhq&eoi-t2k@e@!01w- zJ5QxvhqQnKQ`>eWu2vJ2A>LwG$81ZhYi}wyly^v0@^8Cb57#HAC!@_GHQ|~>K3aSL zVH77Q%Kr6HBago1qu5J+Y~Z&i{$!=CA8txdMX0=0Vs}W->JRIoQq&PEf}--i&_od| z#6Fogth=}Vc;Ox2AYA(O=5D&flwNwh7USI$86_Fa5_ND!Cw79_LD&{?&&NvXbV5kk zK;=iCjV!(H%l}e_5fimuRR1+jWZ}8~L&-YhRxjL6Sx_Vx=!c~H(GwD@bCE&ox;1;7 zMuUTOO-|5AFvY%9`Vt=b5tYHWI}T+YhJ3xsv+cW-pd-g3-)LSFlW#Z)+k}Yizq*gQ zI8TU`2}e4oj82x!Z)UIyaJN!{AO)>Z`7s!oP%bk4c6muMtxLUPPZ${ncTpxq`>?En zeKyu{EP^_Z8g8@|z*(p^WnbgnnlMa`{Vf&ZgWuwWUHPk0%Wcu;aU5lW#0*VwUQAtw|3xw^zCX_j7Av zA$z{vw{qn_R-{PKT(+wbnO!R9V5LxOljebX5~*2nVGK75JRSqy9z{^oNSyotd)|#G zh2eOz%g6I6ta8cA&Q%7LgT!);s76TMyJlu;b7hoHXVfNJAg!s;ik}rjFCnqGcctZg zcKXVjQB$)z$^!b_kVNL?PgZ&`&^uQp4X`dTH^71tKP^UwU)wOV6&1j3L_9V{p27Dl=}`ruC3ZRqr=#M|o;p@7Rh2HXV>2vd9y#?icFx(sveE zI_NyRu7*;M{x~G$N1(&_h^R_0$NItGL^d5dujN8zlXl3a6zf8BU3nxGW+0rPU_Ia! z77`>y?*6Ptdza0+LUEAo%02S;W}lZ~n}>l3H%TE}0<4Z2ak$GGr-%AsL=U+((wl&eSL3xQsVYXd|83n!?O?SDgw{l3N zuHNbtEtz_mrJ2L5DZeExzc5sb$M0i#r_!TC8yh`%FxHqs`3j%agz5nLtR-%8ta&5V zT}h@Keuw~i=JUGkaNV*-)Ox^2W$x)->5{6u}f_K6T zqMtt?4)S5KtAp?D=!#6#KY36j;F>`9@n|PeU8aL`X4QWFHKXoKsJKNeJb4*Jn-K^E zdwqNbBh^|V@JRf_k7ovxX=rUHJw}K&+Egph;hReb4q|p&y)QuT4{Bp?Ed*bKn(pQk zft$SV0Tp6Pg}%cst`J)M>o|`6a;awEvg4=6wD0Tw+8 z7VK6G5d!aS8xs71NYTCGpnl|6sfQqsJQ){^Zi7W%9#Pmo!GhXT!~U?z0bC9YR=ZU% zWf@yP-#JkF#=m-JH6^S->Cd~YkRJW?%Cgj-L+A=^nk*K$(!XiDSScg+d*X=Wzxd9R zaA5NC<%nidMnV+76zOYLOg-ePolbL`9q>!&b>q}Xty)XYGD+Ak03GymFhWF{N3Y&h zs1D}edFdrWs&HrDaj*K45Ww3ovbYgYPtYUZ(kglHx$jDOD;y_B)ALcR82xN?(v(#c zX;^$*)Lro#Y@N2nXw`hOO2X)abl$Zso9j%5d9P5Ici}hbupOWLunK#G;XMRz=&6{0 z`)?`%zu>GpKFwr z8Gldz2N|FU`8#3$o%}D8{O^SQck;h_?*IS$_H4g(f&YEe|8I2xRu-23gAQoCR}gab z8rAML(3{zi0I9c(zCpYaz!Ttz0J z$2@7jxwNy8zc8AmVzB3sb=yyCi-5ajo5* znrI8HN#9-D19HW*WQ3Myyi$4b#~!D<^CE0s%6BrvU134@0hucA`=Ee*w~vE*?I>4; z4^D8Ric5I|k@(O!&gDFei$O;7F%TpzHphJ(6Q(y*!_9<0vJXIYwfnA5{(V_=>3iUN zgpq&m zc3VXBPl`1F#Tu9EW(t_;LA~S$(u`6OX`2`QSgVuC&-hNFFylXC9BQGon9f4J#%;aQ z9i=oo71t;kR^z8B6MYCMu3!eQ`005&m1KdQPh5kE(2aJCGrC#E#v8AnQ=|L$-$3_4KVLyI@*ke&HD>y8|bdV`8`=jmdzErb?8JLW|prT zpV&}&GHlMlC8XFRd71NOv6ntTbei{V6Yaj&*7WY|JmFc?jlgg(v~(v+#3-UA`?Q7J zzcx~P(Ak;x15|$Xw%Mz0I%*QSTN1TxJeGyGklE&1R4=kSOTJX~&xyBuyc*ojhFkPn z{1P1GGNNgi*3BZD*l(RDgAgjFZ=aMcD3f&n`?>jNhG6odb;sbi#1`>bFC!{~uJ82->NVZBMyM{Z!I;M* zWS~RdBRjYbN0Wmt*~Y@jZu70Uvg}k&wl}6(V%=l2b3d@&oWJ-VJp40f2lqK{`f{$9 zH|Ke*4JCe{9b@#7vWqtWM!zd8jscctR^SiFb-ev(_sQ|lw4(_g z_X)Gg(9KD=A8paNX%b4_A?S(%lrZUsN%sg2n5gs}NKG9(OOd_m^{>a!F)h^zG%9EL z*ZQ5AuFH+&)+U%@0Xv7Cj!%__x0d^f#h~&BBqJ--uM|@m@Z&o81eCm{fsTE3eXt7! zt_)~)ghEk!zdbo)Rb^-KLG9y|=SMAQVfX&UKWi_7gA|6Z>y@zg?PfS@t65o`x>emd zXPK>hloxR!{uRUD<8XxIC!&e+fZvBwF+01O$L7Uv*DO{#$$LeDt6^>5g%eH3r)gSKVxE?yb6r<(39(6O9?OQL{-C_J&$+zk?OWw`pojp2|PnlfQZZ+ct zbtX5rDRd@FN|N+=51WHVur&)&N$v(lja|{kY9N$Z1z;0FbK0R~B|FQq29&@}zY(#} zUU9&X$Z1hJ@epSMS$xpA^?P&u$1UV#XWQyM>h~EIJ(g9$CBDIUJ}Y-g>kP|=K}<+9 za@bC7ybzRO@i;b)+N;-3IHRy7dB=g!C0)Hp{RfzjWsnRItuLpj$d@=jN0*?_HW@rR zfm)+Gl0b(l{H2+kER`|l*AP{J6%Y+_4-?J1wMMMnWW0VCH$c#|79ss$*lkT~VZsF? z;ZK7ptOIdpG^FZ0IYU%NVYNfizZG!mH<$>PRP%HzE$b=mq1xs&fXP=jUSX;mcW6O= z$wST9xI0)~_CxL4&>?3^hqi*oNYOEoD*;!jJ2d6$O{c3|`MpdUb5D*ehd>Yxl<-|| z=x%0z4FpIva9O?Gb~I3Y;X*=oUL~WEW;)exZh@N7bSsZ{sl>%4?@qq1P|@rr^Laif z%Vwbz)-U~88O0%0*Q^`UnaO%d7+axVKK~GnY}<$q-u3u*Zsvku!}jxz*j=N{c*0wJ zJSIG_0`p400m45TA20J}PTmjuV6XFTzE-}MzdUBeEI4Gk#(-Zf_j+X8k_su5Wn(g4DRGt}XHKUO}IZM52EcLg9b za?Z0pM%+xuZrGX<);KV`;zrFt8N8H}f%LP10QBmWb`pY7{Uz)Bgf_XqHZBq~A@Dru z-Pxgzd(SS2ipyS+<b)l;(iSz4-ow&l`spK#5jc>{elF2C>i86 zs`f`+M2Pi5=sMv%$uk04M5AQP(MyT>s#{$K+UqE|-NKwuWLdsYXScs)wTCmzPUNII99f2@Lse9( zZf=(A$)MlJ$;aGbh|sLoCK5Q3zWQ}F@SR~;)`5tWjIAbQuwn7#u@bOW>{{olW!SiEXsO4Pv&YnCY=T+3q$(z*0Nu4t8xpr+abV5!Wwe}E-x zblEbBee?6ZE)yIMmHiN@CtC{DgQ5tW|$P z`rbsJ?pPNcRWUO(olWA}W&*2>cOKBTrfcGw{B2jcjW6b>)2;optTew1B!x`YxjEbR zM-ATq&>`#bk4bwHiBa?eWOXJ9DyxDrVv@Iue*TVZ?|N^mQCW2?sH>b3H`{k$o_)(( zyV5g@WZj7>{>BL0oc?eb(f+U=9x!jzjB5lR04ms1tZafw#AS>>`372-XeIz2`ZJ=Cy-IL>Bf4f%Q4?EdE>}$Iu=sHV?754$Y zn^5c*g>$t_s_35bXrrr?5Q1$768@!DY9RX#LRzRQy@o6aITfyAU3$r}##!BP<2hd1 z?TSDeM#CX{oV$D<7Lqg-8#V}tF*l6s2c6v~Q&=c#E$`7P)nGinl9G}tEg^!Z?hXa1 zFP2k#QzW+o>GTwLf`VfoO-Yp4DEx@qoP=ZbpXZ=4}J9I>w+s~klL^+c%j>mGIRdJb5pS5vhX5t5(t=Q9rkIu`O?}>FwIA!(1UVH3Of>alep-JoUGVuBRkFKJv3#0)34l@xzE9$X=Rj zEG&&uLLxY)qer>4AkSF&oWU?WN@C{K>n}#qKQ*}>O8e;=bx_1ofpQlvy}-)|Cx#SB zT77~dF}jAin^ejP`}r@xhyp?n`L!@vZsCv+3MydZ$V$&eq6BSOR%GCrRdq`1%fASlaYq6L|5y8q}&0Wg$e1GUz6kwU-0V2U~g5n`VO$- zQ#Oy?fu3i!DZ*``u-@1CtzgBpg84GbLXa<+HU-S^Hm3$64w6)|>`^4L<9|_Z)$!mI zoj(uNeJDvwIU_lGK+^j%-wSD^Wr4nvq0#K=kBlS3>46B1&Jr470jP}3J-1c%muW(V{(gX0yEz-<8KE0Px=|`irD!}uiUwRryggWvL#F(GQa*)U^ZPdh z$u9(4_DY0a3YCpydR3<-Bb{z~tZCW;#4v2`RU*2x*TZ_x5r|`I^-MX9BTN5>r<}nn z=$?WflB8(4Wq_i4j>pp$8M{z=h==McW;%xz+ZzK|uAXsXhM_O|c>b~=jz!2?1*N^v zrp*U&)W`1oqmn7Vyll-Fk9js(05lp}Y^OQvPH!hc9u>z48K{2x?ikPWAWCX*tJy1q z*JS;zC4hDfDaS4Nzmx3$SA+8YC(V2IUy=SlMB}k>F#jJSz5e~}k+F5?sx2G**3&1& zi(VW~YhTXMHaK-<@&ii2W&zyI5`WE9mKuTH*yU%|EPl zn;_jaSJEo71k<1`*$t_x)&|$!zrA|LLJrhep>`$=~HxubI zIZe|3Qs^oWMw;}3QmNNTc0)+C-LPU%&(&!9&h#t4R!g)g64JGK-_-_2b}E>SB{c`B z0AH`)m<~41`xZyk!ZkAHY|dn1=mZWu$&SON+EM36#8Ik=G@C@Z(blM{_Qt~a?YDr@ zO)Wh8QW4x157k(*L8H%Z>tP zpB`2=2UY*gwO_MJ>w!w+KNw4teQ2JyJdyFyAkGRc`SzrNB?s5yJ z-^`kE1b1Nm(}=1Y3l*STIZ#7l5XMmO~bv-4nWa64w*X^Ui{}uwXJRnw_M7 zT-~Z-4WO_pweCg6|6#IIGcC zCL8->5%f)v#9uD7yFXGUwP0K1!HD#C^_O6LFk>#nP-P}Ds}|yf)(#9-4ymg)v3)}_ zt^o--3I@*+LLi=7%fq4;i2G%O(k7_1YDX~A+YJ>n!r%!IxiK5&WSVYzta2fOX~8kU zAY1_uIATTNjiR76N4vyElgG!Jx3%?#8R%VqI1Mc+R~7t>)P;>zWzkU-Tg4`Eg3rs7 zlVhUSr|?Ps4EB+CsiAFeuq$GPck?C7rCCIo9oTYv9hHZ98XE(-DWFtjs~Q{MmUwc^ z(Nw8TcXVjLeov!XTL_8W*q6RmS>xLiaFKLi=mPr4i10j0m&=B&75fT5D>&ja@Au=) z#JM48QWN!*e#yoF;ITard!hSbd%n$>$D#oq^*%J`RsxrwMAWXNvhm&FG;CmA2;4wu z?|>> zNJUdHW-ZL`PR`>UMtG*wcj$=G=`Z{|e==iP0A=W5vx%Z2kdOJ`*2Y?#RqgUn$c>M#U;sW7#)XVHS>444ktc3~H;@7Kw_OuRp zJrNrYA3h{*#y|#5ljeGP_zK_8Y5u2 zD^*Bsea2P(AGQJj#xI6}L{pCv(uM!M>W;*sMyX>(ApgZ#WhyOII%ITjr4T{==akO= z+F@a|miC>Ih|!D;XQI|$#>T5l+DYqLtCh)G7|L^+>PWk2h5NHkKYVA!LVO zi|Gq$C1ACx_;wddGMxTnP9GK@b|wN46)>_O#Tr64-*nc+?~!p-5_^K+NE2EvCBlIM zUwcu<2&Pirh_OedZ=!Y74sqwCx`=Yc-xHS(Y9YIT;c{)ulqBqncIG{ZZiL<}y4R=c zn@u~b2L2&EtP$QlTS2+d`3T-l&u#c&joajWoN^2akGT3p4R@Z8y?&_uCw8}QVWD0^ zlC@DbY0cHJ=J{=W+ENE0*Qm3Xi6g0734)z`lU_|Y!}F0~TX-|iNB2=NY%I7x2y&&x z#pL_<9sN6_>zAvk$6sGJD@~7(8pDw=qN0F_NO|OxzQ{-pWMu5_efj=XE2w8F9N7Wv zPK7k>&DEj4mpDm*E)G5#y(L{ci)CghQsM`YY1N|F&P2&0t?c_b@^Pw06t6Tz<@O6) z8&YYR$ToewQvm*a1I&RSezD+(FYfA~c`S?>lv3AC3FxoMcSs zj7ITYg>Kbta`^S@y_+Z5cg9)9M;U%Ra@BKLoJ-;)M&TAG)@QQ?*v|oYU!5(>gbp;P zfJlrtURm5^&0j8l0S)0vd)HAy9)^oE0NZBgG<-? z60OK)qcr=)FkmI)_w7~BPY$*noq8sk-kkTfot$_~{!~n8tR0+R)%gjCOgl>h%El3Zzd14`x?gSu$MirR&s}OL{fK?SRg;UvLc>SW z(#vi2rdGe}z3PMSsve~|BBNm49o#KDH>m56Ed-pp_s~0vfym zFPZzJbB3Alk9V#V5M0euTxewYIyfz+P^butYJ!xZ1-H+T2?&2RLe~rBWD)q_a|HWz z3Z>T^N4fdQaV6C(+q`3K21>xPJ=T#;ZO+IUAXK1}$*>{l5DY+;8x?0QH+%dx25I^> z_~7vj`@)9^^V&G$mi)hWB{1W44=MM9xV)dO6lCw?Aww*FqGx`l45B1%W?=**h8Rka zytBI}`Op3++6#@&?OL_3<%3L8Tz~9ol>%ComlIYCREPLL?fx~T`6)#zlhYVOp!go> zDL4Bb`B3Oi%N9;h1Z=3V?C~WiAdTH}t6*}ha%JXXL)O@a*3|Mh7cvEr_BO`~nrDe` z-EXnQ?2W)UAH<%ax~U!&8?B?DMPFM+shkO&fXjrm#5q!QxOmepFXq=U8a+WMf*fhU zsu6^!Y|Bezbz<_3Nird9;VsNB7*{Hg9!dYZpS~I4GJ!5q_SdJ~Fo?SP`--Y){z38< zf2sM>8>D8_v87}$c0_M9D4EWwZ=EL+lS%Wa>=TzHohAew=j8opMv>qq;d1{W_=CaJ zQXu_xFme!Y*W>=DN{XiBgy`z*2Ep~YZLi1q?;c`a3cM>f(Y70&b^m-=bShXD++nqRT6{SBV#K=6pg9dAj>X`k#JucnP4(B-nkYfbx1PC3 z>)(0&^M60w1Z1*NJnOekt%VEp_*}HWLQ({+uA5J9om>y7IC~~2W4j^{<_**JxQw}I z(q-tYfMx0R^B^%79vyMxSPGWd_0k-hfd}(gRmorv9Z1TfC}jig5zEkY#>;;Uh;Nc5 zgd(bhwAZ1hCCQ!@?m~v|&Gr!=6>vgK(p;B}gHI4K8$+x>)-O_op9p$B@9r7`6`Ch0 z6PwoZ)fj}Jo;x8VkNgu$G;-g~F6yuAIi+X}H0MTX=JI54PNSJ!#D5OGV=nlgLyMTg zA7!lI!j6Y_B^WXI_{Z(1OziNlXD~9T=*l3w#UCr>83VNMu2fTGn@}I1I{}qKVEthB zP2)YI*+2H{wPzu=(~09cuFZWN;u=PUg7cmY?lw(WY`^+VIemY znA&`f!0CTfK>0gM62l2uoC#Y8Qxqw-RfrWAXz%r;Dq&u7h#Gm^zOy#Lk-JKcpuv`- zD)H%K`2K;Pk$v}q6Qkck(+-gnjD&aK%Rleg*6*Lp07eM5)jmEAq>iG4FfOVir7{!Y z0K_mE$6$2IVx7UcqKidN%{^;+-AnW_+YQe78vE3Q`DHtiqk z+sW8O_VuaPo?1UzT*a2YacY0!nTudxV#J;L9_~eM>V_?Na3zGc^3b*gT#<3LE9Tts z2vRF${pHnvf8zJ+z$c;}CoQZfmb`^o0kn~({1tru%KIknuX(qg7q1C41bit5LWvP> zzT6;<1dn$5oZ>yNHTC=Lw83occxfKc!q0cZ&gRG@FhK1CdUJd_2|8G<2$vpfSW4?A*TpULwD!k@3)!6B)c)8-U zpoK*^G8?EWu|4nzJNR;JH6vwo_dJ=UZs4HIXaNvBacG_1b-2lh4>Fn8T|1j3B}&kC z8V};ul?JJ`O3a`=KXn!Pq!bvqCW}~OW0J@8wWQnVoXOwE)Q*E%6aCmgg0*trQqi(=w_ACB%4;D+w7xMmN3f9c+7iX1W3;VKaw(`oEJ|E>C zS6^KJ#+~UM2E--b%kr~%Lr|GetTZ2$+DDoj&$BNMECX3k=W%ljlYGc>!v~HJ_0{DP zWOdT@QAShgVbu7EKo&anFEW-tpUIj9LixCu|E(GP~^`f4!^BPv9ef^G_ELjUAeQw6l_*oF#%=xg9DggRaCUENeZ@l zoH#(&g(cC}xP2=R_Evu3?n z`f1tG{jj+rtsI&!EY-;f!!^Z_%1)ICT|Cj=U(i5$^X z{6hwAh78PIQXI9(X)-#8S~0##&Qj}(+&L&G9Kb#Mzsbz*f4bon7`6IfM9tbJtPmTf4z1qh^1EwuAM4&gG6-;5-KWigKTiEfz~~3}DKd>TXKTs5 z;`0R_bkl(HTmXP%2h(2?tVlsmp#De>cQ2d<=C=l$fyq)5Eb<4%E5?cddd(og^GI5& zGT2Sa_Q-_qJfz~8>E+r;W{nQ2l|>CDek(NI_%Co`g_>XX0~7)-RVv-7O*B5n$dIL` zJOl0ps>jZv4w8xHP3tzqaU)6^PUuwpcDv1}OV72JkCyz2?=sRS&0DcV&?vx{F3V&rY>eZlQ&AIK^HJU@fn%z2PJHk_h;l##MuVzaLu?WoFDG z-=k;x{7xxyo$Pad7XN9s*Uo~TFNBGaaPnKXmVE7Z-Ht__H>Ei1ofi$C;qltDPN^nW zzQ7I}p0(dx1+=H;Bo6zHMB*@fyEBSQ!@)QkX&+^4VM$VnG5;Cs`J@*gjkZ-6D-b*D zd5^z@n;lWXsf)qv{kBwxY_xch4a{gR9|b{21HxShZ@6El9E1_l-iS{Y0@78OZp_c& zJwDC(BSA6_=p3^z%~0#8>_vrOFUTIud5=+^nWy6k%33HKU7Rz2a<@@da%KAhlC+Skm+<`OU1p*~&J}M?0 zW(O5!l^_NfF;oHguD0KBY_E*OI*ZBuSlkE6XXzjpR-4?4oYsN1U9d{7%D^2STlKY4;{9 z;qbK_^u2`SR<+T{h_+H*=eW#?%<6c$rrox`39?rvtHSj|)1|R?JuLB&al6no)D%1S zh&8C1jP<$FYMjnNb_L~+KNh{19`Oq4Ev{BL?E-&{9sa4R+}8VmF{+>c1ZK1seFF(n zyu;RK2*6HcSNcj^mhYpN*5ONJW+)!$gae#02-#%AX@qr`CL)m;J=P23#sN`Hx_V=2 zqu{`Z>L^tpxCDS(X@s8msN1QqH&yg_#UZ$fa`S9fPx&zqsIcb}4vA=+CiG%Wnq^_J zzWc;zEm~!GaD>3OE*3)XEUv8+l(xKC*m&9mq1P{Pw!n2g!L~h!L@64;0dw-E$P3w{llO|{->&zpl|l3daoc-BKZiMwwKGCcu#2lQ zS}X=pM!{U9>3(be_;nM9SgPvRWkwZ`JErU+O=I9@v(SmR$fm*rldx?HE=ua9kTHc* za7Tgds0*Kq%uv?5&C_z5-32g$^Nq&`9iALPby_Z}pYzE7l(tgKSF71&f(EYXv$@D!^b>Z()=@46Odeh=!ye6gsL?2G0tdjo?gqcs#>7&%QfWNp8#`I+Ibft zE-WR<&kKs9ET#_(Q#Vl;t78HVAn?Wq?bGm!cgG{tjsyI@4P94x5FVFfScubry>WLi{0 zLu*?&vFhl1-CAp%3Newk1~m!Lr9V!DbDJ~kmi|fxsdk_Hx6u88lz5A{`^x$rDofE7 z`jHE~dyL#elRTp_q#W6H*{TBABS$p2sD%>*b}Ti4?o6?-7k@Wh7GJ5%R*q&eIRm^x zfz>S6Kk5lgIF)|97}rIeK*0y3>9A19mf?yv8(yM6LBV17wQYMOsTlWu&!dKCOZ^*?mpoA zs`spvL2GVP-gjskeY+Q)G=1nN;f5$%;bFDwc$(t8zkBl;)G!5bMyD8|KtFSt$zz|X z{0)^E!7bEVjga`!X*tND4>gCy8f{S#F)3l(@tnQcpsrg2bZJEcNP#YQ^!V5}GT15L zP77(3mA1MI(sNj>bC0Bx)~?7BQ3MTHvLr*#f&cdY*T-A(_Wf%gqa^h3rCn&kz(`o z1_?`OGdU1~2uo2rBlUQx1@q6}nQ=@txhlo6x9bSCBE1|1L018=TdMe`Y%49L)B_9KtZDDL2CnIX?%HB4swB8a9rR|C`IP-5MAtNKb4kF(!npH@ zH`lPdV8%9If%f;Dyn6(Isu&{b0Ip9Wos;#44t4GVHi*zcGJjbcB z9coP<}kLz6kSZKIJ)Hh20sAG zuiCHVdvV5l{-+H1zgo}sUoc~4hJUH=zZ9~uGjRMz>SHmkb3$#ktO;8cjhm?-HANsOw7=!hJ@W!7Bvs2rJ)1i z+jZG^#ME3$G!?r?en4Ft%m{f)+N@QoL;<%52k5=zkj=9=5Y;wd(ZAedw#RlXY?_w0 zVbadeU6Yv-Iv|S^djI+!E&69Nir-Z~qbyXOl_+_+ySZ!{NWGa#F}k$f|)kqs^Ck+oqYR{L)>JPZnO9b4eK${Rh1evf9yJ z_SltY6lzRuqqLHDezqr@8*%*Td3+JZPQ=MDMNq1QRf=SbL z9$iT7eW!%{OnLPw7!&Zw0NM+ z=Vc&&NBD=CDmK(c5k@`iC0MdD0@RG)#`e$Rg?B#F@LSO@1g-JDrg}_bsi0LtUm)Hm zcl%anIOBWD>}%0fk1A!AX@@lTfJ1=J?V_ zO#YWwBhQgh*lwyTC{j4nfT#u?gQ%Wh05DPhfx*E>VuE@l^q~jH4IVjmNj}y;S3u{- z!5=@{msQFv6}#EREGSnKIB~(}Sc$x9-4GB|s`bCf1@lGoy!%QcE%ve?>MNUIz|LNR zpr(Z`@#NcgYQgtY^D}QEad8~GpZT?M=nZWHq?a+^V8(Wv!)R4B8~wMLuh4LwM|@~S zOxSVKne{M4S&DD0Rc+m^*g3gr>J(ObMP8YAPH-Ip3*~m={yPHrI}Iir0_R%F6JETI zE&QEm1j&E_3KDG4&}+ttAK zc(eeR%2=bPHX9P*hx{{|vg&D#XV1t2QA8el2@~S)RJ%@XNcRo555cUF0QYI3({w6G^R|=Y6oLXJ!o^# zxPq@fHDYVsAZcLIkXF;AoNJ|($AqYTx+71@IOgp#4$oIxo)xDtrK$x-zl@3>`3Gf) zL9ysJ8k^l1ho&&5OZ=+pK>f1nE>S|-o1fdED31rJw*pe8Bh2Mh5mbU{kq_bL>E zfKxh>?**Zr+F^dj!9TH7Tbpwvzi5#9Gpvu2j~@%WU_ z%3bP1R`T+>4TsfG6LU-m1MR`4vZwn3CRB|32x0r)wg;9&@W3bfs&bZQ9PWA>)v=C{hoTQK+(@{uSn8oYjo8 zKjR#0$ZCj@4V%ll*d?FzE-c!GTPPeh=r-PZ&DXwxfJ&KeTENd z#kON_P+?tN@eJWpAt>6K*$OA?fj9E8u=0$IFf5t|I8!(t97dx7l7q5RQ7fd|Q!!h; z;+x=>9W_S(DUSNGEToWkQS~_gb_X{pVBbRTe+k6%K+>%Na^4VR*Xt7Ef^5cV^cn|8f zCxO`iErHg4*pz|AQNb+)7b?0@dp5QXsnTx2*y_9+e`Dn9ebPgdD}YEAnDSrLkK&l4 zP(eQqOP-6ZP3*`>Mg>h`ZoI3{u34g9>YIASmoIh83A5qg>6&ZwA|Q8*4T-8nmk0>p z^4;pVr&<|eR&Y$+*5mEv$RF+y>?^y2vIo?N*&l52+xG;vF7;NK1Lx=(s%T=7Cd42_ zO11GS7jA5{?`UmzhZ#c#V7=s#GR(d#?kIY1@z^n7I-jWjHwK2LnY@<_Tp@J;S$)oF z_a~hfRyHHHI#`7Le!26BZFF>&GcyU9+u>FdI!aM%P`$8`jqVy)d?6`&%D*Ei%|_M; z5=I^>LN=&n-p3wL!gHc28hYZ`Hbg+R0YNWCmIJc2Wqs;VgJ>7dvgmecFih&u7pL@K z-#r+bf@>|E3V=t~j5*>EXfYB&M~1y2u^KgXvlo6xDmhbfTgiS#X3!o?rk&`I95Azf zv8q2iBI5DWOUZD@7lIZrmz;+BFiYE#7dp`jW~Mz9_6OWe3xk zA~G?(Z9ZNaNF{`=>{NTw2^?)P+W67OS|a_@G@GU@Ip$qL#vjD)*j7+ojJAk$DMOUV zl1y?DzA&1Q!>BhuP`N*Qsyt2bZzE8iNY_TUfBxc$+6@a{KH3K<9i__eu>Ly4`~T5x zlisg)=QBs5SoL*J%VQev6{-|Z+e~Q!cxRKzYG*#=p4Zk5Ca-nve za{6sSpEqG2q3H#LfRwml|JdVO?c)nk-g|ZwlKdoTZgFuunT-{24SxwviQa zc&(yWzbEv0EQBDGiZrv>;W8d9@IGtb)CMAZ;LbRT;H1}iM(*wJiPtW9% zHxscqK?*|P7Z-6_K;U021=fGfEwJAos+I9ou~O4byIU7&%Th7(m1>5D32LXy%Lzb* zBCPP@YT^b)$n`1fDe#o3XgfoVPWi00JNoVokJFXF3|Ni|At27kz_bgdm!O$%PXkyG zL%=L$NuNcyxYXi@)z45T-k>o*; zIjm>#5c~6Gw4~&Lk(FFGmVKd$R?c0XN)!y2_=|VDEljkOX@vv(W>xvd5H6knDDKQ^E*&l^7j0 zWKKZjru*8Qa$Jn~3SD8;y^<2pe7eqe?$1(g|4(WSSMOeqA#lg?&|gSxdNfuQ7+a<2 zZV-yPhJ!buevDtlIUn$--3F@HZ*OD9EhgNDIRflF`m1^aeNNgg22c0FA#57m)Hy;B zjVGFlEmBWG&<_7Nd;u2z(E8hLi}weM4PteTJUH7_!O_-jcn_dyqSdKvdZT2m{pQqia=%O>j8>)R$H_ z35CUaZCOud{&@-Tg&mEaTL4eQc|(!C>x>n5CJ*9+JLMrk35E*Ft;d_e)62uJZ5tMU zvozAlLmBJ9o8SWq*$htMm<8D?LSIxG5>mgJa(k^|9I3VB^3D}C9HCW)9{wl!95!v( zW zlw)cT?`^e(3=o#+VK4F7@+rVyPCfzz(AEh+))RE8NJ>ynIxMHj3J0f2!tF@#0$}|c+^P+&J1NiH5vS&yrkAqm5 zV}WXw&~6gU@8>&;8Q$9OXe2Z|<@qu!9z|~iYj{mb2q_d;i#gw$dde+hvHbcR{$d5% zGQ<8F7BXYd(Phe9*xnph(%}PoM>~3AVLu;^!7+jU0WvnfZP^~|aX5CEEBqqmz!cl{3_E;l2Eh9z44h<01LU4j2B_;Pn;!FI?Lc#UBgA1U2;i4e#1zN#pfj6Hj$SG zbhb5T@2dN?yGK;wdY5ZIC}Y7qi9(PNEUnp(%%fG?c)>>w$1jZnY< zy8}ysNBPn1$vA-(MB0N4L^Z3{sMpy%M?<7blhz|fa?s)K_iAz>;!VdF27|Dx$zq-R zRshGLRNxSLi_jFfV*Z&5{+*QQ9J*2ZPgcS8no*b}&ho&MS_UyTX@9}f+qym17?@aN zvUVHIiNS_+_4M|trxxKK7j}Due+N488xsEDI6Rg{?V*(708J~dmmmf-Pt_$l6Q(7! ztao<(hF-cgBCETK79ggS4448Z%ntrb2;4|lV4#9y^U64UF>_AQ% z=j~IN^g$vO48F7oi*y&uQ@QcBwto+)lig0SXV0cijD($C)evb1lvfJHz+A3vur0<) zG?EX_>ILtYA4l^Od7(bf&%mVylzqUWqq*BG>2=u-Sd|W^qhYlc#F#t#uq#bE=B_F1 zn6G;J+zDMxw-{cb9jlYRzTDF~^&zbXB~A4f=S?AVDaz51gW9~MW)6h9{rrz|$s+A- zAL0@iW};p7d=}kgI(yC$wjZoL-y`g(dq+gu^Ys!rccFH$01aA zGK&z`%rNU~`^T%L{zRYNuF;|3;V({C{odHuY+c?POV|R7gV05f&rIfX(T~T$;K+p- zD!>WlCWF-V)2XyFu=>m=X41N=U5kv^sxUr}nSRC%eqc!O$Y2mp&|v$3@pC=(qhnM$ zHcuoN;U6KS)Oa}zv={9{qL-S^M$u>MjY3CaSfE-_sv<@(Q84X*}~Zp)DEayk-Q@`h}Tg;koUgt?JkQh9A6qr z8vqwoQdmc{La8-j9+ZItHldbGJy)d~n@KT=kfCV0^8dG727E)DSn?#mYpQL<0@%Z! ze`epZL4qI>f(QUIr1}%fkOglwnJ5{5&Yp^nKM8Y+93s>~E3gdRoKss@1PKKycFv1& zhwuoQy=n6`9g{ApQzSk4G$H=nD2oz&rsJ=RvsXLh)qq=G3OE*s0JZ{tuCoF&$oehw=-}|OUHBl`>g#J4nrQ);RtG-#<21eQEdTP^V84&;Yp#BPtN8Qe7B;bZ zEPA#08V+15Qhax*t474=E$&cZdnq+~4eGP7LuZ6pmG7)g&2~~FD5mVaw#_D+#I=Z! z=pQ;!kb!kVtt|GKnt$=WqNrSR%c zZ!FmRd~G<8G;RwHRK3|rI!z&w>yWundTAX=Z67+`sLsfZ>b2=HreBCylS&I+H(jSO z3r1J}!lONcG1Igkd4llnEhw`G(UeB@7jrY+t&M{YUWf{(r;R?+G^?=t8%Z<(q?p&} zvWq%pM8J=!fG{tQ!`2oqpr045!IB?3BvcgXQ|NezvV5rpj*nk9*7hstcabu*09Hav zPHca_9S(A#hSGA+P6LuD%c5wU8;~ucGkTT~-QsoikGtWIVITgJ;M=PONXx2fvXG|i9`YPT-nF9k zp)bXSw>r4-K(mYE-ZMP@p}tS|kV*--wzozdt6{z>HnIttrvEBXqw)Su2o6i#k&ZJ(rL{07r}@jPs+cVtA(pn-Peoc4bJzvLMEh24aWKtG@YEWTb=0eW9vCInok zd}MW`%l$S{jfjxEL_{fBG))jE4y=->73MTOH6|JO-Vnpct+(rN_f$?_5A8)gLhq_> zfS4r?x1rQ^%Dm-J>|#t(2MNGYk6VidFo7LiXr|yXkV@gN1CfFN4xXZk>*6z-+OJUHo2R>R`16IC%e2T>W@3sL*W?)!R|t$usxM8nXsbr7p`NlP_hSqVSt-lZ&k3 zkTBp5X(zTCz9~-ghHBKn$`?I6_L%RlfCT}i$O6Be zf)O8*z)Z+dqsep4H>sT5!%h_-8}yI*A#Kqj3?|Xz#CMl|VHwI=Bpb1(`$1PeASF)TMn-${^?^A{Q z%J_tQMj$a9q!yGPVcTlpb#to}#yqDsoo#i~qgBwL%Kn*3FQLXVS~v_wvIeE~P_d6r z1&PH)PbaxaI-lOk0`zcvh5Zy#7;_EBYLQd~%Mn)?nOp^F`6_d9EIRuC`Zoc?2BMWOPu3ex`c6&FbtByDQ6%>(r21-zf-Syn?S5!%Y3WYr`pIg(W9>lmBmmF;>< zZLn)qtp$L(KALU-_0^TfZ9TDVYe3G#`;Df0p6ia&^HNXL)Cp6b(_+*xG&zdG5}b)! z-<#H5NFcvp0w~CalNokvx-WP2E2WeA3}PBDXG_2f$qu|}UF8ydl>ZV}@7iB-GGkJI z?RkPIKVpWRyLniSpNSHBGU7p!e1>~Lg4geggRG)xs2@*ORsPwjgXVp}jX&~BgHOc1 zx_PrOml{{sy{Q^t@WcTcqn>+|Wz+!YvGkZBSFcGP@l5E~u^Sr2wXq9OuDb4aIKT74KHl@dz`7BUUlkS*;)Cfp8d2 z&rjv1&t~U)vurRwo$}2DF+F9Up|m5Fj&F1e62-AhdmVFNOX&+KG>l#;9SO=@z@~N} zw+GzR_76+Yw0{KKe~117uVw+djpmWpjE<^Di-^%%I)G+*c1rMRy~~{!1kmE6ab9({-U% z=msc4x!7MY^U!~#${f-=`TZ)2(+UDdb4x{y;TP(t=(&shElr=udE4Iu7!;IaVfnev zDw5*L@^98QlK+~~d#)XSX;I;DJcAg^m76t?5$!O-oyLk` zUT18Yq}+S`IM3mb$}*r_GW&f+10AhVNzWM*b@U}5$~La=V;(v+o4NAX#`dH6UAp8@ zIBIe)e3qr_*3Yi#ja-l0Y?1;gyeiKR3jDgORX%lHfW3~Ciy*2cMsy==`Y+R6TwMql zZxypDD4XXu1rpq=!MS6;7UElUtHHXi;S_bZTz2HqJ%aHY(pR9075|tP73r5p@^y`g zj&i1-ng!1z#XW(hqrRXxJg|L$K*4qyilZ~BR5GP?ZqzfLt#8I+gWl-twM-rT*)+=t ze>F!9scgnr{8uQwAHE1%o`OH4H$`|hk1zR*;4?d6_@b{XxBg}MSPC&7dTfUn_C6=^ zF6-hb16^-?x~r&QTAK}9kj#Yrrpo`>x+dApYyMGx)D^#Be~JO_6E8~L$|@fLaY#Nj z`I&|rsD6i`!Ku##NgSd1c_>qPU~jcSbO^GK7^a&sf;WCS3DW9^U3h2!WL~I?!`1WZ z>rX8=Q{*kx|7k%Tp?iNKnb{t@}wrXYW?l@9S0jB(sIwM?F9A{TL0bV~k)e3@Z!s8d_ z6KH&JS|u0!Uc&87ss(eZYppV0LVSWl1*rXFmie5AH&W^#>9ZXLGJc4i`7V`aQAZ!@ z!!M*mu+9?=PLbSQ(s9y7LXAG%UBw3kyezGRRF#)8VkZb)vX9{nj_NgctWGe6b_ye( z5aP83x!lRlw?j9=c|Gi~iAA2LmWt<>x0c)F{&S`#5-)ysaCnAU zL_r6SJ>XD?k;xX`vDsjb{JNKhV?;YWcdF=H#e=_NzjCt<`GH;;-mejnLWLCrn31~- zS~}Tiv}$h~Dqr@A{!7Hmpj~36T-0w~G2Zvx_xvY14-W5P44Mos7nlVbrtD5nZLRrE zF+rxkMxX8Q3T}|d7$%UE|8uPhmhf$q+`5cK_SCG<1;Y}Q{To$Er!dH>dQ*4a#yA{9 zP%$NY{gQ+dBBRbI`gLhl!-w{%^8+r`Ukb5>QH=+uDl_XNYRR90ym&1yTn`kjLc(!& zRl>5;EREpF>x{n$y#{lC-P?7u*bVNEF^P@jr4f(%tZ`haFea*ft|h$9?6Qx@uB9zl%110&3*G_Dj7bn8mR zY(MyIpzBvj2otEbuA512?9T(pJowF+NY~!j<;n->6WqrszMyIQ{QQ=a;J~#^WJ<&| zd&4~v8}x&|?ALVIVU-v2jS=hDfwKRL$X+D*{`!Q6rTW-y&JrbF*+x+!M;z1?xZ8(z zxi^Z%C(heNlZU7^P27U27o8P6uw*XP`!L^zH_Gm1ri;Jizc*B}y`^~1KWXx9mVWRyWmP5a}?HtG>bQ78$z zJQ7)4%p`+hdNTGN8Svf5a7~pfiZni4+4L}z{0O&iS>=4BX*MlP_0q0dRakpM>3nI` z5*H!b!HHuyxiqaHp$aO&#-OfKX@QyCfvv`AI`s&@wb|_1QD)sX9Ep7btsj+l{oPR$ zHp81F28~oB}5ZL&18KU7fmA7?nI=)mzcOesyWd*Fe?)qy9{SQvUD| zB0;R-R83MGz~++y_GT%Xa{?>RA|5()WN{Pt%&)!aA<-V}5@%wZ?CGxoT|c2ZYH7g0 zi4G6(4WsX*oQf+gIX$|-e{amgbVO{QJv6ih>OhTmz^jno@&(&#a9dT1RqMt)A}8EI z&wK)-$-yk>M98v5airN1PEwXmn_Oab0a62SE0BY(JVqT$n+=fmJdiLY)8M?!141u*ceEnQ+>Oq>+YffTPD>d;jpRzg9_cV7%A?i4snq(-5x)OPL z%eP%Ne8>VoPSy)8%OPeNTjqXlrgXz|i5)j{A}G4*=uY;0bHvKql$MJ)L6JHO%T%No z;f|fmP}FIdYGC3%WB~L3XYEo zH8}Y?;*v8d%Gc~9lji-ON=`1Mg*Ib zmJVfosvc{Q3j_%H_Rf!SR}<|b^`?m76p_&I0i(-D1XCY2Dds3|vN*K>4}I`e>fPo8 zlN>NPuh{ePkMlpW$}0MiRNG65#RP`5;+CJVA7Pa5a_j>o+WQ;ZW5o{##ym5M&VZJJ zuERvCr66q|dOO1Hih0WUN%FwJgX%vm4Z43OXok9`*r)r?b8su7A5>_R=+5DYqvUchU68KWb!*xIZES$GwC+=lNSF!2E24InB$= zgaZ=dc)8Pm26eN%1L|1_o{Z!8?}jsR+J24K)#T4KFtP;X=T4# z-j>D^L)7cZOd-F%( z@N+h7Wu4i#KFoBzdOcle(u}-`FR&VGk_*3_RL_5^(?Cy1#`A_$90y3~&LaHnYdahv zuVHY{X5zsA`kUsGqHZI)M)s0z z?wId*so^Yp_~&le$y^sMb#vVi%KqlS5lWfa3XwvdqXanncl8ISv8MOtomw{+ys$|k z$Xq4n0?!0-KveUuQ0SbzExjn1c3gptHhy~#zcBj#?Oqye>_rHG%xMN~0wt^-x&eh- zUp$D6C+@Vhuy;&gsLyh)!RX1d*+N4-#O(3GiT?M?(pV1m&>Py|U%!mopOiDr zPCX=D@Xukh$=lNt|9Rm0Ia>+jCs|&NRf6Z=rkc9~#RNX+Lo)@>CK5LEQl{JOSI0dt z-}aewCnN&!sr0-ba&;=NCrPrMOC(O_bM#sgg4p@fzub@tlUr8_4UrK-$ux`;4}4_X zqTVDgZe5LaZ*3OJ*?3Ib4I(f946~|&147k%(;W6AjC=1Ts_~d_SQb3Xy>^=j^`NyD zF{kdDo0jp9cnw|-;^i>Q+@F6YoMs?Z9q$8iV13)V4tb1)8;)Nu4OT~rPFU)G!2@17 zG!;QV0WS3`8mRWAVC?1ITJLGrd{`grv6rRW;W7;Ia?q+}N{k8n^~yumy?7>#5Dt9FK- zZZq%NuVQYW=j8}Mu{s@;A{|f8U;l@Q33H(kW?h$?j~&aCv}ya2VLvh=z0$z9$n-;b zq2gzJ5A6OCYk%uG$guw!hmtWj5*H!t3KqC1&3`#r{M>NvaPWwLdGB zBYLODl2+;7AKQ5Ye6;`NTcl4TQE^?SnyAkUc^S1_Vf&zav9Bshp#ltZW%Vsu?O!k? zMnEQ&9h<-jy=r0DLh_+k$3m1b%cNkGE1K+Xzu6(L&Ucxc6mm=#0*NPIGJ zYNf9vE^mgRI{%2oiNUb*sGOxfWh^km4~021!Q3N~4|C+U#k)@3-;^g=+nuBSdoIZs z+c3##Eh_Ttq>brG0~J~+3-qeLWy}t}Pa$@ z5qrXtx&(~G4EpQB#G_sqL5URIXiZiaR1ibsyRj^!T;*9bv_x_i3oTH-m8YPs(?q*y ziaFFg9Fz6|+GR37?HcL{_}keXtUL#}FV71hPvg5?;@nOC#Sq=d^*{&OE)YRNjDD@-E`%o^35r4)(<%P&t21pEaHmZl2DjC01 zrIY_a_Mp&1M3sxh)4i~)tY}hvY7Iu*e6h)4NlQ?USi5r|P=z0RqGEyNe?!B5$pvkZ zh3EG@VNlp1c;AmWJSsqr1Bq6*(yTxWq=+pb$O~^DvmXva(yd$BrIm z;mdw~EeE^hSh$f;PCow`?*8|tfB5622rh8-^diqW=HKDvhVTx{T#;HSFclSc0#z8d zT!M|r3laN^%|F-1XSkh4B7^SQ1B4y|GUanZVopZoVUMQfSPCuh^BXoh=w?FTV*>32ADwuFp&hAAm;zga9P$}g2ezvA;>h6j$` zs{yI^<2T81%XMp~t|70S$xuQ>s-jX1brpl_4NJ*IIO>*TF2_FCdYC2CtK#R;two=u z0qOp?~sw1%bhP)g2LTKFHk$B98 ze@(4vvukm1U`ly<1_<^d$E7zEE#n&PBLNig)c0l$8D<5f<5Vt!XPTD?-14O7D{y_udam93rywxkiy*9#0 ze!@8cdw>e~WgWUzu##y#Ux8(FPV{kTvVbHyV>i(f+t$49PJZn$#3 z049G@x@G4b8K$nMIb#JyMfD{oYQ{E|D;<2%v@wm~h-`%{y{M zX|c4UvRsA3=!w$V?|1%kobtt&@YUqW%uTP(%*E@SDs>JD9ATp`x101=D0tkh?^5A? zFs*zzK)zxx-nq>NPg%P}SLa&T-F&7Mr8s$Bk(_r)telh5^qc(+ISZizR9IjXX~d*y z>}(+{+w}_JebhE89upG-$+v$t8-tpm$}HWz%A2 zHm?A;xT!h4mbXSELpJELk11!yDvo{GB8EybB>g6cgo%r{>%$UbhL5}rjKaiM1?G%b ze(Zmk6{#ew!Bv}T6TN8KK*%P}$$1@6)i;J3wg?pEh$A#Wti*g;$J(v*F_HjO5h#Lt zngOVHf0m*%6-#z^`}2KEQ^{{ApO~_-rWrYb3;4GoJ3ykbkn~ZKk9oocb&$69P8W-kSb8D8+?Z34|`7Xp3v6F^kAjD<12jH)0l3 zDPDn|Jcm2!{jSxZUDLbj9us4g zZ557}Eyk+?VgH8y>t57tQ5+_kaRkPpSWrZgP;mzK33_!wT?mlVE`Q!fS!h$wj z)(rgiRZ#I8C(ip|CfD<4+NU8lsKp4|fz?WL9)Vm+K%h1YPA?TdEO=8HvRrb5`&N}Bl3|fs(pOzRZ6S~R zAfA5dm{FU2=V0kT+jJ_6X|0INQB|*<#G%ZEhSptD|LNbZx{`BWpDgH|N@*gn@gKXg zF33*D%=O)hi-=no9bT+^W!7@+cprL*Xkk)ej8fa9`(4|&%?16Hihp#u_@;?5^@wik zd&pWCKR=Igayof~I7mp~gac>6ogEI811k!TL;CO5K43*eLEyFCY3-tSPUfwrc-#@A z8$q;swz<8h!fej-1>Lb!jxK9LL@_hMf>mf_1Q<%G7Q(7!dP65{Z|-(UF|>~bvbsAPlQ@gOlb9U>rs+yi zH@>E{k*5rjllimpm?p6UA73lJSgte0w#w!|e8zad2`>8B)GdfQ*wkiLbi6kOldO%=l*XWq>?svswzWXEv~iD|zd; zvy~OE*3z6fy=n(2~uKyc0kcE(fh8EV7QCnkt(^r)d` zRf8E%d|R5-T1GzTTP#w^9+>%yc-|(Hu9}dkrzEr0cb2RtdyA$!lpj2m*ts-$jn(?d z!}{&5A#YQ108g-lY~LkB%Z)9`5#GoE_VZx((*$CGYP_$Ff*+%px!7Y0 z?!@djEYeacT^Zl*T#;lVEa>4-4ZRyW(QLgbXInzuYtAVY0Vzwx9l0)utoMtVoz<@! z`<|`DPeXCNmYOR-iST&qlxNga1bx?vi=NeO4S$R$J+nN_#MPUoqgp>R3E+)YxbfB` zb+U+rRwcUq(;R`t#?q*xbOEMz+`D5Cis)1!zzx_`{kwF8%GPrC*ETeU-0X#pF>%8!$!c@@3tuz$dFxnOuYGEAfT8r#6_ia2hnJ0w7H7TiOw@VKWhS7 zR2g4j{x43vxUe5;AAogA#^lf}ugpPW)$vaVm>qQP)R2_k1(yk;CJ0;HKA&{G8*chW ztI|r23@~}a6KZ|-2`hN1Pg0Jzi&Gyuo{)0#4F6p{cKNV1GfU+^oyNLq`>GxTCEr{xbOubwXUdq5$M z;*_YM?unMzn-BF7t!-RX0zXhH6b87ZFrJF9fsjpnHuH}|jC^Lz4X6mwb^BO#OYr?3 z^V_uB9HZTEk2Ue&AsjM_Zer8PUd~pL{Nn~o@NHmlh7y@pe$DX{PBXw&oZD|}e z9hQ`%|I@PLf3;-mzc~|N`M2KqFSS~n4F9>_ke#r9*q$x&dqO8^Q5we%RJ~K3vn+uK z=ij3k6Q-*ly_?!dk~7w%nkK~>o4Aj>X&?inX0|W5*zp)12bzNw{mP@moTEF7DSB7g zlE^!1eEgGT;6;&NI{;qNj8d}6YW_ELS)@S?^@2@3**Yl~Of5u9Gn+cXvd2iCNow&< zT&|9zKM}yVsOj8u8a=7#>XJ(myIJ`i1 zZn?~+Bcg9){GR@IM7oP6!z7r@G*#uk|91gmC3aG5?5{fv>*jg#tC^78ovQ63p2Ihm z6`g4pX7h?HM150*Mc$?voi^P|xao!CP*x3{2PbCo5p>G0bWQ4M8c>L?K#LqCrCs^=EFyv%lj8GB#)PoiL z#l-2YWuTB;X`GO#E-->aVONB(XMukxUvj=cbAo5&03&c~_HA5%L&)35dG-$Z?M|Pt zI+%~KElm0}N1mG3O2J;meQHI9Y_Lck_jWa?DPGhl(ZJYD!ce3t*t*QRkO#lbxDGf> zPCxrb{WC;FQM3IXu`5Fds3zED7L|z&q)o&&AO>_|y03#Bq>oXqQfI5RY+(~}GHe#G z*{G7Rr7?fPHb&nWtH>@@f*7@jRmR{I;3L&==s9Kr5}-dKg@VX zJ)Dlh7#rs=ZzLmGX_*`Gr9I+h0h{fp{l-lfnRZ15JtG1L#gkT#rysUCM`GH_<6ll7 zMuUiSS!R|Xp&Nl|r&$&cHlpe&I^HZioo$Qi zPwTy4y~AkD#xc@c+h`h_zoPzA&Xir2*OrXgETJDa`MS$H`twj^l3_=_}aQq+c&Y?RHDDBp zYE@qb(>WVU|BR$3%|fI@w=NdorLCnNp)&X!mR)IHa845pU^Y#PJ!zzFT$;tCpi5aa ztjuF~9;;nUc<77@A3%YE?_jkmW6GhP^3=e7rHcNi2c={aL(qqR>hOx(gSGq|1De~t zNfN@sgUae|4(@1AeCsT|VAbx*kOVvavM~yQ6OW(~8#0Yv8Yz`E+jtq#P7SB|oFFkJ z{=q5ULAXkd-c^MWS6kMKv{Hy46*)eQHw$BJ#c70EXWQDzEakvcIsb=6i+yDF;gwpG zTCYg7oPrH#U?|560k9S*)~C>{c-_rDv$G}v|NAm#b?eZ}cLoUgM-5QWauuvLvMSGU z64Nkihc~6@U=#+wud}~M7hH=|L!e+^zqI(7n3e@iEqM3bD=w>4F|ie@MHiNe_H$r9(obYrJ|ZtA=jY zubX@oCV;dJ-a-UIGctPr!ViMGP<{>t#&)qd?e7PihX|sGckpKEJTxG5o8ah*A?rAsk4zQ zUi#?vY2bGkUJf@>t}ziA6dlVAQ~F8TdIz5!jAm1V(HZ&YMjum~Zp5`SV9!%N)p3Ax zv0XY&w}koDW|7Ia5|nn**D6#IPc&V)5iz!b<3rou{Keta?N+GI?$fKb&oE<>75UOU z#&`q+g)E;7(^cYa9-lHSLi!_wnxIRa6!NRnx3(9AE1?Q00b0xQn0WaF93_b5e)9c= zLKTL?wVCj)0KE3$rv)aOur9nC{MN)eT=B?SL5351t zJg73Ufbif+)(yI30Su^hDtmy8-7>1tmZz|K8W0!}EYv{U99ioZpFz!zW5=q_g{%&t zuX;)AcO`{thkp6@rzmm+))c`APWL8v?*aktW}RzRFd=a0mdV88xz;Os4cJGKLRrYs zOG75VIEuvrfnIsU?aDg;DjRc7fQnD)!ewj|pB*D(S8Boa$BIE7&K{mKtrhBmb(}r9S z;PFl~ESQWAqIB(@*xr-cGB8%17>!O^ zufx)+8k9N1B;t|+2G*KI6nsrkKbag!kz?#MO4S_h8&m~1*6ULhh&v**B{$4Y);X6{ z={k&3+QZaNf9*;j6Ds(Pd3WK{58LKAs|4*SZxilFfyDFoeFu*&PM@iE!dpp}^ivw> zGh0)*wI_q33sdX~pfWl`NHD~j5ghah$&@tqRTTyp+e^jKu<94 zc`D2mMr~8_IZI$rcAa8^qYPd1=%1* z0ghLnJp7y4{jerI2PLoif{VW0vu#kVK?IzQfWyoN<#P6*4#}Z@`jG?>QHwk`2pr_% z_r2a5AA!)_AGkOWVtrth$SCK!_Fj~kF8X!7p5 zKIJZYqBrg)F?9E{c?cP`C5175L5@C_A`TJ_bx;brv0Zb0Fc{oHEEQ=cbiRayu87!$ z@JW!%AWR)+e#zMdVFrxR^?_29sa7Zu`j>@8>Ri}#aI6%CrSumJDr|UItd5M{oj^3f zG2(3Q&Hcc4zER2Tb#y&Dvv&%a=2*>`-A@oMu{HxhUdCr6W&`0(a|}CpCWtb$p_F>{ z1%xoia2;*|G0I?c>nk>%*@O7$U@&1W|DCM|u_6ge)l)EQ1Dq8PSzPfLkI z*0QFbSX$h;KuzPif|4sveoGKY?5(IVd0aUK(K7(D=_Iyb1_=8PJtV)qTO@@(G`^u> z=o{|Lp?RwXw<6HEVPk_!a?Jv3eraC) z+3Iuy=DKx1-r0^jn~>vY(=-R{O_lj(lWb`iha(ri8^;pKf=$|g!QI_r$E%5OcY9Bo zSRLv2T$|}1tez;PWoe{eU{*$6oTS45fH^JDHzR&B1xD9b*xdx5Hj#cW>}!Ha?zGp&qwakhUfaR{r>ywjcdkBXyc0z z*Kl-r752oKfpdZ4Vv=0BO45s-Zx*knl2#_K&kwxVW6I)-yUlKF^Y~`olAR*cn8H}F zGYcB?+03f_=+W-R;$XKsy-L0VD%#-XZf_U6r$~EI!z8bs$PR@NI4zWe@-{=w{QE3& zKPHA4w3Xad z%GRo>TPgH!ckR=eNii)Jp;Lfj>X^k6O4cxdogGKrx6i8@&qt7?Q2}HP)*F!TlH{_PrL5ksw0{#$4BR(dOI_#8 zGco}}AOKJ=g2hM4{s8|iY50Su9?ar)Q5jWvwc~uKrOumb<~~vRBZn}pWem;dpiB!| zdA6BrLd+{0$e4ZHVPTNj?#@s~Qi~N@=PaR!?M=p`XK89dSQLy2 z+itRcI(Jw~ileK#n>*^fkCu-(cqs3fkOrT^bum-!z+lQ;%Zv>ua`f^!+}<}& z6bown!3y=&Fmsdje5WN5yV&sSSk}LB-xBrlSMvTT&QA(D%8=koWUmtFPqH2 zd*GGeX8kZr?R~}U)rLsS?bbH&_j1h;izg4XB(LCHpZ{i2{GVpP{r~qdSpFFn|05BO zg@NHe`WQUf@D0g{_MYJnX#@Fx8}#M?(z43?uyau9&c|)ZtE!vVxEe}Q_;imAh}7Tp(&a^A3* zcBWdsfs&&VMV1k{FGm;%r#;H0pO8kz?5zv)jxct|XqanT##ag9n=?oxJ&nh(>iESP z(f()1G;gkCe#GXEUjS+|>4lt3%m63%vF+Se^(Gx=)*RiB?&_7Hxg}^d^1RT00OI&3 zHv1#OAYY{&?=&33{AeP)krVQ2T9g?PXUt*ft_-86M#K8?Ydm?MkE9VX+^y_%UB#BK zMwo(tT@7A1!Z6j;<(HPq$Z-Y9QZE2?o7rne!59l$+zHfv?K5_=Qw4V$@}KD(^BbluG6CSV;mB*uddv|;&p*AlVcJ53+@um%6y?iF^ zS9U;Cq;0QF?{6xz1t?mAQ9#r)kw%p0h-b%O-RY@K0|7e0c)HE1&Hd_RGoh22+xRvJ zO|k{-3Jh_jA<$(Fe9tJY%p?oKT8?x0&WDTUo%bOKa{1i5L-S~&sMYPB_HXAl#M{Z` zvB2(00_CK;kh13^t7nQUx`kZmMFG-l+qTCyMFG7XQDQXFPp8Ah@_SU+CV4u4*uWxB zyXS76Am!_2DFSb_*ew9FSR9J8C0JEM8evcTXbZ|gu>&9VJrLPEmi|B8G>Xb`UYYmZ z5eTIjNH-CT;5S|?osHBf2Kz&mLy+lNK-5b~OU{e5TH~WNqvQc~_I>>sda8BL1T{3m zB69xoU314j!*#dC-~R_7)e=SQXo3+~S;a^_m*)Q42=p70_z3@+Q1)Jp{SEyRe1f2* z9z);bGtJ}Q*9!4GKZuY`NURdmFi!;gfF8gL&@O$$tAGHDY+!^in64H;@Tx%kz_AF@;yN&nv zJl{jN1ClJ`YMDM6yJ#)w}9B-{WdgT9v$ExgXw^-b2$sViof` z&}16fMZ8+}1&pk+pR~5QaINQtwj>0|?aOs-19K4onELwar7WQ+ZRCwbcn(z=hpLzv z*K0a-5T4`_Uiw+NcAn<4R6kFRW%UMP4LB(cDeZ_<>`LE2szMQL<>fMapc+HO~1`3lw;yT>6M@NNcAEzrle)4uqEh-sH2a7n3!i-XF(>LIs!tYYAB+KJe4OG+ZzIw_HlLker%=mZjsLTkbrNMvE*qbCv+9-)7cJUm@@1;DS zt5q%3x;>eBDz%Ne34-ajsbGA3Y-j5-2!!FuYQkkCx3Sr`^i+YPly+78=yefPeyoqq zh)Jxv(g%g4e7kuzSg6l(z#njLgc91i=?g5T`?R;;X$JWx#O#i2T8_<7_>2>3@65ol zkmT=;+}JP)-zX*`t#7VwZ87V$(_=i zZgCi{+6_D1wQ`DS#o56`1sHyyo6Oox5=M>K7vU+n6xdP_3!Du##J1eWpXc641va+0 z#A=Y4X!9Ae&qpZ>Ql%8mw&|f?U|nCnC4iw2y7-6#yBy6f2K!D)1Lf#yMHCVjw?6Cn zq=3gl4QsOsRMy;@^$$UG_o;N9at3eYh|_x!Ar+`5fOc)*)s7>(V-P9+Rza$*#rDEI z>t#1S^UA5SC)zRHZM8WK#1k-+l&?ody19!wotAn5gT{V2Yz6D-Cv3pSrYArq)BE)9zg1hPQpvSMbDi4YABwb`Ea?-*BE2l@k*G-Sj%7}F*DWIy*Oiz!yPCEE9&C(Vc>o;#3Jk?wg+CVS|9Kx`C0 zdovp?u5xP8!Kw+@plC4&*KEAsteS}y)P~%M7BzYa3uEo-!UW`rso>HSgl@48Do96I z?z=dcm@}>LoF$FW-X^oN-; z>PtnR3){(MxJ&gaQ8n)xm+_fVnGiHD$3+`~A-+X>J~be8q>w~luo7Xbq6yn0hLaf_ z>fbiFymVnEvx!k5nm2av9g1^UwWA#yl@4y@*ch+0*h?@!Oqh=AnH)XlPF4|BM4K~w*2;s+8M%Euy9sGDl5ILy@r_JS7B&!?hzec@*Uj7y(MFD@0#AV2%G#vV^SO{nM3SR|e8N1whO z5C`?3p{-MH8O($~pi1X6vDo^^o8&S|Tb@}48xpL!BVd@(h;#y&M)#i5Mpjm`Ohnz2 z?E1NZvY3WIxm29A1NmBK=u1iF2nR9rT^NKsUb_HkmT2yj48D~a@?NpdH1k{;j2a6T$SGh$kgl+t&&2Ct%ocfWr^`C22waA*{i zY1R>WZ9h(DfFMq&X_AqWom~33%oba?4Qk&B_V+pi(Uwl%+~(rS3O@_72TtJJh<+2@ zCi2$AY9D6XA@I32+G4_Qnw?t}3TR!AoXgz3OX*ggD6-hJIID1o37Mi{-*aPgEw5n% z24r~hL)pEA<5x*uYT$3My<;RIlR0JH-1ru&ga3Z_qtdL_iE+LsP-xF#_^VIo?MB0@x{;2xwLo0}*mfL-mP=1O3CXxS7!_@wl6rUBJmHB_f zr?E4${)Z^G#o*}$)YL%$aHZ6g;6rFyH!MtM6C$3Us%mVlG<+eN&BV9TL4U%5%X}=F zA58xlQU?r7cS=O&s~fv*3-X285R=;9@l?aRxkR7MkV21%pzC8|Hid9#HOPb|kI{fF$yu0UBF{JZve?r<)= z(dOTmqJLDI;Jyt~H`g!qAQ9poxVqYolEDJ1g)KO{YKKCTcN?ty@tK+ghJ)NHfT37> znZld)&W5%96Bi>Qz@-3W`Yp#w3D3Afd(9si1Sz(jV4NnV5kD3HZ#V3y&lN#Yh0EEP;cb1Pmyx^o7 zU&W4FH%CN0@;<~i^#(k!&nX+-4|r#6+EAK=-(FS>LGrnEc7DBsJuZlEf)`U2-Y5a| zJQw*<6z13_qOclRG$Se41jM=GkyQh}6QOs3jMP*Jx@HPjtv=IUvA|Yiw(1^k1>koWoZ=$t8@ao@VIhYttq+W+O}~+j|$1opL3zc)&z*38rj}?(i^v(5_kH! zLCr#;tBsW-L`i(jqjN{)`3OLg5F9n#>L(CT9KXiYWKd3|}wbmQW=YWt-{)eZrZ1F_EjJ z1UtopwH!(n3bswSsou?-|#H;DdY| z*jyR`%@9k17td56U^C}aqdZ&37h6TwJzpoDKx9;qYdfl$w8c)h$bF!AM2uB2*U?mo zO+!{}P@1Y~Pz0>SIaWw=-KxkOq{q%rsJhJ&R($-p``ly*Hqtm1-?*im$5ts}0|JsV z-49C_BTMDeT^nWZ9uN#mBVZ8aAPYE}c53rp$xu%5?mP)OFqTAT zAuWV`$4Z5OlnW|$nq;oRT#lSRAE%4$R4hzQ^(*T4HyvckInKOG*pZpq>)x%S-@q_7?*L zxv)1HA>M8o7w87)1q}h}_U7d|%O3ckJHZV>sWu1Q**3xfVk4;B&=Ua~|9Dh;MZr#& z5|cEu_Ja6^Sdhy%-5G#`Y^hg@Ym$p`V;js|5w}ewGrfL>ZF6~+t_HG&enO7wnEjMI zW=|ygyB!sFpx_wFj0vBG9?8po40aAWz!Lr{hU}S^YVaJu>kct6C%&%u99cM&8caJR zYpuu>A>*CWabh4KkQ!=6EFF3yv8>CDv?;)HQZ2!yH>y5Fk?IQrqk}G@N%U~3ZkpPn zzVy7PbPR636woA;%4FMV=RYgeBRwbO;ZjK{J*vH6r%ArGW!}p8*m1WkzMb|!7J2nd zS1s^*YwP_?>yB5cJoC|h+a6x(H>a|bo{piZ*Hus*fO3xVmUk;824)Ir@TLB+;Y96h ztFDg|B|+#xlbk_^GyRQ;eC;FdNq!>$C9vRypu1?heon;L=yULK8x%}kpL;x%fyvJ3 zvC{(*yiH>z10tgnmgqNBiiI4WA%~QM@`S<@ikuixCux0rDPJRM=e>Daxc34J6N@|X zdDf{*PX`&R8+nkL*xyEs+KhYXZl<<(e-VNk9BJl%xxsLdwI0lu(qfKa9i;#^wPy-5 zCQmsJJ<9N63GA1Z5mx{&G*!^q3BVXNaRsfzLEIUi^Y4LLhUFz$*}Rlv9muK-Uv@!{ zOvwtN=ycIQG%1P{A`L@d_dgHH3m4j1Egv@E3xWc92-CJurPg*%!0)oWQwy-_%eqyY4#_kwTYh(>s%T z0JG_w8#rw-H)q_%f`q5MS*XJfKa($aS=U2!aNw%=$cR&*-u@Yw3uT)ufN^5$2VYq~ zP4WN)XBFi__@o>O)4 zyGE%^3w-3nzq#&32S4FUUelCT6SURtinFMfj4W&1)Okk;DxqD!T{b0cMHZY}!dY;} zA$ZkboHY_aKcmRslF!_IwP|S&8~aw2+@aDC+G!3fH&BSA!?8*Cq)BzRFc`q(PulTd zm7RX3)F&{pvM;ZCI@E|+5&n=j{*3j%YpR!WtiMJZ6`%nTH;tjfUG`jN>9o9QXK4#h zF*-k#LW3iF=l885lwmdwlw16h77!5p57WHQNM1AlU&-G}jW z1t(XORY{avmU+1|3~~G2D8(1`@b&nsUZAoPM2!s9Jh@H#{bFYu4{x2*r0)ep6ZBT74~tE|7&(`z#fS^nfBSQ)rmhmG4ninhS`B zazn`I#bPl=S%oD6xTU1frzmsa%)C~a2?O%R8F6FoUOoEs z?8KXv?=MKmcOE6E^#&Y95Ix&F+-)bv4OQtyk=_DKCj0Z*IRJhhbO5KYiD9b@YbLsN zSgEZ(_zTjmsB8)l?$sU!@A*{XX>Z<4aCR66yE90u7T1P58(nK1SoXJ^*Ilh}59y!? zTQiQJLHWT~p@u9u@k1{z`oLrThUi`eS(T`2dQvgP7p4e}>$h{MT|RNX5a9jY8!I`= z-<{1(02$hl74adCLg)FPLDHG$01Z&t-BX8ABUZXoLBO~|oD{?XvWJq?F=1#R6#l`R zi9fUuCe1SYOGWv?Fzez?CPZM5LipdJn1$fs-vBId5x{RC<_X|w{cv)i3ldpxRD|sL zt0ku?w3g$%QJA0}B>;!l=@j2aaE;@+kYb@gUcbT3D8^AIGfi;}?wyXr_Be+m6J4f_*to`$BtaN&todBWHP&I ze#M@A$trMY`)uuTqCLF?juiBji1yNBC~ekWw571IB4|7*en*x*pDaq=jbk%xnx`1R zj_?OxQEc&|Q_notnd03>CDfQ^o)7xs;Nh2nm&52~cDS|kbUNA%*z;AKPGE~r{aIR((D2QN=Pq!9A`cXsP`)EiHk$L1CbNu2RgW=Np0ATuX*ESv zCcN;RZd7I;`Q!^+>I>TGu7kX~o2?4PfDyVv-u)^R8%gp+oUI;{reT{Em+s_i4;?~< z=K!WDqco!0y;QBOb*uzin{y0tNMOkWlzQ&O`@s*Q2GCH5jF`>o6De5WODS)Fp`PHKRSz(( z2R)98c-^ThOa%8EH1yVHI%PteM5gn?Ph}Ywt*`=LVq*@1|Fyp5rH46JM=uLhu13(4 zym#z|eaP(EXZZkfifA!RcE^Uieu3SBLl(|Q0gn&Q^*O=^cWMv@bQXwojFvP~7`B=r ze6k>vB&iqOy?*mBuVLoad&N`PGWcR3K3 zD*K0z;)}5KzjzGH|EGb1|Nk*O+ds$Qf5i*Zv;2qSkZja-S(9+{bk?VmG8bl6lV8?GQ}*&kToQcP^#^@&&x)6XK{i# zh^|FaHY({;zvKTky-u4h3wS+9l0MH#8w}EQM3)noM%G?K zWrS@@wX_dVLY5=?5G&so1_U1OAEO$U87@=Hnx*Vn)E`n)g?!-Ip`CV);DUJu+TJXV zOR)uvDEJ$&c4O^k^KsNfiDt-$=&EQdxE%47wkE{rX;eIWG-;lH%6gDt`SG3(#>@F^ zu2hV_;AD_SvYO8a!awCj9kZVbOfcdN$jft18C)`V@|4awMR)7AoFe9b!&@oVb-$wV zf`S5fqHLqA+C;~nPzcK3ZvWf;&8dg}DMjKCODjCTz#Q^8-9AWau9z*1!Z0Mj09=VC z{BbPJ`^>wymw2LQ46xrx)TQs$C&SfvZ@qlG1%b%$9MrD_0aYmJY4u30DLkZm`p zG)y0r^M|4<0_0XO`*qd7nm;p8$PMQzt_mP^YPB4EeAv40$Fj0%W3qeQPz3Buc= zj5IkX3-i{z2Bwl05)S_ysou(-CaS1r@x=DU!&FlB-+G&+x4PL>WjLe*C68srkLOQZ z094wB_-YR;n)vLAUUa=t&*Priu!@4Z?4mm~q>F-YU488%>KvEe%^zGbd=ItrSGV<3 zkW+Q85Rcj1px<3|C<#2I`tI+RTl{lzn#1wzakNDTEZSvT}^`|+!nTpkE)X0oav_~FH_6%RZ%D0&Y@1$M^`T-5f>)cgsA;oMvT0KA7R?b2$ypgl5 z_nypQlh}ijDWPKmsxzflEDsS*>>*&8vxVFPxDzu~o}QRI`A}F)mDF>TTZ9Sx<**A@ z3ZCgBCK>0r?js_@H>`bV0ui1UW`>e^Yn%|4xBWh_5rMTBQh=WqEelW5i;erm#}8bb zDN2IxHfgo6TVGF=)f~jm@uQmmfxd$s#uNZ|;zAeNiJlH9AM*_=Nacson2*E7i0Tve zz5oKwh6PYNrnM5z`neVK=LGXo3;xI3iWQwwVx(&3dzawWnQY@eqjw3JrClm zhkW+q^OZ1S*UuNA|ML!MRT)-lio(&=z>1SottY|V&JnIUJ9!k=#VoOb)&kUlq1aiG z9L~eXN80saY2|96PlG$V`-}vN;ZiMt2Xhpj>0pF5xKS~gqozZK%d6FLaF7L196W-m z^^DffH&o(51uFZ(6wq;W7_{{U3K>JCi^>IxCTeZK8tRJ+8Ku&}Q$#5{(U(_O?;L{| z$S-YxKsQ+KAY}rt7F~`P8Rk>^YN@HwGe&YQws6wClPCzuv;WSf-{-BNhP{fdHBOzZ zo&$U|#8AbcNjuYErI@o?Ms8;$C@wWDneT1HvXVq2&P~*6o;QI+ty^P-wYQRiqHFlg z_w+d|XHs*%OKB@k=Juzqf6Y@qG%E*{-YuLlS3*8mWRU8qM!}@d6_IzabZf6xCP;Oe zAMPckmc1rZg~LN2j^^pgk^3JEC+~DmCtpz0#bHX?gE_NT9JLCSbb<#Q4X;U$*Rb!c z$zVGb6>#No7jj|O7hKP8;*w(<=UvoD0{KLpnXi#gKIKr~;liM{=KH_;igTM$y)Sb>!!7HvS9u^O09BM;Qfae z)B#cN;NuG>c%i}6Iv}-}i~JfQXk|hiU-J(KTsjkT0Hq}|?4)Zl1t)ct^jY*89%bO} zgYcc!9W19XkwJ9daT-7zX#Qi@(#hhg{PB%Vqadsn$ImZ?+w{xq#Y=8Sk!4xfABGAZ z04dDg72H>}2&p(=sM0tdav6wSX`sY*dq`+xA^{|hZgy~buo&aYZ;k-fQv%4%dg9gr zFr|W{g9+e!SxikVgjGN%pU`TUn29U4e)FId(fQtwEdz4kOhN7?|2*s*>ka|9iG`6jyM zIMB*R+$1Z(EP^V2d(shFJZ*uZ;79DXS)8u{?4>uvkS?GxLztftH;v8d3J~&uC3+?6 zTVlN@U1iiq2$_43JdBl=?;)I?oLa?x615Ui~)GFA|H5wiMsY*4;5 z0vwRCqBIuCC$jIa)W!kH5V&^1WCNF-lv>nP1<_-!5Y35r9E(%(r@k??C`U;KBSLdy z`fUYnE{;VF5io)!wd>=?fv2lRU_6wtFb-^@VL1m)%&FN5HYJrQCx~Fc00l?tOLt51m}@+%!j*JOLR$b!+P9FP2*DNe~CcK$pPu!BDB3{V(-oz zJ#Z6csc5M*GA^oyyl?yMTdl9ETuZ6WoO$ z!4n8YQco<9H9(-;OT^C8q4M1lE5nLd0v;u6xv@AG7{#SZ>D%tJ+Cr^$)&w8ZaKM%u z^QLB&{>)y2rHSUGbjOA#<*7nxXG*1)4l zg={>eCj5-H(nk6LynH#Ygrdo}NTf)qAPt<;S}7+_mRrkS$WlVA+zTFvvhLowoQj%d zz~HDvUmI*&DT)Ux19QJ#vMgb9j(g$#)265$gy!|!3f&jnmK6ODfOP|oOP=*rJiNn6 z(&y7WM)l?V9y_fWBKbXB&Rzw7({wBTRIusc$(73zqy5 z6XBlIe!%293E4nR-^(&JD3S~c#n-1po07GYlBO(x4v&kHh#KeJR#TiyuO`I|s4WQ# zq|`;WkQ~oZHTUw~KT5d*!@TEHb(Z6*B!tLBV$}AOu^+rM*7Dh^vfPsC(SswQ%v}UL z_|Dn}$N;_P2EjhV1jG8?gcy6M-;|4|)b$lHXti3XaJ<$%BIdB;2^u(*8V&;7&6tMe z<8zq2ru#~|>H<-l!}+~$rvEDxT}C7NH+UTc92tYlB9th|;M<;@&i@6B7A6X8Bl%bk zeg{ZtMlk*E4t3iFyLRwqUp>xPC=5zzf8=0$Jvy9^ijFOO^BflN}UVK=s`sX2;?nV`$I`(a8}gFCV$ zpI4pZv9Ax|U~Ke6VL`UfULnqqV&+ugrk_y3!u&=4tmPWaiLwBi6M)$ggV=lP;nPA` zIp0rZUD?Bf>Dp$S`SQFsog+f^U&|Yw4+2ZqNm! z^H>cmNJJ4be(7`cobYxSKhY!+xBBbR1(d`2Qa^F<3@yaMmz9T|RlJv1kN^!*5vyVS z3VMd!NO*NnMTi-R3dR#H|NQ>M2Yl1H1K7g}-+G;uYqm1;U^1Map}$d(zRe_>fImM$ zk%G%^g?+K-3h^5lK&k=9T$eh%rCLC(6KNt05_3Jwma?P>EWOLvD;`Z-!(jBZ{TPu7 zySOYZ<~1q?13fDaCZuc-5qh*^)5JQ+sJf$v`QQ>yUgK$&Go<{zr~=quSrTpCCi7wB zq<#oP8DU$9!O?fUOx zz5%kd0OOXq3~mERl%73;xV{;B^v0CbeHm*rERl70efUwY$u+j6XxO->ou(Ps zo0Xs77sEZYeO0oz);vccCy}|8@~30)5Zv55?vIhpqtAk?j?Bq{Bc$V@DUc~Kt$1*( zt(Tg+B6|eGY6}m5Yot+X(}jg%0swLbe&Mt_bG zUHCgKixl0_<@UxId4P#~NMXO#Siy=hWlL_9LRy}t^|>K(C5d$AfvPVDDu;LgFgdX- zX&wVXG-CnoqyzOT!-)IDRI|9338NmxF!GK;yB)WlE!VGu%++v}gyq+lBp{+66)NKL0OT78EhNXkx{4 zDQmxZXuEN}pVO~ke6tTcYPVX4Un{(L;+*}V$jNWwDYWNTx*Lw9;{}O+bi7O#mgy=N z6<&W>m7Cp@8|(qxg)zsh1BM6gvOnuIaNX)Kr=X@L5|$1Q!-Pw63UnjtzjzEJ2fLHs z)ad?Ote>z3KeaDcHhG0Htjw$~*2IlRCYk@}BP@s(WK(KQp)WG8V^RQ67RC#(FDVTKvupQgLj$eGD77l z8wU+>W!>;kdTqZ%+L6Z%`QEXJ9v6B`A2}GAP?WzI`mEw@GY5nHW^RkNks4$I8nK*a zL$)%DZRN4X5mg!f4*l%_-W#^>p--d+ip8LeP$gBMaV@;k)6@u9oaq4hwKOWOe7(@q zPUXbDJFsgAOUsU9AyMmECfo^+83i@-y(onje}gw3w2v?N9l2&@_d&JZiDXFrKs2zJ zEkRj@9(_7{l3qm4xD@XMf3AO#xq+d$pEZB)eq<)>sBX z(zW_Atu$c|)zIyiV{koHr|B6w@S`gP?aRh9)+pPK04?R$wNw>`#k@=hKC`6O@PgD! z)+^5@IX0dTrpu8?9eo5lMNdmfzBhexCGDblRP3y&HRxY5;;(;$kll8179B;ld`PLw6+%eAfxSk|00(Ih@SHhZJ~q@OP)02ExOK z9pk=sV2D2hZn+rzDck`3xm=B=Lp~o;<_?#@2(gMDJ*0gdC(;^O8Td30HZ4h3*zbfE z(VA^*tAfch^n>&UBmACO1R-GS&b`#K+bFLQNgNXFAlz#`D9N>96y8vANj$#=m7K>% zGn$vZRd!~X!FQC&{yUqvq(@3FqW%Gas@)Vpq7-;`!&}dX{IybWz4`G{&D$)@eOaC) z7yh#M*cEVB$2TO<795T{qq<|?%_Of6R9r7L0XF@LgcV_QGKyp6U=}PmCrMf)x0K`Q z8qn{a(D!${b#z4xbtz<5Q7%JzA?8hJ1VG1!+Uj<6OPKxrm7QrYpt*aqA1L>9Mes5; zJgDOm!)}^WwE@E{&ScP%heT)(AYnU*%ufwz9_8t6h=vR4B!i<9kB98m^P})(SIjMn z7i|zaRX?RCJWWeGHdwy6Jf1g?`YB*xHqgl%BRI5%`{dvjL0b^kOzGq+2+@fP2-(t9 zFp{&pRyyE76erv!A(?aUK}s)0dS1662Pz1js@1wQc#ULT#<7g^NX5LW2)hSP)M*CKkp! zKq!eLoj-PYz^lmeJcSQp!+v$&Y4$-Sr#B-6a&Yew)UpNEB->tPIFdQb?Mdq6B?zdM zF$Hsx0i#*HzPW-II(+dxAxyb$85bZ;@NYdrN*o_un$#4>pQjoDD*tQsxITc0HAS5M z{-U7pB8zos>^fAJ461-^O{m(u;iZA$;&YG~1jrqCx68rxtir62!Boi*I{2!ofMk9| zwfh6v!6o<9z&g{5jT#WxHH8SKmQU%oi{u3#Eq%4 zbEPOWbhxNWL4E>hO}%_tcC0^GeHjeQt@C1sE&o^>Av$$fr2d#2O3@t?L z{fZx`s126mN5_Wt8A+kg{-yGN4M9fJ?L-35?k~PK2ftd2&k_A?88FUafES0v6aw$@{D%7Y)Rw$j4SYMbrrbg^~q2Jl%9+OupGga_PxfS|8w%9D7MPa@@4~ zj38X-aJg078}YhQY>-{De%vw?^Br-|cvwy{kkaIJVon=J5LKd3Dn1p4axBCZ8p2)o zYV;ul6ajNPpePaC>I5KN(k+%sJr1+*`hNm&Z{X4pjQ?JvZDq*m_{cMCf zQD#X{qgJFBTT!v4`TCG>HdV9v))XlAK}s$~c)i9Mq^XOwZXT@R(vAt)fX6V5cDp8{r^!B!}+TD5_sVb;Y^e&<9`%o1{_pr|?tkUh1bAr~Gxy z>t4C*+;&s~9f07PE#Ai+zV&>4C?+=+lq+8yI`Ut|!FzhgPZ z7ihtsWeoV~cX?62KYi2tUef;lzz^_Ck%tN<(N{W9(eKbIybJz_mQ(b zdG+!7$N);ChVRhOG@*F97Too+tYv73GAOY_J#UcLOnc9rO3}`mYVw!r$`==9{8|HC zlDPr#56MuoQjm*dWQXQ`;!zGel+jS!p2(7DL#Y9mo)n}q1=%S5dxbmP2;SR;hG4_j zgo=zd8NeVyq-a)`XRJJ__Y(A;n(;xS?O3YK;}OWmNI@^s=uU9_WBLIj7@{GvrMe)E zy}?@#i3vS_ha8_=!g^K^u@dT#a@4QgVG;X9HUmyrfAU`MS+u0F-rYU<=W8^o&=edB z4HK{*)huGNP5iAT(D6hy=C|8AL%;OZ*%3r0-6$E>2TVntArI^-t(5V!2R0SDJwbt1 z7Vn;|Jp_oLdQ8GuMfkR~7UkRI6T+3sAyB>Bfq}3Q_Ze`vV{Xj2oTxEKbYkvyB`(I| zd~1XuBs<;E%l!!wx}CjeL=yp`B0GwXtyQH=6MeB zIxw>2XAK37t`(?(ORM3p6yO4|7-VzA@zTh&{p}-QuaRjC;-p@osD028B&?kz0;tVN z&)C&Rj@d-Ue7F8wX34&$?-jZkJpYdoG$l~DB*haJ0>yuui2R?11^@rg1vviANB&1< zkd5s>nL$XEq>s=t<$)FAvy$PsYPk#$9-rH$naC@DL@w>FKF&r?Gk>bG9&Yb7 zZ7P03>p^|Kp{8BTFfG>c#y5v~{UPrngFjamMt_)y0$tVy#lbJGW6HnAgP#2}&_5C` zQhB_^fA7DQIpty=ri|wGk}wq4o(f#jYN`ON}21mykeO?(B(mg*DY9;|@?-xxx87BBuH zWk3C=z6P2Wao|{7#ict4ryEo5no*xS%w>NsSstVJEM%P?Q9`2}4pCZTO2dD8{sEuh zYPOwbI-(yd;jf+U70v)IY*&g2T`bM8Chd~@=GQCH<9CCv#^!?O|CY}vPN>3GkoS0A zDx?)Wt|_<&2Ofuh2!N-Pa{({04PQSK^;*X)V#w1oP#N}x3wgL}`;;Vj1I>iTNsuqc z_Fb40muaKc9FJ9Q?e&uMVTj8U7|BHMZnIHZDvi~G<3V3(v{yMq9=B1{m@|Sbes7wC zMKod^5Z~tNI$Jm3?Q-^t3mM1s7>MG93gENVGHvS>5Shy19&i_AuPc-OYo?JHSVoNC zF>5Dy``VZkG1C$m;005D^}&QeIZ`H^0=H%%+Z~TQr>AshH9PPItFxGj5mOGLeKM|Y zFlz87U`PW1rtrQw1*)SRKqTC;qCZIVIi*|hGap8h=Rh*{tmGmlqH(Usq@xokUHZZhMPOg=Z)I_~F2z zrz}pW_{4CRubABrUU4q-_&?#SVp0`m0WXaHR(?2vM)07Yd^mj=5`*TDuKZDxy66p! zQ^;fvr3j})GX{QUo&|XXP3@EhANM+rGKW-y7UcN~b$_TZBF%>jO#0F9eQRP9^*pg1 z&O5fjVJ}E>ktAA*+ zvjpSOUyP}fGc*Z5Y(n3MbsQ<)>$rbS4<`Xvkr>1ogPf6%a#5bT})wPNc~7#sRI}jGruf z)o+igP@I6b2mw7U%KdO(ckzScxkfWDQEC4oOM|iHNpgx;7vTOF#-sLao_S(`&li;# zsXLJ$1XG8oi7XGWjAWPGB!gik50MNFbvA7DQx;msXYz+3xjy@CzG5uR_d0x#Ce(m; z@2_F$7f1BS^ivG`$r(sP+?>1iZHJvDDYqZeg=+~e zz(4VyNCbh&2unp;O=3P!mlkzm4`~^pU^aj+ z37}BdzvT1PA{w-z9{^CEuVkFwYoA0Oi9?N!BT%@4(!TVoG!8rdO3ut{yBC)zag_*- zs?papq4%I;=!A8LM*jFw6V(Usuo4Ek@i2bBdD(CV*@hweXtBRhb9D9@m2fON%UyI* z#(OhU<6&)b-61#t6xdNlWNwW1m5ZBbkSpjt#va9gs3T*+B8Kd-R+_;1(jR}{l$0NP zsh1{B!1MVdxUmdn6I}(hVwR$yV#GnEFh93(!ZLUCbhuO}T zc26z#^O{avMzmM6=XH&MO<1Z>GolKS3Y~MuEa1pIGZ`x`wYSu&ra0Tv@M7?%;ggjH z8LUSC@Gd4cHl$^6eIS!@f!~AzA58>!EJFgl245M9b7@;si({U1m%05)mjC8xZfp&MG#TVtf zl4YGg5D1Rlx7I^(G@Ud6{KHNCQ3<_4$~>qA{_SH69YwF5yM(|W&@y2<@+TS^tx7=v zn3>O1%5TwQ;K>mEa0d{OGE{8AOh-MY0=;3l$tBylns?!yiXx#un1xhnmRZ$lT^n@R z^&W)nf$P0v&%mLMmg$o3E0JdsRI~f!pGttxtWazB0&4rIY%M_MQ!YD&W@DrM<$?-9 z8ySgZ+d_f>rn2H!!I>kW&lfhVqOjl%4!|&seR;_Z9dG4oUD}OZ_U8ryk1HHcD~WOR zpVZ>g{cX_(NOB@*l+6)L=IrV)E~LL(&^^xgUK$&=-^~-d>4C98PsGNDjgf-KQeqlME2jb6#Mbnt6zjC|qG*}Hd*rc2 zj1d;&j10{icF_JI1EBv>H;4BsM=(er{L@GVlHxQtH?fPs%58c5E)kjZb-K_w+LF3u zRD9B{1p1E=5omPTP%B1k8I-$^lXJO(7U^fICvXO?Mmb&^B@WA!#8&AL!Vw`yx`>kD zTf`!$g41i@Od`i(Pd>>o=9tmJ5tT#lkV|59_Tl-E?d=iD=e#d*8Jth_EMM%v0SV$S zYQ~J(+V<=@#6hHJl*BXAuX%d~s`mr5%Z$IH6%J=oJPclUoQCkOyr}j3s<%w-Ho~ub zWeWW}dk_H7)Kr)zi~>3h38{22Dl2fClpEiP!pZ8U-E@suy+KB{D#IL1=Q;g6=H+58Pxfh@`1K}-fOJk1i}W& zBggqXQIdi&IhZjX?BF^Y53AG{rAkZ!^Xh%VYxrQ@$px6boVJSs=>QDX!%8O}xjyH0 zp0J+8?;dz0CP%%ffpl?F;#4#s)_4w$x?jxw0N({(-#A8d27+(_5^|;juo}w z5Zlq%?a|g-2W@A5WjGH3LyM40(Pwn_cnk-0h$^BTUxmWmD?!@=c_Bc}vz6&OWAtum zOi8K#km($U=b~2%V=8a; zZKxrunJxr^j5$95orH!tAgpRk07?1G=IYh|HEXIM7D2n*rX}&i7;r65n?ADd)o=mu z4IqD1yh?79I7G6EMs@dQDfQbNZ1NQz5mL-*z~MlkW(Ohv$p2!}(*+)W@9eHK8UuKi z8Qo>A?z3fRD*prY;S8ghe0KTS!gDG!3+2kG`m8I(H3b>AL`!de8^aZ!h@`-B;0aR zMm3E<#s{2!ZY!X~S)Z$=^IK*dowNW_4+c~hS_A2TI7k+`tM8Um+SMzGZYiMK@a-vD?ZS=t&P!lM`F3a zXm-5DQ7)VcLwkuTRHeraAz6Q&n>Y&cNHPioJwgOU%vW_?8kGi8S-E6{8d@IVihNeI zwyFvuU)M8AS+QiG4tOucjBg8L8x(0zt|~$pha;IJjtOUgUH?7^#todBc-6};&;{O5 z@4>C0(KmS}$`7^0CU%vNrNS0Zn0g^H6m@X3ELFwbYop~5@vnlnDnhExfe_y2<6i@9 zvJv76eFAQdO8$))n9pIZ1z4JhVG&W{A1ilnJ=h{Z2I?@7LlUC`YKQ8J*rCUcK<1c5 z)DQfi$l;c7^Y`Ff(kumVQA{3(&cCqjzk2KYVX5K^9AUW2S-Bm~OA{X8@eM;o8+TrA z6fndZrOVp<{S~pNEDhlUCL|035`PF*-QKJpt=j^ZIl>ed)H0DQNjiv?V9QzGN?qo7 zKgHQ?Y`8z{BRSUP#R4?Az?`(Szq3V>5!Zh~7>L^q2`Zqd9!aBbSd^9i+qmHWH1hX9 z98mnz3s{-|N7j#x;Xe;3wqeC97gE*NxcYAaOXB~;7|qvXYkk#e62Szw+%C4YDah8P zy~Ea-brP|@sd84Rch`*PFq2UbI*E2vJTQ3)SI|||2=L(y%|YEu#5Yg+J( zjjU#nJu0=)lLu!a9?NMAc?;vrTGVjOZGExc(7}CePkS5RKG}w|6`hQT_x4uku(1ih zorzWc@F1W~z&IuE!Q4K--ycUSm_b>3p#{r2FL?KbDWf(R~YBtD0;1_h14-hf!#%Fodg$ycRaeue$S>)z_ zo2x2cyGtj->Yso~rX~u6p>z<}cE4W1ZNhLgmJ8FsAK_it%c>8s2~fQDPQ|2aa(YiV z0pZ`FUQAYo>;=-Hu0WYb^BA@m(Gq`HbGOp+T?!8NRP&Dct)tQL8 z8ZJTjDeFK{n)|EsnJI$GW53LbOP3P@h<>J>MRrZ{Q%NHC1Ig_Y>sc3Z+tE@qyA8vz zpRwiQI;_IaV!N2SLqlPwldr5ckbt}2)hx7KSk0u$HfmiB*{%MwdJj5sRdV_!kzeOg z4GU4>G}3^hy^P*ojGS6p>}Fa~R=#EgQ5M*vz;W$Fqp_U`{j;0XPu1p6cRne z1ut;7MN8Ve2l+*yk9S{#XN|(RPV@sM_NakkQ;f`S=j zO;M6tVJ4PuJ3_M7D>;T-o<_|>!RTXt?CHfVK7e;GUbMG_am1n3Ku$YR@Aw-W`Z}#r zO6*FQ!$xV+lms^)dkxLfJB@JLeo5O<>sm`+e~a%B?9~}iu9F)u8Oi7j@i{9yG7@`5 z2O-2j%!pna3-c{)8#C^iKalYxJkKUowkf^rjgi(&LpYW&RbH#l)u!g(8>noD2lBk- zTrRzJaf=neBLDPl9#X4EZm=2Mhwdk4PdnW#?+iOO1ES1jE_zbv#h0HOp_q45=9ZcG zpWE!9K&_7Y2v3L+jS_jb3uLxB!DfI~;KaT^iIsLlhyj%=GxWKkx)}FoCxcS(Xw=YB zz%y~|+UgT|tL+*^*ojekf20^XJ9(1f!w(z9h$)vHq3MoqSNL6(qR$r;D+(3;0q3EF z0;j6Py%0m8vu?r6e8YzvG{c|L#53c?yP2CQPR&*-dsB`6}IwKILz?S+)$G@%6%`)SGUk*}Y_JD!woik11e3b<0NMN`=`i>r&=HCM_V5&lQ3iQvop4`B#Gy zBX)=BQ-_L9pi7NbjNKBF<8%gknk!>T8=fK)!w;uflZ<39tpI_=*eNsL6dfbIQG|4GbkwDIPk3y6oDJ(d&j`b> zL8gBSW;5?K5lq3qCuI&j2a@+e`|yfkHuP|Hkh@&BYM$=7rfONh`hy~zAdTd}YAQ5* zP45uYKt8`*ZFV9+67Q-+YRarvmS|;`Fi#xS=BQ55EBL3M_5QrG(ZO?;Z91i9;%PLO z=}FpqTbr%esRX|`EBEP8YP*bCTOVONYoh2|*4itA$ulZbX0$UHBwDkm zLI)KP%b(`i`h9(bclo8`3B*;-ko%aNF{8?EHT`|9%cx(*GaT|E* z7;PGVjoKqF5C|VEg7rq4VYYlWfu0q zITO&4qr2?E@;lC4sB}Fq@pd)dx_& zuCus8su|>NrwOA8axx3@ApU&OgKd@r@aBJbsbwGps&0z$;HrnCbY12?bAY9vYTXTM z541uZo-ER^G?P)YA|Xd!&+s)3hamS!;c}1!RuL+3PWHm3BDWM6IEg0mKKw`biHrJ> zFJ1PWo^7F#?JlCo$f|TWS!L5UrB}WG^T=MVby-sV)2A%FZAvKY=~CDEuV{N)*c->Euax)Wr~`=s z`lrOrz1WEnnjRaZ&}>HokSN&vs9J>Jwz>`1mnx+0b1@y^83Bh^B9_JF&+~J(J*3UY31>;$zX`I zoX)`OOG(fbxr;2~GCq}A+14wH_WcY2z#08(2p`&Uod-|ig+<5s;Ua~AHj*yoi1q8u zDDaX~`YO~MaO=|yxYIJV5O?X;B+98hy9=J2zKPB=z&@P7#bEF<7bu_>k@qo!Y*49o zms9G?uOrCLND$7^7RPzKc|(K0IQafSCVvA$C8dX4gGx&m0+=!4s%FAVE<#mDE6xOO zE`=LTn64*!?Zb5j%kL-c<2|O2ORhU#{nkAczt*2_1$wcjtxf4FWjysWGfhgzlQn!N zyQMB)i$P{*I&oHwNl0_lX!C%~&}!Hg|I}GeIiuFV0Ie@6=R=dydvyImM^cy8xi5ce z*FA~gip^$sDtYzTh~U+TM_yEJnLN>MoUAC{4~0Ws5*isYQo#wHaBjZnJ#C7f&=M{; z)7pyQPDM|kekiBS9YzsACQN(CX;e@jpZAqVz}v&GF`^j*FD zsD|4l#&6o?>4)$Ft-UvhyL@Y9z3pDcWEw)QB zlQV!9N#x4r4WWyrEF|K4|I%iO9^Z*HHj6NgIU>K)!f#;X%MKr1Qom9aaN;AEIa>6& zeb3Kp{CjFcnz>9>H7^z!D}q+f)MW-9D<`~x;wR|CiDC4M^9)ah+Qhl|(gj6l)+Px- zjhH0DQS$a!J}SWTO#HX-q2|iRO>`23KZuGZoy8Z9?PgCx{BOPe|7o4@|N9i+AE>~} z^1oSyjBNDG{~-s!FV|FF>+YbFx++N&C7f4Q3-)d5f?3Vtja(d(lGDML4m&N%uCq<` z>rUWzL@}K^pS7|HXctqZ#9!H}QQ5XM{)!6w;rM4PVx1*cSz8YC^8-GtQ@3sVN8fMrxkLWYMvBS#SOn*fs-%~jIk;u}1EfUH(eFm$0sC^Y zi0>;o`A;sbR7bcaDoYH?EovG4_?AFw*1PWyIM}AwF)11E+?v|c^5cCM6oo~f<|7T< za<~Ii;dw8oa@9kTOzr)fz#%I&_2q6eo>2!uowtr7#dcPV*=2IXD%;oUmIHj zGI<5z`wB<-s`|UkB;GK`QL1(PaRt!jLhr;lukErKwN`?{8Ra`Kkbv=KVb;x)S@x&* z4CW^3gt4)Dupw)>BNmDElI`h~ON%{ko*fM9vLV-Y2(sD=??s<)GAUgkt>h{^u}Erk zug-Oom5fFUWD;O`aNkjT3)hY@tOHJ%k6W5H$sY4^xU+dhUqXO&xArTfL2NqsCswkc zdHwmU4L^20MR`a*JmRib_jK&In}KNx^(LMF_Jxr}Fzl%V-!u5v>rf zSoX>0mEX`@$#l2`ytOC{oLZO+rHMb&Mtr*DV=S?5j!jWT{4a$->y27)LW}-ImbjI- z&JMj?pj5ZmALue}9+Mnb2VY5;uZ5q!H5WaYqM};z$#pC;~Lt zz&Y;XyG>#H=5up&39nBD_{hICpjl~LyK+P#`(=wBZC>>L023pE)nf>#W+{X@_&Z!G z-n)SrEIpss*!^hN0Mu~Afkns3PuH~g&3!Wjx}6+7QS~oliUl{!hqPktlo>Ijr>;HE z@Cj06GUf(1*@XDMkpbTvZjDfAx|fo}XKefk5Mr*g%|Ur}!Va!iF_Iz~?oJnKvia{n zg_(jxM#A8>aXZ5fw;i-zM*2Ourlrg$eV-2dTtucLdC_$`%HZ-aVl7Qz+t3)t5N|dM z7C%tUXl%WL8UEC>fJ?bSp;gZj795YPm{Bm%{V`0O8iVrV_`r(UOD9d1ieQe=2yN8G zH~4dsdSZ%y$y@O{G1YE#nEd|9H4_C}$j|mqG$%Nox2}+_?f8<{)fiKpOxHWPh6+?% zBVuLm>$WNE@KbGH8Bd^nSpfmQ@OmxIh-}miA4&_f`X@DqugD|}NG;4sQ_p(LUK4n( znHB8cg1F|#*t{g~xIaw_0W_xKf1+8_nkKgOm7Q4`W6c}@&NnL=X)*#mZp0}*W{$@* zWHbwn)wCcDCMGyC80)UD@fkaL-WWs&6>8r7b*u(`@F5`79HcM+uZ7tqqqh{toWg#l zYBgwR?Mer4X8bq2`)wk3_g@~2t|71F;kE$X*r*&s5gq_{r^o+{op-#~)>uQ!&~CCL@W_64Vd zVYDsnQiY=0LTM8Wrd``8rB}ndKp})-_&1eu1#xw)C$$ec;&1e zVaV8!)Z3p~VY25Df^D3#(0rOtCw?J=m;aSlW4pNg3F(n2o{)I=}M+hkoVBzb1n$d4hlFiN!T39 zM+G^^5b-W#S?thu`*t2G@au`AtTn4RUf4OY1bK%zD%#{4I%mM~3lZul``&)I&9n*9 zzP}2ndW4zF&8Ujp0ut`b=ScnO7Ps=E8qjyiT^dZ4=gRDu=>AGn31%O=61lDWh6`WI zEKRE~$x3YsCl|&4V2cNyT z?Q@JU>IQ0CyA$W>dr zi|;a~6(zJ~R_R+hYwuzV03V4Lhsk68Epq=iaHQya1ipV#%72|(R={^bK6i*xYrLOA zM&e(a1N!{$Lss#rD%^%yH2q;t^RZDe(a(r(4k=L(>>H9RMw>X?d54kChe{2Xz$Vb5 zkDop^wJ!jYRiKXJLVp zH9P^P6(4pOFDNo6m82YJJeRE9Nwa0OHHQDzyes~-QLL_eCzmzI?0rZwL2DMd=t79j z^l$2?U)k-E0HFcSUxM)Oy2n5ab^s%$qR&S~Vi6lUh3~MIEj?6vf0E9&&lLFHVFh#( z11T}ty<>cU_Ha$6Hn3wv13_$T9yQE+1#s?N{D6f)U$ee3)IAxt@=W7K5sTs|pO|Lj-#3lV%&;4+P@K zN``rqb-+qf$YJM%p48V0OD2W^y$O>7{^wp)Cpx_Bp5N(yT;)W=`Y4Hwl)>vgd znXT>y237LB$q{PR04}yA>rF}KDRT+RacjtIO!6Gb4wa|{F$u*jO5o0mL0)q$6wW^&<(A5i(QaFF8HH(xrm}%E}bLI zKH49MPJ`J=XZiihv~TL^SXo69CakkNIugS+s0=XnjuP0(m=?+oDoeAc%47Uj;Qwzl7$ZFc+kX`J09>me2_JTLUh*H< z1!yg8h^l(v7jG4}Joueqz1Zl3+AO}aAs&~T8@_^SGO%i6E4VJgtsDT|zb&ve;alfE zbsx*l8mcUV>N&?bDQV)Fy!8;pBURR3ol>UzDouF1YVrAr5nSHhqq& zfh%%nD**j0-I=I6)GT~da?D_5w6YUKe_6N{9s{y|jz=JMe~%6WfB#?uRn%n9K7C(M zMzHVqT^SkxN!iPGF*Mi20j{e3b|Dd1L+$xJPf8EL(nUK zZ1>tbRd;84mN+;^6e41U3qeqcZ>zb9u(`&+7EafZ)Y}Uu1KeKT;L?51Pg@ob)Y(wH z+bo;o6J7f81%)znExRc|Sq~Wa`1MbDTmAl2|4~X02&!G!lCp?845}M}Mk$P%bdDs2 zZY?(-xZ$g6Ca@wNSdVJTdVBjRXQ}Qa4BiNtw=_0|nMn^vHSymFP+RjBk^J0}ANyq9 z`F+k#jHr2Tlak!Lq<--9WD@vGiNkbp_PN|tLJE+?kwyZuruQzrSgNP~HcB_eM8>MS@<1A8GVWI)V6DPyDA9`$S?2LwM9?(NU%S);SmxF3W{j;H z`P@W9~g@FFRN!jMz&*EG+*># zbprP}i64E%E+|o2ppktN^f~Uw4@zM)j89)!Bq@?&L7>K`Xw1wfBlgzGl2rRNIfVx2 zl#jqu6gR;fnPA&CW22&~S6bl6{=X!a*80Iq`BZjZ?xxs4WK>ypk?i;gXmw*h>q0qiM+x+C{pfqz& zzNlVIZ|`{Q;pA-el?p&3Y4HP12exRgpF#~Pr+CpLr3+_#Q_uaZUt_8^l-7c`u=3Q` zi(fJ6cV-IIaY6kIVfM<=bH-{im+5x7_Bt76Pw<&jgPyQj3o169GoE|E)DR+p_;c>I zi7hSZ&xWY-M~6}M5;bS;K-5anNhZWTyns`r#(ivBNwe8Y%kZQ1x*AGt)ioZMw6B!m zMBd5ABc;e1=&$J#iU)taXbsSlWBmxB;Y4=SMDM>VR-{CpxQ`fr=)f)j>{rda;FCVz zN-D)>P*J3S^jq74Qhwxm0fl5wqn3c<7?!a5RcnpefzjThn9oDc&jLx_M^jGmn}Xpw zt)V?*5(qRxWzO*x}Yusp{^F@~~n{khLvx zyuPT$AHtnkV5_OL_Kc*Ujh?nb9Gq+s2efh(IjA>WJt8#crN6=&+fZo1_M`YBmP7C3 z*j2flsT>q0=&+k6tvD(NwlGcy`dntDRLaQ;8?6j3dx{kcqG!VLZdg=*0XL|Afg6aY zORA8kcyXlP2XUdh)B}}yQ-b#h_PF3Gx~5HB%VpZw``CUpda9KWcE&B?Wf)hj=vsBT z*NZ|HsZ-_gF4E7|HT=KfDP$u$e5?Xn9^QTU?;gn;)U2_KtQFIAwhsn&xuv*} zBck+hOK<7nqJWY)C|hOs1y^&(0#lHSgXP~eY(-XP?VlzyF*?)+*iYfTB`g{0zpD$v ziSVsA+%kGRH?#ex0aDJuhfx*DKSQY2Ji=QMfjErw;j-Dbrbg0h_So5e7&>J~T6|_E zM1DQw??cKVeDX-ocvg6F1jpK$j3thJodMcon77p%M!t zo+)eG4N@~)tP_s^5Y;lF&yj!|$3G0L#w4t<^NuDm7OLQXKq$gS7bS>x+v@jS8^GK^ zIU(Mmp&3>17H{qEC(;2qllJ1tXIoX(n`B)IuGqNHcw2Sf zul`)+8@k2xRJEAoqw$f{R~&=GunQ2O&tuV|IyY4>^;4u_!V`84UA7#Ob5^Q3SvWQH zV5=i@)f7IH%8wE}5<|pirbX~Pf1haxvu%Vd%1O~S^ccP9FG~5ccCSeUxIdvF$1s616_Vtm~K{pqZ+2XrQYLc zZ8%JTB8E54UD9oL72#}euSgVVNcmCH;^HsD0zpMle>_W*$wPEg|*7;e!?S7;WKW zRN)osvg^mM6>6asXONms@8 zp!E;A5h-a&32k`H4n`V363hPG_bW9g+5uBJMFhfjr?xJkLzpie@~I?sIk*~0?MXzO zNBzZ*YieaW8vrvD*09?xY6hU?zA2N6i7}y)pJFZp`y)jLc|9D*Hrn?srs9A^Y(ntY zxj@RKG_y}!WgG%NSM=HOPVUDf<;^x_CP7xh+Hh49{mc1xU`?s-=ed0k9i`V_CezK& z3~2%{W4t zExOH0r}+B0k$A$s(pQJ#>Ct{BqM%9hMrU2N`1T=%19D+u&GwutLH;q61LoMGKoBcW zXCCCc_86SORnCkNEqLJ-Uc2} zn(`DwxJON~i9|ohL9Ak_4zI});^3c+hyl0F0g7jj;!hdS$0bIqgAdT6fVB zePgFs=Oi!_s5y^JmNfjR(z;B$D(!#y<`j>UlLn!@j2tiz4+bnr!(M{QV>-iVabp+K zr9!^eFx1Mr^sqMYw4jxLNwn@8=Pbozc}5xNxH@FgNmwqoJh+GVgcM*-<|if5=p${I zK1asnkEx+mE+a)jDSSM9N^46A@y{c@P=-#wQ~fJbWxM`W8j%grA%uW#CGq!Gsp z-Wsd3cm!w^r1o}OzO8yX*={Hk7YgyfptjF@2=n>(lo4>%88$&G$GC|={4?7}N_@Wo zuw0&t%pRqK_f|@+d86Dj@HVakAOABamt-Pqs+NRS$_*FiQxZOf(uU88#D6U`6O)UZFj&RoxFO`05Wl$jhCajkGlz2kr zfPZ4LDzfjB#t7`klIRgONXvzrzEbdcG!rAFIW1VdCk*NfTd5Jjgo_cLsf2*ZYNBT? z5WqJNGkBfe$8a)jq+63_woph_T66)HT3AHWVUyA5H+6`vAAjg7-FWxaBhX%$Sf9#J z(QyN8o(-jEa;!P^Kq?fu|E7jO1u1ck;%Kx!oNYS86cd@nx6h(8XS7{odKx~-(HnY2 zwZ%urJ|`Y8g8*a~XmtQh##J%`e>wyAz7!M+lY6X-d-w%bS_*)GPy-Ng&R*(E@r|KB^N9s_5q#$&t}jpZ#K8fj5nh-glN=;{Co%!|`?smM2ik~6;f zdt7~135)FfL^5V~TfX8f}d89#Sc)ftG zmZ`25l(aHNNu80{k6?KEX_cIE9kn!Rf-M_NvVY+@C897Dl;g>O718oQk9T_YHJ~OCt@V= z0$UOvLcf9a^Y{>IKJ;(L0Dp>QDNiueu3-j53CO!drz7T5kFJSoEvfy{!3YLKEdLHy zQCREp8n@dDQLZkvaAP)x(I9g~`*K5vhb#kMg&%;qBgI!1x7mZqfpny}%_qJWCY!f!rEy0%1PdC%QI z?ZRf4CJy)~3Bc`^vBpjMKR*<%p2sx@5)lknB`T1{C#gt7+qv?>!Qm(*3Vx=HTJbmr z7QX07my_c~!`(Zxbj5LeNWeeAt^ch8+>F%E{}Y-aR4?5MipqJn1%MmK&T^3mB? zFb3rP8vx)5{LNF{A%#HQ{@<_3jgb9GJfKR4O3Hnu@$8!MJlHcKCj_So#{8LQkuyHa z0-!f2*!oL%U!AqVQGoj{DIui>UfD!R*JjwkIq$Yb?o{kdf|Uix&&2}Obg5Kp@YW{j zIKL>d8fQ>Wd+Br!2oi{4m}T~6se#8`o9(*GPX^XR(v{uzri#aCPYY{fn8%oby+3Pp z6^ciIFX0d$T{<3l77FxIBo&r}xT}kkN&a+;>lK_F7o-o@DgIw=5%2KC87lf40AK@wM+@*$ZxAY7pk;kTL~ApjC4&Yy~O9W|Hs`s1?kc) z``&GL&$eybwr$&-ZO*ps?%B3&+qP}n{Q6xx&W`;>taGu><$f+kRn}9LH7cSaD#w51 z_$AZW_kvUlVzlW{nEDP!bY1m+$l#5R6I|9E+7(%uBG9tc$KBrV&X{DF56#>-d0jB` zcGSrRGh@&Aobjvd4{nn-tg+!RlOC>erDTu9WNk08hTSG`-$y|fUo#oTF!#*ouhho2 zy4%K%16g>ZKN+<7AoHZ(H-Eg+sGA#4#y-HzQ)=a@s(W)F2My^LP81`$;L75WvP9lV z)1jy@f`GEJr8>vhKKw8c2FmMMC+=zE8&1HLze+eLXqv_gdY4|pNe*gk(kj*FHHK5o22x z$(;`;C>)H8KHp_myFN|i&JBIVZo0zDy4YwwcuGP7Y>LVd)85U8r${Sfd88NhM(7Cz zB-QG5L?o{_BLsHb$0rI_8G_C6D~b@CTq1P&R|lGB;`G=EC7m57Uq)Yhe|Y#K0#YxMce0;*`oF+Mzuoqion0ox0f zYo4l)DteJQ!S;$1pz3Jne0Gz?CcjgBO&Eap)C~GeX3a~npb=B6Zg$6}eS_2~Qg4v+ zOjD<`TdIH6KP_^Cdh7uVrxyN{=-&2s6xV!V3~05jXFE4ycy{`Dpr`h`Bf_=v)>Wkq z!qOQQu|R9~^u}0l#ofr7K6qkz%@=i>* zF;jP49Pf8WsV1Nxw)QNGbygflTP9rI)k9aV4(+N+8sn~YFoTB=>gVy}^d^V5N4{vkj*A%D;bc~OU zIrjWGOOoNW=XcE3a3PqFP;S{A+y*<5`UT@(#x(QqM*07%@hJbvBnk7+xc)x_qA)Yl z|IhVKRR!Kezj?YReSv;u_=qTGX4}^PV)BZi_SXjsfbTzP6AKa2ya&S{;xP7Ng`JMm z@;UHM%+4=Kir}~rrC4l&=Wm%WB<+sYF6wT188hoa4J0D9OmovUN?(>`a4wnU0qiq3 zA{X&nZ`cj(tCt=k=iH6=x__BTTGcJ!Yx7hX{}bcnC~xxhj038ngyrC|dpVC()8y`2 zZkP56fBtt|@b>v@l5cFU(O*Ruhs7Vjvuev2#6l^D>D5xms6o=F9y!wWxDb>bNnnJZXVh182zVf0xKXI8ak=(q=HwQAj96xWlkEV?Q2R7{CZMG`t;N$ws& zA;hrH;zR^62!<2lmrXMe6aWX|IIs5_yr7?q-P zc2nHaCwkRA_Pgqb8->jkwxQ7oi?FETqro%K@3v(02^I-L@T0l zSWjx+ZQQG0iA3T3GuQl3B0Gj7v(Ebo8raNT6Kx^gH!7>@blw3CJ;jwr>}89^cRMC( zoK@{@9KV%Ha_Q-80<1F3z+~lnk&h(93<@X-7rgCwGk>&@Vb#bte%-J-?Fsi`P1 zhhS3zd$)CkS*rn*l+crw>MJfJBsG%P8}!|z_X*ux;YS%U?D>k=M7QAu8xCdldNu=_+eSvX_o=>DJR%eh0q!7o5J&MGTy09`?6(Q5SZ{n)zj zBeKqyBjN2k6z3>)?ly5^zS2zk+)Sy1B(o8MRTDz=@<)Wsp)*<0h=Dv)aK*(*0S!K6 z@~`uFoU#qmA(5xZFPIc~=k1kMY?t6niPoEax0rEb&0-B7A*Gi}zS{ z+d=SlDrIp(&sbGDB$Y2;2@nnAqj~W1Sc8gSl{9jxKw(+d4oXdT4Avw>%Li*77K+7^ zJ-eRcv-=Ovq_+%j8+1~Unra;9yjtwQu1Akq5)YB9qkmrKjVlSM5?|NI{$@JVDV@6U z3b!L}PhTTRuatMogg6U9cQQ_zP*LPRBvhjiYiw2Y<97)e7ws2yKstO197wcO`78&o zY7X94?n^IiQ^}-kb#Y7?pcmsMk5lOfwv}Er>zx)StOcJQDT9m_R57o0r-{N8m!P6v zawled&d4W>%e|Mn!P#~FnvCGC=6jG%WZ(4QC!oB|wKeQK!!K(Cs-wzf!2R7483<)d zJy0cgv*0wr_ZFY5$)pWRpLO^vr$b^ms9NyBV#Z^+1 zN&~5mYoP2L;^YIuuI<2yATI*nr|ao_~%&z%B-xep-)*v(bZ} zlDX9;9*|f7DRNr;jn+eXhtzzv&7-9h54fYi!XOXx-1fR!KQV$3)qHv{Foms`DlLc- zDulr*85`eRW-`}-UgKZKO=+BnXt4cPANlc}5xM&BnAbH`d5A zIoPE6Ry{M)NJ0cgdBAFZRJ2c=m)K|yrbX*__yaVZ4k%f5@yy#>qMV@7eD_gwY{d)~ zoZ%>}fX!<8m`b_T-K?o>puM%yZZaU{dR0-uieD3=DH)}T94l-BZZR8`%@o*WRJA@z zohT)Ij;35Ht@8ffZJgyAUMQyb?FXu8uM^fSPyR^uM$K*0XUcm$8tVC$$o2%R%$=w) zd`(2lfXISajCP;sANR=3WOo|R6d$%MqvnLy4!k$04t}LEkEXJRtg^M!ZKH0*9D#w~ zBq5Y1@Cjx02WgJ#Oz{iW#Et}kD+|_KDy)1+B4kkx8Tb$?wmT>=&+qupu8^x?#!Kw` zUDZi)#T?^VS+8Oy0u1zkQ#o&G2{uLmnaeDcndHXXD&R{!jDVnoO8oHnh?p)jo>?z_ zdtte}vJ*ODsUsN|FO*Te%(XP<#f@) z*=<-}5Gctq`Xj|!aE2M=yfHEgnK$`OzTEk|AC~w)0@g!2LZ#E%RCh*$L6z@{#*d9l zQCY?VQDjm4Rxccun%Qh<;AN6;tTG==?F>6tnO5+7Iv++Sc*(cy=LG6A8AE~OOF)pa zCg1!FL!<)*tVPkJFjP+2bcpFTxxp7`;u{j09y+(sS@~14d&lDw=NE~&RA6mktMMX& z>`q@XJXNetaP_u#RY&M~qH!4`hJ>wOcOp%A68O+~0{VQ?l8YpPJJ7UgBP{sYqSl^p zG{D=j)K(~fps|$fDcN*nU+qZb3Wu86i%b&t(}c7Z_fVKYdy#{N2F0l22nB=IJEeD* zW5^Ze;_X@t%?XE-&oA_C@2(1)IhIGCzqzty*l+OV8xLMBS6epWfWyX-+PLMfTn6v; z(18R2eA?D(q|M#t{1Dqnk(Ji#Fx4|3O+}*?65BQ=*U(>$|G<}^+UzW;LAky%6_gzi z@p0l-QZ_xR(oBhkynPl9gK1SOhEUOSdnk9oV9ZU-o z>kU_4OXui|sLb)O|9VQJf!jn96j{A>?K$jfD}WE4^Pual#Jw`^nNlgtTpE4(K!vuP z6s|S4PYFMxqocQ7!?BvsH{!BEX@e!ash;Gtw+9;4#N3uJh&`+~OT;c1hNi?nA?gdb zGO#P(-1cUEWY%G|+v8Vse`fn5`9s&i--r=mRB$)Iy+e4kr0`w)m;)CLXWWG%U@ji* zMP+xysGE@x*DW`1x5WmLh*)ieLEj`|RhB$)1dLM&rY2Jm6E-Ec3|MAe3l_7 z-I*_`$a3uwfRHpmNNwkB2=Zi;1l>eDNj0c%tjk$(>1U^M(k8drnx-O-V^n);`SA#_ z4EfClwj!HaR-V@frk9)Se7odZ&$TGW@v(e zYA02f8{p4&>a+y)|pk1t(5HD z7Y_DsJ}yCy&FD(?iHQmzlYv=>dxtz=Cp4sr{aoCtw8^ZHLHDnwds5YQC=&xvp4I|L#iq$Vp3gk>RmC>Dy6OL)3UxEs1`3 zH&g{3gmUwrJpCfcB}erFg)`j|am@jmvsI#)%*y0ByzC7PsfDkgk%B>;u>MsA9RUFe zq**eRFZNCa>xqO1q`Lq*n1zI>rR$fc^tps74CyF%8FaM{GJp4YXzS>~(U^sT%+?!v zc^22>06d;r4uc@fTu4s7u1P4d!c3wzK*TpP(%zwrlzR74R-yrV*>N3VKS%~BWYa3E zCrf=#rx(Dlh#siGCgu)CG>TaP_ysqzs`5TZA4CNBed?B1*QG}#JxDgxLxd(^w20r? z8N6)}H;f}e}@z&M*#)+5G zh%bNfp2!EF>(Xn_2*TKi$5i@WPq&`MNxkLniW(&vS|GE-vWw=kygbd=M0!H=BS7-5 zY5UKnuMAYxfmBwBeJ3o0GOfrGRJ76C2@bbmnf|744UypCzl#y_1J|0z!i}NUT;zi} zZWdMSAQfcehhZXf*A$>Nx<)SE=$%61>G}r9#-2k17nKvKwB@Y-klVy*nfei6GUB~3p}5o2zYW#lxZRb`KUj4)gu%yZl?EN!d@+`*z9ns48-salfpOJp`8zrW-?$e^3KxK8dR+A_ zduSR zJ7v*?c0B+QxD-v+R~nmZRtOCho&~&_H{h5n| zz)Vx%)$NtUQR)<(_^|D|L3S%OV%5&g#`vIUk^GyDIx#GZh?j*QsRtN0+_D_+1!&0j zT`VmcO}AP8J(064=V^H|FJ7kc+e#{df{5{jS*P*Z5&~NqirQ&UPxCI#;G=m8sa%^| z`_=zzLj~+lyl;70>NrwDQJk{6fsi&)v_Y$s8RqOTh3|=-xpR_{G|>o@lS_-J)mHaW z8sJ<}bNsjzObaLqPV3#{*fTX04|idAnfmK9eAM0bZkN|z6~XaOO-ttIq0zK(yGtZb z&{&ttBad*NS7$vCUF5&3hud}q1KZw)6h>VLtD`M5T&}1~6N*9&bh+gc8Y#w70H;vn zacUIUJB~l)f`ccRWyRSh7c|MSOK*^qPh$9nBD|KPs<1VC{OZP0XJKaBLLmgHq>ls@ zMu{OOj9D8cLs8~1BZ}Kehrs)x8Y>3zQPTng^V@X`F=lX}N&&aFQE;cK3^!N8i0g{# zm+)$+p-0CEKaF3Ran#{Roz*Sq-!ySb;I^r+1Tu4t#?FTZv8ZG zycJc#D#0?+Sb~EH?1dO_xJtl*t8(X;uEflwE1*@%^otL_P4apdnG~W8+U1P)DfC^h zu4dF2`xnfP$#~zd62gvZ6V4mTqB_L8gTvBzu<>9NkGw3k<=1qU^M!L*vv89}PAdD! z3e7cP8>1B{w4_&nsA|4O_tw#t| z+Z)%*A_iu3`X7b^OQ!Xu3ZqvF;l5Ntv9zRbCbw6Wn=ex>po)#?eE*#q^}m|F^Z%Z6 z!t$d{{bwv5Mh^P_3F{5+ryR=^|6Az8AwHegg=`GG(F2zI{=#s-e$y14*X7k*a-ex= z*?euH33QO)4acNW%%wL)A4f`m2`291B&OpFo5Zx=WRy5r1z$u#r!I!!k!0 z>J$a{dDU6OV?vpO{|RwEWR90cM6j>08!L@ni$|^?6guF@+c|6?574SgLn@eukocG&wc82?{;D?P)-zsLM~l*U(%B2edd+r-#`}iOQE}684t)`18U_&U1uq>Y*Dh^facYKsw8zj!5>sbaw(lpuj8z54>l#?;WP(88Q z?vBYJ>8CRBbN>M(=XO%P5tBff`Y&VivBQ)mN}j86lJJ814we`=qDLh04X}dh!1oj@ z%Of{uouVeZl^U^Rj`&l%wP9@W@w2!CsZq9fQS85NON58y8fj)$4;COwiQG6RB9WQvxVZ)3gKaG-7BXb4KYp2gV09WFM|)TBNQi0wgnfF;V=tn%m9t$Xgk!| zARfT7lf%HgSEJuMe^u^`nXqMusa1fhJ~~Q zsZo^sOxDVc9W99JYrRV&=X&`xs&zOLW8E|*E%lJX%={it?^fK zVZ@RQu6EP!^wFxZ^e7PJP|Cb+MqaW8W8mq5$g~^Mupjc=`)m&Eepeg)^2T< zX;!?$E}UsBL6>75E$?usWMeat^OhXV^H3ckA(yKHhyX%rLHfe|+~Yzzmo7m?9uV{Z zKu_6{)CDePh;N41jLlrB<3Y&H0p2{!#FsM(QIPI-efO;0fHQ%9>jpU7_WBs@K8J-d z^EBzX1O=kxBVdJ#jWl3?agr}eeL1p2eS^#F%9@o~-pkuUr~xo+H|7^&$wzv^Ace+S zRF(pX16>BEf=H54&6xI7MEehA=&~o4SN~5_GQwI_IJY5tGhM%j^0mm(Y__xb)4^eQk%s&`+&!5oMAy?~@kC@uYyALL zjdhn~7Xn)o9kxj{1G-w)XR4)mdnbcw&ZJ%?J${gPB8t ziY~0_2+)sS*3JMbGS~LZY%#xx&Q(NyHc{lCkA&$Mfh7C7+IsAU^u{Jc)pf8@70g%w?FR@X( zMZBl#dt%A?L5;=X8VZx|iE)p`hf|03V?Cpx8ge9}O1N#c0b*;#H7*5iBxp5GL>um0 zoRtZI<-5GsMobWfEnnHBQ>{91bsb?_p&C%^ea8@G*U=Mwh)1xb&N!O?jL=3bc?5;i zlR9!MU(73nR&0cK83b3IF7hfQeY5nUL6ozfoD5@?hQidzLnI#s#`Ji@r-~X@Gx{!h zBAbd}O+PD=LS)GrWkCwdc_kEw$g_N2S5+HGWXp3V3!=WTV8qFycs$%k*hdeFP$Vxs zl8hC`kuz-lA`9af=X4nG?HN4i1?rymjV`rGs`pgYr_t0`Opvl0xvwONJ;3pwSc1W+QZj5vMdE#ry`-U%|}mH)v!}Cb`Uawv1zt@pJWg=sG?de;0b# z+J`=A6hM&9P&!Xy-D?V*eskh-y#x=>42NDENAYzRa>T+nYLEXbtHf-hh9dE9F|6>B z8PM_)fmy#6Q@G23?0}lM5w{z8^BOw=>~3*gA2Q;xg2$P`Nfv!o{u|E}#FVHPv}6=# zS|&6oZ}u9;u-AD*QFy$|aws?V$TbM;jLTo#2D3?ILpZ5QyPjdQtw!){$>iW9o&KpR z*8XPURWc-W)ZgORccg$!5@#b#_@#o2PG~-- zCxxjtd_s{bCT2JQ`5rP0M4N@;=+U~*`&^-+9ZBT(Rq6!wYC+r%n)@_>W9pKK7X#~4 z}?LFStO^*pSRF(HkZ-09{=sGS+zCsNoc7+4=7I6rzy_P#f zY0x%)fKU)i%P?DJwb5Ygw^bd3=KSjY^bMK znT|0Jp!BXydTwwzX(9WC^wY$c&ty5>*=)I_1A4h7l$}$g)geevnPGJy;jbP2{N{_B3K?DI*OTF`v-k^^_~ zqS`74lvkN%OY^E5*U&YbkR2Hac{4X15N1;~CqQwsn6UNyPuwmfp$G?p*>jtX5{jpL z7eb@a`wF#%IhFAEN3WOO9c|yYZ>vX<;*?Q*qMu4v0nL&OYimZ#QjD37x(%O>;_CJ- zzbyphI01Ep09|PSLI2%A=6@S%n5+mpa&;3pUIXEO9) z?fQ3G7o|UzWE(ZUho_v4J@k9eaI8Edyt5qPP-Yz(ty?W+79Y@zp|0DfEk&Zb{ys`3owgV=lB3bqho)u`8~irxwerey zRUT*KVHN!iVywtZ-K!>FDGFGv-J1Vfud|aO`94JW4H<{a%xHfGz8O_7dEzF8Q&Z|t zkJm~*K3NvYN*nVx(bpbA>FJYj+ASS|q-IYzoJgCjqiuqnYEsj>{OrfeX2T&I7#I0j zfFx^lh3lCc>6fk9U#{dUyZ5Z0z8_P>lrmR~1MS7afr?VWV!%_icahjvFu5r9Fa;BB z3kRJYq8CR;@3JV=)A`*P9{c@Z^YBuo8l2 zQQC$4b&4djDU`eZV<2Utq_!>m%TKkxg&vXp6kK)X^d$O2UGHtg?c?)`Pi)oQGJL%- zKYr-Csm2MAZv2Ss3F-tSf^Lwh>5zozq-X15!8nmb?Xi}|K*+77;x)Jk4nwB-T!)e zpL}sKFArGtGP3_Hf@{3^=%Z6$soDadCgIaXCSMq0$4qg;aG?T#f;E#x!O^Auhm7Ga&XK-bP5;VWwPPeWW!j(@QvRNCY_CZxWXO{BD zwdM25f9t?Jt7sc4T%@3Rr^G4B5wf34l+Cbcvl$9u6l-&C8F*ofRsNK@t*V@}M<63k6~x$byZvltr5j2{M5r^ss}T&x>c+NRY&< z6`1(tXQ{6XZ~W3Ry!0y<1I61m3*ZiQ8f)?rl~(B`(SLjTp@#=TlBW|tpJ`Sxo^wH` za`@`bo;E!b+d*hT`>WKs^F|NWDOm7+xK?kAJCm4xG|yGRQf&1)S{M1oAyiBR!GaLM zvTsR-BQJ<7StLQ2l)q2sr@~wCtYwQTdYgZa7r-h*A&+=06Ht9TY&0b77-ldk3Yvjp z#STWunp>RDvsMN&^>q;}dG2E9Q2<4u#=EEG`+QMIHL=bC<7(l%I8|DbDI0nxi?Sdm zHhZ+jCaej*j6E(&5WECiOKW5ku!%gr*em-*H~O2t*x@@m4*GyjMjO`nhjBw$ey6y$ zYSP~eNQ9yY@EqW24{owa;&sk>iRsI)UD2Y&P=93QiFy(xH&8o^zI(irQEh7Fk<1FF zEE!L9Ra*t--{4~MDAsN#j~1RZ%2MzVffL13Q5w9Z$+Lv(zJoyPIW9zga2^WvTEh%E zR=W4Qa8!~ow5{2;%o0rk-rm{ePDouHJ+o~&&;ZD(zB(za!=zx+JA^!PXga>}M5uAp zJp8Ylbv(t-1LFQTeZq1bG?*IQMg-%McI%1Y7qR^%*|#-!fPMjx;#buP?eDgTP>rrb z3^Z;KVX1Mkj^A@e{0)4(&#xjQpy?d&ti(3w{-j6;PL+_#ec=tjZw5mv<_8F!UY%Br z6A=>8-NM@^{^FMN96E7AUGu=~RI>}()7ki8(k3lp)53{dZA`+V&t?1)XM$Rs0`Cwp zCr5U>b5g$JrHjS3O9e`yMs_hkx!pG79PX14J(1k8_`Ay>)oYwMyC+tZ)d!b?-$-ZJE4% z{ew4Y@C;5MQ#pujh?_z9Z>{!x6_l6QG~3WoogmeV5;g6V4X(LyPAwcSCc>tRP`)Kf zJ&t1bHlMAQj;M7BvKnu9f~wEPXOQ;n-U{|4yW){W;Q(5+lFzkbkvGQASFV>+mWO96 zz(XdK<0Pmba#w_vw@F%(yK`Bgh*494yUH~&``yk53WlAIOE=|*+a)KbF7Z@t=U4L< z+Air0lsNY3)pjMqt6f;D)!-}>US^|VGXNTuS;wa2xbtJ>PV&rT4X~eLe9L2}d&OIk zhkASZvG}_Nx7PyhbzC(GmkTC?t5{i`)nI<G?WC#Mz7Hso-d9sAyZ%j*A~ zkG7#7+EzQ{dh|2yuBGYoeyD)g;E=B!~b9G{m1$zEc!1B!#@@q zJ`?*t&#VabkHzt0{Sz`OLj5O!7Tb@nag9Cov@_11A%FZf-g;B?f#Zrhjrf zfBcInG5)y!KPUd&K-$FC%*h;|g^7XXe?T5W4f&DP9A+OtkA>KQWCIe6ja)FY20@VO zCwIvzPZ+7md6TSNNq!CDz9`5Aq(EJcnKEUW4P9rzNQd@f+}(`h5)5|1Zd2cwwC78;a>%HSM#y)tPK7*K*L- zZ`+4J3VCLflDVOEC#w#ZJGNRN8Wn}v)4$fwc9UU`r5tnTj7Am($uoew^gqpq9uHCB zv5~Wkv{gzOK&H;b_JP@ke5s^d=0ATT?RMVEyFwu(C$7HfMg(nbm970$zT_b4w?2@@ zV80;M6A}KVY60<>81zM4%7D$;iydB(DVl1ms@8}ylyBV8pUbTb1<>}O#zK4bVv#gd zE+5e5r|n#+JfeAKRG_Q^TBMA;q@X?Ip!}QPt3@%11zu?J&6!;yL)B=N8``XnEuo=j%|XB7$Ns0~@a+eh|Xs+iaJMsPOc8#JYuv{kd; z-wyCQAPz9>4#Fk=PHb_(u-QTm#wrtNzp3@&T0$1#49bn?oO0W3?E6mE>IZ zWif+e0ot%NtuK$9&M24*i|I)+Sni~)xNsoS_KD$42LW724S-e|`QBLCGE7DGg)qzQnuT(P1-yS!^ofv9iVU0vCpsir3PI?TdWso`J+1Jv<> zMl#TlIr@1F<9^<(^#g_^!I`7bBj|6UuyjM+4M{TmaT->LLEZE7+RPXrav#dVtn*j= zQ9^gsY%r&e${Fn=Lm?~AQwc5-tQxXw;-M(Dvg1L2QZh$5*`yx8P|iW3uq(l$hj*q9 zJZ3j+B*fXdzHn5`07yB4+ZXe7jsmq48ePcej}+A0T?CKRSiNIu0?}o*ZDQh%GA5xV zFtv1b&*wEfz@0<-l|CJe96`=RJZ5SYGnvR&53{zDI&@-DXR2}bJO_WM z5cT|#S@1g0Xp%J7106rNg}E3s7Jdhw28A0zCgCLDcmfA)w(jf%TxPK~tOh~VPTJiG zz(nxyDp^&Kn`;7Qp;s=(2mQStQsA-sbgJyGcr@t~Ann&l3{ zS2UkS^CCa{kY>U|2{Mc&w}ZI>lAiIJZ}a8!u(dH(=Ur;X4Td&*`NLnMg>C8A2z64W zfIKs#u*NeX5cmivV`zGh=1?uIWQ2dOcffGj9BQ)*3jm=sqin)2?X@B!HCS|6@BeFYqtm z-2`m;FZzZktU9%(1nG85)DFUi7{{H^?lpje-GhBLjI(pdq1@N5?m0sma2Z5VgU`f| z3pe=LthH`*2hHG9+sXdNMxIW@!1YmK2>{*_vnVnW-wv002jRoqOB6=I`c*d$xxE-60z`DOhPb}Vezb<%V5)bXVT7k0`k9pR!$~Bj;;V=s zTwJs0qrb@aZrE@8SMksyHP&-DG7Y)_7%+^HIKdAw13dZtsr3^T2~or*F7+-UZumv7 zKf1UkWKEq7HVIWi*8t(#MfQ&NWEfU*3`%U8D(MnGmZZ)6rR(PNCA%7n<&w-cuHph0S}}63xL7c z9E7Zxa9d|_)l6Dqha*SY7rE7WgTtICKES*;RX-Iu%Y?N#6ygKjyamndlOt@G@hpuplA?sBuejU@95~JWSgrL?G5=Yihfxn@g_3JG=DD*J$V8uf05}hO!KJiH4~Wfk$8@pm>-%ex2)7{td8_ts z4#(pSTPPTCjf7=p^C!H40O?ZSEhd=JB$j6Wal4S0I;z&McVYlUH@#N>OiO*gMxE_n z6&+4W?<$}{;w%c9tE-BvImp@IR(5P~McYo_Wwf9Se&6oB5X`LI#04&wk6qn>eQCZT z_1q`m=FJ8(kB2hP9?@jE^>v~+e5uGvc(3QuCV^1b>L3GcX!=Pm@{ltyy|YO4w-%s2 z=^?eZXau#tC$FLWdRQx}yNn)d$JVufp*;W+cvGx=Exho0dJlZcft{u$)Mt&Ac#jo8 z*;*)C>`Ja~*RTMrOPn**Ifq#g$f1t7hTmYSRIB#xekN`A4F??wANBdL{Yl`v9!ERS zPJJWL!wspxH3wLzirUhPy{C%zTBH$(duI|u&>YoL)Sf*0%i~B@q*n@%#P4&P&KBy~ ze)zH?EQ6doZ+EEZcZ{%&Og!#(emE3Q5ki&LOr!B$$U9iks>9lDWDu1uxphrGi@O9e z5*37>2%g)xJy-hc4+7OF+KW-fg{7PVz3vl+3NokW5VxS1Uxust5=WwHlfOR!2GJ-) zkjZ)cMt=h-E{CluV!)z`R0B80pOd4C1*f&OWvN|$1#;CzS8&pxp&jVw9tcWKMpR`0 zG8ud9&pd9;OufdZ&#d}Bo2(;jZJ1hoIf<-8_VZ7RS)4ZR`CiB?x@|@Lp8F}E<;hS? z9VGM}P%og@BK|=?1Hb#BrFXRQH#W%)l{?qF7a^xuQ z#TH5~ho&bcH zM6)N_m~4kgZA3Jy zb#$brwp-$Fm&NPwsq<`9p`#=@`c1Mp_VTHRD=pbF6@b0VundSlC!OdmpAxBBqSu|XO|Lw$ZB33=J z$}l4Pa-^pz13OgSV{1Jn=t!7XJsSZO4=tz3&NHC?a+~>v+lO!t7dW(eRZ#oOpoxn> zT%<%oJL`VuD3p}K-Zd0E&l;%PX$(5MT&I9p(_!D;i3UoId#;Rq2C_C`N)E`On2l=LBJ(;qaiKqzOzPr--^cR%}2ye(2BdLFD z#5^{nsRL~c^+lc{{QxAR`hDKv;bu$jnemAbsizK}OUHOLQutMwp$CWs-9%7W3l zt7|h<57xcE=0rQH2N!S?LXE!N&Ld?L;cY00Or5_1Zjj3ff4{SVJ3b7gqsZf*7(i#= zwfB{1jJ*p!Gb>2y?g0j!z^&t&+bC}NI1rZtSN!1Ct#g*O0e(liwgemJ?B6k>v!s(m zS;~DT0>2502mfIw%m6Jz6i8%B9_9AdN@6IkbGl#DWIjB#kF_0 zz4i+a*IY>QsNB;+0wJzncK~>BV>@IYdRW-IZg8>VcDDQx_SZ5uSUB0-Ay6-)RfWA_ z6HF$vUt68jS!G5pQL#>UGTkVMo7vzTy&w8CvPgzqcJUIeyRvq>ZFUxU5SufCE7mywQ zJaO23yiY3Yi}JA=L%(lE)YrsS?_sp@aW^vs*L^_97iqRc*@@RiMGhn4+ylF8%CCH^ zCo4CK@Uk4Bp$Z37RMy!o@%7${0!Fog-N>4+s~hYsQ9_r%4VHucKF|&Q;-)W<3-B`T zT<*$m3NRBM;4&)z;v?f}r0pet>BH{NdTyPPAUIzc(h@_+KeJ8cw2RRGsNWD&U`cn5bS#S5M=catHoEtd4TH|?d`O0cGEjI~qe6(*WKM8xr1M7O ziidwS^_|`m5*k<6zvbLm z-523Zgni0{pu+xXE<)gC;Uqe<@F|apqbFh|=#_l9qw9ZuJcg5Hlm$NmGdZ}%7{Y(KMWg3mY%NZc$b_GN@5d*E z4Nwr@AWK-2-R`w8|2BK7XJT{koM5Ij?>0nGaE-h8LjdH37e~|2+%hID^x<^%Bu^$7 zbMt=hN|TKo$ENI>r@aKAl|p*0&XJt{8V8ThvpFkADLTumj5ahCWx_?7#tMXSbeNaOg;G+bAYO_kSPrwQBYlw~XQQO*I^$PVa{#1&!9CcZ z!-hE$EJ#pfM-oLIB4p9OwzTCqqh(WRK``1o83BILd($>zVzvLPwdW;c3h8YZ{8scx z=O=b)N`4u{ZFMO_d}tbQ<{Mp}8ovW%!oqc#NfB}%hn9&o>^KYzV_F_6Y@nxt*9k98nGH@@u--(RFd|CLp z;jQ|B{S--!H`N+NmyLn-d9&+OLZ4a(-z-UMLX2X!XyB$iu3_dGX~%26yFk)uaCx2sHww00kfS`ZXgqWvQ70j%w_ngsm+Lc6?U1J3JW^v9o|S=v+5Y z^36k&4$<(JTLqH?*SP{|?zwWK!P#Z~%No^S+Xhu433~;w+Wxn#813G@z_qs5qZlnY@`@>{4lJJSU5E&ADpcfTdmtX&d3l9l2AoupqnEFrOT*)$;N~8$T@zf&{?G{$~yvlXB6I- zqYIC|smgnRrn$hM!51Yg!(Rzd?KdEXnqwSJy;m_>9@eFg*}KJ&jO;1Xkc``6Mh0ci zK-sVb6g`o37Uht^nVyCM_x*aAGfoY?{j72iobqRZ=Hwm7c6C&D0(aZiOOsny!tI}o z2)hMWFyE&Z|NDY@PnOMp^ksv}O7)(e}q+ z{xSZGI`?C+{}}(B4lM6rXQX7}gs(*>FD&}=I3{jR_&=TbIsDti!O7yMH$UEFgcyE` zd9t$pmm>Tl0smjsV&0$M{}G6p7=N(Ayu473P7WppHc+3~YCZh}))_TV>$b3X?&jG$ zJw%TvWp`Huz;J&DbdoX70ZDAmF4%tGDfH^3O|iYQQowXY~bYjcx=j{KPWY5Vylaov`SCzV`O4UVGp3n39VuNnDQXObfeD6kIXK3Nc|9fvC z5ebGh{`N;j2M&^55WS{#nXYa>3^e5?<%dbF$wm+c6r|e)qwfZbm%Nd!{}pJHP4lgO zC)fyATRur)FY=b->G-E2GUyb4n9kn3(sT<}f;E>xGrzqzGVJm~Hy^%tnU0>KfREpF zsLVHKm>MCKNO{JJTSy)L{(KwshMBb~^5RfuQ-tTxUO2;N1JwWl*)$f4`UZtkQ|Jh+ zqj$>&YCt#0X`S+V*U$ z-fPWIK;A*NJL}yihg#wN#*M`g*Xi6_Y!IzD5p`360mefDPZ;V|qg0R9vp&w7Z?}QR ze%2-nMw&I__l^8wU~rlot(PSD+srKYAJzhuUlosVeY_a~D&PcBB~_1GOGl>lp#>v_ zj1imyv+>rn{Gp&2%lV53E1`avA>6xF{4PsWuhHvz?Ik*!{aVpk%fYhbsBIBivf*{9 zi(I%s{$hv14CEkA!Nc<#G4d*?#TKuF z^PWC%X*42+`J(dT^ECCQ%jjLT;4aw6Sj``s7A_}Yxlft%MKM(4Zqo(|K;ax~w4X_u zhd7|e@y^OvOk$AJvMopQH?#*(qB}mx%kRZygVV(YH4j99cibB^XYLtYvy0Z^u%w7_ zOUbM+d9iei`-iYxyL_fr|C#mdBmlF`mA_q+rZNT7{YkP$zN|14nL0p+4rvC(1u4>W zI-J`S3!&qUVuz({@g<(QAwITMrCfEB{wC7Dd^0sAVNZ-mHQv-jy=S4(SDcKGphE4k zp&0L)%B=24{M+EzI+^XOBv?o~M&1D0yXHV^>jnYgp?F1+;7%&&#X+9Vu<3KJSXUZo zl+z)No;F4^gU5;Yi+xZL@x+I4e$OvSofesd}0P zpcL{kQ5Zq0>?q@vPI28RqXyV}4%gUx6SgHvTz!LPZo-e*o{PgFk7<1jbm(;WRK06i z2!>v*XE-2%K-?hBZggnn+$m4#HyH>f?sQuB+PM{`Jc5=0EXT*e7VU0S%l!hOys|{d z3SHEEHK=fsKrQ-CNn;yU9f8PI5PgNz@#!cX5{QO-$T)7GJg7fEHEV?G{GMb1<9Zyc zt)K;{aH-Hc9f~Gu$M4CF_-Z1lI1v&Ji67GSZ`WNOe@n-9`!cyP!(}Jhn*EHjT^){ zsWBFeM;g~HKTwLv%4gU2%or)Q>^9*V>o54(2IGcJ2)-#=!X~bCr`HgYq@^{0$7nP9 ztmrEkLmVRAx5dQ1=o4usO5?}lu%KI{A%esl$~`6|-K@>Bhee4wtVd@+44C!NaIKJj zD@o7faNJB@JN5oOZ3-VJQzRqK*QhhWaVBLUJKhcVabiv(Mr)eoG>eNL=N~GH>y1qS zhqe-+oa~+B63>Q}*zZG`A1H{Jddo%u_9qOl2!<^1;yk-JnUk0iX4ei#1_`R ze#E-#A)M@iw}KnxcF{mC^)5boFaBgiyqO~WQ?2rj!eq^ekbQ@MHXC<3l&V8f2Ytsm zg45wmSv`dSCwrs1Y~&!5?3voz`XQ<88!c2x=gI565m@0)4o<5jqU%f&f)YKEUjDEr ziX>xhZk0qGI@5Hepo@NM4B@t@1J|2l1%S=MdjyYk2xy zz~ToSR=KgjqC+L5Pr|6vcu{P4fAv$o1?64H5bOAOJG(LBb?LMJcqjuQvQoHoL$C5Z zTuBq{s_T<#%PuwZ8`6Q4gMYpE#=ka(5Jshz0(^4R5FV1jDElz0m~=ooyII5dlkd`hIp%E~moSAqvPgX8ynmK&5D ze_j6iPTHdJ3x^^2LnzN7jN(xK66=>QWyyFztCcy5@dA;}9;e;ZNI7~!qYdKtoJzk3 z#T`kT49@- zfTUU-KfmT0)&r8f=ih%b)Pjh99cnSO+|lW`HqD2UNE#+$T_TW$e~e#V10Clq&EN0< zJL2i^SeUaCr^;u|s1ij6TmKsC-Klp|K@oSBLD&M!s_V;bfeIFu>;sXI1;_ebW}Y^Q zF1|~kcXsGt9P#kpHhkwx>$Sysc@e)oQo$olk0?cjXbkxbj777U^d{7bOP~K$P=zRc z(otk4K`LVdqC$EUJSkX+)tLk(ckgff7e{eyHfIh@06$gU-0Ln_d^>{ro)Sggs1U&T4Nd;7idJLwTv zg|ty85Kh?)Ce#`e(~Ntcf{Ck2&Qs9$)e|v4u4Gsd6Llj+j5Kj}qWekW26lJ>Q`EB1 z)vj6IsoFfjg^F^Mv%(N?AGt`vywATQ!hce}{*NWXf7C=j^AL@E`x} z|GS##f8@iT3;v4_Th^V?--Fj82^UdE&0yfk7czwU8AW2ufqt@QiI9rF4TdJ8dIun4 zSr>e7n&^cZ&IDytPWTYbK(Ml9e#0&wC?^D#mP7@GV^VvWBI-4TASP_1v+3T}9_(Oo z`vWsgf4JfxJQ9rqv0t-0$V^LsT3aT2PhbrrV&FSe#0;=vI2+;KcM*Dfh_FccZX(P!e&naoQ{<1HwGc#@8f zyIg~@_^9R`P}_SQD^-(Yos2_2n{6|Snn8QB%!7~-WMm^?3-sIxlMC_iB_lQ|aOOdz z_vF*=T@xhA&8_DAEcpjS?LEfW_Ud@NDoWdYcvA_>UGeZ4I%36i)fO91?KwbRC<7Vh zrCMC;Jk%q91ykaWMg=6H0ZvGur6(0ghkJ7_m(}703o(FAeasDBfjQJxJ+; zBcPV%A{ZBVy>z1?>FhnrZZA8WLfnfZ6^o|pWE)-xCJnx)xm&%9D?%jM zAZPO7!C_SwY}RgqEic4XdOB8nB~PvqSdnEbcN&9hwqH_kJXV9y{UrKB zl1)b&_H^`{2yXtu=nh3zR?ACIuMi!Ne2ypreUby}%f^2;Tn} zXCNCzHA2b}PeQC)FV5ml1o6>qhP={c$1t_CfI#T6x%-_mnZ|*B+=+%W9n5|5mmKae z#jr0mTkO8enKffgl!{OvbUva!|74^~e)0%L`ch7hE(Vmi>OM|V1r{`*60Mx|jB|`p zY746)7ZkO;V6C&^D1hwZ$QV92bo*#-07bnobPw>XZ>uO6bjR4=g=r5jl+>!#4uM#~)A=L`$a-wBH`_uN9<>&18eHkk97zZ-{1n|(CSLXwmQHT2 z&0AaV|cgp2)tI+t9R!I@(7i z)bQky;%N^ymq6VzN(95*J6k-1D-(PHPEo@W<@3b zAym^Adyz?OHbZ~(W73Qm5KC57B|^sg2_DA)pxTAe`UGsU+tzaX>zH!`n!oG>n&O=_ zQF3&!Qw#3OB#EsJUk@?pfwQO1hl$VS2IXM(26lOT$uXuPIix8_0Sz3v_)eE!qB&Q4 zlc!)kqL`PkYUuz!QNP%JA1IhPg@qi~;QF^_xC zbU%Ilz4@;^(dzZI7^5~HM11Bmb+9%tHAqs6OZ!YYm)4b=9m877;*VSUs7>)9LwNo; zM}+H66@Sa|#CzCea&zKk%hI!`AuRzy?;rj-eoPP(pb9%Wpn`*aCBKUp=B^DQil;F3 zeoT%O1M;Q1lg_7WuUM&@DBEqdEPCxnZUo5Hj}h;6-QD2Up%a#t82%UTvxVaxAj^hQN^K0vnU<9 zauN_X&s^|8M~?KGI{&UY|4XxWE%uU@=NYGqUC0-I9NGG#fl(6??9yq(tGv4^D!%d= z-?%PXYkclOS2t!pCEL~6t4put5X79Tz`UM1QQ=p{l7UZhruO91lUr--TyAyn?ch>| zNcn(aw2j=$tEQB~hsDF4+LicJhGe^L&#RaUk^*Mb8kYXl&R8JzD}x2}eTCKdjU0`u zOGy0KT=K4JiE`k&x2UGJ+y;qO>WIHmhRs(n5(=zlgfeUs225c=66OZmY}J@A&)?yYjo6e9+%UGva*#r zR0WiqeE4kVAIH2}=Y3?8ch~^D9!NP9g*3q(avDo7vh97tNAQ5BNq~+3I%|X)=aVvj zzq;>HA2CSE_yAuj+N0!~1EvI?*!%n_Q2YxdL?zV*=7xCOhMplcai!nuW~H7T2NI0F z-Vg@)V6#)zTRdPP*deCH54 z2m;EPk_Q;-D@;rC(P@T-;pKQ!eL)iuA3z}0!Jd6j3l&OJ@Fss$NdlKC09W;A^&cgLq= zEiS6yNuq^N;1<D;fXq!{G=gf%N71UhvMEEr*i46 z1G(Hk>L#j`RkTzDnOfygPkfR8sJdU@PGhNhm)o*A9YLTm)$g>aXa(@{tdwG1*&HfK z9(uf6h)nwySp)kjkmd=O?#k+VqFlpSnyd&$h8*`H%|QcenT5F-DLDL-@Mw>t2LXd_ z%A&%-*MnXi>LrTswkY!+Ga8j4P`5Dt$%!dSMO(|(lTB&GP`4jxv_);_HcBh^1WG(; z%{2zH+xKZwmGegI7oR{CuuXn4<+NH=MTl_ixD3q&ShvDM+{#dG{!6wiM~WJc&jSp$ ztB~EWE+S_OV$`pQi3D3Ug_`O^SZ8hXF7BDy#P}sbN`okRbYP-S!i$R0oD(;~`~cSd z+L_MP#7>OnG{6+I&cL96R?hGo>|}1N+E}V^L@!GuOtSNVqWlvTDmZ8RO=HDm8Q2H5 z8XY15j_sUGe3S2bPXD7)mBh@?5_H!uuM5;;4JI<9{5 zpJwNv-PGbwpJpv<%?Mj*qSkt?bWYaC%fe^-qIS;{wozy%|NEUW>D*Zp4LZ+N3iI9a z2k$x12O*dyj=u(77&tM(`lQ=9BElx&!^HH%=a7N(!mB5Dp!jj0Zhn-wNI zUWD2v`KQl_0@o?|$Fp9WMPfVnkKfC@I8`QPX;W<^Z~riow3vS@*Y@vU8@07wbQZHJ zhD>+oYr4}#X+0RAOFg~4H?&OQ<{AxZk#K6Q=AO+hTl;h z<5E_fnqulE-+qKs;ZkQgjjcA={$tktT(&f3f?1a={wrdp00fw#0b|&*b~%MxKjl;@&GY}P*yCQRdyqEBy=t6u?{2#g(GUb~ zIldRziDH?$H-ut&arYe@uY^=D z$st&+jajt-w4!+CIIbYMs?Br9W4? zULBJZG`d9=?2a@{(-`EB8Bs=u!jHgGr<_wLrGqS%xYdNct6o+0o&7YlwNKI0e8m}= zb)eY(g=kts4V$N*e)2i}j-MgLnIg=hu3Hk*$cge!pLblO;4y--rXC;N>(t5qJ#ciO zish94#QD?FcQTiCewd@IfX;-Px?bLPn6{wG0Ig1n;b%!{qV7J{e5>Oss!0`d0inU6 zxV8q!-nHqKKtCe7gw@0-0p(S1LePpj!>gI|`uk=9RIpOuPL@Y9*mcS>V6Vg>`fhc!Sz5?HQ3y`DHe8g7 z%8a?U<&^uVjkN3AsFY~A3<>vaK6DETl<#8Q;;4{BWYoX(k~0y<0w?~nI#_tcG?$qn zpA`aMTEq}mC-}wxInMwRcl$(kN=x7w?X4 z6E-W9k$>kI#oc=BOp=4pd<@0oI5e@9vB#|%ARZag)%;2vV`b;)q^aY>r|!3I3NwBx zHC6<8yn@bnmW_)G3m+aQEU~ufwew%aiU29>hU0_I<)m`?r-vw>FaURpj92D!ec~|fROj3l zcG7ruFa2dKxQAFs%biR|n0}KLorkZG>-cB*8xd#4Nq+Q(Mk*0AZgQh)o2|CITccYO z=;%pS*2U|Dqg|#pwW?Fu7?ZX8`o$_Z1{u^xd)~(x4k4$!B#`=Hb@CPs;`Co$9P{T_ zPUXOimWp&7X}YGgQ%LO4l})+1)}-?$j1vdUYmMl|9#4-9fWHyRL;`+kr)hZZqICKz zuoV_qYazk^j)vqrE&NtmrhriMbO#`avKA{h@TkqJh_$wWsfgUaqh8bvic$UBqHFXN zqAKj?kAEcF!@{d*60tg@SkBxCG5SXoLBCOedbHan7)~#p)RiDW)=}HaS$gf;IaRn& z+6bY&;0h=h6GXlIx_t^Eb^*}^gHt*f2_eZ=s(IzM`E9E6SI&zU3y(^_zdO2Sy-p6z z_^C&0mo1^pF$7po2`w@j;fajw!)j;%G0hb^wGcB-TEgNs*G zt|&+2X@$eA7vu0ADJ?1w&|y-VD{0e?I4<_Rh;!j~lP(hcwYK2Q zZ1b&+a=Lmm%nJCN&`6yQ_hxq}X!G8n>P?F7+EY)<97Jesff`H-FYBW&)*W2=L?OJbd54c`8BOm?l-ZGM033RIAy3wz3b)92epdfI9^#Wz^VQE8ag<$TiyJ#t+MF zEKfb?ItFEsz0-WjU)V6V+j5VAsd_el)Z7k`J+j!1_i(P%?hF|8PNnd@s=x}%&3=6? zt6or&4)Qf4j(m{>TOFI0m`zXbe%1y8Pn{jlBe@mUyQBBWo-7W?@!Hg#c`uH7;H73q z3_e?MNfVQJ_0OVhLcn`$D>5UElz^OgNhgSyDjrrR7*TW1JUL3*?+|-eWo#?IN1cnP8!I3Z0WS0_G1V^vX7%)y4PcwxI z2ZFk{f9+9t$a=-`$iX)eWPR3?AvWWk^zdg~jsUOdl z3DU!o@|i7Ovl}25fa@hCu4PrS)hf@N;WDh2vtN~>AJ+4&((4Bl(XGm4dIDuC%FFG=@(%e?LUW=mXaQ{c@Y69%z2bpS^Ixa=LGGU^~) zb;Tm%enuSS!DCYUEEG4Fe@)+b3IbQVokNtMHQqh7#?+HTdF zB=UuuRP8918nBk0U~6&*mxfV*xv`+B>G((}sK^8U91_$x@)3{;6=~Cz7sV|)o1ivO z*N}nc^sbtEmM?iEnGsy^6~mv)xxOf4`1y!!ftF#GaSoAv8k_N!4DHCx4YU)a!2jvb zR)KSa;A$he?3zg)A*KR9IFTTc8?iTn+RY5=wX)rM=S#y8p|az_$&$DVt+Ax3@Z=|} zDC&}rDvM1tFucB3ix2(+bR5xfVw=BRXx8Gi;gh62;eN>6peQ(*$nGbe62OVQ=m_@r z9^Me^2I<|T(e{OlgPXQ_9I_vFI}+g@tXf9mFr_(!>>EwCO}JIupJmV>r{HhJxZqNy zdSl;4&~7zjC7HbV#Bp$*s9||Qtf0RXz5e&-Syx?uF28Tn0ptRA6%g5r48Ta+#pf zL9q?yHE@9F7(2iJ{IsE8Eq@O!X9Sxc$6m;V9RzePVdRd_TSoF>RXSqZbj&%Yd$fkR ze~Kv|`O-t_P+;z6*1)Fd)LlF+yjePb44q1gH}aQ+eA4DtVIa`UI3^$#8rA4M$;_n| zGV|1DC6Ejt1B_Y0d4NvWtg8Yte(2d<9wO!NQx>85W}N=+7)c2b>9jmiNI`Gz5?y2; zXH=JcaJtCa_Pm8821!1RkLT!(j#6qb>emtMVHS0^zoMv=Ep{%b1m2LJTI|Te`8PDe z_D`_R{|*}YzXX#1hzTWZ{uwa+ck}uWKm4x<9qELeengDS|Fpub}>pNA% z;+Jqy-LVH68viaii|Rxoxi5lkgno^6ojZgBLquXA#ZpHbq9@2YO`T({Kz*E1AYQSab@&q|%g69*I#z8-?IZLDgr@%MXc??KvJ^L%W zU$Ysx`x*24+r%p^>-bu+JA%^%&tQ>`xT3pOP)`i$YHfGCaD-PH0JE9<4?&97^WXWG zI{UFYdPy{5y^oXO0lL;Gr+fVwW#7*2=s_rb>+S@X?$dj7rbXLx!Z=Y=<=Sd9JU!k? z*MO4Ub*2QlHOGtemmwi<8U3w@25By&^vFiU>&zmn=OTDIHT>eS*B-_p$JqCq50Qg zNFFXFi9l@iH6vizKA(croSV-JGc!|ESIU?F&96{+gP9IuOsMhBx{6i~7G`Hp>*K|> zJ7on5`F;My*fl=A4yK(QSotN*ir0c}T;VGX@4WFm-8s_&8P3ZBPSRgV2CsDj)#kAS zbu#OHCGz;+Elu8O1Ki|r1mJyl_yr)xONzadRHBI1s;1mJNl~4+B&8;3Jp^KjtB_>s zW#Gf{HP+G+p}7N(#qe~z`TE&0}y^;yYch*1wtvZ_MI4c+p4k-!x0Jb)zYwQahS>&f5{OBpIr;VHl^XQXc9 zJPpYEBB6J?;Bqris_*&VWF%c?kwk z+cc+DeB(oR64gXq0VE+xLo5XzY-(`Xyi``4)rjMiJAePcs4b;fjav*%F3>34^JkB) z4p<}x*)?Cx1=RCwEsomeM2cLCeU&3tnWPavjKrNv3&;v1kPmy$HfgH2eAzeeX@o$x zg?&3zo1G(QXa2H!rB?v~5EPJ1>ja5N$X5DW+#U#0(V8G#&^{`a`hD{+GQP&P5&?*| zR1ydynu4(~pn(?0g^Qa8s4)kW{45(J9^W*ORTA^3!y<@afy|w-1{g^IW;_NY=&zJl!R8PJehh>-2ZkwF6FE!kyschF5wQ7Cp_zQTSp%jA<*XXB|PEuQKdQ95?SV~NJTQw zey`S9Bl^iQ91{DapHNO%LC9KdeyK2Y0xiWp`PRaXCi8m|xg)ZRiLljVz(cOUNq6?g z!~WT@a21z`}+ccR8B7FpMOF+oE682RU$vm&Rmf+d8}u-+Yoh? zTua(I`M1|ak=-ue8pif=c$DC95-GK3QiJm^v|nv7P;c|gp1!4-Z_)LKH|H;?pD>hS zN~grb_%kkzNx>Xyb9FDVXeC;={;fS`ES>V?=W-vhrNEh+|ajcG~hQu2|jvXUg z2wFJbf#GcXkwf4p9_v9;Y@1me3G*<8_D?8bI2qj=aR?=Uv_PpGO(GjI`>PTzDEf`6 zj;+;B;mxJ8tH8isaC;zEW4M>9_X~?xm8ItltL|bK*2Vpm6cHO_Tm=h-6CS9x#N>GA;OP##4&)LfcO7s)_ zS_&3a;CKcFmamc}C|0P5Z!YFE4(ibz_!@L#i?*#jWlByz2Mw^T$vQVA>awO z&(>T#3OjNXov8w4HO4E>kLS0)r!6ca z-XE9g^8pRm%q-Tu(^meJ8$Oa$kM+dD zt@fy%wd1$GR|Mg?$+Dfyr#WM)m2zaZzlX3d)8%+I^QKNpeU4ta zY5G!YsP65gG>@Ri`)#cYR+5(Q=)1OY+D!sz5VpGr$>0=A%4Fp|>Au(^>((}<{fD{g zHDJUj1t82XO4VB_7o)Rt@+YNAixcW~FvDAwjY)8}X+zPmUP?%7`**W|LMi7Ai%J^H z_Nr9I2rbDm^I#50$lyio1@x_yv(nrwwtlwkb~ci6Nf?Se(SpPKVOOxN6W!MW`F@3w z1V(CRvbB#=!21^Ikq`t^By|5&r(PJ@{fQn_r2u;i^k&3=vnac7V zVMRABKfZpH!ioCIv062vpxzOV$73Jo{j4%NfT?x=PJqOLm_c~BOHq2+XiA|(U@q<8 z@S%?c27TOKnrMY{`CU(^z4&0TP~y3ZZ#}PBHxGx3M?H!FCdDSwG7ly>WDdmPz1gw9 zUBnsDB-wWJHYSPk@}#ctNGNTq#<%C}Ihw3)Thx^_Tf`D0~84;@uQW#%mV7H&{1=_uT)PPz-J?|J@@M=P%I<&g}*Rlfs(m zLRLuISUXQ%jI}Mn08cdK#g6TbARLKD!yVU%_ohFHry=R|s5-&;#RZGv+jI=EnLUak z7B_x?Tw|}=U&pC4V$am;SD!2VE==Nm>{O!wdWs6|ondSnEMIz#SCK({Izf>EvA-Kd zfS2#~Ld~JIJMsy|2p1r27&&!pyRzh+SKa*+<7+;XrhQXebVi=k@l^(zTp()a{oeA2A8?Px=lSdWmm9n&b|QO5GfWFmckCqZV0hh;$~)-n~? z{(9;X1!Y!pGJb+b$@T*7`eYZftP~?n)c64B=|Sq({MH0EL?jB2U+3bW#&eZp=^Ori zD~(3Gv|5uYp$4>c6~bMxk$$w6%fqS&+Pp@vz!I@?AQU;>%huPlb>3FeddX>C`Y8=G zBn)$HMx%a(LBxlZhZbtC`#ZUe%X=N25d$a3BFdA?2KBmCCwj4(%_2Loqm!|2^9*ov z`MKrs4Z}2}omqT#WQq>7u>(fyyd+UwcRbGKhJQ__c5L@QhCfhBP(4>h$u0RL5!BKP zqHfWIcVn`eW31m%fJl?F-UtVgZPJ7B8U^%93!n;#l0#OZ_r1Ol`^LNl<3X0D&CQj$ zZ6k<=P|RFd)o~rD%YxX=L25cNc7~o_M`c##_Z?B56#g=8@CM&J4kU?IWXM2jF=on! zg0G^Y1QfPHswy%7XdxL!=EQydJ1qalzxTfb%l}v4`-j>86W;#+Kd|n{O~}gjFXsQB z0`~tju#SO&@h8Uazi?XuIt;Je>dd}J6?Sx=@dXQUKm;{Ln!Xyv1@6+TRa^V4;mcQP zP3vkSiAUY|PCP&|YDZf(SuP22MJ+lhZ|jWh)b#@5?Kk~FW2ACy2!g9{PZ>yWb`fT^ z81nQq|I**b$UZ1sYZB(vQqQP?y5MS%6j>_xpQOz^sCh_yqV6Nk61=S)4hr>lIAa}I z9QpI%WL|-k(QXgzmQjTx3W+g}c*mmlQ?y#PZ(r}F3Zp}$DR%i3D}F+q`-3sSqPJ!G z5m@z5Pkr}Tb`62~POAEoiq4o!N?NiA6KvNMz}vyqYgF3$ zHZvBUj}=xgv0}6U@lpZ&KthV5-^zoW_|$;gh_7!0TWjv* z?JGvxx7y}}cFUU^okP5Fshx(Q5OKC&(!YAq=Hj@_XspMP{vhq!!7pbX z6+$iQL*1B;@0RW+NvgCY<@1H2$;uNt26QabpRuLB`U=qRWixwffK;s^6hzJM&^oyL zE*u^4G*O7_cd^`N57$OL$BRx0?<9hs{K4X%_HHdbxVL}@buJK8hS4b^jfRdTg+%TQ zBDJfET%W))wZeVlh9iUz;7g7u$yAb*r!V}Snywew`uP}YS`jaeuiq%92^)n6Z@mep zU}0v53k85d==ma2T^Uk|FQAb~J}-kasmG?gXQzlZOzcs%BOfGyrg^Q?)$F|1Fy8zU zL&Z((2f{#r2PIf9<*Ol2(z;{F;e8XwW6&G(E04PsH?KiyJXI2ueh6-s#fxBeRn0`@ zq{h`^iPq$4Cxf5PVA@?m^x{MNRxOh?H9#q00wLOZ)Rf^o?hn;voFPEOh&f2*g&E$x zEv)21P|3YvvsrElMEO=PTD>%IR^LdMR03MHuxoOWC*+tC;k;va$6NUatfp>2yalu- zeI7iyw!Q4_WX&ExZS5Q#3_blaZ;d+LWWJFzZIO}Fr_p9cdRcmh9%~RIp8D1jME84a z$9y(#EJWlp?ZbW}s|eZ{WzHNFhdea7rhQI_J8FTt<{bDn;Cxc#_1HmwnO~Lt zU)#?p6&|k{(DcI@*kIJ(h+UToS!92QQnE3XXcgMm^L3rN6EaYLnbe|-O*Pwl|FOx5 ziI*>P3o&*!UuuLq5XS??rv1a5gv;S}8`5u(=-ReF^)$`~HnjX`&&?65M+3Nv^6H(u z7`N7&C@J7VVA8@g10t7N6!rYu%aWEksS?CJB^H{HmPE#tigECaGDZVvO>y1@!v4EB zH3s}Xm0oqC5^MuIK7d|AUT)B|csJDxC)uJ3$uZLiwmWx<>z-^E{8hkVK&y-N=#R-2 zqum4ajnQLF2G!LJUGbu~qWu{EUBMrF*!8Dm^G@Do~3q%kTgGgpb5rqhq5m`Soj`De38~SPvz9FD;C>ae@9ldrB`@_Q2=X9{(2Q>zJwmD9aaKUf?ih^Or$R0(=;~)#A8vOjb9nEu`KWTaN@wU9eD_Y(B1h+Soap2D; z=2(b%M*d*9FXLMp6VoDyK0NrI!bXdrMjs|ei^iZULZX(kVA(F3_jm@m>ow^a{mpUd zF82?kT!BykTka+V0=ZYCOuDwPOFmw%hP zsVyf-BL7hAlk!52Tb;N1tj}p&auElgJhU(9bjaI*4 zkp}N7^r2v@b9Bo}%)m4wA`YVz)j|FdLm99W^bM;(6{WPF+5C%=&7g|#9zR6+6XG@> z4dyc=K(#>Y`o$p_hS9dUdeEsF%g70;l@rouUtRAP|Je-;)&8CQmJcOr?`dX;c~O9e zAf!Z?vus=z+`i*U#1t}@y4oSlR$_QiM*AG{Y6-bc$fFj$ncvnmP=@-&|6>f;5h~ziJW5|7N zK1=E0{JtHzU~^Xws5MO#$uFE*oov#F;!LQgW6~+gT9akXDl~!CI>d!88n73+LzGOz zg_oR)shA05m)kLzu4_2jWM{W1T^vbO^DO#v2Iz6qIm#6;QwWNid5$t`*+T30{kj(d zuQ3~SoV10Yo2w#KT0s!r2FRb?k;KNkxzj3`D<-qAgeACBE9soy8p3?t*5)A+96(%h zw&7`j%~9IKPmjEzvKD_X+_IFo{f~5MCCl|FRC;D2q@%fKH9SE{6(E_Q+jefX0W9up z_|U`9vfe=a_v$Lv#7iv$@SI>FZ?bNz%qvSdW zEf5IEjB~N(p$1`7+>yVmePrj2)Q7qS^_3mNhg_`DH#b2{FirK#`!U#|VQhwhY0KZM zw=ZQHv2&l`cr+chOG`pDe~^J25Jt{QqOT~J1!==J_X#X)L6M-#hVVdflhkxa#<-r%43T*y&m; zNW6Z7EyW{q(acuFfJ)bI$hAOrcqei4GGL?moQQMOE-Ov z0eDyMVX=A`lXoS(hwy+1T5Qzb~fTRh=GlHP^% z`fH02*0W(;@C7?uB`%!VHj@xWp9@bY_{j=P_!3Y16=H3IYKDUc;5l16 ztf*FlCKtX4lZGX`s$m_OEwn35Y%S=Qm@?rXN9fKox7EbFt9l?%g+sTin?Lf8ESm*{QgaR#$zJ@cQzb)rZKe7DnCvZ2DlFI<#2eIRn$ku$+>06HJx ztsgo20oh06+z2O5lp{&zvMbe4a{k=}Af*^JOe}A|2V=_Rgn-_74t8!wuyd1>^7edX zH+{AGtj*t%-Z0xp7)BU6z@sH#2cL)V8`m7 z(H^GyosP*&YnogyQ8)E!XJdA>WpUmm6ptlh{msOMdCME1&f$B9a}yJNh>5vK_t^{p za+S!Er+G_6Ku4r+(USHsB^lS4_!UL~I-cT(h{jil|5pf%)yx+w&Ey_!a7-Pl(i;BZ z-o;aK#(jp@6j~O&)0xN*x^Poe*`;nt7A=0q6FC;f-zo(I=X|f<2eFKeV9U&K^qVJo z9{6*Psqg5Qr7Vp;*}Ae!$*j;kPMa3meYn3^O!h^zX-dKNL8z;|NoK#i@Z2t79#74% zRDT6I=P6*Y8|O1F-8(Nes^+Jp;kb&y1e9fR*Y5K`Z*G)`d`=Bz>Cz&Xb))Z;zhmKw zluqif<%&U|sc_kr>)&89RAXk+UNMwQlF}IY(M{NLW%2Ba{8gFk|~m{hOoI zjJ(a-2ROXeEq$K4?h#Aq-DwbNT}tf)Cx#f*hgeFFMsh$voCijXCMx;ACgx*fv#qf)Q0NUQpWb@&*B<MTYpEXuJmJhg(vJeTUJ z*pE`p@34~Y(vpPIoDTq&RgHWQP?;qX%4hFzjm78+L7auk>Efe{t~;utsrWKGMoX|S z+3<AD_|Eh&2}Y<)@a_4 zF?p7$6GfVJY5PtSUQ_JI1tIi8`Fbh!{j?5{{?6nx^BYH7Q%KoXlBI)^H2OHQmNWEaAEOBc@%Jp z#_%K2qBMeKL{%hke)JvGxkXD$j@-a$PvcH$SV1bp8-)1&obqkK)}3ykst-MUEA)l} zj}x0>a}K~$SlNp1<=S-!)E3IE^b+;)w3!%&(=fXy&I$+69*a%CRq0q^{r$sypYC8{ zQ|1FANpCK2!3DOOA8igYiO}Yz?D7y%uF`f-GgBN*ZZ24+!Q0E|Z2r)G1_`LpBo7J6 z-F&*7unX}@&l2uI^tm7P zdCrawdS1S_%~q0inj#Ie57;nLBP#y4kR%~&f4>1F{v-pk3Rx&)w}HbVvo7D;w1=gT zbt5O5eCv=zV3fH{K@7?Z{Zyvp%DQ`*9y7v|VvR^J#7{?a%rkPvIi@tK7LVu75BPI~XA!n4kHKcoZA4fMV53F!$wO1oX=T&E(dpj%s&u<4Q8C$-0I#Vk%C0<8$hucM z|EqL7h1ts#)mY7(CeE8qBZ8#TGgoe*7{+fdCVJ;UR>6kaC0l@7ju1$aHV>jpWWwOR z`U(psP8Q%6kzV&e22hZtGewiS&*^TucK$;JoQt_KT;|zqA^@joTt^k!z5QBXlKOUi zc@4^g4QDIbTh?sj#o>rh)-#m>8S*17i!Q$@7dPVWYDMgsRS$Am8;pc3-$bJ9Xf*2C(>Y z=LI$1^(~>|X>rWNW@99JpMoncn=ltqgqAeI3X$5xb<6rd<2n1BT!QH$IDg` z)J=HaShF#m_20UBg!ocO$Kw;%GpcEJZ3vJ(gfqZ%Uk2HumtTk^s4<251y{L zNpn#YIjze1&P|qeV8wfK>hZ-+bt^;*ZvFO;l65iug|Z|K3cltkV41j=R?PthwI_A^ z;mAp6s7Jvl&V;a&Z!D3!0hfpxs~i-M5Rf}d&TJD&|5D3$o0@Wxk9W3-6$dIr;UJ5J z-$fjC$u`TxJJN1$N&zaKu8mo>U|&!(;?E?X1LrrvjYBJ|m+|ZvOp4^;WiWkjOAc<< zjW5UIvP6<0-?PSCDZ);W|I9q1Gkv*2W|36Denb8zmIy}9mCQ0`4S%$l^)55?c<^wgu#!+NeF?& z%k=S~5y?EnL1}`WPF|c1oyi@2!F6xBLkqchSGaX~s=0Bf9#|K(V_2)4?bT+})!-K+ z_@sMUv5(=cv2Xu1<_ns5e|fx>&C~c1X^ip#kG=oSY(-JbR*zYv?=rQH3|Qo|^$rrR zMT~S+05*)&iO!u}h^S8=s}^~Kru1_1wrd=rhVhw_tHAL0T4?@0R3V`zwg(UZ@DLCB zT%b3IL{UP1EvSu4Hz1!VfAmT?(`aF(;`M3+7!7+Z7oSqXKVkz*==k2_;c2VolhvkP z=}~uavd#t)N_sWG!OS^Nhj=u0}h0b)3Tg(Cha3OpB^?(pKzsNiXbO0r^AH&yb}?G zG<38$2RUKv{pA&pv7A$^eQpteEyaT%(JODm=r5PYgxAYVP#bLW9fZM9BL{w0;8p$S zDJn3U+c-&IaEsa8g81d()rBJss$PRwiC|gK5b)}(5}#Bf7sACP#R0;8bpp6fyv#dN zD?X4?>-gA+Z9_8A@eV9*Kn^LQZ?J**k3K{5bh;UNx?9u2=BuHJ^X{A~T;puRPsx)N zaEjr!2AnklOhbnz3$q)c3lJdYv{Ubx*KGp}_+A5oD929e;KG-{hA^%;dO|co$)^NX zRWERl2fP;yjS5FOD9tEP;d-Xq%4Yaz1LiCvu$%aNMfWW-0avHanFS%&9x!tMKCO8h?qzbbS7E$gNn12wN|_&rC`!=ZB(EJ6Jz-fYHmJ)7w8Ck+wAEcYQY!D2S^T%~pmQ;`jOI zsw8RmF?x@-Eh=B}KVU~8d09r9fra3At{NW=tAj*C#;{@W@Ei#lmx9j7P$soag-S{k z8Y-fWn>S|lnfI{|oAq0L)6^6iyXxs8ZmGC)Uh?J>_DTS!0p{A&5%aju(MhSnT2og7EdZ8rwwIN!rqVAkJ%AIK5zb@x7UkcjWN6!a(8*4o3d>_ zNRZH~2&)5e}+llF|e1;iBf-fcwG$D>FeEPH8Y zBE_(3qbqRA=FypMZ;h<$=V{@Gqo=`~aw-G81^ z9Hh-IVk7zJ2+iyz4uCFjM$E@7AHOQVeCt}&sw^hCYL{r2&AvG7bh%bDL4SMoeeO!< z764ywV*haJ>z%Rv!|s4k_m+dwt?Fb|H)^}dK0sVoDsb>uyH=zlQ4jO$aDCrGj0mRw z6{qVMcXJNOC4=>s{2^9$uvw0{#9(a zMZf6SND9|q_YW0Q2s{qLikS_S0U1i_n}s&<+nnbmPHLlDj|* zKXAP3m36ZegR(SN-HQ6AaclhXe?RStd|YXj_#~?a#`J%>`Iroen0MRIl$Nc7Oq9EJ zSc1>rs;N^oIt(@7s<{%&*(*066-R;zck*%-#Ed@hDn{gy7cPBu@q(gR+XDIRzmjUl z`FRKI-vVYB^GCN=ob#9P&Z|PLup=A*XrgPvC6LKefS#0sYAbL_zDkwtpmh+D`)qAT zC9#?u!*m$U2>fx1*rW00wh&@ss?NS$IUGlt@axgCuixNra*gS15u--I!uCN@zg2&W z6fM$)(_OeOp>eC?7FlZ5H!l(SZ`fdcx7X7Djv%q^CO)PXA`7{;CTk5t<@+wxcS*`$ zIe`!};dqIRSlp^hz++1z91=PFVH17z=U0V81d$c;&^c?G>#AfKq{B^R=V~KVwf;fT zvdn{FHK#hEC+CAt^w49rXV{C)%>+>SHdUln-*$MGvUSX=l>F=F2JYSo497EidlFm| z4J>ZPt(GPX&q~Ba6PBsmwV=iw0iC<`x434i+0i{9nUKZWFlsL-EZ}3QTy(V0KDcq zNzBdihqY++U^~2s`N+3jtwy#1j-qsx>!LC)1EzpkIJ-pDxPXGEPowI|aR8G*hg(HI{R<{oU^h0-XtXNANju{s1xN0{_u zm4)%5L)fV4exi<5H?@0S)&3<@tylQqOi=TFpJt8=Nk@4$6XFjAFb z`w8ECpFRG)N!SCT=zD$>8C@HGmb-b+)Uu!+uXb9HVL+KNZ67thz&e>9!iALp&+PPA zGJwrx*q^M%jb8W}dU~|nu+h&Cp?|};*KLGK+d0K|rech;@U{h+=Ndi{;K6h7y>>?M z0;fCPI8C7_azaiIizL+6;`g_S$IrXo{d+bVfE$bt2%j;kLJTe^Z3yt$ zhpswn_C&xsV?q3H{Y!h7#b>LiMbupS`i0K43r@F}pDZubhq~&36gkbu<;1BM=V1RKnT&(a#*g(Gjgv9D=PX@-^_VNow&$CZ8L`BBnF zQ0$CAJA!#3=jmXm2}ka4)em=%-vC&MPV&5tc;95)?oVW-hzED8U)CgLfdD+u_d!lg zk;3&RI|hKoYlcO4z@!Gi*wgg~Z+~{LwR+`Gb7i3=%ZZWGKN^XD&sQ2V8q7Zx^Vp7M zc9==cNXkoWe{lE8HdT=DEN^Ufo+u0yZy=|NS4uty2rAF87r9MqvDm8cy;?r0zk}8q zz7HJR&A*0*K84M^+N&vOL#TRTSmGLu&j>Pydi1A@1WHxb)a*;ah0Xvnm_CUNCn_Py?a!|Kxl!G@fKt~LFo*YL;k>c@~&lOBW zqyi2G)iFBB?at%M>9&eC;otm8IFi}jzX6~{VSS!a6*ZT5mujwlLN=WFAx zvk(yw3ph*&}%JV>i2I^4(6p z^m6X2jbIs7Kw$?PQVz@9+(wQA+e2`1+?Oe#yM|v1dSv8ugDd8xzKT@yyN z+$RnornaD(tN7~EBtE$%&yWlTBrx?KStp#=W#9%b>L3SKF+3y$PnNUVfp3cmoTPmy zl|6{ZGFDyRz2*s4dW&O}WetHB<|yBwW4xG37S4Vsw#S_kdd;ooz7`l`}qlm^{ujG`AQ7bXU-Gi_yYbJfB& z&xAjTh6f|4dz)GbcuktiGz(A&^Y+2Q`Y4IsUjSKph#$fSi( zZ`mM1d3cXd-FjiXj~-3GJG0PVEE@J5>RPd6cfBIHAlxJsF9f1Yc79vFY+CUv^w~J;njI!Qs%By2>Kq|{-3^!l& z?}`y>{DjrPDU=N&k|VFvN|nLqX)P-(Flz=U3qg~3UYfD!nY;Q{ofO@2oUYi#`WKGF z2IPVG9*tt_R}?%I1-ov12Vjj7o$?a?0J4o;ox~r=9b_WdVsaKmKV!Vu>iDdj6ffd8 z)%laItF1fdvy_2qrtqj!*+p4B!Cvy66UMJq>P`#S8yFUv zIZvl5jvkzYxf{608POZOVtR_(D8rbN{<0+U22N!pO>5~H?KKJ9`= zRcEp_`m}jx%}+SCo`4e~Wniq@zzU`VREY2h!hb?( z9BlFq!sE<);>n@ga8Uyzp&|Cl!={7ou2iJ{3kc%y{ox@WcFrfh!pVY+(7*u_j^vK& zd5Z)_vf(LSzY!YZYuZSBt?D_6Dy~(Dak4|(e*zU`6Yi)AFZP7#vD08Rwd>#gXAMpE zLE{K@p3h|lrOKbR;?JzJFgv8$cK!H1u?EaHn!%WH#Dg7tSA_|==k8fZq5jrR1ts-&p(Uu@Vk_i(%o=AB! zo-%uHGNw!{t$f}GO#>Y@&FB2cu0205fUsDeFIbtn+$k*FQyKX!58M`Y?R1cG_TEI+ z2O!IabvxqK;8_0?Of0#x(=l$&yv}l>l9(-dT=jTxN*w>ur}|e#FPw;)k{!Qwd1^b3 z00F4o$l`2)^1Ik25Np+{@o!OzUCVjB3n*_Lss+Mgwe$hhW>1PxaF*jJ?{eQ@Ds0rc zn5mdeDCqX$C`;kebbDnOi^pk-q}s6){v_oL*Q{Zv$a-XV_Uo4t%{GZ-k~ju=BW?TV zrK$ZTA?m5-*>te}m$Ui^ijg_J+n*Zc@c~Q&Taugm9EwEOWJ; zam@-!cA!&(CQvN$OaKb!5sQ3%`5-)v(prtGXvATAeQI0f3+nwnMZXH-1OmRfxi!gj z%DtaqaZrG{#$w=>E&kQY-FprtFYz@iE6<(iW9EWxRtN!Cee(mCm!9f<^mW7%xx9wv zv&A)k4{}f-Yx_;BtUJnZxlkd2fO4V@I}A}W&o`n(YAOMrwFD4N`>ic+JB|(ovi2t6 zBe?H1@NeVFokI*>)^;xwT9O$ipo&vnR>u;xbIy7dPnb1D1a1_(A_n+^ld)__<~F#> zX1>sU2ymIW7(jbH8^0io|aDJX&u}%|;%WzAur(0lU!$!zqfvd{g1Jt3@W;#k= z(xai_KG_-OnJoU3S)Kj9LBH4Mx>6V=hmU>ZO8SpR#D-e-!>)B70zHV^vl#Bidb&8J z)K>JLZ14A~2!ZI2N@p|P>J2S4{V8*0zQ61I;}AoEqPE|y^$s41YVF%dIK$vINcmlu zD&;USYB=ZjO>C)AD41qg3j7$JH_{A&)6GTJxacc{NCoYvUV`H9b~o~awU&2=^0Vq{aw+} z{$*kM*USFDt6~1zUN$om3+sQXWoMKa)=3naDgWS0!*1KcV-c10UrJJ`9qI2y)*WRg z=*)pG3ARr}*{Oom!=luxg?+8Zi`GnnzmG*T{QZ>qG|+3*1}SEmtyn}P-9~`FW(Upm z`t(spA=R^bu@5ikv!p<_9(>;K0xQmOD^S`s3}T956sJddy%k52AH`+cZAtPE-7uWr zPqSQf0{3Pf`5qud(Bp?@s{4EQE!9upUKQRjd1=<&+56#e*N=SCndOl*jm8$4?W9Vr z);Y%ufx|w_)h1!nvez~R){meMq{AvKz5;V_j?%tx;y(KjIOSi>F=6^E0xkcrboJcu zeU1kBa)QBOq;Vzrk(lglMrJDaYD?SCftmuDfVaQk@Vardx#a|mgaovK~0VR6BJdvWn4~4zq3HEe`P;+#3n= zD#)y<-b``C$&EGR?sHyiH{z85gk532vlc?frZlgWQ;>=xmV}Zt)KTv~hza%gdy#q? z-1;jNwG$)`GvT3;jk(fnb6H@wiy|6B_*$6_juO{RY_+p53X}#Wwcn!g<=Ix6xp8$; zTlkJ2#z;JdYaef23GG*_AJ6wFw4!x zBBOD2drYH`P3d%iQb&}#OgkZ$S```*3#F~(kL%}fas^ns=~Uel<9ltL++yxPI-J8s z^OsEgENH#sgWz)TONCVJKz{T1+1HJAM2VNTmcQD*2@Z$^UNZV#x0YCLN}@;VGL_hm zPCwFDtL&u7Nh2cU3fQgPWFfW!{<=6<5zYpV6G^AcMZQx7-bCGO&Sj0y(<3EoOA@lJ z!{T-~t0W?{s?o6Ydxe9J_L0T1YgTYsDE}J<<>I;#)f`WB0UiAPPT5`jtsI}D8EPH` z@PI?@?>S7`@XBq^b^O-|Oj5Zt&QoeKV>mIny{yEwQ%HtntoL6Rf7*5;LOZl=+&D~A z9wm-mJ>sW~I!M##P9o|OKqni|uxHB$cL60ZlnQa~S~|?rcm|E?6Y&S982H3Aj6Ug9 zxIZncReaX0Dg$rFcGsUbD>NYBNX7U@Xvhh?3ni)A&Yx!qN}+;PyWy@pLpi8TtCP7V zAH^79==FW}QAZ8|sW!ThMr4+^gPrQDoq|Ceuau#Ia~D_Q2On|Wd~Wb3s`*kj7Tg}97?6Z#F0MMMFP@=JHff#|gkQ^#6p|EdB#G7W&cCN( zfgR*nQk^2Rl{@!i_?@xhhEO_OSFOG{hA;A6AsaULL+vX3=Mn5l)le5w){)N%3w|FP zBhY^Ge7RA#S1c5;&^ax|XLNGAO4&*t&qgm6bmqKg7dsR=i_U3vt(l9?y#wz-?%XFk zCxg=wSZTm4(zc765y*7sc@$l{bt8lVA)gDjANk_|jnWQOxxq^xr;je|?(=L>Sjsc~ z))PPB3{XIs%u?bbs0_kEH*3@9^7dSFu|s1-eKW8n!MnJn;dF{|sqog5k{<(Npqe$h zgh0#N+kk&Q8d=Au581i~ob}N;`OY!838`MEsE?EER)A!atcMyh`<#@Ue$m?IN%c8T zesI!h830fY@&0{JP;}oWbLiKX2Co^}vRAg5Oz_%Y*Fx1=b*-KTozP`lCx&3K`T~#2 ztLb_UX#KjN_9(rt9A7a&j~&Z{`iKtY0gKKL07Y&~)Jo{oDu&Wusb`ZPm6azJnK_{4 zdJaHWNz2d_!f6W^v^jt{MYxCY&+9$ zD`teVPYBXl6vgdb%^x}g=mME->yb(jDf0=g?_!+r9b6mk+!FX2P-a^n_}69xn1!)Q z`V*+kLGpr6bSQQv{YB&yvGN&`^W*}&R-0I>mX3x*)Dk*(4N+Be?H9541tL~efPB`{ zoaOuU)#1C;Grn12P(h5zS)e_>%c!Ks&{IU*Tr+{m+!R$a)qfZQ5$a_3-xaoAG;HD9 z-H5a5YF9u}5=F|hh^JXqR}8=w*#5zaXO)6*1!YFtsx-3-l;M)xx1!;0bDnXrqnf$S zow1Aw?`5;m?18};&z}`0;C$E(Wejy%dF|AencB77sh$Gzz2b>K^d&exO=6S?r7ia~ zXX7_F!PeIUXR6O!;lR4hDmD zD-2G05z@FUMk4sdS75i!{X|<2hqo@d7--4zY3GFKI0`Vk#I1-;Wlep=y8<~a$RGoU zKD|xc9jO#~y-y7aKjt=OqPfM5isVIlS|)9jgq$wWLDwJK;TZ9<-yBE+g!D3M;S*Hj zNf)gGA*IFk%+6+y9c_e!OX$=s!4fWv!uWGxGi^_Tmhmp#pH0D5ovE)9eXay&N~+1` za~r+^RLmPwaA6^b@EQ9XO10AmI(?6!F77^%_=`Wjwfz&rFC;mY$>c<-UPBf3%x7IU zYay?alZg0^YQK0B9;{iwHkR+Fy&#Cepnt@E8B^O1WRW6HX>cy~*ZB|0Q1!cNscrEA znt7&xwM<`^6e#Y`|JL{RcA{u4-%bYZ^Ma zV5<`B_8WI&;!hy6%PnSJSTA|oSXRyty#;IO&U0E;`7$N08lf~oazXW=>j8bKOrL%8 zt#ojpr8EaUcQk(U66ev&0#mfGz`HDsDF3pVV&tYx2KiTzsn5YSibiPJqZk|xYDLe* zqy9>Xh-P%6T?hmz&{|MgZ@kSKOOED+C!lID$R<1^|A2}5cyXWE=2Q&I0aCrs>z-cl zob(qpP{b{!g9qt1FHQoB7BPwtKlhD!QczT@9to|KoSc21ugGU4;>Y^pZI~A%{uRAZ z^1=%oa^SI|N2YBwaTs#HyhS)+>>bZx@}8F>&BvvQGnnMm#9itN0vSCf$NfuF9{A$C z(5~qRoG8C<_-P%6z%ZMZyBVXEQuxTL zaMzqR7i51wKaTsEi!>nNi1 z(U&MP@0^6wLZy%(6N7&o65OZD$1G{F+k>c&sS7cT(K=hBA8RS$}oCAxLaghN%0mN|OJHPp& zbok)VZ!#bg5U0y@IvkC-@lcb#z}iVO!RvAq=g_`;Jor&!Xi*`5ZUUBR_xQOt1dWpT z^_UAkHe5ZXfk51LHbl1xAmz5Gm&q?3`9Q>QOo}rw%3i)_HE^rPpjq3+97{7*GGa!4`8)oF5O!Yvz-@}=W7SXp- zc?mIoL9mu8d-4r+&x3|+L$ZJh#op=QJ8a8s2775{mEe8M!C@<$&BNMkPS!1m-w4om zISxLUe_B;WQNd{*Tq!-JeWnLLB0Unz-r-Z`wK>eSuLcLE!Xkk-q=Z-r*PiSu#%D)C zY6{8>JkDIz+m)*=B_ip?rFHYP!~XS`iWa{H4^ z^Tyo`Et~7zuze~$x*Hgfi4)N8E%kC)xh14;5|oDgOb45)ZtY2`5EE-me@Rsn`Mfh$ zsb#kv0hR4B-JnVtr1|wek7j?b#P7}^OkapdXM@N6GpQI^L-WhqgPVvP$Y;03yV>k)D(`TOHI2bV( zx)j26fKJd!Dhw>9uK_o7D6Z`;NkitKo?eQ=^@S zN3w^&5~N#*ey-G*Jj)+R2X>-89D6-&&InaS8z9hN5NpswQeg;Q6 zM+9UHryKy&IM4{VIZCy}t8iKMT$LFqTPKvs_(OVKJ|Y}aR8}eNE{PiDtkGP7G`gP6 z2o+DbdVd~}w=i);$4K^JYRTpXWb$>t^H4Cic!T!`C_*#Kpi{Kd!`z67eAp9(SsL%Z zkpd^&hJ272!VA19j)U(LtjVLwQl`uKuutCYXJdbb{i;rXEN?a1yD+%^AxeYbLzPi$ z+A-@G3g}P{{^*@e;nFx_ro8tdw@FyZ@-9M;ugnoL5hf>x9QWy#bEcUo^-z}0y}I|pZRTY1iPTzX|_XKr+wgaQy<*fvsZd+}^o4(Tois(ew8urj_0H}i$YzG%Vdj|E8uKMU| zFm>K06)JNkX0nEjn)L2r#M*>qXO~SZmOOyP zoB57931V=Uuhd|+ix;kA{=@HRIf5^V47$)FNOU_Hd$r2Xx?IEsqeLKR?+G|Ez10Sk zNkOroKCi8m04g%2IgVuD0PP4oe^av)YzFs+WVD&YdNptzRF+{8eR!GC>IyaFT8|T? zu$S_@oNQwoTtue9+V0`mkDPuu29A$$+tRpxy-wzvpQbm7KtMtzjxQP$nXBzT8&cn+ zno67=K_BZiE~nE_e#loq%tz-Ngj^M#oUk^L7s-^RSRq*TP_`IZiZSx2hA~_4x5}yU z;q|`Q2=0_4v80}wQ}x55D-@-DAw$aw~D&yNw=>fV^{ zuL9zOUR9zqAw>6XV?oFatk$z|olwYp+meKpc#0cB6r*Ni8)fGV8mW#+OsNT$74~yM z*I4J9%2*5fiqc_8<{n&XaVIO9Cq$fko^FQt@_2tCpbJRmfV0ce0X*{^9s*Rj z5070*OrjFwQc8#ecgBl8{)qpa?~3X8K98DaSqSyxLH5r5PkB3QnA_(MlASCzR>4j>QK0TC-G zB+Op?iGYvvWLKJsu0_+!`5TpTrELUaK|B@p5rchxvV;(N3s5OX2q_;MAMA+JlR;K0 zU6V^G2i7Hp{PphbFO3y0XYU`qbkS#aJ@?PA4Sg~m)Hfe3HuTy0NlL0lHM1d?G zxqH0d7A&U_Cu%`tbtsWNeIR8)NW!}@HE2&#iR4{z^Y z{Zlx(f6yo{nkRv~lgD+I(0Sw{MPxj=K+=^{0OdmVF&hfHEL;ow=7yZc{ zq`NaHTLRFL4p2ntH(BdkUdEEX$xt$o8mo*Gx^Hn za?cuV0Huo1KOWCa{d}d|Ye=4J?7B3bK(~ zK&^6)l*s2mNSlDZZ)mS$Q+)_Oy>g7VmJ-v;DdI(>{?pCHe` zZD1}aAYg^|NzP&R^Y{b~w#~FtKK?%DNjlRi>P-6BZRt<212D#4x}|FX2gp{(G9}+7 zuSwE4)F?>p8Rjd4FICj_L|v7%vqquGE={Dk|IBdhFQBywej|8B&K1@M+M*_9#X+50hUT9U z7pUdi_ax0cSGv|*a{&|6QNK0F&9)cux{nts)jH#^J=zjCi=!3pq3fv3yqVBwohiwn z83H53>GH*C24mC7(@SeDs2#zW|GGlv$su>7lKu2J=50MuQ8|Te;;N6(H1L}29)GQO`X#t4MU9?*JI)G3eRnH* z&d$swdX^w)Y7m}^pb`_bZ#bLPasIqlC$ z(r@*>O+6y?0%fGt8VA=qH%7s3=mc#2F0QeqYnF$+dYv{YUfAv~n@K0TtXo zXeG_Pl-BStYRFXK{d!M*WkpPKs{KP} zWFAexv&i>Rl$giAR@`ndSl{pjax5Lg_T;2W0q^B zLyd)Vs!58_=>JJzzXIHT-(oB7Du+@D7^&aq`;^*kcJKhq zi+EwrgFRr!NroqiGrlq24k>lc3=2_SKzvu3C2Wo^CH-jfy-SUv)ymBlJlNd=oA@IB za^=qjxuI!E$t&NwKM1c&B%HcFSr9fW$f*h2YvO%|85xfp=#Y5OIHOSR>qI{GS!334 z5&;9<*brv)xZ+{e4zfYhw{4h({33lHry0nZ_>)3HpIo)r#jBKe- zzqT&_OTsFy*P!U$SP^t(?Uzx|KP{$YGHSJOCkdMo(f%qW204*iT(`2@1}&G^jQwZY zeUfB_&uAE*#14-CtF3NcUxYPeOilZ$;@kF4kb|fuCY%G_b^JZ!rPP7Z$?$2Ub%SrF zav=`(&H>Dj)dw0h`BK^rxjAZ15h$6B`eRF~89+~ADAC9ZBqD=N13<~3Tss#DL6wg1 z#%?A`yF=)2Tzp96xmBl5fOk@* zF7-fX@b7{=op8;yKGbup00Ee{ecq#@@u`q^Swb^iI9EAJIID8ZoF0zCkiHpJsNbM= z@;79QVj5X9OMJh&XdEA)#k7LPF>&8}^9zjgPO*XcY)e6s?B>n($fCH1chLd0#3c$b z9sD>#gqKdzV29`vK8+Eua{%X~O>i(eL;cFj)OVTaRE=6N;(|<~};me2uwbaWt^8HPn$2|wWX&S#+)mE=D8wgCu zm(8XnMVQDf)*=Zvm1bn2SX7XOsCCJocO+nrkVVgwcqLwz*qYATb50u$nOKlRnfk=e zw?f~S+R>Xq$t@@_5|Fb66;~yg;`3^|y^u$&3(i{_&Jk|ELJ$yG;k!-Nc?vl-wSu-f z&~>dsWf9$ZH&X`d^)BqF?p~HX|Iy3^ND+;I+(k!qe6#K|L~ho!>iP?(&!KigUyR=E z(J`(l2OR?OFaTTctS@*&PAh1r>Q6B1`KbXQ&C?)(?p#@zEYWHnmM#1Od_4dcI3>qr8vfGC4@^5%RA?oI$ zTM$&bf=m3J*k;|JDBgLccreTc_0?(^WD0UeRo21q@ysJ8VGpW1f)Mh?C?(uNKf)5$ zVr2G@i0^@P_gwBc932>bbsqY>#C?m*hYt>TZzoFPTYRgt96X9M7NJp(kSy^z9cIaYuu7NinPK=Q$n| z<*YuD+KlmB^WlL!-;Qdg*BkW-#wR=;g$w7v24SGf9o~oL2LSmjNkot)8>3FSpJxEe zTqN~*0vgl9Yeuz3bHSK~J==!6P7m?ZZ>FpDi=_~sc z&50sp$KVPBdX@ZQ%Qg6L9mN)}^HsXz0hIEfQ;8{b@#{;N!`+^+d1_KYP)-4Tb-5a* z_p%;<`X^6BG{%Q-X&Pa+AWSGzi-M`Vr($XOO%oFYElP27_oNU-UK`=c;@#L_qnD7N?d&Dp5NG_wP4vNr<+l6K8>y1q}AWr zVjp_t3fF_XmT?}+E?b+vPN#8qAR)lsDR;l?5`I8Hfe%iwVklc8CbiujaA8x@u+6E) zJ#P|Rm*pFq_{O>y?icX3E?(9=@LS{i2N>NLiys&b;$F;GHd;|($(>s`_E`wBC*{A& zV*W=<-TqHM!v9MG!}2eI`F~WrF|+>X&}EZ_uP$fJ*u99u4=7iGG)TsYIw^D^`%y#E zr7hwWHxyB7jC!c;u*5Jvf5VS67D&ZeOM~gxhyr<1CnD)eWPFW=tRp%90SRK#T%V$3 zR?c$-!(UhS3rBN>Qg=C^u65Oa;Dw9zue71m`rD{FBimH0|8hq$c|4_;G!g_yqrC0l z(cG*SApych#?MZ8`zEWW!)8sKcWtzSmOi>@j*5*)i1~{mNuI{B;KEBWhqRuq$Cpwh zB-NM20upyfFVh!KL^3uMtV^WAL%6JLr^k47In_-LZ=YZ-dlIFT;KW_*{^982GCu;I|V-vIILm>+GbxNlji24qd=ZVmJgNm4izr9i_LaG-jJ+LsU zZW>vR0rPIcg}zIwLQSCSj&qM^_0#O`HZ!?pS;HJv>I;cgiKkK&t4Z>Z^l9U{{yn8x zIr^~WpYb%4Po%R~7pqm!tQu<@bAW1J^vU$`!i8(mre{qZ>OmT}p6=R>yb8G|*sDC^ zhEX5`qUr6u7-k~_SR|Jr9@m>BXG-o%08rh1D+b8bJ?x`S>ym1nGhgn|PT*o!;#4%gTG(OD z`fTxHKd^^8_8SpT2(y1~Nh~S9|D0THUlNZYwDJ{l1QxbO9A7Ur zf*~n-9HK12PQ(c2`c4vp6K*5@NjEov0W6a+pridzYoZfTUuvj4Grz6C4&JI5EW;J^ zIqQM5ZLgA0|4#YrP+cu$H8wMA@_N#Z!&XSifoyX5YrIquJi_q`#zJ$E2t~OAG!r!^ z8K0`jHKGMwOgh2x?R5YgHVK_+Isw+f_Jp&%Q4tHEvi|CVxoNP;As7}~wSY2QggD5eb9)39D*PsupAR+ zu*4fu{Vrg^-6~aXW4bo2+3kkx=heRzX2S`ooO5K+w_X!`MOuUw&xQ4($mFtOO*sql zk7a`DQO6&qH6L{Qv>KCxT9R!HJZ__%9+R|Q>W(Zy$KG0!FAr)n3FQ)^VdxvA?)1wQ zCguV%tpgxw3rHCOvPbf>*6fyrhR7pH6Bx;CBw5&O2!Vm)48Tcamx+&ato~WZTqp|x zC1=NLbj`%njmmHP;LZwzv3b{Ro^_*ULbw22$qX8=c{R&eK& zXQvD~p{3S>8rgPirIa0&tUS04R!P`8Nh`0{;_a~@5+uWXn$rv7p=Gs2XQ7feFeQhu zfIK4j``spolK}HG2}N>{XLD zKKYcji>wY7)d`Q~CJ30NNPIemVfzs21(vtum-r?t7=yJ`l=4>R z^B&6MxC$TLT zr!PF(;4GZ?COl%0J0ScNY3T;yn$Laq1P6RoA^KP|Ehckq&`$ErIfKS5aTz`eH-IvdLP-lA9a^T~>nm{ruK8+-z zRyWd7HWSbPwm}U`@G`Bs=RwWcl;;#WYpm|r&wLHmH%#32s%#^3}08{}#puZ{m8)oKd z9d<;wh%!riPCnrEOHxL$6|awm!D1&&mKa3*aA5rP=QD2hFl`#eNdJ$F{{KVBt;d9h$XzT$UM}`%g%-hQclKH7Z%S#C9LW)W zhxd}-@!G4T_yg{IzNR(TA5*o-Qv6!6H>8A}W}EtJ)}7oyvi8I~2M961-lf{hOv{ru z)X+hqxnI6Bm?pu0c=tQ^|`T376& z3^7?r46YGh+8yU)9_>ryh|A|A$D&wBk6PICg=08Wj9d1W7!)r@S&EV*xr&*rg-)?W zF32dyPi}(xK!+3(Zp9>h)=gSP)U}%oi*j(&riJzPau3Ywos;=Zs66TEYX6WvWMzZn z)zYRByc_J_LO`~O9R0GSqCQH%pv7MO;oK-Wu2qB3m}b1vs%gS(7vglpFO{B*1i_>V zP9!92aguVKpQ-Udi3X}Lu8eS*JLw+rG5R$4j7qp`$!dJoPQWS@8C|YC@L$0*U%xx~ z`59$eOB;~>0%3^&dsI`pFagd-E{@zG1Wpi`rF2k7J1WXmMIWhMfHB)ak#Kba%_!Et zgz;=tW@M*5L>H3>Qbgu~4H?%(3H*K=NAmj_==h~R=~&$A>yawNRYpDl;7_t1 zS613mX|xy?AOp4~Gj=0l5~$$9&mcOL zJP}w|O5wPG=Z+T%w1+t8<)IG&76J}G4rc4+9K|p+R<`}`QzS0j7D78+u)9_P`UUU6ExHlLA~hf(I9GAvM%e%?ib;!(C}W!G)-E%k4WoG9myu? zXBr*A#QdzkoImsBKWF=(?`KfhY_{5Sn@(?BZT(LTDLivjc3IPpFS%1keumD=`-v!{=hhqH3JfFA!RNP;b8R>J7D znA5<_)|al5s00x;dk*>V54*5mY)`b5~ZjKWtJ61!!rc7)1Bt(%e&II7}Og;^c~Xt%9bAcA+$2V&R|BNqx$ z9@w}@3YGHkVZT%1ImoPC34B~>b5w_rTVPWj5i3!E_KOfhlPQ(y1RKT=CAgXsZd!L4 zKL5D0=}u|4)u{4|S7xQmnq6YR&i4$WDvdPaeM_tHJd_bMX(j_imWX!uz?C)+>9{x!+9!SrK1GJMt{ zkv{}Y4MLEA@tJmVJ#dWh!xhzw1PR8zmwpA>>Bbk)2Q`;k&>p!M!X! zR~0l&PIkaHZViiBd2>JEy&#*ODS>?E?_P3nYSd*uTnZvZkNg}ETC+Kh|65QYU|1dd zVo8oEx%8VTvFnh61b9atRLfa!B(&1+Rx4&Ltil1vAn=zjTAKDFx!9_FTdd7nj%KCP>$jAUkhToBO`hr~n%edjK| zG{uLW^(JDP1h%u_FOr9g94jTU52Ilp0{%!FIDe4Utzf(t%mF z;?N`h2ib)b>0*|pwE)mwPzkmLa3pOIS_E8;5fXPFZssDc7h4Cv9SD_SgJh zjggu0KeQAj=tPOP#n9FE*sQm$QAxtB!{4L}01e{%tL?kUMqdD2;L-Sl!j~xx41bu0%nixu*{>{O4&0 zU@AEI%%BqMt2|)%#Ys_zN=}CKwYpgeiq)B0ab#ceOWZiu28N;jZM;%72j;*%ZX*{ zNWgR%@GRH0>Qi<_8DaUH8HZ`$ewbr%D`9q~-mGj?DJuL<2|PfYIgiD9X(uHvTuVTe zQl7JdVaL!d=M-VFOzJn6`4%Gw0L)Gf4in}h4i;Hm0kDo;*j|xcD60gI01cFBBGCHX znW*?M`dx%i6hMU#3n)24xKwH;p&_dde1#w+c#2?X@WoNJJ8Ph)YB=y(oWu zlfd+UaS&MI`HGh=sCA&j?bLt=T8PpTrY)3@@TN^0WlIg5PsS;|GQER(CSNR_NAofS zy3M>&QPH7zIV%#IhMkzEG^;(y&?7Vj{=I0}e%ju_cJT z?`Wr+AX%5t+W1`(zm6ihKR_xccXoUTcYPYpo@r)X-tyH@=nILBP=E=pdC8{UGpjz< zX+gGJ>}p+3r=x;v1V6^P!`;wt;*r{~dHrO3G!WX?H4s0Zwr`~w@-bPJ+TtB)1iAF9 zg7io}h^->u-6j>=A%+_YQbzDVG45o?ZAwwK=_&#|`+QZ?L(mbJoia2BXv)1kPJycO zyPx|XEQJ~OI24V?1??I%ye@@1wvdSe^WZ7&igKuJkHxFO-KI=!TVSzJ$3p$>>ZSoK z`RA+!IWeNoJYfXN^8XO>N8Xh2N_B{A!{d5CRyhG_qs%4BirOk@A*MsW%^HM4lk_1V3QPHCdVvm_+=8vojs#HwD`^m*kK34Q}PF zoXi0!E)u76<~=w-_D%UF+Y6<9nIgy`!OL2VHalU*G_d>_UTw>kfH2{`dS_NpB?k#G zhsq9z4>zA4<``v<8&{%bXe4lkwAYIaj&J?ab2{kdN)Yl7T&V2ZxgtFNP=6?Ffrk%M0;UY?%Wf{zXHf5`q&XASsNh`8`f+&|stqe> zg&t7*+O>?#QQ~mR4>A%}A9rb7^8w9Lm;hMM67f!rFBeJyDbK{sHjzLE=;~# zavmk#cgg}%yN90A=q=Ksp~2Y{3LM@wW&Ry2UJ;H(U3|$p{-SqeaPRp66A01AXEMrgg_kscP8LFDk(*}*NMWLr(;{;-@1yQu>XUy)Jj1N9m`Jz? zjkTpI!?QU`s{6RK6IH|hB3ddsoZ}V>)-2&Zi&}?4kOxTM@0-XXRRidpeey2?5gvj& zc{C4nw-XIdvXSeSxZV&TvH2UdEjp*#(Bpj`7yx+C*%tDc+Cd6(9>p=aQG^P8iC$5= z&~I1MOF>>FBmhJpO^J#og?=1y?}*RxA}O3`Rg@l>Qu;~#+5`qWIVGx}baVh`>0B_W zal)n3RhlT!*m|i5H8R$h8$Y=mKdk)_s=V)`s19j?RzEg$U2q-4yCFzC1mUb-^)&+5 zL^pUGaF~HW(QfKS*dKIX$Y!N|Wcl)W{(XBq9;Tg^4P4dc-ptk8ExabRssYu5Upi`0sTWLd;uPN&r*UHKfQ#eHn-jiW-}`z)>L*plGS<8Ct)3`zhjpj0}rwwH{8~cAxBmeAu1>s&+S;W zmn>-iw{{Sl!4U?!duh2JXo*hlKFuLdNRuN$diDYj5v`z^R6fB6F(le#Uv=qO!WuA5 z|DN<&Y3YJKJM-MDtlQ7>c>yL9%3inm3s-otls`A zSaQe!zedSah9Lq=^3$a)2AP2#snBRyirz=ZhA2kOop*VIq&Tq=nZ0{Eu9R3Y&L&-m?+;bD21k-PM1I@$qMr5@`A?Y#Bo6|2%7O!lStf7)|YHnO#9eBc1cP2;E zV#D2n^#FLwmk!Y0v?x!`WRILGiyb(3)bmyaH9-W%Z46Z12_&$>DFb?3t546aLhR9p z_=_v$t3sboXh8;zy3*`Fz&&@z_bJ2#GB<$?;c2sDpK#YF$slm5*`4JtdLbzY{i;4b zeS>%2p_9M1lk^7d)Hl^Qo|En6@;_3PGR>MhM! zmi$8Lwf_`FAfe(_OUxKXA9IUB>)?_DJm{%AFP5k!#j|;$<)N{pJ`}jaJ0lLnH;IqEMG#3Kj^{sMV326#YrnG$7zZXhu$_>J5P_@FiGmOd< z(FTfR29Z9~f69Bb_H;EJKMyYc{65Q`!tG0(VJ<3rP$9s`@GgY7c^(fv+li*DYJ0f-SM0*NP&SK`JZ$DvoKM`kjwKw&teqwL|p|RqR9y$(sz!F?~UnZ z8KxdE=@9sn2ePeb`pu%M@WQmUYn9Q)VTn14gKO@OSq)IyabeM0bc!Z|WzrBBzM1j0 z{8NAq@tOE?F?8s$85=P9-b(-EzgDEZBTKCG`KU~h}_+~ zU!`Mzty#%Qd(*VgCkOT5`aGF0!s75>w@hKrwxVBlTeg6Q_cs-VY>z>KMxA(QRLFhY z_ysMB4m?Ad}0z{v^hNvsi}GDZ%rB<^l)ps33PZCB(^C*z8wORuaf{sb&aJGvUzv zK_x%>L8)J%^QXYA)79q+_2gbsypShV9^m_zlw3O2uxBe+y92b4M%gk~23-u`OwFnO z-AGi!8Gp%{E-8I*!3uBbyy)1wG;HcOlK%`xFsoWXIXl2V<;rT})RL=T%EJO^EsgB3{^m&TD6qSPGIizt3F0-*S zak3+L<^qo}33)rbB8TLF{EDH3mCq5ZoWei;J|Is`v6s?!>jC%^3l=_cigUzuN%*;J z(w+OoysTPc?nZTo(sW9_t37*ZtdXmAE1K+z2L|X}7s+0HvKYDqZH9Hsgl#msCv4X{ z1f$a=F(Q~TSr}m}pf=#x&yJQ##5NXL_MK56T$QAR%yo;K0KYO=KaYL$@*tALb$=Tx zWkQXqRx3EnE-@D~$h!AtXk|$s_x>a?<1OEoU!H->eZt#^1USv)_~I|^Y#vz@&26lS zxS1J@;rw4+0{*wLh5iTD$-gC6R`&m%8uXvP`;7D~{}~o?P%VY7#BoTCs0G+Ihh%jbfi)+lB)a<{>B0{8kD&)8P|rloiCB1?NV-7*jjBpGyX|VMylv9Ae}+1? zlCU@tJvPO?g)jD(&Rvb@g}18ir*m@SKj(CF??| z*o3$0O=KK(h2q%f2sP?NBMKbrRTIz8M);TH?^U5#BS%8QV^*RA79(#{>}rx-s@jHd zq+)9MTlPC8bM?r4PeEb0%NgSuwZSnZztDy{m<2fM4&`k`F|5Q$#k$4-mN+%FM(9iL z?Qw8H2d*EXg@+EE-w$jkX(aH?!W1EB$KRteKRqL@;Lv2%L;~~2xPt_)ooisp#LDf< zb`Urdo6It4MQ}Y|ME;i;AU&0RyK#JDB>zL=IfHO2rkrUC^Ga(Yo_Jc?Oqa|M-J{f_ z$vI(wfXuVC&yiA&c=keUUN@m`^mjs2X}vC}aR-MZjAtzes^{fb-;f%AV;Y7x%2Tw;rd4mUBvk=n%-fmVee@QOnMC?(wS^blI^x!@-gRkr8 zMb-wc3*RbA$D%S!xaV=PqORFvwuAG0Y6lqUoZq}W{4}yyMC!F zV%QxF&QX(OWQV;vly2~R3FbN7Ge-DLyc;h~Y%iV%+1sI2M2qCusQO#8R?r!?L~4`) zqXJlJUXHRG=^p7P_UCWH-REi~Jj@?I-OX?)R9@R#grxasHfrPdgc z9N(Grkyp)W1{@W@mcc+;Xm!ZBTYR}*lQ#4x0*Z6BU zkW4V=Qk5I){TNk*b_8*aE?u7x7H7N;aVM8}qBu{&=Yd81zbE@WpR;*&eRz?NcPJmX z^d4o+)>HL=d8tJk9BP;<44+mq;52>G`C8E&o9@o&8iLHM%RfIbxk5ez#3QPGtn7mi zLZ&MnwVd{J8z)SRVu~jZ{m&p3irlE-D};}9N??-RL!3RXxA0J0Jz>D{S@LXkiX9=X zAIrsh<{aqdy#GYln)v>`M@*SR|Jf&?5Qd|)@UWZ8;pxf~5zW;3J~6D*);u^bgF7;` z@JO7(SpZh}twRCr|HHY>GiT;n+a?OX0b?W4^oXun6YO1-qQ@lH0t`LnQeBwNXI9$< zH3Qoo*CU+;13+g2`FDymov+B<0II#C2LO9YbuWyYY){}%Wd1_tcNKeMaIhi66h2?5 zn6lkST$4JP(OmT}fr8OmCH_aIm(xdGr5sLP9b3!}Ls(iGaSB@+x=jcg1MOWim<2}E zN#3M+qm(;l1%e{A%qKrL{gAO1UesnRXGLr|-_C{;d?w#w4!-zx!AT5zmC+exr!CZv z>!R#U)9)uT$tqjiJ1-abF7&sRK%&4lvq5ZI^mtjCet17DrA=N?zw;kzZ z9l` zf(x$W8IqA~{mv;#E^4`h(r}gBnkfc+#wqY1dUj+EK#5Aki-F7Ay0|_(3SH-+2eMeP z`ATkYrwp<`{*Jyt`uQw{J{Mt#tg>34YK$fxAaDpU?8KpM$Bg(yaqXK!=@V`S>K3lL zTpO$Ulu7MnX3%(sW3GWwQa*r->*#Q|Olsmz;h+mK4gtpBy4l>4CRL0F^_QNojLYI9 zl|m+7&L|i>*l?vrcWA>hyG5CZubIn4aV7_AcjI+v|^JA>O4d4BIOowa{oOx3;baR-Us4USmJd(dioFHIdYpypM1Dv(Guj&v3v(4 z(PEBAzTg8icrXjWpj&UYx7YHh(FVcgS@`b^V+=jjfA2^K|Q z{XAt3Tt6j@NCZ^J)t?jj=6)&&IF|eCR@7p5P4Yj{)hroDBd)8lZ9z@E053mAq(S^T zZ!O&@t!tV=^=6xa0nGWfr(pquQM2->{E|(fk{3R(>8QpAYJ0tp{GsciV`ZK~N(s?~ z9y=J+2;!F#Q2*LZ|CO%#-`$m0R%ZA=0yVPzYsjDD^sSzuE~DHI-m#f685)$qQJRDPm7glvvdBYyHyg5)_?B!-$>x-m+p8D46mzEmB1=5J%qL$p`(Cz&MV-3Ibm;KwEQ{t2o6LQ-^jcI=;bT-1_C@Sq;r!BWjbhz` z@~BeZm-i~n8gd#fN{G4{Jn&8`%%dzYcujG81U01gu_U;s?QBRFdh`2m?+vRWzY6?8 z7n}W_Rn3J*9;sNHSAy9U5T86s3zOE~nF1ooFMLPtHnm ze%Hkh^p9NFa6qF*fl&b_Mz&}eqNjgsxX8QUUp!@>?8W*|kT8J=OZ{hg30~oja^;;# zG<+XJpb(?B`rVXel5QQYGKsBX71W3`E;L0aI+PSRzxar(p{p2nc_W@RpWyxkzAq8z zW)Xo?@Y&n6iv3Nx5Da~MxyK=YG{hN>-2kM?N%C?Fnt0sQL&d13k)>EVcPa)L$%g?kZjp*iHIpDm_;LD;?y5ArLc^z=@RJRt9WLy7DX?&Y_YBWt_ zp4{3&qDI}?jGnIFU>+)X?I;z7&ge@3vb8b+gsv|&yo6Iq#EIpjnak{=M8-{mLD873 zPTcUN6E;MC^QpLVs7(lKvp^AglJHRNhM(`X8;K`bBAX)OiXUjRCq!$A6j7qGz4(7 zPAX6e#8_sU9_XB-uI#~JMrJ`}>3tV70|5p|C#$N^tXua%3LZt02|aJ{zVjo(P}nCI z<%joFu)EQaHjHRT-u3tGHhRo77wCqZ1adCrbG1B&}2gNbPLR6m+nNd;|#_VC5P%DbD)5vKd+;i#o8t^Xmx*eZ-2#Dztp zT#VdidzxRl3Db?5SzVvRHj9H-vb$azXjzff{!Gnly{liMH?F_(jx!#D26awTsI!D~ z;q-5e3QL7*ZMe(&EqcUgLukNf7t|5vo09FH(%uPX^(XfVCf~AI5?U+>>x-y`j{OV( zKF!$V`3?lhhrgK-k>~ zzl-{e_oV2ni>J-Gkab>-98x6B{YcR`FleJ^+BE-C$*mvzLM!C(oi0I;XC9uM=_^FC zQPq}Bgoo+fds>;~p><|qsI6V?uI9W!lYog$a)pxM;t_mby$2{d9o+LgcW}Iq4}=a} z&{J7O*i7>Tp|4sjAGs!b>=Vgz`?ok;IJDtB*+rY$K)`Red*r>wr+r`MyDUNu=exuv z^9VJ!RSH;?-qShm7$%kC7Fnc4xMCleuHsc=qpjH+cg5*^B*v0^-r4%gz*` zj$6&JW0m^-lD?!14$W{9)Wey3ga!;(_$Ce4mW~T9+E=-E-JD^~YsJ-zZvz@GdZb@v zk(>WCO?*bzRobQ2?Nz5zY2Zu>E&8nDaLU!-u4o!U;3f^KoxJ(VQU<^;p9MbuFTI*1 zd}_gd0kuk2W1%f)!^J~{fw#4U0AYTlpE%wSr%O%wAw;>$__Jj-U{!5h#iH$uiu_dj_O@|*9Nxd_H9{M| zt+A+F1ljIPA?z27Gf_Am~7pf?nN)cqYE=KIFKuMW^yT8{QmGMftT>J2G9!7tf6h^Lhuxo+UV710G{ zkYwt~OStmO93j~lW_lFI#`u)5ceI1Rr_=R`T^PozP;${dD3UVmAF0A)=bG+J{w3R6o8X06jY9B*De*EV{P&D(xYA zJ^-#;_#L>b$asLf&Ix~MoW+~+sv^TZ8sInLvWUiw7bK|N+%%NAPZz7Bb+>uAClo?m+;qNuhsBB@ zFn@a}*324?@bBu42m*+^tm@CGZu3xe2C{M=_Lw7v6on6Wz3sG(;f`dc!WSJw)kfe7cZVs9WRve@OOIFR89uUsb0T|4J%uoo`H4^`L@A@a z`DDGW-_#!n|dysT4=P4_4}tv>+h&y6%iZOq4e7~xcJSv0H|N7qwhY&(zK=Os5l z{!Ta`-yQdXuwAhrdLaK^f_%w@-qBL7RQnZGf2cMkqEr-<3fO9z@hI6#;KJjlQF``W z(~PWU#8H*Q#79dY08+KW79+lT%>eVBU3lSX6Lu;3m;-A_};V8 z{zq1r@BT}gaTBxCW;re&ZmkpU(vR&+0x7%r9R%}V4J`DxpVghB_c85{TgIhiL-dd) zty{%M`uD;KmuGoUq9cjC46QlVJ#*p?%kR0}u~!g;UTB*amZC0-LBN!bmFjFR2Ji3W z*)}T9r{ctby7H9kZF`w$$lPcJoX)rN<`vX+9pyO+kQS0fY;f@~yWk~Xp_pd!iI^OXxOfa`qo59JF1nJ>utDaW|hWJmGIobepa-{>5QqCoDQ7Rh?(yLL_DO6&`p*O1( zA}rBOY+(>68UQtrnQO_^ho~>6D>zJ~Wp6(yISi3CHLke&qp{bQvkIFgp7&*l-ulL+ z`ZBk}eiY|pC8jl2zK}w^`nVKlnKK=4HK z87UQ|%2OX1`)^tuSuYRfSk*ffjB5t-a|&R)sv}1?TBjG{r)eU32Ns4OjV`bygZ@(x zqM*N&+^wuW-A8h$hB86tOrKD2tlq8WeF`qG+pX~55nYt4H|R-0L*}lk+oHX2N}`>| zSa>r477XTGBYrJn7U}ofe`)VFAmDHZ6v4cY6nZ0#S0=8yNaU_dIXC@H%#EiX&|*iU z)K2dhgN)PE&U8`fO*ZTWUH0>=8U>xEq54l1#U_?)4oOPLk;+Z|Sw6V`Qpm$eH+fc+ zJ`GxYi$2@+f~fdYRbX-MqQkQ)3V`Vtszh{Uq$zw%LsbdMgb+;oEZ?+4!7bCol&GR} zsX&?~)^quNOsWTc&?mL)+<>JVq=Z$nMg*MDm?A&(b>v$FH&oTaqGWu)73h2`Q%XM9 zK?cTwskhB-t=0J_`5XrP&6c16j9u$T_H?@X+d|&FW*q?hu;`Kg8uaE*b%2@4WC;T! zYiDzL!@Q^Gi;Xw*JtogsPL^g>Jf*vL45n1i)4DN&rNMFGgyEvqxl*!P!ws4B#--*- zr6>8|!@&CPHzUKY!&iT-{@^c<*a^H2bYA0@o-SZ$Hzj6+wxbtJ6>ihVzJRu$kukWKbtdtnn>%P2pB_B((b2 z&HRL-4b`J(`0B<76TN^<$TINIQ`^pX#YrzGI{0+oyGO6BdmopMXo96KEt=SgtRbs70z-CX~l*iZku>g@l+t~xsd+kf0b{_P@a7A>R2*S z{a#qQoK2F`MFX;&qC!dU=erp=j))B$VX+c-&$fz`(VM3BvGY{2!?Mkv;?xKnwms;} zkwtY#WXx^W3HMyFRX>K>dtsILNgri|CN1Bqu|Kc>KJ*HPr-(`H}>C$h(HBw)Y&HLCoaYPiG!v!d-jL#G9{L`;!Gz%KJK7n=C> zD6#ck=9s;ovi2k%4u6ofaeu<>kequS9ZYE!C5tDDi+}FUhjr2KZrNgG4Bg;^(TM@t zmwPpHPRTHZx9Xs$B{C^Rq|Xt{WuEpgZqN!w2TS?LVHg=|;$pJOXPzm15fvGxXB_OYl>JkXS#38 zf$XB`0a@B3uNi=Hjlrgrb@X0}(_Q$~bU&+_ZzKkb7i>1&=neO%skd|m&cEs`Sz<^S z0iy|Z`ZO?uyhWEQ%Ob__KSXPcGlXJ@Jzc|CG7S&%&8A4`npPe6XLl)azg2*5qAPp%)DS}gN)yJ`@Wjy+`noc7h^g6X(j!yxhkjA06a}W@C zp#s4+uNFHB8jDuiD271U3~@E`rEBy-X-Id_TZDL} zn*x{7=F8cHo9fFy;(KAySwVzXNhD_E!ZG5kO~+MH?qBMeUfYlq8fLmnO1ju7rh3d# z_japKAtg%XD75>8G_+EhHY}aFSD&}3vm@8qBye;WKs8SXwxlf#d^cv-gh{FibsGZ_ z*W{i%Iagdv?S1(JEdqOoDOO>L4SU$^8!8OINKWM`qX6Coj8@GM0NL;_9S|+oN)Z_2 z{W}L<;ft_BriE_5ZvqGdi>#Z8LqzNG5N4N0)`u&RHuA&tIo={bGUIM?*%V|Kroq!uX504DlX!pLL-$TQ~3E?u{ z4z){vjOm#Tv(roFm303lLmPnff7CAGiCKgg>%}&HscyEAE5zreTUZ_4#?zP9_P}1$ z?PbKpSYgG!P{x)sxX0X>{c2!?D8DUOZ;b+nk=_-&>nv*lMbQ#MX(T{RM&k)D8wm4< zZ(!hLeNa;@!$>`b{{-bFo&|vLPep*>( z`p1Zy7oa$V3Gg(Dl|LpPkn|?^f_<>X4ylm>^n>YTb1aVyHO*3xIKe44D_U`bx-O1` zCKVInMo-Vyr)D(tY;%?2c%m{l~~HhtC@AtJHS#XSWbJzP-X0{K`J5 z!}Kkd5FZX#;!?qw5}n|^7(9D#6ODGfbxz84Ph;IfD8Io&b8LIo373RwXbC<7j(yM44U`ouA1`fwubv&llEkQA%vTU)T_{Z4kmCoO*y) zUHrJS4$dr=AoVC+Lh6^EL_e=hm{xCyV#<^+f+?ZlCRfqx>0vO}IC$`M+OWmfGfsA^ zDszKFSj1+5Z=SfTnluI&2*ezGBZJio;hm&qoDIjT-{ATo247uA#kE142E08Wu}MCG zFiGzy-mmS=`Bt(B6qXkm@NEtu{3zj4PW=iY=5y=@KObLdPh{lE_H^l=>Fpl?S%eHg z^Td{~9_ZB^RAA9Ej5U>iaj=C++-@^f)|&kL1S& zX#=m5`vs7yzXeIIX20K@vHZD;0}H4Q8Ey`VtDCIL2VLqY&{IEa!d7ADsqTu>TzUh>Mkl34;*)8yS}Zyc;T_^2{Mn8O>z= z9^6y5+WJ`%=7Q-icXMWV3P0*;pCgR?o{-6Z;}WPQy>*KRwueTZ;Y7hFeRVSc@;b+v zu#<=iI|J-De8DbL6(OFeX#YCC6TqFJ@iGG>gR<3d_;3UR5gX zZBo>~QtWeKgg9V`$VH$fj=Dl_8Jh{!nO2&@Psmo+CbNulS$?VRsV{#2@x`&>@Vta|5as@JUUr`;#Qu3Tze z;{Yqg0IXZ2mb=mjmL~-gh3oSAT--~$Tp}H}ru*xc(OffE-MWRSN-EwVH*WA&-AS;> z{`@_!!VJ9hggB71`38?*9GFDT?TNTXN<4p4xQJZHy19S*S9!LH?(zTFUU2@WO78y$ z3qV8O$ja2%g@A{LUecD4k${c!f2Z<=?QQIxlpPF>P5*OO*qQBLRP8?rJSC`q+XXRu zyZ>6GqVn%VmHB_B&;IeRSpHr7$D8+Gakl>~_}{0d|1TQ(zmbOKrosgOsN|v^F5=2A zhA#g#OI-P1(;oAGd))p_`(Lp&CKk5;69GWB1>o;KgX4f~k633Ddv28`9<#)$RyGl1 z6czjWg}%a4MA`*Mu6wvOFopQ*RA$3)hEJy(f*SzUW22YlbJ(n$?L8Y;VT(Hx8P0)+ zQ0}kN{dP)`k6W0E1beLcvxfo1Ds~BeZX926@2nVsc?w9Wlj8@-@{el5p|#nJ7hXX4 zsD?9BT>~WU8M!tuDr_nw?U)IdMPAt86$0!7BI^fUCn#JYJr;mF&wi-xemN%PDC zs_*Fv#?R6}Y8%z-gafxqck6SXQDOLmhl;=#sOAGVKN-xosN-yMXXIJI$LyS~M8oRn z7s#VkAbW>bB^DnQ4RvalqJU`ZL`Z%b8X!G3And~iN8}+Vh*l+cKU|ixU)8mA&+o7_ zZFp&=IHmYRT+=X8g}GwTR95b@Ywq=L)Rb;T?UBd->P# z2G8*_7{SSUQ(y@7w8Guw>*qx%f?(J#PQaO<`ZMdM6p#C#K!VzOyvLR@S zy$9g29(S5>tcy-6jnupZUa3k~*pM$M)n!{f%q)CQpZ6*RUGi4#5TJyNJh>=!K8s5T zC2Gr3<1=q&M=w`EPs^Ydu)9>{Y%ZJP%N~F0^rBluagTOTC3J*H8HSH^iVb#!R_Cy- zK)Rjvf@z+nID(dF0(@XO0e*LCp#X5cyFA|xOKkf1wgInArCr$O;&}WsNxc3#`cL(* zARVt3znG~~#$|>(MZhPBPYOW^#lP1eD6cXp5N%MRzHj>p)`;i%lQ>7KeGC|j^&&(u zun(+`M6~V2zE3>7R-H=+@gCt_X_|hVF^(xyx_*?p-V4yh`VN&92<-(*RwL!iO)F~X zXC>j`^YzyySg!Aek>MCe6&p!E`7{r?d@|(%Yf>|Gfs_!oWOV}HHv}|%VB$=S`SyzJ#@+hG$V?Kd97IiC6yV!vEmGECM(9iXmYp4{Kje-CA_Gpee(-n_ak z@A9$YNM1*iGk%`lT%207_tI~0i0hCpiX9D_NBRqL80t^L>lKPaxEe@294~My>39K! zQJtFKcQ%v;ghKO=)Ucb;XpZTZ%$QJtmS%lxebokx?6^HGApS~tN%=PalS6g`xxbT3 z)6kZ@`MKxT&`+Xj-xi_tJ2oCVdW=vkfyL)v)!mt_7_uuQ8_zW|xrKQq-FglI4Tdbu zWjo}cps0^2dPWL`-7-`o$T}jIG781y1#ZBQL2q@sZmPD=1pBm3ghnHuH8J_=*v{{L z^F+ZJD|Ah?9u)pchX`*8M=g{z-?ohoIavGHA*rQ~Z+NNB@*o4gxT zo6fR7i@)A&X=Cl2NgX$}R86brIO{7s&lbrbvVR4G*P#b3+2X`Ooue zq4mlK&i8+5=zu*ESTAzBqvT3725a{`&zW4p(@jVpvT~`l=6?>pu zeF4L|Amw(YJhPlPtO<*FSP~g-)5`Ym12fiY=x}(E0B57#dbC6CC&7-9M34zCybR_m ztMdTDPg`>F7wvFm)!(cXRAv$JD_xE_D)z(takt3<(qLm}Q3*fM*Ch#}@OD0FL;Taa zf2n#bX!RAE%d^}^w^QWy3WFEJIhc+0m^}oBr=Qw!XDaNUCs$^jS{oDN~y^*R%mHc3ltM#r0u#8hW&T0uOeSd37zPC-=i{i#Ju#I8Un}jxZC{a(IJO5MFim;g0JmFC4_Y*Oe z5Hrs1>`Y*n_GJvzIN|hXeq_%0G`f8?i8G0z8yv>r9?<>AjQVu$~{!Ufc} zeV#Eza2z1;c(%A1cjKfFXq~CIdT_dLAk7ZsfS!?jAEEe#-SH)$U$gH=+oy0w4zgGA zMO{a+RP}AP%Em6-OBX+k=T}8iya|VA{*U(9%f(MrT55={`N6>FXPC8IeW_A{gCwj> z)GiT}wTWL#^XYuVN4`?+&lkw&>d%deFk16EwNYPseeN?TphyWjfUIf34rCnzBYtq|$O4 zg-)YQVk@-=an`?O#ziQ0pMRd4q6{{$kEJa;Y;z6R*a9W`%&i6*PkvUrHeOvo8xX50 z)#woinTp__<)8Kq zrOV9xAAR}1l&-R?k&CB;DZ&5Lfdv08^EesVIoS#5#Vl=HOq~emMTAvEOpWbL{yisT z>Ef(l>LhG$>tJtZ`cHv!5YYc0GWEapDZRR-$v?^gBQq-l0lkE&rMZO*0V6vTD*?UA ze~tTZ(aXo@?BZlY;SjU+hEh-J!|-L>mH*Ug`O90+_p>7~hzG;k z{3xmRq8BW_cLR>EDuNjsWIAddbkd1BkK4InWTYJlq)KZ(@vuvy0!*c1h)4Pg*~0o= z-10q-mQD`97EOZdI}(*~We(D-a@$E?R{nPqE-1ivW)Jz73i4|HrKXp52sg#WbddWv zin|o#HqT13XzjpwYM^DPvTOM6#Ud7ez`Qh@DU6JE8;Hc9sE-#FiwDf!Pf2sA&S~tJI!zZtjOm;V^`FqxTLs zVGNi0gv56_{N4+{Mdq=$8f)m8WqUz1w7fPy)zVk?xWPOIMnJollYQgIeZX*N$9#fe z4_y2bpWm-!+iIiAee?IMyD1Kg2Jcx%IxaLA(*)P7UfP*#OxT*u%%>{y@1fLUz`3F& z(%U9jPEt!A=JtL@*}y+U>R`)LY9KOVBr1agU$Wa$eAi@Or4a{rA6f0|7)tbx95%FK zchQ96I&#FZpb{9>+AC6Ydtay>uu^x?nEGb_Z1i`Cued&rZ)Wkqug(Mv<`{8dJhz9r zX>tq;fAHI|r-6LNU`L%wZnRL(QtNFTGeqKY|{0i-Ln`m;n+r#-(KuoYdMpevrsYX_Sa^If@3uC-)x_<4 za3%2iS+{nbAa9Pw?X;3ALq2KGbXM*oMg~)|O%CG7+Ij%j06Bm8UvCp?U+zSl2|F++ z+WfCPs-Su;9=2X0rCaqJX2N+w=)S*2wQNQu$tdBZe`bOA!Ptb92J=3S=&IZo*=`U? zHB?6HYxopHGU5ACxx!+^IB-p<-L3HP7DSsorW2Hf%?Q``!eXCOd#-RO>BHYG4E4zn z`f#E^x;72Ioao<9gO;TL=SA`&a}7@ zFA$(XnC7}e6~UXXK*xZ9<)5az+*g=3nb|Hn$-lBp+EgqzIq=~PFe-R@(k;H5GAA`<=fY zN8$f-cfuYOV}T81Xfp)(L4tj|uYb$@sqy*nR&^2f~k z6svFE3!!ph4EG%w!YL;#9|$121_j&)#4PR!f~J~V3LZ#6t@b?m-mX!{54-9+F0$@2 zIGd`RH`n7$Bz-|d2RL_z6IL5}P=<(ztKo2{pQ;(y6wYy*0zk-%BzRj z{HumqH%UKJ1Fvr!79G*Cvw?)9AcmL#-Ox5OLhTIA(>8+r^|+GVJ}d@}HGy}d8<8)Y}aZ4V1ScUE0`OhNYJzB68MTYKDYnzxxO`7YqeulEmcLnNG}+NwlnHv z`tZWCWa97IawcCNVs{h0DDHHObWO`+3<4F!$luv$^ZpZ>mMD|9I!xH=@f{2u@tm7H z-hmJboHm0Td~xEBYx60Bpa~FCiA?r1r}5;cY2pZ_NxR56YrlV8p2h zGr*Kd9y@zQ8EC>|RX-RLlaKViG)_#EO{fP8O?3izN2LZA)jVhl2pvZNf5+;L+JwG; zQhmZ~T3N!t=NL>TENRl4*wp_(JA$cU4*O6VyS)9i!HU8c-1O=_0T+dxAsqX~CV-=$ zeT?}@p=Aj1n>U?!W-&+-)X;nH=6)R-`H}F|)_m~KU%uTy>&^VUKE}OFIX{6`Q}6^b z!;+9?43KFX8=m5B257$3q-?PqaXOJ*0^4pVsy3dILG!8@BZOXJE&vV!r!EYJ9N>hA z5R3Dh+gDz7*erpCejXKJ z$UV30j=}yHG_+2-1zkWm?1Hv+N&2^d+VurlrY?Z&AK2=-UCQK7L(J{buDuCTb9-pm ze!}8t<7CgS2>ECAkaT(;qkdO4nI&VAtF=1EViIZ>7;Os_2ZA|Mmk7JLENHKFzgmY- zwwVN!a|UYX8Qj0ph^Gxu;zUt)6IB&4<1uJ{ZMbs~6Q$f3c#-X<&?2vgsZ$a^N1NFS^mO;f(17l9S&#oIeAyo320P z^N_dEg|cnCpO~7lLxxMvt?Xl;ELI&(glV$omC~V>fu?_DQhw?fQXn<_Emci_*h4)X zIWVKya`#`%$jM{mI&tsy?m}96l&#SHHFkYT)Zmv_kOp_P4!|x_s1!evgb`_Ny2PpH zmi7j?S5Qebdj+@B(d?T7@AKq&uGf3b>N6mCykAPA<@j2hJM3U2tGk94MUs{t*B)%b zMsPBF1PYR>puQnSxqOEn(Gji%oq%%>B7Wys;i;(eL$cP*b@FKnmpI}!Bu-G~;;Do1 z@wDlga2E{)7%o@S%hgRTC%z$@nwu;Pf6My}cH-J^d{5{5r6g2=-{@sWA`%qc{zjZ- zyDlsqMMJkiR&pnngPOJmV=fNn{~3D;4q3oT0f`ZmT$e$o^dtqjjVkDv1^h`d^!B*` zfBOidob^4k=Xmp_A88g83jJe1+vzZq+>Z!YO+rQ;^`^Es31wA|7Uw_Vf)s5r!v~a= z{b_j?d+oJa0XKMm9<~>}e*pGtZ}-P+iq(|5{&t)piS^|>?zXvM3w4o;_*$GsAcZ!} z#{r)dtfl89&{^_Jp_696^Ny$}OcM&M-D6wBKcLjk@&)%oL)}0OcnI&;d!o zo^3&+q!Ga06y63{L8&=A|u7BGHyog zL6{ie@>(Qa!U_qY7B!|@K**HuJ233-@CXo@=9VB^t_bQtc$P-g5(EYumb26iR-IUmKFOC}YC#^iRqb}>)j=8OgsFj&cv z(=nI01|nFe8>V=<%H)%ISVUo#L@VmtuJ^m!D~eUok+ZeFIN-^(0oI-nsqYTw<4dG| zAFw-;EWE?}n)tU(A-z;`S4XxZX9SgwsF{lM+fDJs**VcN)a`tDuwS?mI_SnB)eL#8 z^w5*Waev858@BmbTuRC`5<`ZErN7%Qg9 zV(oI3%lM_JL3%ir=hi5nq$8$+IsbBl>5e8<2D^((RuMTF=m~t3m?wj^Idz1@n53XL z`pDT0VjNnqji=`14Fz{ws9}synU=piuonFon2W>87Xm5)7%bzF>9(TuXfJtDcid^< z{Sxv3fo9zd;WA?Nb^zYfp3m%;lbn=zs8Kl{W~-9!ky=T*1kfL;M;92=yWNEvE)Qc(#wfEynwx_)V(A*`kum-_eO7r z*9g8|lP#2!Gn3(p03VI(e1Ej<0|5iyBO`0-cLs1d;X&eQ(toztG@ZZ5a*t;i7O;Hy z9`%rXfOVmkFRJ?)B<+9ZS+;{-3PHmfa~E@G_n+-oGp0FXd?kq#jHOcFgy4Pbj*DyHNgEfU2+0 zzqLerGfkrNS;4o45m`Ei0W^)pN?g@&X***t!@s+~^r_d6w7S`daf-Aqc=$mD!BUk? zB8?#2){IlTnB}mOccHGh<7{WP<-2I{o5##mX4u63qZ&-vlipbgJ$ zcV9VVRb2M2%jHa^;Pc-dw)aAFk8V_0JXxM(ZY)(U>5F`5{^uXc$SXZI^(FM@l)2kz zaL@%@v9A)YSwR_YO2OGOMX^9Z_)e3F^*yple0u(^_ya-+&KP~p6ZPB#XA5e@DBRPm z7RQu_XBu*RXK4FLSZ24y@d_74W?Un)@;x|JevfjKf8*f4+VW#PXZB?#y6DL&swG{% zx=DcH5<+Kv3uOWc+mg=>jbn^gU+nyTaB>255fXRM|NT&L$*cao3#2n2XG6!`2r=x= z5@zLRqQB$6t*K>^^LqC1I|?O&=>qcEjmg5%BwU!a$ef%4XlrKxBr4J^xhKn_vMXjL zC6opl#MnfOP7ijpZL#Sj6fR+f6!Hr;%+bk=!r}Q%LB^jPz~cXAPn6MJ+P3r)!f=BU z&}e<;J)KHtc^y&iGn+c+4cweyqO#3VxjbnmRViI!UJwuYeva?bchfKOSdHZ>>+P2_ z>xAqQG^!6U&j<=vNo{#HuzbW9H5!;>%6XbQFA~a`w4)SrvOQRurVn-F*h)>4x7;#c zKLYUU44+lM^n$vjmi?yT%p*AwP!K5t8bz&&oqj_UUbW zX$obhQSeu3C!-2f;X!NUd`haFw006|(4a)c@F438$doxwiuK6(lhwe51iY@r^+Xs| z>?N3!;iF<{{Hz)~Vdb+xWgrN+1Ps_^c9%Y^sfcQPrqt-L&wch(88`w7$qIkIZonPo z(AJCSwp7=feuZc^F4$_ksMKi?O`EM7m03{F5|BIG7|3Ky?A5*eRq$DV>Qgt>GWYa~ zA3CJ+s&xXth2EGNb7^r++vNgi=xzfAJyZ8jQs%gHZqxgl;4!PsL+*E+|(-H%7>KN0@vjhChx>1wLj;}HQeMN{_$9aiF{(f(yW#dV?~shSJiWlUk+0gm|qW98ozjVX|#rMY1DVa z0@e$352Dj=eiHZ$1)N#VyXOzz>U~KNTY-_kF@HngI|IE}kmHFh3B8NzF|@xiEBeYK zJLs6-aK+}}klK_JerKM6)yxqV7o}4dqzn^8m3X8xVI8~BLCR;oTXE_&P?L%4CN~#} zxInXobAm=5{**8LTI3RrVqtwUQlMPEql@LwebXA+xZt&6r+TMS(rh4{U~=W6!-yk2 z(RZf^S7J5C3+D8rj5FXZ--Ov0v7Y?hZx9Uak;$PE3ADNxr!o^=@4Vnx@!E>rmzR;o4H$K{3XGYa z-n_K{R`6wX9p2tS1bJN`scXT)=cyQ~~O4FM$fRo*TDt4~p0`g2PH(@d44P6QvSH0j#b>K)8| z5n2!q+f=q+jHyhEPM(Naz@*{{2u33pws(VWubcJgfKd?uPz0}^><at}Bf!SBbU`(^2}$32;uH{PIw{5xLqAQFI0JSlhLJ;Y+0^?kAU zH?fjGm>PwVnM(GGq6OsW_~xnRQ&3}^pkexZnqLK{m59dXS2~M*gS9@{hd(t08c-=l0<`VrijT?ute8ul>n^Z zELiRl3{Rj89qiLJ!CQ;xlhsbME0FQH&pjRx2d?)JneG|b7 zy5-U)?_hCORNkc%%0^F#lV@3@ARBodl9Ru4i18Yaq#B^1B8jsJ*eHA~v7(}6%$l`E zztD+-gQxiM4aZU7izTHb@3;)yvi$Nf3ynW_7hu#Ro|kKPui9u36EL1{6_=CJCbLj; zx&py$-o9?3*nll$_ZQ>F5}Ugmb`*4P;08Z{lcmS9%S8O2w>1-?jiTu7ixY$#;*Gk& z_p5qnQ$&+RHVibo5GuW%`6?Pl6<+OhSwagYYqn<&omDPkH9>xE3LdB*N-YoZMV|qZ ziB8;S-zLvXmW27by~OqFIJ6%*#Awh)`atZgFQi=)2KfzjkZJf-l^*VNseU9n6JApU zvP{f-CQsk*+SIj;)p&c#hCeGuWWBug&=ZHCv2`j~6+)seSDdZQ3=ooI$gl=xj_`Zh z3kUCqGod|~U5P@G3)a)NTZlM@k}+3WB~y~Ne70Q&mATRFpIl8K!a!+$XjelqCD@J8BlVQIQ0VF!|kpVWcQ`g0%2 z2wI>11i#^Y743d49advDxI4dSat9*xd0uYn_*gDo}SPN9arXN6Z3p56pHzDqFC8cWb7hgSrIQH|R_=0`YjNtHKO9HfP(+13%e z+q@euwK#Sij02`W>fuyzF`O1xzV>=ciecB|HMc$yV zvnd+s=GY_x(01%MF?qR*5LLrEOy4ll8Prbp@6Z+v3b&q=wzt8Ro%?-`f{m+&h*jkC zWTv9E!7JY<2$BWpsDoRRwc$V4JC(L3#t5K?{R~Vvv$}&6@l(0DBnyU;Ozfl>bsjpt z2zg`54tX2Rb0AqqMdAw>@&n&Bs*x<97JkbIU$Cc7nC!k;4p5V}BFX%?FJOet(QS@% zt=5Ay_N9?Q$J5XfcfI(!)$p8Mu+DM;K!QjW6+<#t{}l?CgZc1Gvx@dUUaCQks^u7& z--01n4TS=qF0K>dQ1dws{^6ye!@PCbQK8`7N=tB&n*FK}WDV;p;A<0SUL-LcSHzmo z&5eWE$z=ESpd6)*leG^+SkHu`vDfy&8{hZW{A<9Q^mlc`r95j~E^djeTL$j2ey$>ootDJgc@i$FZhM|y~gyGCSOI= z{BVD&IE{{8#6b@;(X7NIIsR{B-kRQl+ou_jBZ0*ni)Y~Vrrtp%N+mD1l)ra_7)n6>CXvD2I>tU7;9;FOs6dJ^#k~PUM z9Z&J)SP?Av&_lav=j*Lh1sT?L>G9IG6u`Ey2bOMr zcHeVKnF6kqCsY#SkE4lqPioIT1`n5YZov6O4QM|B9G1% z$|U_vn=ovViMb^>?cy>zkF~~u5AV5Yo;@r#KRnR!yZ)$=H88ZCpgz1=!H|NmII5>+h^K--vdON(v{P__rq~2(++$2aP`+ zkKy1aRW88vuEj9BlVrzus7epKp`Qf#I061w=PzSqACwnM0-Ym?EtV{0l^OaFln494 zt5%9Q+M@nUa+(!U`{y6fa&|)H=4n+|)fw>Pe8=2MOwQ;(iy`V(a15{11)YjSQ0LI| z(7KUT+$Aww)s-uh3bSsbdzTl*!<3Eh)LZzQAz18NhZ1x`+A<4XCA`~zDhs?>E`6W^ zxcbBX<%H^zjl=RjP2m>VY$Qk)8U#UkdJ2EjO>TQos=V{x$ql11ZQK|)zWLDFLT(a? zDk5~wJZTW)oUQG-7OJgQ3Oif3iY&+*Jn4lM>a&A1?DwkXFZalSf4urV)`YDHUrMp< zh2KCI15Wi+1!S9qfszwlS>CY5&$(){I2m^@(E>b% zmJ^NUq<0{7_b^xp<$fykgG?kGukIqVZ%e-6?$+dYVnMt4ndRG=7K!pzhnsJ1`*ORk z;Narhj5>tAGBV=m7rEt>_7(#GPpd@hc|T>q`%sjS=sL=L4+8OBqdos{X79975aqs3 z`Glrdje!UK9YeM4wra%IF-uYebPR(~)QR8;OB zV{O7u^kTNcjEhuuiZx61nvZbL2jBB8`rGjdP8 z7B_Z!^Wxz(2HPBvnRq7sa}1Xr>5hFy9+-oslIjcOb(?%Y2sE*M?XDY9U(>-^T=C7l zPgfwAD)$PaHNpwF@2q26_~_Ac~v_?a!4=ASIKD3*Rx-h>zXiuhPE!J%5wfW>I9 zjaf3!EX!nBY@ArE&YT>RBhfVVL+F-jtPgAQ)&ISf$hiGcg_|3({btFs=soRbCbs1l zqE{LGr+xkyah<@I=RJedr#7@VNENH0H|)DV;|wJxq#S?Gxm(k+0Qr{|cR=wwCNa`L z1)I4|&yu_WHy3P`L}BkM~^wLi^;*8MY-Ya!mO?-gce+NtikNMpL-i z9uQAqO&*#O>|(9j#~0-phK~D#B9qPUU!6u#U5;uPmgJ_*k)F*_VV$pj8>!LN^x!vc1iVER7H&#KZn%w>gvBbQqx&XX?Kg>cw}R zh0m+91dKR%QxB^GWi9YAbcCCPU1g(BpT!*vCz!DW=}lvV_!0EL@Ik5Qvl`B>g^ zRIOyx)(xHH;je17V{;J_O!Kr=_$|aWnPXiCDRYF5s9QO6|F)yDQ&xPp1B6nCxi+j$ zoNwQOj*1L3St$wJD|T(8H%WF^?!6<70;lgLz9~i&KGOvVy&4*BelWQ)`V!|oDM7zIz~?I*T&Cr54WAVp3UQmKQl9Bc{YtSQ+s>(Y zYNfX)*s70?855;_ZM!2|A4PYcPhsH~)GY8tk6&|yx-O!n0obR-Gf@R_T*7TB!i^Y( z4tn_I%9BhFL$^7rENp|y#Punk+qY$SPP>nfh|!fPpnnNmNFO5HhDXiq<^CAqnT&7N zk!?Jg)ur;tE)1}l2;12IWxpsCkzbhrpq6$gszw)97q=o_mqYF7puUU1~EuKXcH`%+* zAA?!4&HMfMr|gCzjz1zZ;gJk3E$+#YWeUf8S*S@ZjK(mcfZbf+nClAO^4B~NB8o2s zWFCLC7>lQ!9Tw*n@~$0|-C}8EN8t~pfj?82vPhxJyW@R1q*hM3Y>Fb~QRFW@->#@rj zBGSn~hOI(bGv(tTS$Fn0bn_rZ@lqjn9&q)7GTm(MURu;t!02FP@k7yHKHFQU>#a2w(y|x4RVPX+KGynIyB!}r|m@f0KT_mhVQifqUE?ohc-)a z7eUw@c|BCwH9QeN;8i6=k}`dlK+3IpfOnDTNNjiE&|A5yY9psJiD<9{Xhg6USdbmB z>*eD4QLoz+?&_s+tf54Qe`OVJ)Q7@1A^b?7F+xzD=sJ2rtIg6rBoa)Cvvvq_f~Wjk zG!OZJ7Tg)zl0kvUh{M!P?LW%L0>D3;$Qo4(6MiFNxIWHglT~r8ne|LMuw&ySQ(zgf z{JDKlonbs^*UKy33R%kE!c`#0xCs-&u@Jv`I@|qpJYh6VOg^>MZIpJ+In80%I!!_B z5}JUvb0L@2t&w2CK<;`iRw=(llWmFIEJfObpI%&KsM=X{d^xfl1(DNXYy{}+PqQi* zY_}Dp#szB`Y)(DJN^VopeS5=M+Bly0W1g91ViNdc)`LkQIV|Qwl0pfZhL7q>4X{r` z{o1>@wfZ^9G*yPV6q^$G9cn0tr$5uiIGuoRT(PaExPe6fGv)4Xe&RNNClds zRD<914hCv~SY2VammD_#G{)Wp)gs=Rqh1wEc*Z2ZGUjLM%8bShAmka?a^U>Ql^;y( zZ_p)LEBX7{zNBo`qnZYuaRx4ip*a^~rNrM~*(j0*j#GTU$l>!7%V$11z4_X~H2^3> zev2+(Ou7wF5Cx;iugsY|NEv<yoILNU z7x}xey1t)!&sw02mPFn*oBoJWApcf0$UW-m1Atu+U>vOhc<+Cf(Q(tREd-d7Ehc=~1DTCz+aQvWf<83G z_96IMUMt($f?w`Nl(9`U0U&7zT&uVJ77r%84iVG1x1wzPQ7LXe_*gr0$FL%8Z6`FV z*}h9@&SMFEh#X)f?mk9umGBGeTpq$DlyMvLywX1?{5xP{&BhH*&~eZ z2OLDOrq=*!Yi?0;cs!Ja14+U>o+6sZ&8S%!uop+O7A{L+t}IS~_|?}a9MV-I)nhD+ z_SOLjEEv;mR@)4W6PG!1$dm4O5g@VkO0F5Y?GW|4X{hfv zC&7w1DeRO5ta6gK6pDZ{N-+Ixv_+wHp_Ig4*N>@fh8i5dKE(Z@8AcLqb%g)nr%~w# znEK#*CKW36ZY9n+2k+X{5}vLRE?Hyw>Hz5sm^Apqr8XADFacApKEAYOR1>y+STW?L z*&hQ4B9HcoA&>nXJv*R-vw0_2P7AGtw!Hj^cbXmR0TIYZNPni0s<}~Pb z5Vi{X{dM??c1o(+0)lW*J{2x2*jQ!O`E^Y#8Tpc+XVO-3={a9B8DT!ZZ%p*|$}vF0 z^X$(N8Zg=IuGof%B zOMNg)(Pl2K>G#R%_l_PRsGr?YF^imw88o;@`<-2hfdDs-b%?h@HD08sH|1r&>vYeN4!=FC>-Ug+E*V z`qHB*!cIH1uF|e|!zl^-5%gXv6HTaugJL1Lmjl^BuZWj9`0X~aOb=MprKDhq>Tivl z>RD1{Lp*Oz8w%ldk$GYHscT02NB8X%i{VSVWfxL5%u?aU$ zj2FP|)pUFn?1b$4$m@pU`Jh&FbgOWuodb&+rSuJ3A;kkW+B674NuU1uzJyk?tovR` z5ul1yLM-pU465$9{(eYSpA(^OUAkCbS^4$3$bfK>z2=7JV}rw^Wm&THCRTVhS0-=w zPhz*I=+bRz@M1)yBZxWsZa?U+v_k&|iEZ{LBcOP;3zg&4?)6u0&Ddy&=8S1tF1|wW z8kd|nD0u%ey?>ac&3jdcjLj%u+0eJT>IFc_Z%I)_PCc~EVs(RF>*;iQatczbW`sTt zo&&Q#N9tV8XM5ui=Kf1HU(v?H(}UPzp7kOou_DDOUW&W_`(BSpq{EW3)s(Aesh$ZI znZ}p^^ZAqzuato|mz^j|%)!Rx5&QM{qY-CwUJDwY%kp}gbkp1xc3gAyt-*$wDI+ zcPa0B@p-o)59Iw^T8R0`(;Q7|zZu?&9yz7IEjhQT9&4x}wcnGdF}d_fp!*nf;Ne9r zInuB<_-7MHfg|%THTqW{mk&9W34ODM!$_+V*3`=8@Y5>!oGe3xd48a~1D{#Wi>%^Z zb}!R{Tg@e`X9g)%>3jqc{R6R+o-VgG^l{0=l}ZF+{ztsj7V97NkYjz29A0BIk|Jl8 z+#xPPfJMsYjWE6VF`PKLsC2U7^;pVst&E}m?=in%v!TeoK_Ij_5WbicW6j!8E&h%x z7}N$>Ho9afYL!jd<6~v3Dt7qn*$?GYGcjA?sKF@8dmNQ=(r~|MPDkb6-5rQo|K`YJ zNL=aTfq>~bww@24ID?b5Yoel`)IG-`qhK7AyhY^jexUS|l+Fx9q^^r2ONAqxZx)Wy zQ~ERq?-=>dX7+Ly@F77@_nR>U5*4C=O`UfBkAm9jP}+@#KZDVR51z!qnPAxBr^#01 zJpaUzQnA?uO?H`u@#r#s9XHe~uKutv)||tH0_bvJ)X$ARph>zlVYz`Tb0Z(9Y7mBgE~sPFXEGS1T3fRQ!F?Y8SYX%zT-UY5I0HieUTVO zh$?vhxxOE8$F&J7!Z0;!1wWq8zT>E$xCYs>F~js~^=KHFZbFubB_p1X{3(MI+Ld~* zkaemXE`AyC6R^L3-3(l~vbB5*{}b@morQ1^t0zf2^|#s}t9cxS)k9YdNq!B*K@d(2 zw&%>LOdq*F#kz%fr%Pau&JLi=zk~HpKv^d6xkj22L~$_pa^%8d&PAq*it>*;R|Z;` z)VG25M&=J=A^a77Da7HR&!=pW!`agiu{gIrwDn?6Fs0?Sc1l!KS1A)?M7KWBr@*Y` zN~Kp<%fE)*vGBBn0G$LZ;=GV$P%#IJ$kTsekgeNPrT@~FpfB}yr8_lm&Yyj!u&z?^ z{9G3SpZz9_S;0Mrq5YE1s$B#EKyhFLGl7#gSAtjlhN=cX?@h|McxB`vw2bV%MgccO zoblM>-0A}?#abckTW0Ys zHmOtFT$%lJP0q|hGAftsXW@t!p`fbS#73LbNWb7;Eh+=YGkY>rh9frHpxtZzRS1WSbC*+n`S0U+fEi z#*A=DqPBqsd)U3(kC=eoSxy<-o~~5roYHUJ7}U=L9i~&x%_Y?g#y5>RC3p=wd;~wa z0;4MRX+ihfrJ~yMgrZ&TJ=s`>qLKuf0=OerCUyjWlx<0fEGA0rf>!WMM-fv#b5Py+ z6sdbo%I|qux8hveuL{`A*~ZQE_)rQoBO;=-Jm!$=LaxIv0gw%~mR=P!zv^09Kr*a) zU3h2H5MVC*JR@7_6wrSrgj{u*1xDp%Jxtn?--jfzmw%Rj%~IVJj0>QWE;+aVE)oi8TvNBlt%R-}rnelnPzjj>{goea9Ov)GGG?0)4`jf|aVT2|Vw>|nOO8xuJMI{kQ_co`E86VNWywbo$?nT`E~ zzgxeuCWtwS>ZxXZ8m4BuNCx(QS{Dg5*RMcWhqIphbH_?8u2NA}+ddyd8x#krimzt;(x0XWBNmo zwmgopcJep#oi+d1d?edU1CW=DeHty%pGR5MsRqgB6rObDOFLsV2F@@40s$Zxd+pWq z+mF_kK`Iml7fvodOkF_29I=KSoPQVsO@<_@6H6RbgYWyU+LeRrN4soQ>!HfgUKAUM zPb?+a<`c%i$=LD;6evlZR9~k)+T3o7;eH+v6B;%4l*wUZ^$<*niyP>eLh-$U`|$q*^N+jeGsbYC>CBq}L1fje|i=l6Gh}M@s_a zm5)r;v4|by%^F7^2EL}wXA439Ys@lV{2av&);IJR1ZRwSYg#9VzH{UGlA2BPuqNx8 zb|b=IN90_Jacew(AUlR`@eTuPGm9?9M2jSDzLwG=GiilfsjfHpD61#S0Bv?TEy0BY z@DjNt`{$M(#!FlkiW3W5@Y^RYHE>0JAs*D2Mx#VfR6HjGph)Fox_QYYYy&+IV5TU% zNgd<&uwBzJ5cwqkZlW4iLsxR@W>`5l)&=-O6WKf~-ezaxk}JRo9w9zb(nyD2`y~s< zUkI*cy9c} z!}`L~6qN}{nC&;c!{5(Kcv^U%3zW?qtQ@{6>v}b>@&~!qcEx^ryZMft4Dz-f-bSbA z5;`_5jBixJs1c%|Z&p}H5)2TPM0k>3=(swLzO_Q&S92RwkdQ4jcrCwG9||~a@c;yD z1fwuc9(x~BJo@%9ph1H;<>V6z`J)HAwtBhrMP9plXdBB7B`1^8jojqk@D@P{&ig}# z_iiegakU10f9TXvN|BGeoo~b@tQS?(Wg=%cJOG!(_g6%&K@UJ3d=VezT>H38#a;OC z>9$BbwFI4Ta63Q$;T&)C^0vUs_EzvNpfTSfLanp`dhn%$u#aJD;&8e{VXo1M>M49( z9{(@%2Tmv$4L2>Ov^O@XZusXAeeZH{EL4T8A4QwbYKDnr{(Ku3Y(N1JKUCE9yptF= z5Y?z;k&813;c8h4*v^DdqrnT^ zkC1J53Zg(p_JYu+pDE8Y8M%0~skOzE!7JU#?^Q5N{IekR1b94Ad6S=0R@p_b{nx|O z7rb){e#4mA_~xf!O=X!AS7%Iim9#VlIfUc#pcdRYIU1-aUerrdh3zcOP!?#h0-r2( zt*&ly5w4}Ahr3{0zZtYpU307!d8k%gKgm#Jw-bDsPdHBhAhgAZ@jnTni>mXNYZRd5 zqrzl@3<7nk3&$;NCX_E3GKW%$yG#XKF)9qcXIm-UglkeR$1fCE08kD1!njYG&2(*s zN6QOtpi)7-IVnjWwT0#I`d?hO$tKVM|n(I0mQJ=nPkqfNE z{vpP0`PD>^8^mkbtvntVm6bf#pp2!{l*q@Z#5N;k`EU5>_=O`hnkU&xkOPAVD*oH0 z*3XCa^qS}V8?BGlB(9Kw;Z@q^5Z_Ak7PHkq@ED7NI~KvJLzzfY|Bky|v$mt3$IM`c z({;tRp}1%05QuVc@qkD_Zz*f_KvBhCemRJ`oXYV-bObY=c;INxuq&g-HEepM~31y~X|IYda161z%^N)j%Kt`3jz$r&2H<^9Sv@;=<2 zEs8ghVF8xq&W+V(O{AIn9*S~pw8+~QI^aX$)F;ihk8tnQMwFQa2`P6}zW`(GMr%N5 zg>{q(DYv4=ct+Ri&WJ`25M+0S72TN z#!prcF7Y=>Xbd2<)<46@OCv`*c3iR4yS|N(BKoGHR{d* zYoY5jUc?K`>oUVtJL%oY;#CzdVPrB`k3&4o7odYUdyKWv`c}8g2XC7u{>F}!Da{Fn z4N@jNnS6JJemp8CI)oeukO}&eFl>6Ln5xEX6zo!ZG`mD0Yh#@XRuX|~04ne%1D)fc z!D5hdOMq&D2Lvte>UIZ57|rcLc>YbJ-(!9zB_~VW>LB4h`%r_4H(!rBdKgy0{9~My z&^*DhpZWMr`vL&WXl*W=&(p4G&%Wc&c4D|p+SK<%SQL%WDTOR_M*4=B1f$_D_(2co zM@zjG8l`zSCqk!fRb6fySC43X^JEI}6+AhULL+fMyF>#sKn{N|n&MV+`*tKuTcBHg z8ki1##ZCjYJOxl~@FXzZ(_hYu?KYG}^+=Co>X!xUs51))FWaS4>c_LPje++o^jIi= zo<{szq8$cG0gHKtE%aHC{&0O@c(Qp@)73+zo_o(df8=2Z>7ER(+>0$%?c#`4ZCiHbSwT4^PuLkfm zXVD7)eeu61LYJ7;vzS%~TiAN9lVFb-%*B(?W~dNaq(&&DR!YM|VZHmMsZ^OY2T;N+ zs?CZtW;(G*%C1sR7Aw;u8-ewcKvj@m8Gk zzs|Qz)3A2zuM#{cKCIAoqCNFMZ6FRKx2<@{n*+8lK9~!}qH>q{Qf{RSi5LRI^)q`o z!29T=U0U>Fo*jq zyfCHH#xRmDpFiFl$RH`2Gpk*6QDN*s|NwytXOO-UXM%W<8{7Zt+@z*sx`Hk7KTv?h|O=!DsP6qn-@wt2!)0o z3dFBOZtJs*j99euRC-Y$0LvD9+SdOrCu#f%Wuk{?^?!#At7#Jw+9q#d*xg0u(37>r z%}S)kNq3I{d_=m1ie+m-jlO1Zp^HN!$>N~*XjkZdoJrQAuvc@fS2pKVuH9v`Vj5<2+&hn2xv8wEy2zF3>Nu<3bL~H8Z51AU_&?j`+O#Nv>APZn)WNy ze^bTA&G&x=ZS$q12(~6whR*8V$Y|7Kufq4h&iF`%bi;jQC7&YI9?_=?)M?6+tmVEGB1voi)9aY`hzSm>XwaDk zD@q+SROlj-O5v zg*mzhsl?niLfTaoYKE;pbHe*H+IBv1=a5)LsYNQFakMHdf_+u#L?)ej^0rY(`?sH1 z{`#cgGVZRAZ8LB}(+zdQgU*c-6(|ag9h0Owna(HHxan@G#ISu7p{~bypGSZ2t>K{J zLA70=}i3C#c1(lEeLqR<84v0rYe@R&di#T z<{R4K;D!w-B-fPtZMlwXaYEpoPRKbs%Ss#_5{W05}1jG|Qy6uXk1*MCdrq(fW zE}IcGc%p=H&Z%pTPUl@>C<}ZG&?|vjXq8i`)Y%eTJx85yObzrxz3gHzQvVHy zJ;HD12sD1dhUMq!HT-GkS_DGEE>QZisQWg**52hMezU7e6O5|Nr30-cqE)69NcT$t z_0XL>oIbJP>n{{ex+h!W%OX+{%=N&0Dr0rEI}0i@%F`Q175bID zFYD+?3L&Qa7q;XjjMGRH_$IkpAeE2?9!C27XvZ`J&*=0y&D^b)BoL@a2^`LX;x>_P zrLQQZJ?&XHje}Mjd;SF%r8zEob>F&LXXc3&pK#B2NDvxOUIMpeG%`v`F8y z6|UBAIe=Nz%GU8KN(msUw#HuG4(dNl*R;k=-2bkNE(+S@m6Me)`T7@!{(TsiC86y= zg0zWr)a;)^cjL1{hJ#ykp3;Y?)-rY%q+T39sKwFrfEEsvbXli|+K`Qo2{Efp>0+eP zPL}^C$iNHIqC_qW7?aw3IVYD&CaDKB;Ns5_4RnjQ-#j@X(%?+(E@HD|vb7#i7}5k+ zVg!G?Wal7;-|$+3Nar%>Dh5wG-#+Zk;L`=Fsf+5}HnkpmDWZM1$}Me@)HNillWN=_ ztj`+Joja6lF9oZ1U=S4X4&?;T&($Oz_}gI2bIU5gY+#m!&+dZp*;PrpY&e)LUv_>J ze?XdZBWD}Zm=x9irD~fQMjNN7)!iL04yR^Dg;rASVVp`NP^mVfaPy`eKn6Ik?+E-R zoU^Xg?4nC=?dga%V>L~*&X*Qr?{cAFd*O#8s4L>Yj_XPk@bSTR$Rt%Y*S`Hk*PrIV zoI>uNYFg%$qDi2tgC@xx4t)6AJ3Gv7vaL2&g#-O7FYtCBecj^zwgo5`n1xwKxm45& zVlz&RBHa|o{k!0yA(pZ9Z*XC|nSI5!;;V|0K~l#&q~-lsL}rxWfXvg*P=ubr z-w*|8U!}Nh0=Xr@A%SVaTbBJp?%8`@IM=%yrnv_;Y(l`z#*+?5xA#kn#fPu+SpnR@ zOsA13Z>Bl=^9^*i0kcfMUJk>}r@J2zc1^dMx%&z2g;wl*7CY|`W9zH?zGq?zMY37% zsLTeqvD*Z(QbBsF52v?JfI9O*)}JSM-Cs>K|D9D)QeeP^Gc#3g76hBH4K9I|kN*GS zorvZJ!R6Zv-%moGQbo-1D01u%^}g~#lnTv=>ypb&nyM5sWgrha-ly^owOY9!-I)=t ztbMtG*IRvZ+vHW9#`H=RIpFyAPQ^k>_QlJTff7^XkvLY}0rNCP%tGQ-ZlQ4$3WC!% z^)(mxhkkZi3Pg*n`1V3C5X>;UGxf7>X7PYMgH9{chdJ3|kN7&Kiwv1EdVJejH@?Ov zNe|A+D-48Zo;hc=06j=!@btf8axcvlIkO_>3oXH3LBuAE=5wil0;$NTt+M_6%FtdB zN_v}a&m@;43~t;$FiXAch23=gugdkb8l>9aYUb}#FxAK#x#^Wgw>x6D87M6RqD>9M zKL|eBz(DLwjL?UMe-;l~fe+4i+O@^kj1bQ@fdc5mX+vFl3YB^`8VeLBMO38o_$TRF%&Y?jP&E zTu+uU_F&e$noS38_9)HXZ^`EF^1goI{((irNaop3vMGPT%c) zJy_;hXH86Xv_K=91!KKSA8`ePySIs#NM%&zRH-za$LsAm^bzALdIT9%yT&s6eO8@a zAPdwFuZF0VhQBx)_pcxqIbW7NCL2PDRr^lU*q|SbPI)#K)Nv^4AtiLnd%9l=H%Z?lcFte8tJq5`XT&mfYmEJ6m2aKLKd+q#Sx2NY~+?j}i5RegUE z>DW#Lc8#NHhb*Z>5ddQvALrzd;#^^7mj5~L)j@=lk+cia4!oB5tEs9{N3d>6sg0+O z0QY?&@0k5@?G@UNkNxJ%`G{ZjHY_k{jR)QW5~~>WYOko^*g{tyvbHQ*s2Ci*lJ2Ky z1JcnI`TDBkkRP2@dZntC70RNJI=#;kFRAEzT|-S*DgX~j(LFi6Ki;!>&c)bw3oM=w z-b$kV!)OC;|Ey(ih!{miHG1NG(Ko~LAAgOOP4D-==5hU03Rc3T-Um&^qm(nFp8qd! zgy?0*?fNqRN++Ky)k?$5)=}n)S;T~a)O|a!4Rp+*_00OQ3cmz$Vj8rkAoL3!IgPwc z0rM{S|A)J8Y2PRIuN8uK)6Yd*LMjNcS1JeS*__PeQELMLP9OPgt$5(XuB@6XpCHT|u*k>ohlj2->=Z211$k`Nzcj_#cKup zoAzk`%pX;{BNUVWqw>ud<;S2a|94}AWQiHa19&*&73;h8iWvA2r*Ich5Mgo{0#Fp+ zG@9qj8VZw6oWxqgnjD_ovFpDSSY!X!-0?`BVeA&912b3gU8k2%vWYNdgkuN}GI)fNMlT zIJ!uCev}}QeeHyKM>=at^pa9TQTSZU;rZc~u8BU{ne*8G;UFw?h<9%74J>5L2sy{e zSHh34enNi(?ny`2tWj$tzsf6F`8m&K7B^t$i&iMBgzP@XGzmPeZKEoURil0PmYksb zY{90N9c=}b}Y1F^eSol z(JROC(_bwsq1No0DL=IN$Uc_+lQh*7PVBvi%HF`vQVAm&{(+TlOkPHZ$M0}${}wA{ zFVRQw*CT6urQMrZSQ29ZDW`|fiYb>3iB1VQv}8=tVc)2(kNGgDc7BnA!y%|-1z)hS z&la|iBC#PkeU@X6(|#H+ugsluuLPz9tmI#1|FRwWk%|V-;z@EAEfSxuh+UIY3}q%p zfOkaHf&D^sXx6*r$3QgVv!ZJ}a}4P;&9BLEzb~b-42D(}$TCv&2GKk2=4Nq9vn%#i zYaMFgiUiz_;SouXkjK(gSNCSvM)l;QU)q>f>K5>gk8x%4=bat1dGxI{C{aQeqtiK& zn5<3j%p#)66heDmRL78EO74s$UwgKQoZfz&A5YJ>xamJhZQMl}Mqp8@P+JKrVm^R) z$KGh|H#_~cwqgP4@P@r`8(nP-7)j)P&l}X_5gnjZw)3~4fwh9INV?VAIB(*+oW5}T z+R8yr~&*UaUr8MPoyLd3m$eo4loB8WUEoC zq`{6Xj|9)R!;6_X%%wwbQi-z(&}0l~1oWtrp0PQa%umVZ4`DtgYrNBM{iN0V&9qDq zrRVT5S_3LJvcP)I9r4TrXZ!pIbuem5K;-}K?pHz{ut^?&*u%Yp@X>((bHME^hhCEpu#@&Td!s(Qt8iT@W5hRS7<>MA;!!a^m4@~E0rv-A( zFSPRzm*O)acEuSR5T4-AenWe#sZcVvLM!6N(U>+4dkN4RZ{*sKT@F%)I~KC0U~F!z z;p44wa^}pSyzmvE*Xbr_HqCv(BGicQF9GPdd>m~br8=ZBmx=dn1cYZs-=G`ceZ*%K z8&+#OHfTKy&J!jdZ_0W9A5tbz1*+|EHI${T*}coLot3VlS;Ke~-Y^E7=xBFb_n0n2 zx?l3!a+_wJsxX6eC|sT^%MR_gR+7ls+7tiX@}P{JRN!gABR~WaLsQ5SN%@m_?ALgM zh5TSR{G5ZN?8HV3k=Z7y+sY@$3%XK2|GPIn1PW%TB=wWxh-eKDALm7`^sfOp1C>y>yjohgAQ*zlRX zSr+)_-Nds&MKEIAPNqbQb^_70ED>(p5sM%1Z>nRCx{i0+aiWJOxKoSQfqbihRho!%4h z{{ol%)*J``ZyB{n>`V<|39m-^={GHs+oI`)Z;%rQ>RtQ>R%tIbayKiIUsso|g|t(3 zzI*hv#wd-EVWj0kYEnh3Po$KQkuK0M!qr;`zO=iz;&R$^Wbiuh4n1fNu*jHPkXhy-jhvZcc%ptfO`#!0LnBO-6 zEtK(NtE9lP?CU}-*`5>qMhmH_Zyn}!OL{_WV8sJFi?RPHADLgyvXuYNfIH6KS7VxT zTJAMUe23wUu?nGI)|pd|1bNlZmU3>)=(lsihFX|}ya(K#&fmha(QQ)eal^bDDYueW`Stf%?*iKLpOGvIKZ$7P}&rtTJ@0qPUBtkJO8|CfeqkN9cG)aX(g|I zT_jMo*ZXfk{|x!kQd^W@t-Jo(wdus6&PAY)2$k+HylaZX7EBe8)?E61Dq$@u{6Zc+ zEVWQz`$>=tS-?CVew<>`FNtsgKnbrRQG8^w8I zw1l3fPS4=MRntOvCTV0D(y zlFArCaP?pe+#DVh+wg|Ux8F+%M-mLM&xL$^yY0ItP&L+COp(I_UfG^YZ1tDn62H6GNhvV=G!z%8VKL`5>V znG&x!#KD1#1o<64kIqs5O4O-)7i#XVoPa(Z6iw4ov!2X68$E1V)o1@r!o9kPtC-jq z8CS$0JFYbd2(;S~Fj3V4oB=pCMMo=68_jei_QbzFLTevtE{@g_gCLa2?bLlK7C6nP zvtnNl_~(OK*Mg&X=;4{S{|3}-i~U$09ZJKa~^ zt`r->T7x&Uyh058psQqcEVeLZI{Vqu8SXMJINBuEJn-VH1{lt^6$e|1?ZKY(IP_al z5GYOu1k_P_!sVK-dXGec5f$-YVCHjtE!Er)?PVZ#Wu(hB#x7LD4MNNzrEO+r=a*K4 zCv6r9jK+DePu@Y>%j>Vyn!vM|jjWZLUn4s_eqU*6o+sN){lGyMoRqIT1(E(nk9&`O zt&3`4-y{bldsCPmd;>r5ytJLm62Xo0XCs%kml&!(!7G4U<54cLDvpE*-HmEV^g@kp)A#Jg#wriT&Ff$B=*fkX`A3s(4Qi~6b z-GxoLd|F&CJDpHrOX>zWQitq^ z)-CBC#Hu-X%eiD0-3%RDQE>q6%23e#&e{`QC4F8vk8xy+br!&$R#~sgIB0mx)w74v!} zvG2Na?--zcwu7lXui86sSFFUMRAw~!F&;{&H-Z8_*q3b#f=$20TiRB}u6_@g8dhk- zcO8+EQLO6GyH9&JGN&hjC=9UV_TBC2cNsvKlD0vu;_K=>fD0rS#m`IpF)WG2;l9w` z>qaFB1H+GbFs7Cs_)PmL_#fzDG3Dqw_3bZRdOQ7fNFaWTt#GC(G~D4Y)Lpwz14~9G z1LwbhHk8KY0BP{wuTCdt-5XT)N0y$8UIW4WubVl}b{STm6ljSf-w_7;NmGj&i3kQd27~UsBnLk%CPc{} zUaL{LpCx@kOt+NK))=f1YT8oHX*Vo}i7VeByqZVHQ2))$GhIt8PqM*dd)RDYd@5Vh zB`Btp3+2wU8Lp_-w$X5(y8*Rhmd2d8&sj^-+S3WY`HOO$W+5Yrpg%zmGfBn+Sbi3s z7wLHKe{hs_ka}U2EgO}o{~7tw!ax0WIRI6}DkCo7xG9^x0ilm9L57#X;tf?N29UBE zaa4>r;4kq4kBuUlK1ROOk&jsb{mYsPg~H9<^YN_JCrNjFsR0=PDF7Oew;Qj!PW-j{ zjN@DqvT@am3sZ4F{L~H*n*B7yK(92A`LQ7dO_Y3=f#`bnA$2=~Ks$p|C8LAg0vG zZ@l(tut*HzrCt zZK)kx8g^v#dvYfa$8O&X95*$SjZWQ0ptl#*PLslTbcB5P(rWJ&-146DEx>XowZZ?f zw@vKhR#1$Zlk{0Y`EgU}Qd511je};;2|I@gAux+1UYx+^v2mXwWVY{ysD_DV(?9 zH`3Gu8uL$8$QX%A$rk0t0@hxV9Lfge&1`i6b=o zse0{?E;lAcUKR+)@YOj#``7j)8{O`vt2&Vni>}wb3(2=lU&fS+W~E6fFDiKF%s2MX zIO`eLY>fpzryA7dfavjZ{cXpn--{WM;{p&_C^_fho23Fatk4WLF@oXOBM&$y7WF&6eC6aE#ZW?Sw4?N$FZM^tC|q)39`9}j3;Lc^ z3t!E!%6@@*iW}qF7?EUkJ~pSESx_uA|9R22+zC~q-^Ko|s$cgIqg8Q6Ky$%>Tw1M; zJ9}0;Y(!rXq$?c^a={#2t7WnYA8OI8iI z>8kJDGUxHgy8#Ijb;Ri)s2=Ge0{nLH@_^e4e)z#GZ5!J=Di^Ygvi>S+d2`2u1LK8P zUc12Y9=RR7Cxg}gNW;31&`ypVd%0iPs1Kq%aJal|jkW=hqLK#l z4q_RA#4rGLVA!>&Bt^=mZmS;nz`m39J<@ZCB|`~ za&GB==4%alhgCm^vGrKQs3bW|gd^2q(}u*geb*D5OwaYCR@L$eLigSq&O`6m&S_=5 zm_nkD1FP4T51!T4VH#gB6iUt4rbMN*fWS4h4@Qov0x7$+6b+wZg z$Am9uEoG*pJ|no^vVxVANuE!pTnVq>;#@zn#~AQ^Z&XuD3iInHsvC?jIUvb?UqPOa z%INs>bTOk&A6Xu>Z-0IZ*fr%7ns@?@d;q*bvj&XT;J<8`c$lcq$kl|+1yi*#_X+po zU6P249^aytH_-LbB~^Wz+-k;gb(1?@W-4Yh!=Ye>hKCGO*rPZECwt6#2{UQKnxqZH z6!EOsX_f76FYn%1L61dL$V-wiBoREYIf|3(a&1^NWXV`@`<{mMyPEUTi7P>KvRx?k zx1jDgK!P}#4*Ckky|Su}HQDRtnylq^6e4EbXO94sS_Ba7@RXxeum9mc? zK_6WU-xckV3z14on8WE$uHg3dBHV+UCMnekM2Xfc!YDgWkw@nrn_Tw<8p=0D8wc0Z zluDN5ZZf@F4$SVthp7gW(@f~HgC7!JQt%7k1?($YoOY4r+XKwS>f;Gcy*4cv=#7X_ zU|x@?o~#;g{<;AYoem`hPOYAdb|g&DUo1nS7tS{r;iNIfIuE!F7Hj7-P?wS}C9GmS zMe?|2Hzobli0T-OAWLzVOpxsPABg|kC zDuuoDAbRulQ+2Tfy3v_Ba5Jba(d6nLAAEcJYAf~*6t6#6F}`1~Hrav-?dY0nFm8HR z0bk$Tf9$AR^1f0)u>l~tPc~+$Xpa0h_|ZEq1zzTS9->x&VS)MpS$^Rfa?i0p#H!%A;(x3xv@*&AHK7xkQgN$*J^o@2z*^n>vAfxzM_1wo2$)Y(?&q!KKQ&qW zI(aFnPTXRItQXsbFSasBu08LF7h0k_1@~}K4w~gw_N@FcNUeJRbtn@$pL3K{iCBEM zF!vQhupZVm@!pxD@1oH^`VC)ONmQ<#eXvJQAEgbd2iaF#G!ZX~a9s!nNben#TVFgh z?n8GS{ge`qXKZ`k#D z)2Ki>;a((vX=vV$R5CB1ylgoGX`qIknh_vv5u&Q5_QOJhs<$ikf8E75w8dlG5^1j zHVuS?%sHIQ_fJrL;{_}xqj~!qz;ScOplzo9u3ra?7#V?_D!q<P*W#R&jVCq`eJF)1&*|;j<5<}JF&UnVFFgP27Q=Qv~}ar~ZK)gRaTV^TirP1MaZ*1(}E@vphXv z8X&v-8t~PW>960uVd)pu4B&y>kUM!sh(0n+JxW**7zAN*b?rK{4ta-u5kwV!hvgki zm^nN`!yRzEPfewRvBvrdbK(5Dr}N}xSh1G#5l|(h{VAd(4DOfPY6ReBN#r23S^h5W_*m*;BDQn-%2pnglpze#%?!h#=C5WoLd ziqK1wuwuzyMgJgi>IxYo#q}C`!O?iL$OjI8hN?{38&mtWV9N=F?LiK}^(6gcyw36a z>(Uc+qvNt++K^tDpD`nGvCwyO;LW2C498Wa*Rs>)O|%c@xN-6)AgAEYzoyvwZ344h zp6#sC#086Y4PO*7Q#U3@2d-`z!$`9oWirvnd4s&xU}IAhTUG{L6IY@uZuS@0uLo!O z--283>HhBmf+MJvrOED3qKX&PV?3MO8QEx$xF)M){p50FQ-e%0=f5E||5PUNf4foD zVvk)rmswIXYd^L;O)!+*%a$XrCBKPiCR<|K@%_K4Ez8)qJgpo1-%s8yr}Mxl%TA%u zMCsl)F-2PC0qTciK3*1k4^+wjEpsSS8F$$NdoSXEAmnqq-e+VAuZbC{Uk|-T6VqniaO zje(=2x`Udm8{9aAf64$x%!5EhQmp@XFzB6r%n2>%o+=L6DWs>(Ct$WI|1R0^=7`or zJ~0KxjwqCAZj7(G2Y{KXKEPMkS>V*^e*5d7< z!0ZMKV{|8jb>@yxj&w(DC%JV?#a7P%tba$whlG>jJ1aJ%0ep!6;#?hhLKi902!N0- zP+gz=Vqh3tBfEz$=-gWf1X5bckR<)g-&m2Fn45;5`cuQlTY~pRvLv=_#)w+Glis?Ak7 zm~c*TPb!Am2q0jo!_=nw{y|DLSnTLfqh#X8lKmu@vK$RTMr?g@Y?=M&R+)u?G@c?D&zsYe7gy*hy1Mp}0B%SxkUm z5KD~|y&S^2I=;RuRl!Igc%eDO(KIM}ZI&xMm5%N$;!iGmduGqC4@fu@hDg1G~XbwHxXF?zRJci|twlP^s#D*h8C>rD**J&BAY}$CrU#0;H@TkD9 zlVcg7z4IJ@{6PoGpA0CJ<8|G6Nkv1VQS zOSiOzp;(UFQVQ011C}2CQHUDieYz1UkhGD!)k%5BxVrj>-Y6|}2Xvjuzw+qge2d{L z5MgQtHJD{Dfl`1pzPJeMb}87*Z!$4UZr5?V6A%t4uXS|DT%~fU6)_CrBeF>P-!^I2 zu$L5jw#N8=?XN0yyGl{G@=-l4=Qupiw#UFrWmd$en@tZ{(PkpLVJdDz*aWgSBMOPFE?JtIILXutj8f;X(yREB9!qgdRL zX)_OL*isDX@X7X?eLlMD!&F5hW&9xsCY z(6Q7|+YIV`xmv<3+kPnTQ?qtC!djlBV~sk3Z6nRKGz9c=S_|B@z{%`z#MTx> zmlh^RQheptWi5FyH84@)eY%})WAev63qEyBO|VpF$LE>@&g$5a!FnM{rp&A$V+M0M zFx*6xY}H9hX%}^!hv3C3D|?)1tdP#&^F((tt=JgAa%?<=$FN50jrQxIHe~8OO~+yR zRWJ_Z2(&I_Q)m=z3!Ide6>t|#KuDDF>B0w?zwuoR7|7N@Cd1xu+I(YZ8ro=*!+-hY z5jr=q5zpn+_{^M2zU>){BtTRb`MLza<1Q#5u3h%Ud=Dhc&`$Wn8!YMlaw+8i#?UEC zM%1Jn0bloCQNxkmW0>(9`5FoNHU_Xms8Rs?<{yYizJww6q0N=Gg8}xps3YkJ0OV#~ zsMA&~j*E;FCYs=3c~HWJ9O^lKJ{x?s&fsuo`tP>lQmADAC})+Ql4=l_YIYRnPQr;u zcfIvDj*_8G)XYdhqGL4I6@YUB-+9@68n`^OKBtcyYb8q-J9rCv^Miwo%xE5-Ws$MjoMc-&;#{8rD$*W%M*>Mxn(Tkr zlI+Ji0zzb6#aw!Q;8~0tbLSZQhWRV}v-6oUJh1ifeb5xde#uhGveOYT+PB2RFt6##Uy!#Q!Q zOszzC&sZcjOE^ojr>3iJzv+;zTN$)GNJFU@h7b+A?R04H^WNr^iqJWu9|;m4oam<^ zwoJiXTqnN~y%GO<@s34uNW<8$ooG2KGsXh_-g%)1_7Et`Qnq z_B$9A)Z>bd^dm5uC?SJAmiudSa~Lwxc%cH#Yih{Rw8SV3u}nuR-&dm1`bPV}vY%Vd zqi=flC#*tnyr`HkeRDOo!TNGdAy;gnDEyF6ho&t2*!@QqLu0SL1<-WTl^Gp5-8Q5x z6~6PC(5ly@)wW~gR>m&SK1`$Hn|*Yvu9qN279*xPdcXoxeok;&an& z46Bdy!TO2=>^1v|W=Ps?;Tu)y!x;lp^8R7ewursmb3j6uuP}#Pw<4eu+{5p7bwlM~ zZB9Z}su?wJ=7>D{A6!Sog&LqNCy-vemU-@N^7)kZ!pf&m10#yuhn+o?Jtv9Rj(^Dm z;p;uhpn4!&NxAUXmD=V9BPjp_*A#CZ2!=6BLK5NXsQ87~ z_?+T4r0MlM&TFjiBw{3#uEcp7pW|72N2ujvY)$YGhAxKKFYMK8GG+aD461|Tulmru z0s4Op6ZG2YG-QF>O{rPyDu6_&^FrF(^iHK4vgeeljt8|}8$BP)vEETTi8`8Mqgr$g zgpGTZmFf!MDKt?yNLaj+pJ0k||2k|HTCF!J=vw!b+YA?jS`-m^nhBd(A4)-7V0(F< zbZi@{dI9ziWo(p3{7cd-bR*gm8B%Y!!CUgP3+ZQUPK6EbO6+&?(}AXim>psnf~dU@ z*=>&UDdpN1W3pkP3$4+OX{sIpuW5TLk~mMwGrvU7bh#8fW^$Y4gsnlWRID^8w~0_5 zyUa8NrEz{qFisCF?wy>r#?=KFE6A8^ZfDVc$UVIfDO=?(dlp$6pmVWM@+2+41E>ND zQX)4o4}gC^VPm~(j~a|r0l6A<^*7#-YL*yEeg)fp>W1}VJ+FfCbL6DCgIGfPBxR{+ zYGP^dzq9QNs{hzZiq8=W|1!bUDr2Da=Qijteg`IlouEVoq>NMH<%j!u*}Zs5anO48 zT2dD*pvmwe4R@IECPPUm`7r6k#~xUyhH$Mi}4G@e_TF;w%DnkTZ`}+q5kHjKz^@}<%IUn8e;*PJoEj{Ps>S3}$I;D&j+C}kk zC}p-%g4My#_UaJ0LvCh+?vOC_!NII7YiZ|efaLdb7G{6BxeyVsK(BhSc&^4WIK}!S zpN@za=)f1Z8ZJU53F|OoI8_L)wl!UQ zpyDxu5l-&jhd`aPyw6gMLv<>yWmkzD2{n+|O5h9Zm&+jV!R4wXvZ#>BMy#j;?;h;# zvLv%vWr|=W>mr%t40H}mqK^aVq*@R6`LG>mC-B^pETbgX6ceMN<^lmb83KH!PQH#e z<|)JZGw&pEp29Hr{>^`I?qNC%Yo+_MTeE_D@6{psMpKsr`{eeMzEXF@taEG#ia;#) z@UeMnQnoA4W!6++0!*%^cV&!?u%mr_Bv|9wxQBr)t;rqTQDW`iNtF>Xg`}m(0nkD+ zq8$}nVGZSRZ7Rf<(4(m+$rWYuH_Ru*qYW38`3&yS{vK6smPR7UGu$ZpZ<|m)s4ofA`(+8rCSek5119kF9iMC=p+Kd9EYpE&$b8 z#(^X>uT46M`H~eLrUZd)(Wm!mNL5HJUNzsZJST&Fv_J%IZHmIc(zlEO|2x;nYBNp; zWS@B`_OA3-c^i zgL=QS7AcyNR)=7)zgAh&s2*Hz8+Da(L2W0NN2AZhA2TUN8DXUIo+(M{5y&AcBO_5q zRDNXfRZXR`I_iIcT@Edt%zenA{Ymw+c0ctM6gJ36i|++8wChxP`9zPTpnms`7M;I+ zW^~vqa7?V>tdiFzXL^|vVzA~m` zc3{^iX1FqNnwu3lc&K|G%0I74WypIi42_(cVe&3lYgN1-L#QS5&(*hJKuIuPCg$KYWqHF|L)3Rfn>p z+kmdy&N>UZN%cI=#dD`z_BIsaf*&Z;ALRcZcn$)If;MU5>DKE+eW`+Mi~L8S^~8r5 zRv7HwFyXRdGq^-epz(|`NO()G%Aa|V5yEf>d}p&e9O0Z3I`_!ZO#h_R={tS6_dd6T zFkFsmi)+qYz+exz-_y63%J6tx;gV+o`u(Upd`i1T1SgM%%1nPjuR8`m3MW;q?DJ>` zN-;#|Ua%lj0CCF&si~%u0I|#wVb7}7H}~cOPc8`}Ghv+hpwuG6+U z>qqhH;&UE0e8&@o{?wu!`{rc{s?l?R70dO=DkGXAziMm4^+$O;XtkWB@)68+2a>4cFQ zhSQ&Y!5?QKdHey8Vd0CYV#f}!v`nO2Hd9hvC($p>wz8qC&ji!${aMyDD=YO>daTmS zz1uZz?wd=*L%jVBgpeK~Qj{l~-oyfa`cj~Elg5HtHH~SqCEBf}OqIo8!dBS}ELbqV zpQ>42UARymOzcC%n6B?9qP?qH=jE0?w9ZRAqJ)WO@)3tYnSW@g_ z&i^UZzr{QD?tI+>#0`poOxmB7XDUlC#3|VhZt|WLyMyQhi?H!i73%R`zJN2uF6@G- zt%>I>(8SN2MxHezOlMQXCn0}fTvb&1f#5ADgG`;aPpHv_LX%lsdlI1aQsI_Z!Xi$I z5AxZ^udkH@4Fq|0nl&}f0M2PhPp8@Fr&H;U;rL!2Od2~bC+#s-^(k2;o$+PAUoz-H ze0WFiS0}yfSFLZ>&_LoZ;lE#s8ogmal8pY|)2wFg+V#wgk(3p!OSi0}R(7MC5a@AM zqhlpJ?(W_SUrQ@2#2-Ald7$>eK=j1s9c{-l0$EUgqm$l6@74})ySC|Qr6}9UE04Ag zk$A_k4Y6|IiyVgCn`*Ux1j+DtSym>Vwj?Q>N<;di-hOX{aFwUq(X|JgM^2w*$fUW3 zGJ(FMQllNrhL;3Z z%qTI&kyO!nNhkF>yL;!|m&rEml^`C9t7fZkrwac42lm{X)#Vy4WC@+7PDaAQ?(XJi&P=afP%F- zE~sUdBD^rb_unX)H$$S8ncs(?ELn=jO)ELdAOL>JMS5Q2-B2T**KtVo!>;d~OJ-zK zG?*aZ$=QG>&!xKPrr)P$$-@is%joEdv04*ZDnj~pWWSGL}fM>1*hpC@(H-b%*x;0mm&Z#KF{aVJlIW{<@J>IOg ziW%-(dmJX{RrLLQ9Z>abNUVAMB)9Prfn1fp&+-V*F|;d+;0Yxn&}zqq%#If~tg)(L z?N8dqK~c%V05wq!iP$OntCr%EavQXZ{4&r{gX*oqA#57hHJJQwDSbID>JvZ4IfeBM?t zsFBy5nAN|!C7u>SP5knSo$r>sL|n%iReZ~G3d7gLMRt7v*mQx+CR8z81n9GM^6AVu zO9t4I(c|SLJyckwn@v^3OXOVAesh9UvSLY30~;AoH`KrT;qs%Uyrj#)vo{1KI?rb6 z``Zlw3(+J)aCyD6dARt*iVRazPUyD9dM3sq0J9)(Ql@BA2f*faXZ=naStb)~LP~co z9=g@CNPLswoc47z>LeHik1X@MgQ9^Vfb@usQ|XQ8Kj@|v0^PuW6wcO zE-jD%cV-bPVF7GzMRHL9&K(bKl~1D9z2T0E=IueNr36q~ z&eL3)gOye_txX5oRHn3$Um?g^qM(O&uGGRzEP)br>{_J=mTkEX^!-X&|J*C1Q07=J%Oxy zSx02pIP98exr@gaRw-Q)YILyYuaQU@udmd?hT={l%iTOO&E^ZiGa^}uu#t?WczD3!Rm9Ecz-=w(^GQAsq zGy0dag|TH$NAV8QYNu13af*dgq&j+=2+D>@ov(x}L{;BMlI5gKyoTz{6NYNZn=y%+pFEyS%OIH#rRNPq+&?KwrTRa@F^^{DvkaCY#9W0p8h?!(BaamHoYFTxsS=jelGb;8K2Dfp2Uf@NXxH zDjRIL2P-?4`{u}&INZ=qchtNrS<`GH%^`09(KK$$JgW!Av)8AqXueDSTm!QjRBEkd zto%{tAQeU!c?faFcIuAeA54ThMo+n2zATbDNiXsh=!4KD%Av^WC1 z$r|7bRSPp>^seZ|e&f)HRB=@87sEo$T|LmZZh`hRnrtyhSizzQn&;|bWsxo7B+&o$ z!3f>g2C#VUD2)B}zoJcHE~tixYvPP}U?q0_ig(*FN2-u*K6Yq5RkCP>Qii zGi;PMrszcGWC{bZZ;-o6Og;?&ASbK6JLw zuh@z4sqlR-E0#8#NQ+*hv=hTP6}oYJLMX8rc9Hz39Px5B7A?g=upbFQKYp~TqSHUm z1vOjR%6O@Ej5rM`YSj4!P>}OTOVy)jVH6J>m@AZN8Yt!Ia`#(Lc01~XWpLZ}{riuS zh%mikhD{VUeYc!;qnjTmG$PjETbJ5$j4cI((1kOp<#k8ikBwDhI+ea4ZJb)t$PZG} zqJy?1t6ghXEEXToEO97O&@-m_3f}%^FX8P`Cc*kN$y&es&HYTWkHejLfGj!GYh!f? zAb~}?kZ|n2cuMSnrX3BGgWR`L?!&|@Q@L%8D~p0EQtEcaV?EJD2ARVgi5Vr-RRIUp z08ei}T^>*mCEp&{E^k1BVRvAuaJOf*^OVs|P1qgr+9?_>!KDmNVj!QiQIav?49m{6|M9C&# zAV`C`*RNj{pBY8>$q-;7S?ii|^6GK7Jz_3QEGqsVGs8q^ZbG(39WTtTwb^}@cTjT3 zheg1C!8eCL4~rV|IN5Nmu^&jb@svDe1@z>@gc8ylV-$-HwNI@DXOT3es~uTU?-kez zNCtFN73Kt*XQ}R$AE$n-)>*K1I2jvge9hJM7TxdQ-h#?G$Bu}HAK=#bm|dt9nLo<6 zFF2oqZ)Zw#a6oq3>s7O}QPr&#{#l8OW&l)Ya8M~1QmInWEK^zx57u{c)sOQyoeVaI zlaKuO1hRp%V_D4Mt{ENIdP8M;ojdrhAL9|~bqae3>xYXILDe!9>6Tr2g`P)i%Ql3T z4BOsgR)LX5+97in>Dpt06^ElM!YS6>GZh~y#M|mHv^H@SaWA2}mylz2!z?UUXh@Am zxvp>o%{>mXH1htEtk&oq+EtjoOox}jxrt5kxJWhm;{c^fFjfG{ctBZ-?0+%9=Pn_a zeOu5S6PgXbEn9183yb3M#0n0kARF?aNYQ*+5*b}HDpw$nF@%|c#t&{Qu?)_k8qny7 z!SyPb7=>})Ni=6k1xRi>3qtIB*-Xy!W0>H2Lj1?v(X+c|^U^;(i#&=DxbHBk&8yE1Y1dyZJ7 zi4nM6iH)#1fc6{xE8^=iLbJV!+}p%vL(M=Wfi}KO>;^)Pn3C!Z?advWLI1xvAy5ETmr@Xa@1JNhz$*T{yVh=;RG0*}LCw+9734 zF3U~p_64Bp-jG+Vo?(dH!&zNMdiR?gLU4}dTABGtN=-W^HTXc*cwhC_et4N+ZOLlE z5(6x?bR%JLNyt4uo7X+l8=r{^$QoT)1mP>NU&S2I%JV-Z7_Vgy(zB+H7VD5O(UQ z}2u zSi!*Esw;WfR(E#}=t%qdRC(oD$$4jV7<}J@DgeE*Y}Hk`o06TqK%SuO9w#nA(%z(_ zryqaX%|V>!yFf~ZsO%LL(sUDN7+&Lqg?`O88RC(4Gq`I76ZF>IZw=lU&iviJv0;F7 zSCqJ{9!6T}KN85nI!_HG6VxUeXceXJJMbFur6cealgNcIceX?{#F@_EXx5;;x`;2~ zWq!ZGg5O^UsV)NR(n79A6WdrdjU;DqB;hsiMv2e?9u?XNI0ieB)YNb=4W{3mjQ+*v z3?Hom!hN&M6t+VIlb=5FG z836=cepAKy6_Lid^%1xEyu01LM=1|#SC#u}wT%ZBn_sT^Q z{w4$Q_sNMeT>E13zZ5i`bS&@`U(U-c2M^uE@&Y_)D0qIAqG}EUX_;}9Qwd8cdbLGg zoNdQiC1it?OwkPfI@e%^+6QTbEG9+Il$x!W_4KOZ#Gq>2Cj}w^N4cO-gE(0pDVhR|KRzp-w1(DFv&L%pX!@91v#X7)-klCu027Zyc&X_PY*NX<5MFEp z^%QSf);J5G*Of^G4dTX*Wf-PPB!#^C%Y9#DV5&KBQdZSQIp2$?5PI4)iU~!gSqI9^rsIUBnKr!+vCm+_$QX-<&>mCI~B^Bki8f=+Kjj-Vrg#{}QGeJdx zU+sh#42BH(R*Jk9nx7<=ql5kTr`r~1B*1f#g?R;f{OUuzOv;BUk#^%~p*de}4h7gz z{FhUZDiYT<-m`-{!-Ce>sIALP3-g&xbVkQOX*ba|C|Bb4^}(r5X84%*t==n?`fgZ! z8uw({4yrGlmjf0KoDsmkwP*PK?OB})?2l+`sUJxT^&WO`c8b_4QfHIc|SrS)YcL6TQ40y##P5$1Ro?yHAqKM zAFY91eL!803bKF$qXj%0ofR&4+0!*c)`FsTd$&rGtbj4rCw?9e}9(NL~QVv1m zNZ9iqyki!0-R-UnmQNbPoH zj6mY}FP752=qq42(#JsA=eyw3Q7VVV;V^iV&QEI^0|?l`-$FUNOpco_->dKohSz+aUn%6h+FQ5-|O z5*kIt#E{{a^J83P&C!2;<)1M1z2Vv*Djhh-p%14MyH4r=(it5`jZg7f!%;lQe5cMs zpdIMsMd8#4GJGUW0H#lD+9I zB>{fV%Uj`mZ`&H!M}CWh%Xx&A@*kcBIyCJ5y=(q8jClW1_lu%27dJJwir>*2L<7w5 z^2o&c$L9(Z;PMzOiKbR)z#sww7g&8?g? z(8A)uxs`{2if^Oi!o~wF{akTHn-ISc2kG;Z#_T#QPqNlJDHIx}7zuc{F2{B&4#<2n z>fnqzq*E(MhBf2p&F$mEkj!?8P;IhIs&;)kzV=O)#l>9kM~%OXZ=VLzJC7;A+x85jjr%;#k!3(#vhH54G%FHwcOg+w8kWu&7pOyn>{C5^n zsdnO64PdC5&^Nb;-WDn~u%Ne=kPF>EeVoj=Y%_^g6^J%3(}FGVmP-sm1ei z=cOck0HX7=S~Y%aDNR1qhV*EaMghAG00kQO4;qvgC5MvmFstJAn*BFjSxZXNIKMn=##;y6Q$S_|( z%gkO{Y~KaJAG^T)JUbAXulS|3Ub7Jz3Qv6%4330pEh}9bc)8-#iA~+gXB5S=?A=K# zNPG@qr+*AwN%zY18x_e?xO1unk$`n;=ni=v$pHk_M&anko>sjb z?wj@0%`Vuhhku8;ygMI(9-U6PP=85a&V+oIWiIta?4=Nw0=7Erqi^1&U|D$wihZQ$qrP(vrJXq4Z%_KkefyF zX?BT`6>8)mIr5UkhgR~wvjP|jzPo?**Jz3xx=Kn(Oxy@kZnnPp3b=Sn^Ct}Q!d*;G z7N1UQBc*CWs#em6`ne;utq@hfVvLGr<_83@D;L-nTWgIBZPqv6>j<~+Cjx>yil6Li z-wzI$Amu9sDN1;*esO2DUP4%J{+T2d8|kbQ7s`wp&Y=?5Qel;RLq-np-QK&V?>T{m zm3fVZO8`A%Lb;{Pjc>zlGse_w*DGF-Nk;QvE&WvD1*}Oj?eb%=8mIxa?7wGkc%}43 zZuQAKm@$4=X;?| z%Pd!m0&$(BxIW)v&;{py3fgSx8=~4W+1xq3gcoMJ37)$duKK(qpei`ydoMSjUQ*N+Arn?-EUHA@8nxX72 zzc`s!>_?8ydhg|Oq`lUm6N;Nh&42_77u#;D0Efx4RZ3N6%cq2^6N?uzMck0z?qN!L zj>+c-ZDuc?sEyn!LhQi1itzM16Y}8vnf^4xCVC-(@R6PFp88h#<)}YFou;)X=`wfX z^F`nS;X|_YI0xBEi(Fwq+P%V3Q(yPY#%t^rm*9@;@X-=C8UkcWJ${45r*~_NnwaEf zzSU1;LD9}MIHzt5!Vrlabql_{W;rJ%SZS<{IL61-wEJp&Sbe*NT(hD=YQl!LTs&I_ z*2=$o#m*I`3kWsvOJUzDLHRr*&-FOF8YndNzA$PnZ<95~0kg{%KWn*NXVwND2IrY7 zy=+fuY(Un@KJpmQh2;?fPJ{PJYmAb3j6BF%O4a8Vdt9VI(P!x*J*?ss+3Q=Lx@Jln z2BB^^SO|1V9P}6EvI(M4x1L8rrv=&T7Rm~@TPflRZ75xyB)2wK#JI#kApMZ*;WG6J zY){Oo;|s6XCwf?3VCAP?UtBy+1j^6&C=a**7w6JqzpKcxttmdVnz|v0EuajC`q_vI zeoUYiZ)*b$Y}@A{@{5q=kBFuOlw~+_me4AIc}r@*PZr83Dy&?cPv{Z#+{WJ_j5a+H zr~Tz*fw`Ex1;)JBNs|SzNR<*v9ufm!Ye++$r21Sh1XcZV*gw_WD>k-=!xsGlcBcsn z^YA^vxlXHupK6jmQNoFpr-BgtqvTJvT0_bq{h~g8vp+7x!BCNXF@nWQ!#9r_o>4cT zG>T)gEak<|k&`0`*^^2KC%;yVuiQv*p_#!5@XrR{ zV!ON*;O31r7$eTerE6sj2Q%;aDw$`we_MnG{Gc3ELa7o2?ALg}A(6<;e$YJFRC$Dm znF1j~TLeupQFHpXKs;-Ez!3VJfo0orr_Z<2_;d5KbP5R2s{cP61M5;lGlrN6z?F;p~8V*~&`2ToKSl)!aC!!mNds4f##$2pPH8G1&tE^v&$qsp;0iOF7L$|pIetnj`&V3+Ccm_#V zf*RZ=f}_&|*;awc`ifg=qGa?^c?3e%LuvX>5)d$EqV6BZ3>An3pBKIV1omb>lulk(Tz(7c`RGl+g6ZRw zkg>Q{#olOa)3J(mC>&)(oKjONZ%?WA5jiW?t*Zf9g3;h5{_VJxtP{=SY#4bs^IkmJ zhd!L=1TB}*aH8?(8gTjxbIy_>Z%0cg{)8uxGqZzo$YIxeIXLfbVA<3ZcOZ*8i}gbU zK+w6Wy4A~Cc9CW^Z=uT1A%87luhU@Ltd0KD;U&Bq_d+p5j$|fGf`98)^3eEH+slEm z!7hp#sJbMq8A4aSItPQD@`t}gGt(QHBOK6h86d_Ogd~qs4*Rd4q;e6g?P!Zw}Z}1sLAfiN&?oPlM;b0BXdIHg}mav*E zR;M{75d(WPn%8=&NKdxKeuqQG9{;Rh9a^fGAJ8Yh6*$CIFX!EpY?dUk5F=Fz3qEicza*~ zG_T}EcmO{cqnrLh;3`d$OSAIbv%}G#s)65e?O@SD;B)fhid#U50A@@#^6}FLH7yvI zSmkj$)|C-0g#1OQmrU^cc||38`GQZW9;LdOw9MSs%3{wp+ZFK8wyZwA6nHWOL{7(u z3!j%Yib?}Kx6fdz5I6YZBm0GyEdof|&tLNfQsdLO!36KU1HS-D%MJ(gmF2-p6FGp0 z0dYd;nl9AbSauu8p9$eD<)I3Nu(WMVKT_t${7pg&0QI4l@y^GAN+E z938=dA=X)w?MEmd6i12x&)9u*BEq!=n0!RsEngwXj3I3lT*&Ox+PDPZXV1GND$4rx z12=DFd+UXpyGG3@*Mn@xxxVY10<=~`E_R-}UM*`ZScXsr$0*@KnDMUxh3?M0%L=F( z_n`94IJH89KT5AbX~8a|A4N=IS!JJgs$||-bW*O;%Zk2IOueSR;^KyFPD>j2M0yj+Y$fI(E2H1R%fwx3;<dM4wLULeKBjC3fZIgTC z6V603(1BOhZli{-*{^+l|I$2f6Ua!%AlDnt`YOBwrxVMNwYJp|?$SP9HXR{Rer+Pv z*GrzROAF{8zqFI+%BMr8|Ei_pxIw=y+wXP52Vxsbf+yqf`wOqwOz0rQDyz?TzdOk{MmEL7gUh`H*ty^~S#a@Hn24dqQKTQppzb&t?4U0(rFZlt$KOpO z(@3EJQ+bBDo5d?Oz3mJ4KIK;?uVW^R5PCUSSK7N#eA<~xom15&d`r>BsJGqsV~siP z-5XE`uX2JWj72!9UBwpYPg-uqAk0mC4t4_aurwj(d;#5{MEQ%t+55`i49m|OG6O2x z?z=3wP(B2#d!<=1j<-2?8*UP%aq{I7`Vd-4Nc=z0LwCSPq2(?di>VoS?qm1_Fez#{ zf%mrU2vBZ!;f`XxvPqIf4G1 zIV$%pt+g59BZr$qN$^~_x-NT z+0CMBY?1*&B-mbt0Yuz`<0(@ygbC_Z(lLv7<}#02i$-z$c|ZrtTC144L+2-1Cz?|7 zw1w)1LUlcFusvBMbp!muh`u@9J|w2 zx=0`P@KwpOQ-|sx2+sbJaQw=QDgnpbGefzDz;nq$%CXw4%z&ok=fYFtM`srk)5%y%$R!`$|(*Hy3*@_Fi&JLExy&vwZ zP%^u;>0)XBNpIfwXoAaTqtiP#l0l+sUe?ABA&jJyL2U8(ChWv8@i93@Ah30IXX}!9 z)w&T4t8`tO062g9XHx`8lv8a=Y{36S4LleVuqgNjiVH3fAUYP)K~~?cWFGRCM!g#O zozwtO5jsc46+{yru`gFRlkT2l2VH!pXgTJGi7po zzIj!$NI@CEd2O3};QdhnaQxb}BKk2_l~zp6XO$+qWfB`97Xbe9(KqgUOEaNvxp=dIKgkq%7=hVR|oyHUHPQ6(dim zuf3|m(c&6g$5-)q$6#8V-Qz0=^DnM0aI~TyXs{6{%wnn)HpaKjSH8p=w$zz=tA#cC z9i4-AkFZgUf}aEwi>nD?DxRakiIa$$mmc7SI+S%S<|*Wc;d$Bcz}NS&uyR>y4rh`Q zBH$4@$weW?Ha4cO7ux2SHcG9@ ze7JN`;h)IP`h1VEndZLHm17$Xrz5~HP_{$GVx(+!wm|iD5DO~C0j+~|YJeX(tPR=y zhFZiGP;-s!_hi4DX!8X_++C61yXd|R*Xq(_IhYk7tiUj~=o{WOi7{Va<#$0cn{U7k?=L+H-G?ik<`1&9fEg z^=7oP;uh~7ALe;MxgIN}5@K%lvkFDYUk)8M{aUS{@;x8jrNQA+Y);d(K~6vfLI zNW6D9qQ3KSQm<=Zh%T6EKb2ZBx>bZ@SFYj<#`)b9S#hOvRi8vC{x}&(qkQr{K`gv} zKpXCVS1Lo1=?KW*{8v*!iygj=hS%+{z3Gs2Yd|Lnu zru?vyw=#(38-g1Hk#~_P>IpK9wE6MCo^FzsvRs!(YiSOwU}wiw>DnyM&Dub5Z<$w% zF}?-ib)4)JF+yx0%Dey7o_ddD3P~fWd(QZCEsb0Mm1)~nN5qikrji%%f((6Jstt&) zEb{wx{3wh6&jPs4kg4?}^&)2GDShvz>mVS;xXE#<48Rhk~8Mb4pxu?RWu%TgUN)r@8((e-oz7&T>)G^|+aC5Ej>VG#m ziWypjkemp`6rVm+>M8LNhW);IkYF@Qa^k&M*x+Lx-y(Y*Qn$-$m+a)La!$}-Q2<#> zc3T_P>+qpO(EkH_wG9jD@Wbe`kMyp#cgFV6Wa9?7%Mw|($93y^ zNsc4n{wS}DsYS7Qknx~@M2oCnZR=Yy3t$i9zP0{H$5^;^vvD(9?zS*+415KY<}RpL z9OFO(*GF)|7VSrfZ$b?BjASri*q1$Z#;p5Oorhk>Tz(O@r5L|B{u6YlB6lMURDC8F z1ry7J!=``4QB-AWBbS7(T#!E>jzEdM9-SZf-92%I_Nu2hG5qzTYT$Co3(x%QZhqn9 zX?U+!7H)3Se~!o2*COHE!^?|7ng(6&+PTJEYuKaC_+C4@5ra{WD1J)E)Sh7ei#QC( zldRq_4?}?UliwI@;QS7_Wo~|omMiP~L(fxW)U@Nq?*i^kNKaUB>QhF&&(feY^?!tKE(q2QS&%#6 zuLP2CQ}ytEujGok{%CO+%ko?luNXG;YD)DZ4n3>RNEU9yFmd4KSj@TVW_-_~Ef)+- zU~qu`h(ygmECuVUJWjJxB1{!lXatmiUXry0-*_bXZOeKP=4KjR^#fH+;NSjrArIvQ(Y zOchhp<-)V;fQa)*h}ilj@vKc$X6pGOi`f-7P`o$iskyOZzI&F(9T*1SbhU}a*m=rM z&{iy0hVQ!EmJwQzo4)8X6zNT-mzdx9plVOKK+;n(_sE5efWoi%VHoiIxETAW^4pyE z{Xf@(MHuuXlQrZ4aID7NnU#7vacRTUz(HBd5szs~ZC!zMJBW$m^*`9T>$dnLr!i=WMa9intug z(fbH{;2Yx;Uzeb<{%?y$l6gSSm)W~~xaL`~v!@SWqQ6y|+$&cN_q2&UdE2VUp<*2Qe`gOJ5;nL?L8{v{gcy!Gy=YWS*l#Ijdj)pF z3kPANZ04gq1oiQy`?C!1ZUzlJvQBi|c|1sl=kfIuou2fdHk&zwgPwg~HDLp)>+ImJ z%eK{4seUd|OAQ@#BKb!85{PDE5(JCJOm#Hg@7@q9!L;7kQezQP?w_Zjp9vc>BR45A7i}}xB9K{SJ(o;$M(X3CtIq{*ja1RvlX+gEcVYS zc*TbR7&f?I1KzxBo>fIfHOKP7m_4yw(>!dO_YwwGlC2+^wie(08`%5!hl9gyK|iDJ7B{-2}|{bJ^f| zxPf-xR6$J@>6=<8qYiiv(3sd7g8Zt{If^^J$6BKER&~(xYU^@seKT>z!GWfFDtz9C zOB%R}qa25-aj>5^SQkV`7JU`^gOP5cXn-*52f;7&uEmO55q0g&G~OM0S-0>T zvBo+@Kb>CBfQRGz6O9XBWKP0R20N3oG@JC#bEN#!7u|vw0=z&H8NEWMY-%LG96o~E zWKv!@RIlckAre%M(`(EyRHh>ah2Ifl0D5;?S zhYLB^3yE25OMnJ6H(`(OOCe;BQV4(pzTf=lX8aK0VWyYL+HmRkCCDOo(u23QI_ zHOPx}cgNdZ9)$XEB4jCk{F05{_-1>uedpqe+|s@rl-uryX)Q=sS8)YHC+?g<(pcO|kan<#Y;f{}6;_T+f zIW8k!PPTkzqV(XVbOb!K7)eNftL8**=AN z`pwt0$l0-EcFF9)9ZNvVu|{TYW)(6aP!CjAJ5H}lFzKxLL6bvZy{xLJ3NXXEu3p4{u6y`<-n4A5cx%P#?ElxMtTC}8`BNPH-Y z;QxjuwGTtfxYa+vZ9L%&P{5nMf5}`)k1Kc1gZ6=(lD)k386h)nNO_91nu^VE~?kkrWSsN1lHD zApp=(c)de@G)~PaY>5r)3^H9Cud<6V(I!y(Jwb^$e~%Zh%NDG0a0x_}gesFJ=es!O zhGUM%)AxQVGZ%G`oVhezfvZsN6$$XrsKU)jbvQkA&>A@xLpEZIY^U=YMK*&1VsU{IiZ!BEFd?y)_p;=(`ac%n4XH2QlsDX4@B&+>jOT9f&q2 zU_*tB&cMG{Tdg^(eUsP5r;-WJ|I)($Xb2eAHf$(Wa?K$~R29E9t>%yXon6l7L>XK> zF7?sr$&>18XoItmiQE4L^KtB<2675-HxU`I=j*(s26$PZ?3NUEjK|F; zi1!dsL!1Lft7jZOlg6;n8HX*bmSjrYK6j+jdXtX&kXE8TYY_;9DqJy0bQsg38;7GI zUmV#Y7d(#(9nrMNv*6ol0mEzyE2n!d3~ovJhB+&qPhQ}mL@m(7sP(X$H^~2)QMbhR zCk>_R8G8@+Zz5cOj|_n9K&QQ)Fm|#xL}8H5V`9v%IZOIVa(%0^Zm_$>)+RdGJ#QYs z!ib|(y?A(gO5wbSt|YCn784?-W6pa{)`VKgKMxHkUI@etMEl`XrEJ)6l%r+lz_hD- zT7evm$}3k&hnzpAs3a;tAHOxN5c_~&;2&J{MTNr97F7(q8YUSkFIrXLCJ7rVJD&JR zM^n47W4)ImHtYaY>xg^D`PN@9J zymFhe+%+mlYsVar!XjR-he|yPjfJgAbo#>uUU(usj#L?MF$3KK754pozi4#oYs+KYn{#c*z*bF zm1o;C8V|-jkHDk8YN;0qql*+i%J>XSu4l_I(ncCT#?Do zO(PH7OO<4F`sNrg3$l*-e|9q(k>;z&X!9#1zok0DtxUVD8z_vo>ZN8f%cA5E!*_zE z>5k-2ROLJit&>cC&BOkujUZ(&+xIz=KGf!0cS)=ujNZ*=B<1672Cpbtb&^zRjBSh2 z0090X0xtBp@3qRho_gePV`#rKl<<^{q}~_jx|4yfV}4BwqsNIQto zwskHXBEtvjKozkDol&&ubN~Ai*)tJRV-aXa&7BbqZwfhW+YFzBT^sZd*t#crT?2}il82=oAZ4A_gJ z+T8vd#uIcF^X!UHN??LRihLK|gVvK$5Tj0l4b&4(6_tb%y$R09e+%icB2wzQi+7CC zes>fyuw`78QbG>*Nid)a!Jl5uk-&HF5V#s$>{>nw1S(MPI$4e-<&?RW0VcSWl!o5e zGIKh|SZF_P(F6+mmnWUFe&3kem18^R#|iz%o(}LCsdR$AkhW3Lf#EUDw)-HvM!m5P zI&%p(sDA1TuXg21u5@+t($$vLsBt2oMmwOjyzRlqFcS2fh~}}Zn$w$0oYU^wc*0_? znGuxwK?koY?uJ)bLaid~Z_9`QIv`W!3|08aHmoz#m+!12+}D}27}+lPi>RQJL_n+l zTK5|@w!qCG)&03WvbQz9QD7vQf41p&;L}%c<3yX+*>E_2)r+q&K6dbYjjl&S#R?3a z5AphOS{QbMgubthIIU;4a$(B|KehT^&TZ)629QC+gZKf@zO3t2aE1ub%3+e>EuQSlu|0$fyC8 zHI}=O`%qST^A=9^i`{4J2*_RimLIgY2qLkZZ_DBvrUm#SwPsHeu)>5)MAslaP+O+# zCGK*bQLIPPg3VRo8O&(pcgJn?27O)$Z`Wu?B_t#Dnl7dU<586m{GSKKZbu33+lN)7 z$9Gvv*7^t#^K22!>om5-4 za8ZvgXVEtQAbX5MJ!GhrpJ)t#fXwKw6?y+l0<=4C^($Ph8<|x7;T72`S?jtk+5WwG z!ztuU1RL0F8LXhQROql-<)GguUB~+K5`Uc#yHBsOmjlYa^*t&UFonAL8O7LM!JFEk z4Ql_n6s#`A`4y$(+MopkP2wsBX0-8<_#Z~;f6Fif&+#~c2m0JP6s4o0u|7@2Z#MPS z2Dt9E^uApAWIG)^O-uT4(75)}N(>%Zpv*0L2p*tq2Mou@UP$+N1X&=C_rgYjW6$Mk zC}=GcQiNKYpt>jg(kXSaj?FQ#!FFpVnN&5|;S^xF+5}dbDnmv!j z6Y-ua`pSx}ebVTy25(AKvxX|XMmdG#-qlm4gHD_a@Ade{trn<3hVqCcNI0?S9LinG z-bvf+>Rpy&t&@Sk+??Vc%AKfrFn}%m{d<5ktiD|l&tV0u@zh%$UuSPZwhdS;1omf% z$)E@iLIQQ1L+}0eO>x2uE;T`4`gI;#PMKb~M7Z4$PEV40oKtc7gxLh0ywK!m5ny1# zVmQ)DzX^jR49L~QZ|KQkl^uuII#Rtym+UaC+VZVM4V?W8G*=-q>rs&8zcM-t{ObfSUGLc`wf#1iPs;4 z#c~SIj|}?3`lD0SA}$X^j#qB3N@@4r9Teqjl!Egy9FnVGGqg(KqRAXyhGjFTE>Nlg z7IxWjYt=0ycHUNbQdW3M^~6u-dQhHlX^k!$)~bmHx*Kmr3L z9|Uz@{`S!Z@N+pbW5{E&pkG04TC8aPppirkbDe`Ap_u8^%Q4I;@jS=?ILnGtzdl(P zptaF4gJs@mm(tO0MbeoD#shf{Hw>+c(PEs8d9s1$hOqdL9Jf0)K$5Hl^-}Xc7$_Wu zb2`8MWi0Kgw<_Ef&7@@8usm=PlqPB&1r+QiUNPrJ zX=RXi&K{?%Y9c?95{8j(Ev=Dr3X6xz`S zK(>h%7*f}pwXK3zk{Gv_jJeK!=GeU0Oaqaq$j^#F&g|qo#1x`0DeGLOZvz?W^8m}M+M;N%?}?G#R?1xnR2dzaVj0p9kGj*p5@u0oj>3TCJf z3D>WMa1gCQDA!_oiF|~rP79BdFThr4S1J+2&?6cV>S(r122g5eJ_?X)NA)gbaNVQO zt=oM?jcQIwPO^3qJx4$Tv_?boqf+_-G9Kqtd7gT?y!&bT<~o7o*ohlC7$4t{CW#;s2Y@gEt&wRi*pCVl`Q7b94NyITL$`|heKPYaX#r*O0 zlZ0n{o}JgE573j%d0fgI;PXx@y+bTVu4kFE*C)~C|8_@y^qTjZS;eVf<+2Xa?l64k_0GJE56-3wb2_*K;%4#A!$jPNLRx1%1rbSlSfU z!$kLT!R-#*)W14^a0P!k6W{PzQ;UM~@%wFGH!?Q|#2Q%UV zpKuq%k{gTNJR55fLKf&aeIoA>RgNeIWZ}Cv$L>-PB)44}n~fQjp|nRIoKL=}1dJ6V zH}(@4ecN6BLfNE1@NUVTHEw!vAaM?fNB&Py3^7MrF8&tz=a?au=IZG|A44OaAL-ln zw1TQ|t5A-SV~G6_idcb|v8DXP)!R7Mkv-W_8>dhdnVHH4t8d~RFZ_2!_;l()Yu4la zcj{~!$xrlmIp3fQ&G#c$gcLO3^!;^_we)HU{|^OUd#sKpIa5GYToPl2 z`d1M?h=*>@HS_J00c;~;KMPt#zVJc0S#ttR;taa4hW)RJknd|~y^}a%(5@A0n@wUU z_DWw6cQ1*0R^nsB6Tp@B^u30V>L6$JAwk-V&Okc47-BhS&b$9$zbI)OJqIW>SB^2>hiwx$k!$_mhaR#u5EAHB!>do<83r_jC(@g z;&JMvmuld)#YagbR<<$@Fq@R z1T1{)#9Z0h`=v!8=#KB3ySa`OFM7h2nXG=6?ZlK!>wXy0$01t*|D62LPOLtos(GY7;Qw;6@Gu(++OyNq~SZh;r@FNcpTc_gC1&0-i@c zu0%i%?b^#WLp!CHxg@~CNqb6gAU{N6=VYO8{5D1D)w{AMPpl%@UxX`@u}J4d>KHL$MYsjyEyeJfjbC_Z(bMb%NBiyh zu+v1hyjY!;;x}&QMrBAm#7(NdY}2kWY;5O4C(Q5A>}wjF)dqRlx}Cci=M58TudNAm>*syu#MP2rd^8F#Vt`Tz09l2 z`34m9X`#*}dL(@Iu;Ph|M1%n+Y#4Gg73Plla>ZEu35JfF;b|1hKM`} z?}VBW4|Z@gQlO`+YoWXtbg2U3feRJEcgS#5A^lM{>6XE8yV!hL7;8LkNvCRI-|nVV zznd)hOUlYx5yU$vuk-yH)(Xi`pZuNS3UfH_sNY8jN&-{=3e>K+PBnA~Y&reWd^oGM zJ~|fEZRZH$d)xSzo!c$PbRJH;oihnT7x?o2B<*2vrby%A@NWEQ@$;~uqa;3=hHP!m`I zs5fG8VoLbmLJZ5aIOJq*P7Z24mHXd|CXO(e88gosM92O@6eSKRXYH9kS)t8@6w%Dw z7ZyM>qR^<92C|`tl`gwZk~8pIW9&`nt8vMug4wPK%D`-~hr(%k8jfNk2!&-RqxwW}7B|S;Oj64Kf;<18#W9QY3%Kglq zi!P*EhPO~VC{V=0aa}?q_xdK;&GF4f>>Xg1Ji|yMV7Bo!DEXRJ@F)((MIip{rSoU7 z_xtO6s1`^#D=X}vE77nJ;LO{Q<@kIKE0W_h z_4Z5`FHP1dLZ;D9ycO4tXyJSiA|g}OdRMsq<|#OI0YI@aU|*=_g#5nMA6jZ}dM(<} z0832^3WqvlrTPWi65&~B<(79w|6R)8$}X#Y`oX9~RKA+OzjtiN(6JElhXc;wk@5K8 zyHA+UY6h|aQ`INbQsT^DVO)J54>w2c%EwP0p{7fsyMM)Ecd4Dwv)FbqpV#PCA)^h4nS;tF_AKuyPonbdy2H+-(jS{z zOv&6;ZI1tQqcHP)d%1jbJC1;mDsgEa(cXclwVWULh`rQ^3&_Kw4nWi?(C`7V;@(_m zFlJJ9)6kI}9qXb&;13iZ0mkB^x|To8C)Pps_T#Myj6bLeNFJ#$X?}FBtTyPS4;7an ze77$y1K20_Da=Ur`^!R}`<9h}e%lxh@U=FG^NtcT0cdMCMs;+w0>>LL&pkie?z*8p z;I~SG7($~pY8Iw+`M3Sm+0n`4>A-Z36{d8*{ZP~7T)))Rv>1DbE#3>Q%A5$Qtn0f? zG7PR@&VGhkrx$)BWcNMUuIpxHgbLlBQ5iJw?x@J&aC!+)Y2Z5>SQ!m< zXaO6l#&5*2=K}O|GZXr-3qZ*(XB78195dSM)tz2iVa&}456tSqyGf!7qLp789l~`E zy4B@%&g}5qnZ^JJP}bJksoM8wFk4+7Sw!A{ zhkm*S*fR4Df`|%=o>i3wZN{M@pPfPefB|T&=)7y*g@Z#p1m~r}HxGqA3{n7~_c#uu zg+0W1vI`_@HNZq8xOd5Z+F5*f8CN$zcLtl4p-0Y^E?#6H5K)C<8E(MSH21VUga|%Cs>-`vs zu%V!rC%<~P97Q#aC^k13Be$l)OlLK>AW~c$x7NJiQg%DLrL^&To8NBOliV2*NM6nv zsiI=rz=K67+BhYB)5*$|-l1UMm|1RQpn&20Mmj8ke$TLIXouK#Q<{PjYrMq=-g=|_ zEN`!`@fJp3mykGR?>Ei~1`=Jl9_`|@m0N`F$VA}{SM?OOtOlPiv&o^} zGJA+-)+6Lh&5t=)SdLk9fg#4bs=Jh(?=f8cN4%(ge(Ke3y6zRW7r^W0#Va)%!jR5* zCWH!Tv@pwOBD9{{ZFX}%3^flRCeDR_6j3jnm=i#U$jI^PZm@IY5bMaZJPzY< zRi_{6e}TVnJHEd&x=`_$Zmry#^pc6V5wM%Ly!Oa{ zETReYGAe3+PDN*@soD!Yp2!B-x)O;NhsGlFI`ZwDk$s;?uMewn_l$+w9g!mCrOme2Y7fg|O-DriOn zaDrA$2w9V9Z^B8<7s(UQkvs0CRajtLct>a?NL5elLv$lRw)=<1mP-ufA0Ua) z?YGZXJM@|-Q=-{e2^w>1O5u7fH}Syacl&e-{Bx;{z>H~B?X=+$JJ zW7Mp37)F3gtlJDiD<4yuoU8ysbG4xetYeA z1`}++4x4_WiuE#Fi(MSCSaR2;G~ts1zzbu=djKWI(Dl&vWvhHvONz z9BCG~QAoL&A}oB=cwoU%;E+|ehe@N&S@mNP9iBWKa8Ojfmt&n zE{PbWDyiHS=KI-$27;Wr05~6M)m(@vP1il?O6;k4VSo6Nc{&6?IOa)m%~UTwSJ!b8 zd>K0wTm#k@J~kt-wP%vcG7cG+5ny(q$%@nDcOBif0jfZz&PTYfAGoC_tiKpTwCJOf{vLR6>dclJN;$u(WUT3Xg zQA3_g0I1=7A{#I8_t@ak@rxBfT=V$r4`Cmmdp5k5*w2FX#BzGP z?FEwuZ`j;^9`@#|Ncf0N{#|YweCoQK>IgCU9UL1emEh;o_+pDf9V>_GQvSG(=ocb= zK6n!$y_k%QLHd#@W3Q+QDO5dlRD>cK!ci{HLm#S5`dZWdbnYwtBY*$+SRENxgpIx# zfFvCX3oS5DGB-a$KAW{1o(*M4|3ZF7=hUTMSW0hsor@i_CCXD$6}|9W=>}l|)0umU zMrkhPlo0=SWj_j^XwZz7}qc zfs4-^FxtaUy0)cRuc!V%KzU1bj@K%8+XhUMoT(gvK@NTddD3a>8&mG^>jecV!8bZo zVW5psSJp&*;q8d?2LdN&hIYf}V`_ufBNd;}MWvByv=;OOr^s2a}l%9{z+S;9J1q)G{ zv&oIXMZZB(LB0nZcZ(y9z~P7Z@38@o8L_S#a~xgZeQa)~-D2W|a&QFtq2eU@TmCR( zy{-(V&|42Km6_5FV7O_(o-akdsyLWnp0Q1(AC?D?x8uSw&wSbPD{PM#q>8A>f#$HQ zX{3j~|70 zpha5ZfL|VWs~bhCTv}uSYdjOpkFkrXSZPF5E#10GBvP*9p1I3QcDG3d(xq4JDQZWx zWR)NGwyVqW;75d2h{u-&ct<%5_0PM=@GQnn8eF_^R#zG=g@KUOac!#HXZbve(NGqd5*k1!FRIFCy zzr>8{3?HTXvVEbdyZjOq){ac`Itvc1opMA{Q{lrPO=MHwX!8q-jG-XPiPH^#rO*uT zv*@nzmqjUv_k7YgWzFbrI<$b|0z`>ZG6s7@L3T~65xI=TaiK}-dk2qeMn57hVl~K# ze{;MS>`7Yvv!Sa^r4Q}~3n@*mZk0VNSEhv-^6tg7v_;HGd3W>X)Rdcfn;$_1OcyC>zL=TGr!j zFQ39KOu!y5pDH#YdFgLBNUd@8REZIE_~z^S!O_}w9*Vn|bRyS5jOZ1}i`X;lMY8Ju zoa@ zfNvdasLA03zr=enU~OoyPoriN>c&2k`HKep3YE$NUJ-^6>PNmu!F#25CTDXCVj;=l z0Pv+@5@~}U-uySyhR*0EK9MwqmQ&BO3fg921A8a)0e{k~tlObZ%yXpjuwNI>3b|}( zpZ2h~SKS@VupaLt&W-yf(}6MbX?=dJ4~1dT0Ij2N%qMNv<7XADFw0=gCC>O13eZB^90-Q@s#+Pjtgg2zq zFtyC>i+wR@>mLm;#ND)FqYdHX}2fY6# zsyOeN=e+kM1FHg#tZBrB@DLJ&O34IcZ<^xze0=*aPhT;bUXg7#dd*t8qg8^ia9g zrmq<;`bzY|5<%9uXYW=BQ^8gQOIPqK{e&tfO?WC=Z~QGWpk3_d7n9y2x$0~q-k)iV zI_qd8cF1952lb)T%b$kVCc7-%Ja9LiQwIbLNGnto+=>NTsqBBL^$Zk+;ofErHiq!7 zmO%zO-5J+ZT$7%=tNwA1EObH@Ij`~B59G7hqc-h;IZ`buz+bEqg31ocGLMQVZ3YvD zas>#E5@?>UHuM`GmIx*ZZ5KYpP$)vplunq?CnP%QPy*>O*pn?a8%xB_Y%9?JQvFPT|U4^Q1EDqwA^HS7pn{a?1#$TLp^+=6nr`b?crT8 z!RLn7iBxr5HD|9^*v;q052|#@rHf1q264YKv5qDaRv(?a^$4jK*=IOdoXh=RCP_zx z)M)1qIoy&JMoEtA_U81LihmSZhfI)Zu?=pV@G=jo-@&UuKSHg*7OBvEtzHi~$+Wyz znrGv6SoV>|6xXyvW0a?Q!-t!*F!sGFQ8_{CdkBfeZ}0XRLX{`z??}3f%a&F0gkR}( z;9gV*V8~ZEPJPzVYp)4laNI%U=?_u2ws%sd7g-!B_yg)Gz>6M% zN~BrzLcXs%`)BSct%B5Hg0=AR6l#ZPn4S91TLxkFPQ;rbq2k!+bH3jt2QVz!K6>i_ zo@`L>OJXDuT+Kn0p29}^@RPDFXjMPbSTF+uIun{xI8)XaL0O~Y;j~wsPx<$AwAN%` z=fB3t*)rE`Kh4U_kl{$MY^1oeCmABNdd)ewy>I*(SmgqZ@q#FQXW4O(^Uy^RO!m%N zXxluEHQF>ZVBd7O7atwr_q%R#DP!b5EcU&L9iBgo^)AyMt|uSe;Fjw{lvjJ z3Tl-da&m!Q>VY>=8a3275(rBG$nP9Q0ITC)GX6w?@<#;Ie!uw6qxwxxEinmP8gM1C zHh%*~B0S_RjkxZDe#gGz4w-j_QQ#29Sd z*ey)1rTpUqg2*V34!3$m#*lSCso^F#S=Iv?KtYeD zd?@c}a*MNPeG<&c4~-@Z)*SbH9Rv2^uMJJA#N6dxntoj8nj2zn%m60O!BL4WllJ5B z^gQ`}HrQZX)c&($C6~vT#d$5z&hXmdPqD}^8_~J<9ieYMhJ47BT@1hfpbQv8lo?^R2kjGY2BL9wJ;e?kDfwGuC+oBz!rs|Sr&ym=C;@v2D;v^0_2j# zed$OJK&&5*QsQ3FbW0IyEf9vK$uMg9)v!yrSUWjoC^biiAB1SEN!oE<(I!Fus=7*nU7W|ot? zphPWhY5e7!FyYFcy$wRQ*5q?m0kfa2#o{%NZbi%W5wp^4Jt)_+7TTq`*DV}pPPEtY zx|tbpUv{c{32sxuE7b&tZrb#-EMA%*b;MnMFgXDuxUWz)^TidMpa-n7hLynXNKZ;z zJg7U6ON7`)@G?ME1DX0bgnU{DbM#gghrSJ05Jfx~@LUy3D45IOEu?wA5Uy2kT^7K< zz>{&N7T*GtTheCsv`m7sWP24#c&ic|;9McqI>U@3L1qZo6w={qPCR(Zc0E5cOVtU8 zrEgn$p8I&+ykJ1Ci4_9tv=4m8&pQYY-;C?7x0l_=0^|u62q1WUgZa>o)niT4gvLy{ z5VlS0CIks>j-jY!TwX)h_XN*JptWjbvHB?nS*z~TTup1w2fz_9P*Mv&{cR0^QlN@U zRRyaXOSj566D<=pbn30J$ixzbM)*Tq4m?CDR+23t46|Xa#Ii-(V5rsp&)ddbRPhw0 zAYtSgUkrHEL=q-f5E$VK4FtJ%A7^@U`mlosn-v-OKS;`eXAJ$<&Y(B+t$YqqU4%k| zVz%*gyk^I>r+b9-)vpkAS3M3s=oyfS2(DK?rM;x4YxxYO^MRN|RY-{&hL2!S>8Sw# zq0a&>DzCc#(Nwg@dlw;73{Uxy7730Z((Wn!Q!$(p1<(3C1B=^bP zmvgEOVp51|Z_Q33fAaawGo;sQqxkzuncoOtGU}b?%H8-R7uX`6mHx7hQZsAb8XYEp z)Bh9fIii< z2v9YWWn16WJ3`(ojvdAtVChi5pI0FX#v3m*pg@ppB~A}!NFt$C^0V^HoUQqOgJ~bU zq9`cb9UjcgJ!$<`G-PdP3)*XcYsj6#uYu1H%=awNPDm4Q4k}q?2k=w5cw7OmrI=S2ec-2a=Lp`2o=go2eqUvtNEbRz-s6@fX1ahx zL5E$|NCf||+X!hco`nv^m})rXzfiE44o{~xW#hZ%j8tjX&RMRu6iU9o#?y%IoN}P- zO^rF;+O+2>MW%v1b{#Xrkr$l<;DnekdPz*eKTT4z94u!hZl3Qwiga!aTyG)l=p8N| zZKhHsa?+;k%le$`1gEXHTyS`eA$kBxTm>P_Es z#IvRgu`!vP^*Yt`_|Dt>Tmd7Ee>ExIuH!#{TC}1XkVdJly^rOw0KX^nQ-j-HLvb2U z3x3$FWz9DZ!1qA@QaL(eCoehOKB+ifpGI~YF*4kLd$1%M@t&=hWz{fVK1LT;iVP`8 zDxO#4=&rp!|ETXV%tnrY-+Fv~+AM3EA|p5PqE8{u@$?Wb@&vj%Y4_zRxUhppY9}{4 z#up?jf7eH1%1_c*mZsp2&3awOPQ0~$`9k)F77!g*{?9C8rF?9bRpw%zPvF6$`eSj3rl=-q(E2Gm zlj|gp{|L5Rbw|WP-tm&u$~-WWsn{wFUI!7et1fmK(W9D~gisg-*dI&MS@Flcu(l8- z6`+FvI^okn!AB$SdoY1rCU{3FtQ7(o7F*Bn)k7ryXhq#7qQ39MOb7ubhXh=X^CA#R zUMYG)Qe%x;RuoX;p+Af=&}3LtlBV(#se_Q8Wk0UYsOtGyymqq08BEpqlW@QN<(w5c z@(_7ExZ@G%U~9qx4VW^KgP8YWUha>i%Hjt;vlWRdxT1^3#>!j0bJGK)`BRSN!t7kSUg z5B7?EAo^L~wHbTnR(7er4T$Zs^Bj?Qka2lH!l+pXEb?JOT;@* z^WLqTMD&^*Q`+Sd-Vj51*0$q?c}tvGP$VMTFK2wAerjCaymr2!z`39!tHq8KP4B^Y zh%l9CM}rXrP^RUCT4aizTCix}*5?46a!p1v8*X!3uX2fA$QSK_$yt5?K+>ys22rf_ z*Y0*O@TWg!%BMJ?)4BsfJYlw0LQRM9t5i#45d3Ze;Qqr!@y%+&D01G< ztzEAej>LkGI@4dZ?5F3dyw^-OpYJ|*w|(X>Fj9qyX48;OFE|iGn&xlEt7A+7nPs|^ zQN|lj{h(5%CX?T=xvjxluKIB*%vkh2f(I*Nq=GUqo;xZf z30z&(2G4)UE8{mId7N&WhwE*DGeEtl_3k}kH;@@?scj-tu)U-M}m})0!XG*}H z$5mRqwkGA)u7?TER|HuFZwj!>9S(|ZNtib+Ql4zo&rGK6oZiifX3ZtL$V)V!I!Vt-jPFu$lB z3&398>*?;gQUMD@Q-lOS#zw@?H}KVM7YxZ^e7V=#!g>FSkxd#0kqM(~h zaa3~CNsD)BIz8yi-F3_Mz&52&O(6SD!`&gS!4#|x1!&2CK8F5}I_g`k!T^s_ezCvj zu`GTmYtKZoosjMOWnMMYb}4GNW4f>Nh5R}e_XeYrxd8_9Q9l7owN!uSbE+t1#rnGj z>()*i^6q_GS;n^$HQEd*wrtG~p3+NlW{Ug#9o~q-96Zg>N!Fo9Up~Ai9*U%l@_JqM z_u;hr`E(H3ZxvA--QAK*=!0L`LS=xI4Qb~}IG}N0Xtr?GagqTSzTyE+5gRAXlza99 zPEp=sz}a?iiQ=~N?vb`lo`yQ7(CENH1*l%Q#mKOl_crnG?nvBL3@KN(75gI~Ct=O` zc_}ejr8+`I-O{l2o9gUrMwun~Kt0keEE~bCv@eiznHFDX*6e4ZTY#L+=9LOS2hDV1ysNyo!Nti({|LlRLnfo9uwleuz5yQm5%;Or&b{0%f-MuTvJh40VNd|qfVg;Wri5$%re`UZdVkKJ~d3mWEgQjR`iup2jB9Z6K z=tyDh$>>9T1O~$8|6nn{%U1=yTYC7`sN1gc(5?uUP()!7QP|BMV}_QqF{c|&Go!zm zMt9=4yheC(n1K;KqsTB*NQN}5`RJe|=ARZ!ssJr09R=31_Z4esb-vaZY5L8=fCcI3q&ME#isTytB`qIeko=St{#KSDG2A)b4? z0d@-sK;RO4P^^D`&2z53Lthhh8){s=?i zd7UD<%w3ID1ssKKCxv?-*PShya>8q)tbrEblX9E9q}d}p?JWzYnCLyM9W?mXYxF1T zCtPrO3y&2ye|Y%<>qx&{@tJ!97|}Roeb=VWNKdE3pL+16u-X-tVcCeK;Ka4W|lla&dtB*HJ?)@WG%{jlK}c03BOa@Nd$I>LQL9B03tAs`0$CXGj6B zPqx4LiryAf(%M&^KL`&CvV-wUc*D=k9dnbHAI?nMbi6K&N&9$XBpwVfI(2kdLPb}( z@s93_!B06}Ll++nRztU~gEq~wjOckYl3v?Ms#NZRTb<{(^jsX56~YIKdw}#SDF1;J zsaEam+9x~&!lN-sMh4>fXLr(L*YRw^fp59REuGAgB3|eZqpDGV_o;8yMs>3kDDCW#vYpO$>8}-`^=L4K6sXmrrTR&3-4SJ=AQ|C)E`pZzTvs zn6DUwNtnoh4#Q^<$CGJyk;ZGECD4OLW;oxRcVZneaUbyNI9ea-R8JqxKB(1 z_Dy0%u6S-UM z#^wk-%d#LkCNAqPt$~t9RBBa+vh&_3suv~uz)3*nj3Znbx6@KSvIChuolq#bcK+N^ zIr&xFqfA)(`g?@l;n|W2*r1+)R)^hM^oD8ql)xdW@zVbwR4R@GL^& zdpzo|Wb^ZB_O=ewwpL^E7Jb9rW4OM>8{AU~VoVntP6S^YK9NCEnR zHhsSdF|}8eFZ3CsC+S+F>+a`le75o(7@+4~U<7MIfdIWK6^ldsYS2!v(_9+Op`6iw zy$Ea!+?uMCEc$ehy0F!bh;MCj_+ny=P|p6C@C5Wsrq4GR*~(&${5<;-vNee3qf}!e zGkHwrN*pz67Z#Im+80xCkV*H-N#!ZX|Kk5pJIwtZC)-!}{5c94;;k`M4 zmZ&Q7DWV*(7(hpXgI)f$8hPLr?HrzhPY#b&K)(k9=Cy_QIPMwWSef-ZcIw|vwIz=h5 z7q~EXXGjTzhIZc{yXhWz;W2nvOQKh>j=&a~E z!_-!%(C~rgOY70Iz}(O`_a_1Ef@BzzK___><3@754hLEDeE#?WB->m>S$`)vxI%*zi1}1IO0MEDCy5w2Wx9{3*Y=v@D}q z1VD(QP9xPc=5fhkFeJ$EWPscc&w`eq#X+bys317x&&xDnRJIngaX|=nxm5>MIv2#@ z7P3DfGCh+TX#g{v>iC`P*OGMqsI$WD1f|*vE~|6WT-a~XqGfVQ@|yEsLJ2$CO<|;4 zU#Pyr7^8rujGgJ{jZr%q6^o;6JMCb)x7+9pZ3fI@i`hJ0setdtawUr{89W?^X%%{e)N%a@IkglVyeSLsIS137-X$ zo!^0XY^PPi{)PiaAjDT3rKqzeu>mI3Fw9>@^lYU|N~d8dvT?hi?`PA-P}QNH4rVOX z_ei*S^X^9`0il7Aug$PU5nR*Y&ph6DK0COWWIGCJfJ?xzh5Bs0Y1o<=mY7GY9B`oA zw`}0oVDMdRwNlH7T8w{%@gmT2E(m;||6T%aOj~6XUsLy7X%fRH$Ea5QbLT73 zt>BT=jTG@08o4<;C{AOVwicb79)Y;ei_|v61R{R0b-{Ce)p=?RbIt0NoBl$t<4sgC zbHdj0pi;*wD<#4`9YKh|K{amm)P{(S{Qz%C>SRzRtGXcvLfjeUpb#2RM0>93g8q^x z+EaW<{jZ3+2W?O```qV(a0Wh!)@Y-T3$<*6fky7sKn7+bd7yRTe6B%!`WdI#r#Jr| zVMj+f23wkX7RgUTu85Rg2t*n>h^z-F`X7SHE^>i0aeh{DEz-5T$Xdlt1_0FO4rB3f zYl5rp;@V(!b&gob7{eTq(mdk@;H zI0)W(KGoKBxOg6Yqjx52pjfweN#2{Jzqx;yH!MY93vy;*1c>uO)P}AE2>1W1&z9ND z3alcxsgQ8uI$>!3ULoI;)ez4o<|!8}nII2`uv?=ddukcBE!4YnHiVRjZ9qNK+hMPp ztMdlf=T8F>f2_sW+g%t#yA^mgpU6LVoV9tK))VT^QVM=5`R&{G@lwj%rVBE$-G0TV zSjEZ2-oY`FpH$wo7_#yC2T<0{dk}jd!jP7JYP*l!ns2j`-OZ4z37vfHEb6B$t5?C{R z1F2DfD%w=}ZtKWDz@V>xN>6A$(sZ?95vMUs>vZTDls{Ucs#d$7d?3+k3VU61eBA(6 zkc5{0&ygFaEpa!PbQBXavfh2`%Hdq0dU$*|W-_?WNqe(QG1HG2-26OyahrkvyDc+R zrL)dke^x!9O0^Ic5%!^4HD(I58D5af2O8P~Lp!DPb=d5y-gP3kHuk3xvq@EFR5qe_ z9Mj`@`E*)O<(2m$;y2hCf5KE$>Fr2j@A0jIli7H%tn>n<=3yN0;LS@cMl}X)(zkR8 zE;j)eBAal7hOb%g93t_(8w{Z(R)H|nopyIfQz;*be}yJ2>WuD!Z+|0nf#P`lSGP2o zq{!mkM)`)2aBlL6T6bXgskU^6>@jubB8uhUi4|&GzW>P~(Up%+%#SUngcB@o`+2T5 z$V=&2Knt~6d@KS(`}j@|)BXj{Eg?-0$G3mlHgBt9v03d_|NK<7FXHQEtXsp^WHVo& zypxZ53VF=G?Xt z_0%`gT?oK*HpWfhWWXYRiG>@5h@1{}RSz6PdS4&;&6=lsUui{}KC{oG>Ae{L&hQ3odK2VV!fZuXKPR9eRY)@5A z+-!my-oEMf6vmUEZMyxqS~y;0OUu}N9Luvz zSidpiZU(()oOrt-RDm~LVM000-oZgQPE0ajmDTv%)Ax=%3HZOpDg>t$}k{QwzmJR4+l?dt9am?%Ur>T|Ddi2Z3+vlT`do8Y@dl~R$4{JOm>w0KGG>{E-b=#rUZ14d^VFG zd9%etoo`OE?23K7(kky~@L~-Tpy?%e82h+w6#+sLN0+8JMIfvHI zKYfX+lF>HaftHc$;M?NqIR2OVYC=SQK6-WqY>1wAuF!+*JF)|!R%DM+s;zRBfT5*d zaW|VZCamdy1>&$cpf)2@2kI=^`Md|g9;;iD&c;jNca}ki%Xq1;uzNmZpzIc|jZ<$G z)>T?Z3Fc;t;ODXcexPd&6}c zcf^Q)3?|0SL&$;CCe`^N4&Z@wj{!M;{5|vy!stO`>cBeuttR;|k2-Fv?njUzw*kb> z~cmUC_ffx2EO9gVXHIR8fYiWwP zgE52^w@N}vZEgd*W)=9vU!E!4Jc;%bUB-m>S`7I6cz#8h9w!S4T6jXu#y~maom`y2 zZbQbZk3_c$Eo)@H@w|`eWAz4_0Ae{f#11GEs}M1}&Ccgo&>Yq|3;>n!E&$gUsG7~j zA#AuPZgfiGXU$>*4>W$O~sSqHEzm3x<3Tw2|!+zEo^K$$2}X-VY5JCI_b)Z{t&wsL|GXYx9go zJE#&y(1LnA_c^N#n@bhSdVg?uFnx@qXm4#bD_Sn8BqyP&oBt~m?K6^&Tk>GvmCaEj zj~JQg<{s4g`dx}to#utvDY;$i*6#)4Bkc2y+&f0G>F!0F=bma|TW6w3mcnotpV?Cj z&T(QH)1`LxqOAQWN1C)S9je1K%PYLscAu-3?5Lp1M2NF(&^ckK0n>N@19*ejDtWpU z0bQZma@P)X6|-E*AodO=xT>nbV{@wTIHEGo5IaDed5>a;USWx>AVk~Fq(cOt>3A+0vdIX2>dS%AAZMr38&@$oQ@VEpM z%TIesICw8HW~4X*sGwKiJTXjFB4f6H&NNS=d;7G4bx-KWIGOhUJ@GE?<%T2(*z^+^fI`(lVivNn6B>|CG6z zY-!T{py1piRDSy1@oMr3Fp5(&E*uXv_*~r>>wIEnX^>}nfpn31i64=D9jM_7rh#AY zSXpq`Yfz9%0t5P=Q(bVtEE!IU-PM942^s!JxHQD}NG`{hkCiQv>@pheUXJ8lFO^N* zB;Y+h3BS{UZEI;qZ!xnekd`_Pq>%GYcki3ET0GXa!rXS1vL#4&KL|^9lGWbbT8mPL z3&l^g)l6V)>JErPhd&Yu{|`~<6-O$S>_DXSm+_{-LWU}(E!R*O=mB`A2#b=%OULfB z|F+W79Q!$kppR~~4z~>xak|OmJtU`Y#xS%`5@5xOVH>>K9tzJ%(M2{#4^HOHQkxfe z5BQ>nz0My}G8D=@r7&>NjeOb}8r)%6%63jf{FA<1IdVbcL<_BA_@pF!fZWOXgA(>Q z3*w$~XMPCRb!ub0NKhwrDS6G&|FKhw7pH`NfDNnE{219VGVjzkym(?g3Nps;&<#cL zgfbdHHvZ&li;9(}7vuRd_x+WN!DANv4(AQ-kVj8{x0Bg6qJx5@P6o5$RhJe7?BUTQ z40vR9bV%EXHFc)s{TkH>DBmOaKR;xqv~qmndJcqY)u|mINqL4r#@{>c(MJjFRP>rx z%&*Sw*)tG+ zV-Qe~*8cjx#GMVe=$pq@BO5ftzCyI;%C_EO6ye_sTA;lj`UzgklLn!H1)ta1;3Q2ai1#j{PDMp zNr`;?0YFs)+qqhbuw%?C;;Ih&$FpP9?@fiWr>2$^`b2_I20!PwFJhA<)G0WT*jdDf zYv3%VIpOw%K=w8G=oUiOc5CxCDk}#!u!~LymNDD=Y`b#EaD+R;nuFJ?_SM~CH+F{& z{`mDpk(Ns9%B_PyYeh$PZZ>HaLKQ^sd+~*G#(B%-*jfMaaXfBAoTAC1w-y(B7<@3iNFm!^xT&I3=^r(2q~%cEmwZrBuM9<50A=Pi za$?XwhY@doP6c)z>i9nG8le^JtUp0|JAyJbl6nY5RxOU8 zmk~+xzwP=M+@;-zNrT#RN5nIf%j)6R-AX@m1M}(Cz6Ua&QSz&Ha&Q&YIe#xHg6^A5 zXB_s-9LSckJBn^q(zL{02;`+CC3?FgSKlOQ_UEahEN}i|3FCf!O-9RDq!-LV)Jpzu z z5l#|_kKX9D(l_iQnas75t+WsW7&8#gYwQ{9c$0q187R0V>;tJjJRxTbrLz@0?343- z^yFt4tXd%ssy6kWhfyO=DTPizF`aL3+BC!1Xf+PJ&x@1<0S$}J5+ zEfzqOfopgnTR;Ho;J13;sH|4$BCmW;52cd4%AJ;h#@{ZNwG_9FT%LVhy(-U_p0xu) z?~3;(!a%e-m>st-Ozb@oLs1GdL7DftB?6@|QqGc;dvoxgzrFmx`ga+~<8>b8ma@$-pXY*g26kHEq-Vv{&4*!CMx3bCPRW2r$Cj%;QL zEs<8IOJ{M6bYpimT}g3qmw z5099#Ut?4+w*6O>lwOQs+4{S-fc4K+)_Du52yAkUmDdAK*>Z^FjOrcV;^&g*12hSq z>S|r2N*db;;6eg7bNs{uj|U+>ENw{6bx{Po&Ie#tAJl%GlYs2D#{f;4-(w_EFWw@M zTS%_#A85X?#DW3iD4f=lcxQp)BCs* zzn+4ZLI7%`ubwX}72$NA*-`O^8)nDG4c~wq@83yLojpWNoQ6qKdAbgpy0iH!fZ;eJ z!kG^QPZ5qlqdou(`2tStt@BW+p>FYx&}kpU@i8@CYvPVNZ*S&c#>vFqqxdh1m1PU^ z#E<6Rv+4D+h#}1BHEc9e&f*4=?+cN_+p zQ>&yqjpANkk%E**7)AewKVaN8p)hGyID|StyK{&fMuJjTwWhYT%m_1HTi7fYU71%! z8Z;yl846Xtk0B48t4~AkQh%_um2S(TM`Be)P|q>K3!5@d#=B~ik4~YI+(cYyG^Svi z9+&LCn}~~V2!ZbWER%hoA7O~Bd|t)^oYHtjrnU4+bt~@T-d{t=*ps|x5_VqhMq9W< zZp340ojBt4g@V6B8px4pB5)r#N9GfV0BlO7aVvvXmzq(oDY;gIJLk8|l8!Y2#MPfx zOSV0@K8jtk?KxBdvy_)Qq07Sco$w#@$ALp}J*;4Q<@q6@V| zS)*rFTUZh56b98pJF%jsealK;3w+~ZkmP7c{h|^(Ulu=uI_Kgy^+76>CiE9)d}D_E zLWIE~sYD$VZ;;$ohENKb{ZFITM9gQfbEP}`b*e_dQF-G|1y35+4#Ss*k#LS z`Lh&iD;Np22@7LOM1s>y(Z*unHi2cNUfdO^J?n2f_LuC5)7RO%xj>OP!I_zX9;=ck zE!6LZM^zVlS}Ea{VcR-gA9yW1UXsB815>+~eSQu`E#mejZM!Epf^jFKc=LKOAu7G{BXYw;b+3C+@a>G6x%H;F;j#Dn$iok|}Z#i-!nm z{d>yV^hC2mu>UK>2(7tQ)cv+%xNCo6iGJI8w7jheD+CqfT#(nFLg%+&ga*~S{|+2Mm^US?FBgO8@dNim7a(654slE0)@&#BBt9uG&QX5}K5SPe zrz?Sbed1kfKb>KlSA45?9(M7~?)`lX;vmbYk^Vpt_}KZsambc;U%kiTQ3n%Ir3ec~6mi*!&#~vCs;G@B~W8cV;tFiJ#r~E5uEz_1~pqD0mKS)-LN^G>p7MrQc zBtkTV!bwx6MgHN>A5_fyt?JGQw_zUg&2uw`Dn@e-0_P1m5POH)UT+RBK4!g97B)cn z2gJsmwV$KQA$D~!ABh{KQP3=3SUn}9_qk(Zj^w+R4{{HpPe@d3fLR4+MyD!Vv`8hn zSkcmeae#iMcxC5qGmb4AL`x}=h+5iFl4;-I8FO?N2}43ohjnp>29YH-0rtlTs}XDo zw-ZcglFmWQg{@ST0m63TnBBu$I0$}N3jWEDzr6a8r%X2eB1UC$wf}EHhU5UxS3G;o z#ob@Sb?_4TLwFmU1rwu}vz}lQr{`WJC%SW$YVJ~$U6u|C$pP{jT7l`=B+xWKfSr?5 zsL*gs-SUE(z5E(}o6QPhD-8}s=_>pQOjCrm9SXtqB$*Y}b=6+4w36P>0&2)lBS_9A zSA0;{pZP6U`_{Hn#F=(tF&Ot$Y(4`P(gsFh%R_J{o$_6*z%XckGg|g$Ne(=hE@GXfRwi)--$^J&2@VSB4-OS?5 zg1&S!sbjMBMjbi~+&$#CsGvgd{3INpZ>^(0`jo?FwalHNs1mAtVdgfEq!Zq5gxU(p z7=LzC=m1QA=_#hyALQUtXg$M(cuQd_c%e_2!HoKllBN+lE#!_cx6g&Ij|q9R|XUwz~xK`MMKtx!toeF^5j z$FyNHGS%o;e2tsnYPNaVzQL)$D*Ah40AFUxt$+=jmM&#PmowOLCuvJrc6poeRB;ny5zR<3`OkuND)4^Xs z$(v3!?fTV!ilRf09nU$!q4)1|seF`ax7tAWh5p__2l87Eq@w0423YBpVHhs3iH@faHl?qk2g0;B8(H^!2f1ep_!|t9htn`RuJTYVlj+*+AM_y zK~g7pJ14&D z8cmc4MBGr&j}1w+HT%zqC=|mvCn`7H9S?j24f2Ov18Sm2FNmKWr%BoZx-QKB@eEOosy9OLE=Bua;{nxxT#-0PHzB4NN?Q%n~GfaVV)?d z0On?7ZWk#9mu8*p+*O4at8ie>4Ld*6?%7cVY5-ypKw%&}8S7p@1YpUYX`9Op#!S9V zpst~qSM>m-z80F_2`8RK)l2EZ8%bAQQLtUBPIMTfyD)IO@s{xE{c2r#?Qp^;xy~U3 zy|6Jz-Qva?FEgQ!b9I46VT$azE;G?$vfsz3gzWFcHa8Qyy^mw>_`DITm(+#6?Rt|x; zy&V4MX<64Occ{y&n^1uS#7f+Fw38aQ-wtgn-x3Y>U7Gq#nA(-PSdET*Rgv?DdZ;4K z4|A0-oAN#_BjB$Q2NV_xSe||YUL$tdEDOvb&$a1#%J!HdFu6<1r2%Z9H9Z98sO(ud z(BYjIe^qg*9zTzQ|Mc;CzRwF$b1%lQ{MWf%$c5?DM98GG^SQ!u>+#8D=9xS|$QyD* zNgD7G|87bij2{iHy8HJ8d~>)+8h`o22VdhlL}2JLX)L@cTIy;6N6lr~8TZu(kVI8i zRBXIQbTJ*i#E>f#t6=?yfq3gQ9!i(n36r00y=@Ln@t_%RB)wd?XH*ez^3{%t9o(Bj z%UYd&r;PB4!1wbA!69zkj9bi;xT^+1RQ6!v&LH7qchyg+vwym~Ah~5Tag+`J1dEgU z1pKy4?8-F79|-6kEsE{Frn(yz?UgF_K9FjBP!l(D?8Ub22A4C>If%BIHpnO#h&7C= z!(5sOV!QBYY7+3^i7Xb0P{s-7OMSi_D_Ec5eB*f4d1fxQFidYfsAY6Duo*uK{b)uc z2U1%@E#Y37f%1G^oK08t))%}8Oy*RDK4^M1>|~XUdgyw$my%JRG%PKFrjk_{YYtui z;=18`)5DvFXoU3?*ed_FrR5@X!5@*Z9)XZ_OE79bNYMsga#RXAS6{KoM}$l6Upe%K zyeRz5e{Q!Jml_GDV^%EBqtIv@YEHOb1MYjL@D;rn>w2)=N*i9-O5Rd_m}~g8lJxmL zb*&{n#69v>7XT+hYGSPERw4x)rW1(b#@mMzc#lr1CyudRm@_&(gL)Dr!AG8g=VB{5 zr%rXLjLYfuol{d~U$Jbd%=lVE1dN*0DZ4Y3PzFwxR=ou7b9#iA0IyX5K@7XmXLq3g zjKsXEMF9D(=Mv&rjxJHsulp>drCPz1>^w0iMfXL5gNeitf)-I>%(3~`^k?7pubuf1 zvWDx-FQ@v~Ap3me{{>9@gTh5V=M&*i&TU~P7vir&!(xRR6nJrA^MDs1kHw4NO1#VZ z$r`eI*jP=Re+h0sLzJW+Lp%rom!35Qu4jm>NBovc?u{HsYdl+~NL?=P8oUKPafKC> zJe0!Bj)mSUHzTZQ509!-VTFW_Pb2qxMPYnn)MqZLLE^K2Rbx(Un3>L}!WL?{z2xIm zlr1I&$O!iQjEeVj-}hpO1}GfjHA>&2Q$f1#)(%-G74;qRALW@c>@a?2m5bmJL0z8I zzMVw~x~AHGG)(KZD?(bKJ5itFHcqGFzc(^sKY)YBekR2S>;o5sn%K>?N@iOIMdCA| zY^a8$-uUf6-2+ftXY?4Ud%ylg4jz!07tN8$=P}XcLx^=@tAU`1^qni#8KKOQV4E*W z!vp6|1Jl*4Ph-eI-IRqumz>rvhcmZ~dCj`Wv+rF9_7*6k)&xLb%4#hlu^Z;jlaQZ0 zj85KNDs=#!AEGr<0CK3jfwOL+XKU}{LmX8YO9nd(pQUR%zS{-^w~STLtJ4Gd?0Gnh zA}zF~B1PHhaAcbQlL{5p;+>qT<>cz>l_=P;`esH=*XFpuo=9da)wD?qK=(I` z*2x<4Sw9Tsziz%^VZ%?bx}FXY9|ZZw89x zhstqIGl1_S5AifCShLEhiW&Wt6y$fzl!9JxNY%$@v$|W0^I?G2fRB9PA~W)$B`zqw zw-8N?cT5X84`qPu-ms_&!D?d^Rrp!Uq|XoO&gaYnm78kS+r$cN0ou&g6+G+0{k`2y z{VkfhS_7QleO^oz!H%XOD6TulE*W;Lq8x^yKCykjrw~n_Vckd=aM80+6u-NSX}vR| zTlM*f$6gTSI~bk-Q#psRh{o6;>;zRLV`;*a5Qb;YNMZONSOG+urTw|o=&j=Mz}0jCO4?I7^e9-C?ClPPTY&7bQV`|bZIb=(fQxZxa6*-qYSt+ApjF{#gCb@31GVF76E0kUDg zZF8tBoWnewXoo?oErIr#P`p)CRkaQF>*ozEV&Y-OM`hevuqq@Fz7;XJFg7ZWS_`N~ znJk-}xs5>_T`b|5v?Ns8oqxtPvYW!rIxxMyeDOz#c$xBydqr6_c`Iz_Cox+|QWwq* z=s092V@To({{5MHa$qq$cdEw66kJlxn2PYs-B2D()Kft#o=zV$UOW;ql5*iCojrOg(6(e-|_XDY+apVDI#1 zKd;tq_Oeg`TG^3(C?Ml`KCcdw_StGAO%$FZYDJEHK{7oqhPv^E3kv{+h%i8O@A=sr zSNwhD?9^hhD%l}SbDCVO$?)o4$gKiwyrNy0vefbh$X^%YL<-L>#X^QgM+I$V>_D^& z?Gd!9^s+N3k70>bl$&fa&)<|!TKD;~_7k=qJg~;R&zJ4mg#PJ^Nn{Mv?h$J%_1A>m zAUqotwYB>_KCWT-vQ?gdu`I$kj%rQ9gb%%LlZY7;BJXjL2wIMDh zH2$Mtj$vgPI&47B{u_0S3+M^752=j+ejCgg}g;E>Nr(Mo* z10X<79>mcX4y;{?wnV!HtJG#Bww!npg~V{eKX~2M2S|Bq24*c`x%mJ^vp{E{9-IY) zL~mx2!F2nbp@7r{bOG1`2-m7D`q!D>B0#_Qk%GC3l*+>R8wO)WpqT#~T?IiJX!GCSZlmBwaaw8VA~0o07B zgr7x6lM#7TqIVgljq zVBkDO4m{FgA^ap=_kdRSrB3<+(OahR6w-)!(5F@>GfL3CSoZ=&$!cA#$2k?l{?0*W z9=&+pc8v=xgo6lEwosX=0n>^c)~tpf4Zj^P;VD8=ibl2qrrJ+GK-5Oc_){|uHxf4N zhOEm%_0?XecSlt`Ez8xo+XO;mh{v1(u~0wx5X<#QJ)t860k3_`PQ2f=k0!`Lvm9b> z5`ft6LvwSV9neQ&3))#5K=7HkVjRO$+%b(u_^5|i7V+B+8sLbuwu= zUfCI>-j=>Vz|iW);<}_{KHZ_t%M(1sLf}is`u3pYac!j5lM6;T%;-(XZ!+mJds+!o z4^>(&|Ce&Kibow@xA*XpWl>CTuoKAoPikID!c!iQ!c?2 zeP_BOI>tp1TG}qI4ZCtF+Ms$dAdijVD?tHc)G5`id4dsvic=u7K~CkLTAEW>iDRzVB2AC$8Yxel%<9d)wkeu@QqoW3u9gfEF75}yI0})T5Bt5lc zUr%PdyPP}_-*e0WS|=}9T(v8G=yyAs&R;QeCC}YPXn%^--kUc~=#ESpXMt^ArRjK^ zk^MCIGvO+B8j>Iz3`E(n7P+{_3fB*0GSZypAILIpFY+aX3+SvI?Ob%K!v5}J4eA|- z%H}2GICII@q{+9o%Ur!s$NH~~j_UWu<7Umn=AzaOl$j03>n{^dINT1Os@L`9>n ztUOd&gFBPYZX7_&?pzQn{ioZ%`@WPcKG8Sm$n`!}2yDOD~5GL+WLy=H%Eu?>T>}CRMjR)|a&5>$tYgNZR;?SoFi1ffU zFdch0Y9)#ER|9NqcQubRqbKP6;jn}muJKCUGGper9Z}mR`^Qb-mh`$B*KeKKd&97c zRFPgkrc!EpfquHH^Gn=|hzhG^y?^Y_Y~IYyhzvS}rv;6%IN!W}&jRVeEhX{a6WU5J zba#AdHM68qs12G;uX%KzE1E0f8GbGC1T7anoR zZ&1F-(XSftuy)IYaOG|Cv=V{6OBk}(V~k7JG}p7mFB*}u~Ntqr6d#C@6l@fjc0DNRj% zFkp!Pk|K#IGuMJ03>v+BMV(D9k?cx9%d@OxCR zP(Q^GbMd1gjVYZ^Wb+nj#}PW{FMzi#c8gS^uR$ch=-;;#(T{S&3ueltIglleVCCOEAp&?K<4(u=#-df=WFzJXo`Y%h42vdckK(;%Ms>H z!_>Ob^h`@@UR&h8l*A6(&GLFW#h}`atl3$L#aAc6NK+v|Wt8GL)ml5WV;W811Q<(` z{WJ}&yrhVu-r?}l)Gb!-3FuFD*c78Z9OVHjcZnJz4{KN99i?VKIu_|JD(=D&sZgd! z31o~ltuXxJ;H9+sObRi2XaE0gEAOHMO}Eq3hh=7Ln(M$1=?L1yFH&mg9DWBK0D6wa zK>K9QL~;loBnv$Vd*RitJe*7qa5A8T2`W@Hr8sEhP!RtZyC?8kNxINqE~LZu{D(Bo ze6`2X=D}Qp4?3z^|IJ$j=!Dild75QmhJ-I?ARfT#L)3g+8v0nh2rfs``~-pxGg|2= zO?ldH=fGQ$b-4KNy$eM8-lz6`kf{s=BGk!K=r+1{qOO+mCE?mt#EV-ok(LXbt52d+ z8*>@&aaTT+`pP$HDJ{slb6tVNYlUcZD;R}L-9zBaWWPUAEi1pd1-4ywSHa193%-$x z%yGF?UK}$HkHaPOz=rsEG_XJKF!Ar{?YXieRVnDWkwhSZK-vFj>eA&)(1BH)4YLAN z;#kCA%K7xNEyA@Ma1eXm+UW)_{n43`;a0SXx=C}C5S2X%O7nZ<8CID2W_D^uM7Zpr zYOpLYEu{(z-L58)65x4enc?qN=_rV~5GaPc6^{!@=3h}%G640{YF#rASo*B|nZMyn z-+C9kU;=!ZTF|Bnag5IuFviPyjx=y(aufRsu^K)sAK79Az-<7WL`L1eshCyEAp0&4 zIlP@L!(fiQzdYIkf*5s$srlUZJ@apLCuU|x0_$0M*XQD9L^-k(B#2*>h6%*fq5b3hLd^F8eEMIz%HrHuGav@~9=MiTTaWK}G)HA!l{AWh+L|SIu3TD;4{}<`EyAlv-#n7W@%Lw7W0$i(3e~ z*)&}J;yJ!ZPrCpC;$4>!V-O5?t^HJ&qD~NmY7=avwAa1>%~8*eOw`5t6;cylp&a{m zl3)$kh~J<#fSaMjFOoF9U`O~kbZ+F%p>QK|{@(0-##}78d4hc=-s!1_-21(0WxiLa zZ;cgJ@ny)E)6;>O2{?~dQgPSTG)BbtXLECAfqB)NkNrk2IC8@H`}Dr(%P8!A(muqy zE&83`SaJ@x6Ow#f5aNiy}h74=X1Ej1g4BNnQbVd z9{EJrKDg|`J+8KDrHlGGV&IU+rrtv5_l2+Phbc#p8vB48BRXQD9!Wz^`$?dHp?Cy%++ zxZBWZAdzS9jmGqT#Fu(M0t`LpdJ(o-`srVLoD%rpMMw#q)fl@pn^G7v!=2ymU z>cuCe4y!B7&CK!IGyY`fNFdgb3fWYV=M%a`t~!>yZgpH+`z>)qy#67Jn`6i=iCBc5 z15ph{p*e7qU99F}ppRJx7$tRP6AOQhVhzE@xQ(36#`Sk(MKzj~K@zU|C!MHbj?C&7 zfN8DI1GtnjYu}$t&@LV@&AWlj3-m+m_-p0YR!7HO0OLBY%@uQ`-p-5^&YCtPAdjFA zc~zY0D!XUBmy}L_X#eeG)=X-(9Pe*kp+4?eVy4cfxXoUdU@T$#f+(-flI7+|aD8G` z2=UB)1z1(h_BSO+cS|GPap>-D2|=X0K~lPr7DO5Zq(f2~rIAolx}-&=LE_s7UKQj0 z-}nCS{hsf8&a=;+y=TqZGqZlPX00`I&SqQ7%KS>9D?Wunlw#f59R27d7D;8KIh;06 zXEzNq2+o`>;X;OV6sI)tD0P|)^B!qDX)q_j$;urA+!bgEs5itYY;>dOCCQu&g2^-4 zIv7Q7S=V2KW(~F~hwgRdE3hv)-D=;jx=`*bHnW3gJIiga9jgP;-CIwIQiKUqXR~IV zwy-IBIl5{Z@quxWD8bj_eUzbf+&Ug{@%Ag@n-AvkH_yxPV9@h#K0byVcJ68|sxx_S zHJ{s%0qGXf$c^U~YjE5q_1NvE-UaJ^XCX-&gOOgUS%t@X-lks7R@j%jJL<1*?2P9t zD#Uy)Kg+3NLWq>dfJGk)@$$NV45z=bU_9Gt@2MA$lHMxOEZGPJMC(v-OhFU0Xq~w$>u}l2~Qa2bE;rV^r z8N`#e$y^?~b>*GKuzifh&O!|}e1Qbr1iC37ijI?Ni`fS2H+_eCT0bIttjnpHwxwS} zOcrmUQ*Jl$!;JP(7STP{Q3Eut-abD=bAb?d)LVQXd+D`>%b+6a3{>O^vp)&)B)YW= z#M%?gm!Y)gILkPbzH8GfbJH*;*r{2ZeEno6HL19F2nuD*Twpn37_sc6hN3#~)-28p z-5dUvL+-u!$EWInh21(F(eFR1tk)L5CQGd!Y`Cy%5(xVVVeo}c8HdCRAKVQJt)}v%Ar;JY7{ua6 zLQ6K(sR}UB*P$b9no?J1$NQLx!X?LQ^fw8HQl$zL4DORh^13Rgj3N945{gGC;O>EdxZ#Nj27zP^Af*$>l zwm_sq^5#zD5S2hqZwO7OcuClgw)iZ|&1D(S(K)uFh6NsB++tBbFMh^A)MH7~0vfCz z^^qK_ii~|8wtF^@ca*@oi(+WGFigu1?H#TaPD9+%W57-R79-!pCpouErZ;B=qpaUz zkfsLPmt17lkWaF}Hn&a3qCMK?o+De3o)Aw4lyhU&qj^i-qgJHlN5jHwO|zWm_h^?) zvr8i9jHtbVKZR=I{EQ(Y=)Ktl>3&}^v`9@6$1j<+%2c>y76KHPPV;)V7r%scDP8Gp z4^p=dlyv3lwu*i{Q4>Q@*nK7Zq3T3bQ`b#b#W6-}30}J3&DkLMg!+?2hTQcbI171I zm3(~8Mn5E6U5dO$Nx_$UEER$nPN&uRA%P`PJe# zE7Eb;Ry?=T=SOgHwkb*sf->g4D43#T`eK9j@nvA4FPWXw=@Y*ji8?iY=X~V+%^8s+ zz>j(ulI3}Bnr0s&@%tIz=dVuhx@)4AMDl#wwM>7KGJA4MGCny0YDgCP z`B_8et2J@h>To8d#PXHa`F{FWvZDtYfr!1u$my<0_m@99=Ns#FkL$eT8@@fy1Bq?r zA=Mrm*XY%oyQj0grx&2rOx%K-J=S)#hoDWS$8rbmX8j!!{)=I(aTbnsS}H1vvm5=S z$qBJ9Azw!+n}86A8mkga#Myj>3tzO^<iU@RtlY1@GBf6hN)Z?4^5$8X=jtB{7`l zcyc$xop4KMuey^`Z1ABkFo^bw(^hH1G~u>~y&6A-fLE@4RQFnS9L6mlby$TBF@EQ~ z1kzeFo;bYBDtYWC`{OTT8CY-Q_bBgc7#uWpAb&+=Qb(V0=ZuS%8++bAU#Pg8^wAeC zXZ*Ij%k##-bRykDEt)MFW6yih@h8^bmrE$QQ<1_E}JNICw^u8qu>b2=?f&i8p<6tYGycff=w3LL{Sc-%I^M@!9OMH%Hyl{ywE)61YcY_OgJWaWGc-g6YB_vew>BoQ`}&^<&kb8gq-|+!HX03fQ!_2 z5%g!I_3UC?%}_L{dP4;{it`&m4b3QO%=vB=0*& zIR#ENqC6>p7lL*>dHJ?oPA8XQEz-EdpyK&-OC}y@nB{5vL5jV{Y@92LxJEVVi9!A$ zx!bf zHQs%)ICQEsXR}M3|DHM1=B(9W1?hmM9=0o&a*?)s7V`$aZoOD4v9M=Jn3M@}1$|yxV&EvV)B<-!H!b!kO#o(PCC@oOlsq%UAVI)bWK(-YFAs-a3 zte%kt^va7&1ZWqJ7VG2!ox%7#lzEKreQ#$jx2!KwalUh}0PjWR={ zW!t^#O)ikl+<5y)yjqf53-8_w39=oWZtqFau*cCTL>{-J_HLK#4+i0;pZ3k0`S?y4 zz4Fob#hiph)^(MnKGJiIK+92iUvUR%YS>3tG_?r1%CtKV*D>vrF=$1(n zhJH@YhqTZSS-GuWux14u#5l<1>LVE|!(nWuGq}BlQK72mJue{R9hgS5gAcRcN_7p| zBH;^&BM?Y~^5g3nG4_<_Z+xK8^U#usk*?R@>30!N;Z!HW@J&TAgF@oXB+yr{Yzzv; z7g_XdKTd?>Ym%usKQPm4biqOM7C!|<{j6dT70A^UL8EKK3`R}n+F<>`S%{YS=8IVHNIfF zLleZ1xbJ(Hrg|NSL6Fu`RVqTHKt<4;2AkHocqM<8VKb}T-yqVa*{s*5U`H}O1aTGI z+(BCAmtN3KwqKuVzL%&P^il%$u6(AQGP}+@4y#un-`K=NUvmCJwP24x#&*HnH z?`8IMAS|ZKV)hu~=6Hi(EzWWko&7od6g1YkX11QwKqhsZbLG7j>5yuCYO`uPSNxem z1$(@wUm*9;_*4?DlN@-jfx-O@2)g{QQu57El(TK+4d3=}L?kie#q)`TLt z@#@RUK=ifG1-KWwNu(nkpj{*t=0*|wW&F_l8uoUiEzHUs&PXIoSFz#$o< z?t|`@&}+|*E_w|JYyaEH>&RaFaB(IjO-Z8zz6mT^6us(_91t9AlFW;)f z^95c*lK}H7e91)PB}FLD2VWGgu@d~zTRq6fS|d$NQY6VzA66nFQlENCcxc`_Z2H&wrNO9)eF>N*97RYj8EL4-IZo zd6cNSeAE@ncsQ}Gs21L*c3h|*HJM_?g+aG%!I7olI~(B@d{+bVxkYP2w6nC#&9$dk zdkYzg)Dc|Wq?$N~>O#BEvuqZuUlFF?F)=?r8tNk9@J5xqVF^S0;2kpDYm_tAw(9#I z1A4V*IUk1FlFlTosAwEDT6`E<=pcLeav(ZXupWd&c)YPuz5e8tQ1le_5XKE6yJsp@ z)aSe=^cYIUh;o+C?k^1>I33>-Cfszxtf)q>60CoRgBw=*c&}Ty|6(FIBf}X$f;)ye15E$CF1As}w$pm^Eh`%fqP;PmVzD zFVGi_KGtRQU$ym2#IUw`P!IxpLq6T`$&-^58-sz()pwY{-&hC6sus()5l)DsAH19O zXdxQu_6&-OJ621|!cFJ4(lUEmzkTDxg$k_%TI_CLg_T};TD8|R2l@V4vfC{TFJBf^ zX`DnJe54_|T{wB?1wWFO=K$;AOAN9G5S!&$&p4}qMd_f*y}lOX3gjB33A7aU{dmyS)+ zPZh+^{T9ZPLd~SM3hcr|c<4_TaAva&te%7oK+-%}Y_L_pW1?27Ym0=?Hu=mq`1NGz zt18hkiJ@k35y}g3(IqPvX!2o@=G(9*ADs~-dV!g-$| zbjRrBY$5go5)^q#Y{kHf);4{yfVt?1on1nk!TCoUYC3(~Z|J4dDCjF(*XSP%LPfv* zIug1-wnqrzakEK)=m`6jg?rt5F^C6Q6`#&OrQqqUTDONC^rqP;EVpiEr%+EVDymJb zEd<6ATb(yrjw%j>jvc^Cdwjt|iqB)(j_@3ZZd#pxXu7h`Mmr0|g?<$MJbMUnG_ynL z?DXxMuk=*nAJwD_#zk_sRYO)*lomIo-@!;j4s|OWc`dZ1z}}8~U974}@K9B1M46C2 z9=AF_WVTNI!C>Doa|Oq~Y!27{$D{MN2lb-Qg*y>fzTm^W7iTXP>^g6^G|IwxX^llc zidQ=n3v62COhaeia^<_>>YS>hOU)uX!pq&2Gdv$E2|2Ou;ZpiiduCSZ!H7NK=aFJm zX@a7U_(iv*vnbGm6+}Swh7)BVzxQdV>>ZgQg<=6JGPkF0*kNLwFTq4ykSd*=XO+gb zwcI}m^N4-}r~X0SkX$9kQ9$}mm}ib4foY6SHAL<{GOmuFaHTr&^K}DwhM}sPkyEGW zQEok1A3lB5+4ACxh7q6ld;WntF+0AtX~K4q{MFcbfr?H9w!K%0UbMe#&3T4Vs^?tD zC#JN}2T_o46b9bebN3{kCt>1N>Ly2pAOc$s3RLlDRr%b3Bd!hS*QVbcn#LG}UM?H&L$&EbZ0g z!XXF8$X)Pi@kc4T*S6#1D)r1yJc!SMa_TQNI?d&VV*I*iCtSTTGsge%?1`ee^+A*r z!~3lpyGSZ1IMOWVbr{YLj7t8VmSln$I+Z$$LCm)oWafs>#=k(n)q^`H>-4YK(^kp< zdhk+NmwL=SmzkdA^oG)tyYu3DGadF?T|q85yj;FZo(DUqSvd0distpp){%#?S>>!N zq=o9f5Cx)0Qdei+2(qvd$COIyS$*QJQ?CU4ZKZ_^<|{cp{<)Twg24J0vPi>pU2h_u z4FoGUFU~!!e)$&IuK7ycbhe#+&3b?O^|Hi$Jol^9EwRkorOVlWiFK7)zhPLAb zdXKYiTk2(wkq<6{o;j?}ZSe4<=*CKG4aG2had^=9k}wS8y~GkDCtN6KfK!6JjNACw zO|gLYNrsm(E`#tZ)3)qbGKyljgr3i2Ew)E5Og05>p5h1(8mW#x>MDRu?lp289^x*P z>Gjcy5AfTPezII;cIQKsH&(c!q%~T6E_C&M+^pxZ^f=K~CR?M9r+ZwV$Q0bD3cM$s zeHIM}TGARsWsgjPZHQ?^LOydhd%#Ub`W%GrCbRMgKu54^7n#g7hhw$9w`cPgv(S5> zM)`DfTcLVHIOHzvt-)7>(3n|FOXycP65)<})H@$L<1!}LK~Bbea`sF~?dx2W~I)457(-A?jRSqTP z&enD}1$ypufyg@__qSOwPvU&m7;x?&uYcsNXbF9!D~6r_ftK48Z%E^@RZt@RF{B2R ztPhMZDN<2txu_qO=6#ou+Z3s9X7>ux(b*b}`Nrz1S7UZbXdT`OVTp8XSqdtZK+^V^ zNLUfM*)hrX6I&s!KSfL|;zz&33w=ni%k{Y_j_FWgg)BEFCNs(pqdsG$uCP?6c+K(F z5aekT-6`Kx}`+yhT7_tneFK`GUyx%iTYTHv;ZHlA!H_i6X1qN{zuS5jvvNcXg7liyE-K?|%Y<&06!<6*0D^U>mb z@EJ;qwXwdLlwSS4q=e}W*pLnVIU_8%2Fe8bipiZP&SkDBWfY(J(&V4wna+juilR+w zl?iB!!SZ%-yYKcn622CdJ2q#F8F?lgt&KS3yd)DOr{i@B&rh|XZk#Y|R&Yjo$9WJf z$I)i&KH4t9>sL?-sK%Qe@4p~bD@nom9g?>{XbUPNk<2a08S)pdJYss=T`@${gx~dPVQBtvIY&J2YRqdI{qs}?xvDtWeur#gj6S5$= zR>5Pe6H@_ya0-Eb=M9XNc}Br=$gukHRA>5ZPy1_LcmZM}(r%1JcYaJx=S+1Z_ZPY&|{<5b?)9@-?`FYs>@9do#NvRLK}J-MxP z_u=BW0{bk&J#AkVr`+EO>>84d5Po8Ab4r7{ zzvX`u59Y%wIVbtkF0p$k5joxFcW=o(t`;4LK7I5Q&!M3B^aEm661$hsJ62nMofqvd z(PYqeQ$}6V`~>Y-;#)WiCp#OakEtAD8QENB(4rd;p)R^G;{1Z^ zYad2NCQ#IcLBmSwayYa{`K^6j;mk+F*m*P(#)8Id8?x3UE-pB-Uh7DAWc%nvX&}Ff zUGeLCEG%(lw4~k>!&9_owvcZS-A_^~;d~#`=BU_(hJ6|!c^{V@BGC}YV+^)p7RBwUJnvb9B z24f0GyfK|MPap|wo)+XtE?M?{Q+r~^A!5_4LLN;9P3YW0hpwV*Ps~0$uk5)fTtu!r z(6MOC1hn*b8Zf?`G?uXsP|D_lLcD85Q6UbuVSHh8{WR}!D|BLfO6G~Z3<<)J zm9%+be^&Wvt7JwyBqVSJg)y-pjh)(#`g2KHCpf zp(h1T*xs{?_4lMJoGifL5s#iC4qL`eK_=2O$b~RNbntWMxRNFGWF5IR6;M$r^Ts6L z8{Zo-hv7E)06#4kK!l0Are<(QSX+@MYf76S)CMW`0;fABBn3r_CCE`UAGXzi*aidF zYR#+e)m;u+GlLuATC*RL$at(n*!iX`P6%mQEkDxY>+;)SF?4)ILqDc917ib!e+1@CXr4T#13tg(2C++sy z9@s4$u*pQbf5g!=lVHfToEtw-DRk~NWn?);auQ!7-ZRzgfF2&>V)cUjxnl1_o}81p zC{$@_LMPL%u#VPU%DefPj=YvD679mK+*pXPCm~o#!(Yz3GnT^6pH>zUq~10}&Qs~B zgfu!ci{Zt+)jB-;KxU*b_S3_S(>EtUD5kC0cJ09LlBGX}KNPG=J9qU@bvTQV`8c`u zT)1YMI8249anLdlh3$>7^sK_dc}B4g@xX;!uQmq;;z=yqK3^cpbYJ9fpBId?^oK{t z{`suCHJ^&JKr&Vj=U={rcZ*SqM`_4p1w|L~BR!CR4|!3!a>{Ch)6Zi#phFN7dt5|A zxCfe3XO$W^C+=1ndapUYoWV;hltComXGfnASeV;A{+zYJwJ_@))#QDwkIHbH%*BJd zwWms&ZE-zO(T~2Ixl9XY>d=>`*h%hrVpDGS)=~}6l}FtT_(~nEIvP1PdVBY?WInRr z?md$E3;Po{c}K&HK&(9UD6D#+xfgM~3h?NSeaIwQp)pEpxXSnTbx(7q5}jI?m1!Jx znbL)LDAj{?*+nN7Uci#7x{V)4Tk#*?&jgL}P*%+2=?t9%qg zypV2XRBGoy%vcep?|@++#ekHs^g|v7SoYhJ1X*PXgkLl)^f>yVppAI*{W zw75abBGfuH&XRTS=_$@)vh7T1*G^cikVwCLU07AYqxs;6>vz*N8_cAhcx^w?sg&`A zJant%@{Rh66#D|5M^bFt%f>Vu!{ens!?I4xkdK(|O(d6)z&%wokkc#Up?6;?h8}X% zo-kxhsxj3Enq#n)*fQYBS)&|3>w^zqn!3@YhJh~kNrD1?UrP)nVN>IA!$3Ji_Qr;d zYb+gXQp%|ch5wnVr)`IGRdv4`9WO}lfmhi|Pi<9%Eb248>68|P=`H0TF;(*mh(u8` zMZUODD!5KK)rnm`nsw-VTjmIsy(YVqIYY84p$RQ!F^ zwPgGv#sd!^(PR|;kW>y`rQEloo4encZ1?ndnhz25&&HI5qV-NOO6&Nm)PuzHgY!98 zSdD{>ROaY3-kVXbeL}aVH|eBduV50Ii_Sj>ic>{3dwLHI!}g zON+affZ1vdyXFVV`6a{mek3^w#?Kc0^F!dwa8Fh}qWt(v3=CD!)MfTXTO8fe-iNo_ zq>v7;G?FeHhayH2MT>~f6l9fQ=P{K%-So;TP|KOzJ5g8MRpD?UQk@}6=e6Q07zvMI z+U#y>Y5a8eiE4a=B5!tIy)Y#ES|Y-pIBcz44T3{}Wv+=~L&}3LDAMhB$XoZvPws|r zyUaMT4UY8cLdZi&zb4DAAvRf3L4ZV6K>UV6hbO?@}Zx=>*ev>#1q!;q}B0Tl$0J~y>hwf=ao}FjNU#vUeDTd$P!*+bamW$ zb*CdF>cPa!9Y5!X9uzQ8@ny{VwW;=TTHGYztSX--cTnbc8(vbL%fQuiBi9Rtgle~E zK7(UUI`Xfo(cl@e9aFM@Wn_UG{0tNml2cmN!7bvObvDnE7K^MdsK5c)jgFs!9}Kr& zX}m7*wl_{Kwe3p?f$`B}{3#NiZ1p4=gvxvz+D&vT)6HznC7ab54Ab;pzpN#k7Stum z<2w_$cr}Ch>!_gyMvvkuEvTzNvAr)%2KAWlQr1*=<{203j86NcF!V9=52KI3joww^ zFzC_`9%P=BFn(|$vMem$jboB~=qwNCb8p4DPYll5Ogwg6;~8ILl@s+#5bx&g`Y_6v zl~wnW+UCBlXg?E-Q6|wg1dx&tadNrR2m^;d+KI*S(DviS&%M5c2wq}6^TIoK%%^aX zNM5(x>Y8|^U0w+D7=q?0YlqJk}%jZxFSImI)+ahU!lS9F9&T@3oegT ze{~%5nj>Ryrq@;cI2lFF2(h+d+Kd&I)@9~}zD1ApVkFy0*h<&YcOo-0s57I^pXo10r+`^PUe)-0f zY+@cZnie6GJ^*6-YvdFcy(5v4+^V&ot$54w$0mk;T_j9=WbaV{M%e9!GhK0N#Hkq~ z>89y3^!3TH8P7RfLOGSzA|cEvdvv!`omrEU+PU2Es?~Gx2o{e=*=9o_;W|-}qN*o_ z4IO$@Y>XJWYSWW8eh8>8lDgzvAN3g*4WtC?C*k^-B8M0)-0JPx2MQ4;18)^{dLugz z;uR~~i@4Gty@33h?mupY1vqM zPTA8TS0NuU7PGDpzMK%=l`afBdkQI4w!GK@Gr%ro%F|<*--E-R(o03qDdZ|o1{3o$^6$NTB zrclNVt78j&PFES-l5~l|P=qzKc~rwE?KkejmokjTQi5D^!mG&+Bh2HZ4L=lygg>2# zWJi`FMY|PEU2t*dsCCm$MDDdg!!7DC*F&D9UEYpWV`wC(0(l&zSufkK_$KUciFdkky_R7-^JbSndxD&lq<_G67P-TwgvE=$K zay0rLQKP)=G6=jKD)SL*ki^nV=KhVY)x-4J&uVxo<wpBZO>GE?23od0=v>LY?6REuH zGom&7xm#7SKku97$pHUddV54=caHJ72~A_e{^Lqe?Hi;1WTX*)>m+Fi*o2IsCxUf% zloc`H$tRM{7gi^{H>VdFNW`;`A(@yFB1Fb=3v#Evw53uBf z6b<4@Y#Pubi8m!m7u&z1%#B5m&fyZoA`b~FS48uf{nEuc*NB)t4zt7MkG^<# z$E*5-B=+Qisl%*Uuryoic8>ik3!s7q$%(J+Lzd&{=PTZN|+ z^A0v08`PMrI*mR>qW7)Q&nhjdI3yB1WCqMnWldqY0@NpY5a?}PS3oqO*xSC5)TUA!hEXhM}DN}deY>kA9qMr1UMDdCN`1gw7D zBiY5ce6c(9SgXi$gQ_;XIxC!oPj~}DI@)>p*KuVAD@^v*lV)#*C&6R2MDbA|P~U=& z_oX|=bPy`D9AET-dHAOERDNkzfyP>6pV4RZX=XC9LsQXRfe=jmB*G`5X-RQ|H_%^x zeHl7HCEIRElF*Cj5^=E>&Fz!-vF*V8Wmc?;JOrcBK@TU-jSC7l9fpW3iSbU4Y`)J2 z@ci@M!Vzy51z;@To?LhZB#y!G`AtkNs1q^xfHcew(Ryw~Gk86C!(GCi>G3f7@k6G% zxqwkJxSH03rQ~I%ukLK`lqKNq)Zefrp=BJQT}!?JK@%WfXRaeHXf$}dIU}BTdmC?K z^?vDsBg?EC0pimlY!65a)b26~4+N$<4w&))}%RbUq)kdmIh z9pB?2E-y3Z*RJM|ElG*L^#FJ!=U2Kw(RRfEL+*3SqK_taiI&f?k6&vYI591{qqy?AhL#{k8>q z;IrA@d?o!jUlPI><69+-Y;}FDr$ccsgpDvuhF2glPN`kCM9vzf)1+%T4K)iJR->Q2 zIyE#ZfFX`gsAJS2h0KlU5$UvRZ_Rjebiy0Q0A&}?vy}%wK4l?V=d~9##AB480CU*T zN#JBYPJZgZ-Y0IZ?F_dYcJHCadBRhO*~6u?aokOYf?(I4&o9EQ*zus=;muDF6dZ9t zRFV&NxINbGU(eKcWA0NDLdnBsFs>InHtzK3fNOlMBrn7{TRyQGVRMM2nVZEU6$1HH z(`3Mbn(*uvh0BQc-BGAk{Ea?_a-8CsTJ}ays#mmacXNx3Z8$B527TwuXkMi2dJ4lo z?V^c9pVwfE(K;^k3>x7NlI5rQtjz4`vS(Bi;+MxSf>n*+rPjV!hpm%9X7GT@dO3ww zTWUjOTCEh-`1WfkvU^LiitNX?cvHW|(n0OD7>5cB?&z_~iS@s}rxbG#xEZdj{6bdw zskW`(>$uuaWr37bXu)`H(hHnVw65N#XuU*_Ln|{VX3*vO_EE%l$+pimuym8 z`81Av1H_YIyOwg_sA9Dg{bR6u)r}C&cTj@?tTOn9zU{9_-bgbF56!#bM|}O937;m3 zLvIX|VQ$Kav){di5{NO-wLC$uo3V`*=d7yGxb1T|qIaH};M%%$+^)DIJvtWN6F!PF zUewSneV|^G*+v@ml0cX5*{4XNKEEt~eC>!LpB+g0u8(B-*0+=M@Yi4HDw#D+?D4{l zMTkJ_nk}-!`_`hTlg9=G0#p{#2)j-=}L%P2<@{zVxb-huI-k>q#yhZk>~4+h%`9xcCghR=9W*%A%~5y|45u zmvAY}KoYrPSCRGwXwEapwHZ#-XAR*ARL3b09og{vhuG%|6?9rI!vQKO>v_~`k86Vm z<#*oDU{T*sL~MLIJMx@0tUVy4-JIey3lf&#y{NT0hHP%we98MYnF=SEt+}U3X4p?! znih=p9Exssu@jYlJ(ER|h<+nA{AJp2;1hR;gzlO?TM5BLGmi)(#(S5%`*JFaY5kdc zopFR}X;=ixy-If;-DZv$RLih3%Fq3bc}x85a1{Rz_VL`#Gb!O@{1m2DnZ(fKC8nJz zQrY;t0tAJR6Nr7DI*`W7PzRaNUks;x9hbW4WvNK#*mvpk&NAQ*s-jOwmgfW@%TITq z<7)j4mR_SM_-yDef-qNlsCX->mN*<3Y1TBRv&BfhI3#8)c|S{%!y54Wz_;g^(~|}9 z)UV9ceQNXMc}k~-2ZgQm!>vL+eP|T3lT{y&Pi}HDL@TqD_Kf(O)~|N5Zj9?gD!(7O z;Ca8LiEYYthm?e-$$wCsy5Mt`cygYKmqtdC1^n0x_@sRt)A^u1<3;m^C<-%koF}MR zcKDBtN&Fp|yp$fdm%TqEc+=nwD`LCy-bF-yG(8rYy3*S}Yo&=`nflGFkM7I2B>uvX zW`3nY*}ETKd@$R=ubOaiu3WgFBQr;xvVshEH+0JN1=hq=1kI>~+GbN0spk2^(c|B^ zHntZc8p%#o+2*|&ryw4FkG1DB3J|Z1mBs9EMtVbejaqhbVfL z^t`UnVFS4^XIcxws#{3!ttK)9xW~_jAmCrxar!W*cIuPxP(A*blIN92DBQOmHBMZ? zVL6nB^@QSHx6EkPDq)&s-cjJvJ8zmD%{L;X^Zp!T0~EufmJpPyw{ekZ<({w0rYgu= zW}iK?{LInMqFFvS3A`o#G!&CFnxvwdFuB0U`9i4A^JqsxJ~n#2kfZ#qpRtYj`*3{_ zXZx*$YV@O^R%#~iz{WfI2K?$qHFptG9zAZfYjr6NH(Y;`n$B#O)lFM3>pwf8%^d)- ze|*Y0ZDbu`1WV&%$-u|U&Ghzkfg)u}QZecy2Xg*126g_yhbM%q9{uiYF@CJ((}{Ly z9~z;CFh_^dksgyYtnaZcy`3NmTnKPEW!WGQ%CG;}r!rU`1I=PAN9lnt`&q^GW+q1- zlGyqNu>1RhdeRgli4UsBDBwA*da?V9<{-Rw(K{&^eod@AyQcdwj8M2fb;`&l_hwuI z#=bVb%hWuROiX(zq$B}L=E#InO<%4iH&$p^DvjB91`}U`s6km=ZL@2Iw&!m5a+#N~ zvqF`-&*ePNKbZ3M=z1>%f{CrMle43VfekoiXK0DQ&dx^4LJCgZWtI^W6E$!$F(w7^ zfN!cI=>4E#|BH&BpIObt(AnMIgp^s`(ZxjlG9_te>nv{KWaMaJ?`-D?ln0ZmA~4Gv zI5~@%8#t1(vVlmMH7$&t&7DZ^vazrL+1Eep92}(J1ALE-jfIq*>*~zG$xR9ZsQ<}v zogYwwo0Am8!2=u|K$;8S03zk&2DkxD1MvV)W91>`U<0l>xJfxd+@#zf4pJ@_Hc~ED zpe#^X;G2t;6vWO7l;XV10dwSG;RbTJF7w&A!S%iRW(VrbLdwa;3GiYi>yG$F3w9T?#mQ6EBG#OaB^HxgG;iolX7r_u1bOPSlNLZ0h|E-oUELr z96-NZeZW1WhrkS$7r66DndL;Jq?D!TMeI%7)C_E$6ik3MW*c=;p=vy1WXLg{!!3Vha zevv(J{ZHf$rU7FH-~$5pFZjdC!T}Z_aBzTO0Gz>a00=p`fi%z6!Nvo0=%u*9Vq+oY z2I#o}nkxu^*?>3!2!Mrp2?5|5py0WzESL^>>}6A~zOO?7;0rv16Q~X+@N5niuFEqg zJ5U1P0Ob6Y;s!(tPJ^F*Nez@>2fza^eOU^e2Rx4pe7=MLa35&F)dviL|ILT<5{Cc0 zBs&Q78`Pwg#lAyL)XvW8KL;9EbbkR&33%x(D=D**GO4M7wG*Id?Cig#u0Sf`<}9V= zY~T!Jsfm2cQd1yhVFE_*HSlu%;Q3FK7PtyOffvN{? z5rMJyyBhk2!0Srr`ZND~X%K+$Usaooh{SiiU8y#;{~U7Q&iyxZ8+cN<4i7e9^!}LB zxWL@lIj;o5&CLT`vGTBzva_>a9l#wEk=HP2^K>#TL1^6-Cz@slIfxDNb{-XL`>MssF;A!(O z&LDu>6&LU~2QVnWoB%w0t2Th}Z)q^a_cZwFzyTfy;4}Ey-}1hl!Ci5g|E(NA3$7pd z-nWCD9o$^-_qT@sYpj8v_r2>muj+TzWdP%U`r%>+D-aHFRRBxksuU+Mq5aeHe@_Q) z$nOvbW^YFe1MB~I&IZ@@-@rAvk=Jp}%E|sKuCKS`Ten`_``=(0T;@8*OF#kw`*!{g z#=pRM1wL>t7>d6__zE!J%Ydl>;9dvtk7t2lcLfG8C-Bv`Gq_IRH2AoB9yk}A0*~cy zIp5Cg;L!}^e=7w}g9jY=e0lsEDEm>nA1PKAfWc1yxkLtosGYU(e+mx{)<3{Q#>T)5 zm`&wPY|Wg_N!bAVgIUzV*-6>NQOwT9-p_e6k$tP~L4}srl%|3WQggwz3M_zHkqe zAOj^${H+ryv%}3qn+)Qbqffp|#B>f335_qlv|;0n;2gx9D@HskUwk2MQYh=W3BeSn zIcGB!)T1@m3$ZPWBONpTT@{(!s{wUF&I>VuQ5c5^qE1LWjeQB&gjmW7mN2VT09 zDZ9f=lWlX)U;e>E1H6duvzc8z%=77vQLTyJqKL zVdA(_IFc^5M$Q&?wtx)`o)v(?fGGvo`lNTA0bh>v@(X~Snz;ekNw^f^b%W|sj1CT@VMc;!E;BCv7;V}}Qw zoccRU>1V2ca>aSs31G@Ai~r{`9Keixb%&E3oZ%SrDs|drj2m$LgPZ8`C{rceq0cHU(m4Ewi z08sy}{!((^yY{zU1MQ@bvVn_EZoPzotF^3qhUB zP}N^U<6nXKGJ^LLm;p=ve*!ZQv}Fg5D^y|Y|MVi5g4k#`7$>1*Te@d15g6$>@q%h8RG#* zdbq$LW=^2CY+RSo!QaFxeqF4;m>U0^pfI3izU$8)V*R_t04}yGrGHIL0lM&SC3_kE z|4UJ^1HJj5hlQ_t{7MS{L|Fk?080Zp~0V2A_XKkVdRk@w%d22195 ztV#ZtRme5x=Z94SsD__se(q~?^}iWDzI1w+e~%tt##Vou*V%rxIe@jL?}Oo51%I_U zE(3zUslvY>GgrpQwK4PWXv1%EzOLzhq`|2_eXeW1>-k`f2aJiI^xe07u-5#RzJC6n zbljC@15^KN4R>ku{{tPTC@FFccmD+)2Sh;rB-USzzsrTv-$C-L@dqq8{)yYK#vjig zeJH@&`h)Su_M7o{9U;HU`1ZpB02aO@=bs$E*;$K4%4N9@f(tW_2-`y9ml2P z{+k~8J2(HY5Yex#lMw;5^i`-?-A=>S0$39^0TvmrRNJ-4!@qL+IWDc6-z)u#^5bB+ z2LFFxFkD7XuA72jBjx+%0l-T7sXgizHYQGrCa$V>HU_r;p|Chu{^(KzYxloM;mM zeii+faW=5FF#1m+&US4u{xDcB!*|yOf4RrycZvQAall*p6O4X^_@%o0o!hSvztmU1 zkzEG;uGj5yLGX97Um<=uY=0;F#dhSl9FM<~{bJ~GTn@C~$$o|S^=SQ%?!8yP?mK6Qr{{DA%MREK&+ROPXn*SW_1^mjN z)jzP@`iCKO8E3tYm`e!#hCd)S@C&zVdi^^Tep%jQ1uS}CW07U_SOXh*+{!-42PH8(QGv0Zp`@>R0bs@Mhh6RN{B`HChh>k`fes6j8l( zs_WpYksPB`YPo$ec7Q&PM+!5|9p^Qi(zB-i^mAa5QL~CipqD^E%((n}V~Ly3dSwFe z+7q(jeJqRZXxIDsL;Y83Qn#eQYDW2jmpwm?F#T)?bWc4p@y30l zgGMC2&vjAQS@en|pow6-(BP5M+$RgXYEo-L8!-?vVlBaVg6%gNytwJ6Mx^hJL*%dU zSyK~oRzF7!8!?0tkd_?d^*?{dIja~sq^`fHhG#e33hQp`$}grx%~Y7&Mrw+FP<-BT zF&a2AwMgSvkX1kYr69idB&(O(vOJ^6tXvsoH(GLgnR#(wZE}v3c9(jOj_1Jnp4xWk zlT+)eme3DxT~ywv$Ce&evV~K@VOHfzKU(mv^T9p|I@*giD3tPFHmWhlE%^YEXyN?` zS{4s@Im3yB+r0q{wQk1ZW?iifEZ@7Dma#UEN3D}Bp|~|`d&Adm86|vpDomy+jvXyR zByxMIqoLjt;ZZhUCxOa|sz|dy=7=LZTmkZk{pi;y{?FkCipfPng4;v>L2#mIrpmlR z*1Jx`upeUTzLb`2HfByUd{W%V_3#gVQ?TawGU)xb=fk^Rl+3sxUs}`kqYjT6TVLM1 zWwQJFUHwSs@qxXgFKEMA25PyK|6OfF;iH%9Ru4LFELByIsULP!1{L(A^Xri#LnXN0 zPQJkj7xgkwq5_F#FfthjgM+kn&a?scCU2pYP@!HN&&-?W;ljO696h{WRQU=xT5>R! z_VRDwF+#N9MSkpW(=9CaiHe{v(g{`W*Ll6MJJTzhGIkKVwvp}PeJ)-JRgdh88==wh zFj=~mST>ZFKuu^_Nd8&#`o7Rq8r#-+Eh*`o^I3C5nG=N}lm$=E#xXL>-B-xBbIz(V z=qv~%BlcXHXOQra-PaiP#}s01txAPo2(Vn7t}T9EFGfWg0C_DCE?}B(e^=9^TlKg|5RVM;gHlsy~q`o~gH#{~H zSHm|GEJWn|6=)Q9F@yrYV zWhS;dRIVurVGOkglDxEO`bE8M7?S%9;m9ppv4^+baP82!1fJLosTZx$*2*o)^vEG{ z3$xgJVe;c=qVdp_W8vM?g~K$Wgv^l)Nbg(>=@JT;#UqF*j3&2QlIr#_HZnL0E8fa2 z=tMs24Xb5Ydxa>srs!SpKCUaBbdzZ@@7*8{EvXUvZpQe!P3KUjCz)(~imyv2Hy=6L zA^zMQiGufjly{jyZRUxx%6EZRa(ExCm%YFef836(vT0lkW9;1c7GpWi^g~Vo7VUtJ zR-C2Ji*fT1-0J>?UAzQL3qAVsZTDyvXe72dH#CzSOuY)pWer#04MA-%(oXn~G6q=R zJz>M86-b!-vs4zu2$U&~jzv#jU?^?R1pn#!D35 z{c;|;ckGIt6Ka#|$X0*gK>6WrkswnIstq1EMF40%M05yvcO3H!uOcvXoBu-AZv=JkNn@f9eDkCz6 zZ(xfUj&Zh1z8amgzVUu|+h`@4OLMw|ti-=~up9$F-3AVl{QN*X9nA+_*+QX?3L1p< zq*8R!>w)w>zEfQDlr%e=S}0zMa^+!&uN&bP(kA~q5+iIg$}u<+;k5WkOi2|lx*Du7 z9|eY)_~R5Y&B}gqsgg#`FC48swo4dXHy-D1lEit6n_IHYm&{8C%K$}8oI=dMU?M1K z6>X}x=ppr$?fn$}cvZ1mT=k;{jdccct4T}AfYe-LBk8$k0k6rRoJJ9RS*y>@2%)V7 zIol-AXFN@2L`Jz=(TX&x`9`VeX|`K>CWW~L{ZYtqJbtsT{i&qv z8sy$yhH*t_cLpr*#=+-1Y`<@w0snyDyK(h5<`65e5&ye2Bxwz7EB=S}5Xa>rH(*Nt zwFL^W?0(ooK>BYsL3!^DP@aqDZ87N)f>tM)8n7Iz7NT1+Dtir%A-pHUtLHJYAKoeu z@PX~?m`Qt67om2xHI#&Lz^W@pj~NS_=(%j>tNO_rX8B8>tTPeXV<)wPx(S^;7rLUS z=L?2VQ67?I?J_Vd8p&kCZ?+d>?*`qp+?P3-|5M*w^X>nxZ$STtx3`SSb7|Iuafjd@ zT!Xtyf;%C&ySqyW?(PuWoe*4uySux)yG=IlK6@vGGqcXj`o6!(kHtGcW2>bkD} zdEWkKa{fO*Dvn@wgx0(RJlgO#-suIqc|XuGWmSH(jacZkFMthdHFieC-27oPJ3BPA zQC2`DwKO|{_%qU+ds;pGEMvxb?P`W%Y#-pdG?<0Y8X=F!x3UEAv%2Z?af`vD#5t?Y zoXoJhwTBSvmBPCGi80cxvFMwWKDs(QRo-nUSV0`Q={TTxP*ch%4<(lJ_d$K)yQzAn zw#@eXrN{ZD{W3&Hxu}v6oYppeheiAhN5;eK(z0|pWFKl85R#MA;e_bv0XEPs)!TgD zwShGr*Y84hMKd5ZTqQKWDU1~-Er+yySHCR@awHBAd0hB(zD?&{_axeDHQYrG0wE{y zjhlkL-@4g25mS~`tM|^muY`M9M7DOTR3b*`0sZhuz$70lCgcc?WMD{4fM*If(xbkj`!%T^i*rRXC+?H(% zW9{RE=41}x76?T#`748)Q}oisV|euWY#6o8GQ7kvC%xV0v%wstEk5fObC2{nNv!ZrJ*xEMeL&2t zD8=-V=7<1>A+qyOdtEVsIu^LUgl^&CnPK4tc^k}wJx4acTOb~knfla6n~_ExdIzqa z^TC4zzoj}t*jh-%ibMSkhI2#jdSr2+kg=rC!ZHPs*!8f8607=ri1qelzBsX{eag2Z=1Pdr1lSLj1Gm8PKD z`k?Ej&X%lbM%|s*TE$9PM%9>$Yvt*_x;kpWI{V z93M0SLf+~d*q-M4#JM-rK2)O38*?5r7ofPz*cS1Lj*h#8P3`U`MV-9%*vg-cEnf&9;+a0Bf@-R*ejuB}3Wh{P0fwU3xGh5{@M#<%U$17ae0+h0;}!cd$%MjX^_}hE%gAJj8Sm zT{>%^G$iAL6qjfX5Vc9(E)$4~-8|?^AK=&6vMJ_ytOs&Oe-Vx1wJwsIG&Rb51at%s%r&Hk^{jMo`vI?7) zQVnmmeOC}D_p9>05a`;PiW=p%iV`;&+YTumcx!D~C{wB@d-h=Uq&5`2%b01@;9KJ_ z9UFzo$Z!*~Y+b?mIne-kOt1`yS9&`?c)o9C&y* zWov(U6YN-EZ|o7KAxLB?BtTSd|6$>#fx7qW8S(yAS-O#F!l*@Al8HV}B(;N?l?@5l zp!u3GKyY`@)AzF6aC*0~CS_S{K7m>JK6DAzn=3DA4u0rBeiIi=_@SN+l|bMbS$O}A zz;6oz?w@ei$arKgXo7ysU{i_1CN%6!(`u2!z7j8=GdC}@Y%fwP3_tI2{{M@YtiBOi z@?I6w4qNi&d55mluO1YFB5C9B)`vsYK}qhYKe#HT2G z4Q@n)Ym-M){*dtJN;5CiV8 z*)kA%?Q#L08Oq}<)GqWfM;4j6)wX^=Q6KeOjoCycYWi3Q(Yqq+BqvnY7C6*>*GBe0 z%HZw(D06!O^z`&BoJbX@=p`pjWttV3AqC;n2hYk05)3&&YCbBAs9fS@Z6}}^`74~n z2riLWFBaQE0OwmiUjg+e)$bH&cpn!$7jFoE;e-gzC@U?{WKl zPQ=M%QfsrKmK{~&CFs|LlL`5zQ!#BJ&9;`$s>9zDY7o&YaqQ> z*_%8>syAmdt9N_2rPnQg8HR3^(z&G=uW<4sv5QB<{HL%XeOH{6ue|7b8=KSMk*)Wa zuF9YXZ%MzJAyiA?bcWXT=6OGXN}PEv$+R5yqgcnpE*bB&eGvZQT~R}`2kbgk$Q`fQ zEFG8M{ZM?id5pC-gVGdzU={UM01esUGh89vr~3X5{T}ha5;GR0N1z?q^WzZ$giXKp zGJ+zSiJydOGVbJXY?T*TSw0Bl)twc|+fP}rSd=#rpZ9IqWAeueSkF4;0Ioy~{A)=S zzW93xY7=SrCyDPuPfsHC-zBbCZPY{Wio0lCE*F8J!NadmPVp?Cl~9D62W0e%6)>)q zqE7&q1-~7Pb)6cjzvLhI4)yI=*;4U=Ej@Y2r!i})Sm6!57uJsG5)eIv=j?G5XEhXD zwbzhw7SFCfz^z>shkpLbl%5h;VT#+FJP-@5yr5lQZaUP?+m;k<;jrV6NNl|m-`+)d zRjQ}d5zC7qrCdDL5(0x$5I`;N`%(I6E{J1@qvB4B^t~6$2z!UjcnE>BBY$}*m6DPz zaEQ@VN#%UFxvOY6K5mtm?$W>3+W-ET6nA*iqZL|-Jp5v>blw@uWq35Uzf44{UI}=h zk;W)=oGf+Kl`F|5SQ9j3G?RfM*=cI+Dc2{{c_+#Ely7y*&)=|R4&tM<*}MArGS8tQ zBhR@bm~smqiL)ufL_)e+!c0JT@DLM7wCi?ybZ>1>J{|}W_K8~AJ1!X5{%jQGkoB@a z2=*vg;Mvc*A+cfS6K@itWEhM4Yu9++$zYP5CPmEG(xs1U>{rH@*X{?w9fcRkY(lnx zUw~wOZdKS?!zUuhw=y!JJ)S5s;QYv|-T8Fg?ph&}&lA^kkH7d$nYO@%68<)?1S65? zT|(+P5Pl^-#%LCG$~INDz%M+GofWEBMfCq+qP>e^dgVb zR2ZOw7E2j9W>cmiXE#S<3|!I;l0xqz$lcQh9o|P!^BfFOIo|fYO_bzPZd-xNb6yjb zP0s3*)hM0c?nSZwk_)*KPs6-pp|%R>EIeK&rBeqm-1fEm6u6O8)T-;CqlV|Lcq^o< zs2CQ+kq_2KsKQO%E~T+@bl#L#8m3xs=J^=pk&^E%`Irkr zbM-W{Nonr(K={fC9JH|PXBV#5bcK`NJcKW>*Rjt)2dJ1;!Z(Z4J4xIn&LGVTwKwoK z@X3(pt{TIY;*cP><~Ut*@qD+m_5H@L4={ObXyglpd4SEQg5wI*sxS}iFulv)Y2?^& z*mc=SYCb?uJt-E|GMlX@pOa|Tj-5FKks|*Cg=pyX>wUs8og}hq@G%dMiCr|5uN|)7 z4oWKZ20m)h^ypr|@QUK*28Sb-k~(@zklque+fzw_jSz`wDO(fvt}|gQPEQTBeV48# zSN)oDMD2|EcZoSk0rzRm+U9Ajq#PNm{z5vPH|Zo@++j`LGFe~jPjp4Pcd^5lBtXoR zuM5PxH1eFft5uv1lb(2X^BO0ssF7GF%_-!MYPJmt_tV7tR;1n2px>|oC#u*2Mio^d zl^qDSu0ytAZlMV&in0V2&y7ALc#GNwAdf&|Kusmf#9E_caZFTy1$#M3Ff z4-C_T?#ji$oY-Vm4fD^(e>uc7LqOOo=g)q=E8+NqyL?34t*kXq zbxC#lk$WT<6vxDaRdv;Nr*L0H<#g>k==2>~&!}l%>bA5cS?ntw`!rNR|C71aV4%5H z#b_F+r4w0aZ5X40mPn`?{WM2lm1%7TEe_KTP$ewUJ85(_0zHBsw;!^w)4(1B73Lgy z0)c3;F@7MdTS%5RI}X->$-HKczpg+3_vWDcd2#xGX^y{(F#l)iJ-){9bBWB3m`B(? zlG?!!4dV*)95Ion!fE>AV}S*&Ma((6iBXm7P$g?Q>^FfEUummXU@dYdUE+dDK2Z`Z zkiFIFj+eJh#O&Ee$JdEJSVfzJbkwlI)1naCH0sv1!rE{E7+5`7$ZW-JG8)`zQX(Q9 zGofyUoaMduKOoC;-l64P5TjWqn8G&tOv)_6GB390@sY2_P+gQd{j~}Fugm8@A$>pb z<9{bO|KWjQd@hLpYrg*!|5?Sv^8EKN06@ln@I8OZ|14a8{`WWWR|_Ej+bY?g#1y}m zGW=F3_OpWJWi5cvdua;3pG7p<)<%E%#Qy^`3gdGD(BGc-Z)L{wufN{f|3nh}A06~3 z(CGipL7#z4|Kt4gJI)?hM?dyeRi%sR>GwT)wJo|=4rPNAFijC$qTWeWEH;4)@D#-W z677;4{TyYG9)o@Ss{-V(4h)n2O9WQh_63|R99NBp-LdT*%`ZZ}Iy?MoDpJ`A2_iLVk^(X%IpE>=1NL&A` zllU)G^UvC~|1P5+rBjWVPbgFE8@fE^W*x5JkO{$st?uNG3EjGpxO+>c3LY`qbJOD( zHW%565?02TEX}Arm`62dK$dAE6iPz$WQOap$4RtkV1>qg>W0rv77@|saGGO(iGT~d z5_sqam#ThX@BFBdBVj7)fU-7ldCcf+R4l4%nyR($$UQmZLDvhQ0L7E$J0)rlZ&E63 zlS6S2@nAtGBzwzV`VccJ3^G(I0i3+BU)_PZsiEmbDB;xmjusjgvH*~!GjZg zuj0i3nxcu|JlHF`bzBgSCF6AMj0MZfeMfKveE;c<5%<(WJJ9jsQG(;mdX+VXpVN5; zCbElzvai9mPA?1N8A2;{wapQN`&R2TZGgNx+0_jkYaTwhetFd~6ZBX9F{x8a%i02} zBlL2_c=UO=6Cr+O^h1RMY-)RK!|!Rp+jHQR9VF9Nf!@uO*eqe0DBnLflwhjAqgai? zFASvRlT@XG>kFM6v0wGUn6*_xe^hOjc_J)5jk>$}K2^CKepP;bH&;cs>2o=x zT{ZC|92!OdK5nBjDlPLH$MTqom~-dOlmw0WlK$;j_*ZPyv{EfkmgZVZmBt&PCmgx-+r2U##5HpqP+tj&xa3YrNY;IuDADytE8%pG;M8y5qaRV|+Ww z@9&z&7ebX7T1HbjWiqCP4HD@|hs*k_A0=~b0wG~$ucBAZ&(ABkjmy(XKzhV2d9T9i zRns^5D|6fOgf04@m(iqP1gI9~5@rb3u?RDB2?`R#W76t+Kg}4$<_kkN;m)o!DBgVt zL)bt0YI@q&Mk}o=uNVe}%z?@KMKZ2+)4mC5dEt9+g8S2~465t07Q!@`Qps`N7o!tN zLh2jvKAi_@UH%E#(Fv{ zCdg8}HViunQ+Rg~bl0&PUZVE&NJxBf4-iQ27CrG7?KrEc7j8^L;KNpaWjJq7y=6Usvnxu(!^ zYv84o&`iHPz#8r%4Ey_|avYmLh;O)9WMKvnE^D@jCti@p`Jzn5S=9*mc~0t9WI0RL zDx?}IT`9GUy=A1XGl7rv?lK8vTC^&3R0B{Hl#u?!)`Y6r?!3Q;8d2)^zBW$EEIjQE z(8W0sf++}J!4f~J)mB5y5e%Xbahv3nAvk+r6`VIGzquEGR1Jd%pMDESx6%ki@mY>X zdCI(hawo(`R`9ND(@3sh63SRj965gsXn;F1_T;3T7Vo9o=5do{4Nec|>dIwmi!s~O zLz_fTbJnz*PUpCbx^!ama?xS~w{O~8qqJx(`%v9%F`xws>t+@UWgYIcL8P2-E@l-M z0pY?weY9Wxp_$JbSr-S=$twtwU5Mqw4vxfYUrO*HL74ws zi2YRDC5{LP`3($b0Yws+9EmpAP*B94}T1(FhN4&&O}4Ct7~M?c>!U9?eUIY zWBuG`iJ{Ator%y&)~RyX0BDL#KBZ-eL!v>0CZdW0Bt(C?2PWN2IoLYK&YJ zlw)EXJZPv7d?jTZZc2hh%c#u{FhO%a;hkQe82eU}N!Q$spcglX)gy5)z|0P)(7sYH zB}rr_b{gP`jcbvP!_=f)Y=Jg+BY-yxIUGcqyY>w?sMPFTcD%oeGRST#oF$3lBq5=$ zC&ZrFmL)z=0|sp*)goZXMD>oA{4uvSiFO*03#1cPeN^7oNg2~^I$qtxo36#QttgXq zSx;s8L1Vh(>@Mr403;T>;$ZxaR+alBCIZ>R_r}X-H0O-52H$)Qhy_aAq^TlXxOVr5 zj8no&!fsL_{p%(=9Jhg8qC7dbJ8r}EwLqsSG(sb6W>Y)*8DVzAjo@alK2Hx-ZF+A2 zAp7?f>=T+T?b%oET#_BDnoynFB0=hYK6Oe92W7kpb4PBY-p^q?%wT#8S5oi7*i$vZ zjeD#+HZ)iasCB$+Y5*q+Rbvg?0&STQl1XSqqZ4t-%9w=C;f>hE{^}($B z`?=}5?7qI_JG+!pqN#HbzdY0@sty=zTNxL79Q+1b3#c_C()F~KIDycxp1+|eDM6}5!6v1pp?1Pmo*u38@fX9_!1!%%ay z|7I%YZ?bEX^X>?DwyA#SX>jLL>nGkZ3hY>tm}nrw@%0Wq3*qm+w*+8P+sFzFtDZsc zP!O>@`#W;AzKT@dVz4=qXc;6SAZV2o*shYnWURfY0~iOi z<|=kv4lxGqhsWCC_O9GgwO(mNmu_ye%!g*gU4b3F5wBVut0_7Rc3okc@5 zD=v+IKltfORhPImLX?lIa~(f!q9{D%r`?^g{@W$Ek9lQ=Y;+uh>8IWH2C)zS;pv-)Dv=01J``%K`&-89TbD)mxJ)q0N zh6;yyCY9sNrJ3_2pm%pyX#)u!jNO7M)=XV!wUcl?5S}pHO0Tx;Ocxqr0 z`opD&gX|l~xU?LdFN-hsKY)`VNcrEB<&SGqx?fsBVU1{v43@SI6^e5(hCKC(%uMJp znlmuEQO``?lkR@u{XP$jrMJmyoI(&FqzcXo`!mpLr+1T}%Rd4z0kqavu z(8^Jcr@Riv4rfR9eQo>$ljnN#=3h6dQr8`wy1ClJ- zy!`T7GiG)l&OA>R@Xg97LAB(t-s0;LdzPAC&K3qowpqG`c~zDdEaRm|Lm%oR7()At+{8Wne@=rYFkC1;i-}iY+hcHUWkgGL|9E z3StM^LzQGkXs!miof-*{sf5y{$}*r=s1I-AG5$`;31F8_DtoSv$q6~Y@j8>KeLSP* zi1FOf&x!w(mpt-xAf3}ayf|iIKW0Y}brA;c-Yv1u?yJ>Rh*cGvXJuV zq5*8|V6MI#KXLg9+#C|Ek{8v-f7gqQWX6_G7pMm|qw8r#0*_} z_p!Z>HGD?H|ElThSi@(0^{-;DV-5c%y!+P*uVW4Wrm*`(?6tMP`izqMt<%@6!1_1M z+ApoWW(C$~pZ>MASFwhyFImU_LdN}RQ`TqK|E;yxRd{KISFwhy&nx7&)?QcP6o0h#l6CAq=-WTVUao~-i^Bie99Unnj{U^|^UBy> zvX1>lQSqAC%eC;&)yYpP^5yXUs`)zg%?&} ze%@66RN;jcm|u;~e^Gh;W-R7cqcbbRiyevi)#%Lnl8u4+)#%Ln%)$HXguEJ^Szod- zFuxj|Szod-Fuxj|S)U>2zjpd+bY}gVbm3nsyc(TZUlIoV#UAvEhcLdh!mH7l^%=na z>)2k6&aBVC>)*s)UCu8F1DIcB0$_c{XaCmPt13K)s9(iikIv69?%%{-T?@~V>^HI3 zRd^8>m|u_1&vX;Nwf4FSFRk!;bpD$f;9t|f9-UtT-TxxLd&TrGf$q%DgZ*Qjz6826 zzaE`m0^R>&Tzjq47bjKbU!(IsAg8~OS+DWp{^zNMKY4K_`2T%=;P2-y{w2;~{kx3e ze?XkW{3<;v>)-VZ|IYtE&xw7xv;A6&@b6*Z`PW}v=v8{uzo_tj z-zQ(CM`eBa4gME?>=iRTA2@#N^e+$nLxq>$;Qyf;`%~;M|NFJIUl|Jj)%1BNzlr@< z@yl=1`)Ry(Ug^HHtsBHO$InH%{sI@>bx% zF)2BC&a~^%P5T86E}KUG~21K5!~!-eQu4SOP_edgx0yS1?OB)%;ttH7TRbS`1U-YoofdgKh z)4_j7aHYppCzx_#lyeg*f7hN4;=_Xz>1AOkK`pvTk@&5Os&25{W=EtQ{71w4{YSi% z$ELM?g=`!6!{NvKL|!otsP~>`MP|hBEN@3eYO9wli;FFst-2wlgrj8YgQ1%;y;?Gy z5gl$gcqkRR(#IT4-`A747Em*wGqJX)k}&<-5YU=+hQwVq1)65{zWQw`}2y zkpcEg$(1#}z~HZW?ZW_8T&QDy;Eaq_armS~D9$^z)U|H7lx?5lEPr^$4BQ4;Et->f zqSOtqLY}X-ohSV09D=x+#u!*9lfxTxaYcl<>u1Tq5oW&_Ks^Ecypu`H%$uJY8X*W0 z+oxIdDJ8qZ6S|r~4o{uL_gti4hm74G>I>(a(hiWsa>t=-zvMxu?+&?=eunyeb+bss zr!s}qBXHOwff6UNBU^SUKJa)Ht^`at<+3Gt3$>M~8#(+KAX-hm&&CqNc#=UP z?0p>q&y}HSU5Vw$+c$lkbu}?hej6-kNf%n}Po^{6zK`S7b{DaiQ)4q~jEjXFE?6J@ z-6~9V_rviGT3vSJ%fIgp-|USG$surStT)MyhQ|kf2HQg|(V|bd9nA0+0Ic~smqs9p zxL2hR43s!1irjua(0D*wI}e4wMOSFfRA{K3Ce(`v8_CEi!$!HUdJCPhw(k_RK9|}3 zJ`8(wBVkj&pgDqsPRGZoeR@4uCm((6>0zp?<*}n^g+)k;oSWrCpZ{luvjM@&8Q|qv zDa41s;#dmjuv`kC-0_O538Z zc8XBc`3$qE`#%PUpb3}(yNwq)X`Kt!rB4BCO@xUw%PCN_axF>?=)9KiM8h%5_&rLZY0aCFQv8xT_Yh(!fE2QWyvJDUn#fA^3RkX++x3GwBgM8AjG|q|_f1 zL*hU|gh2MRLsk@#UX`0DM+w-Q-aVvEzi@8FkUK#LG&SNqIq-F@5tVjkx!2-*X5w(t zLuY{PZx`aVFuUBBFgu%Tz$?Uc0Q4-FT&65%Q|Ha-^s)Zcvkj{&G;x3-(hcA%TQ#?` z^&z;~6(0aH#=5SLOF2kYjAKWT zwxtR!A1tK3qOMh_#XIgRZ@N0UINNA7GN_NCU-HBtc*DCa7iR0x1vN-wmgt1CpY z#B9Vg(F~z6x1rIl#S9^8@qN^I(hW!#vVt09o7Pm)hWeri@lY}pgX;8gw8{|Eo%wX4kJh^r zo^c{pK4}OB2ewRB^&`;wiR#LoZexdgVohScPr`CT6|z5+9NF{@AfWJ51^X$!L{^?uZW`BI(1NHY}<$@Mk$! zLX&Ai`RSYB+wEJ(*jjlDC%P3-(E{=xjFlXCvK!2jqH+SC78Y$MC}>AKuZTVLS4Vqw z`_=Rd8@sXYRr4rI$!*}jsknPf+|hxpZE9M!Z3=0?>we+Y0&W_4(q?1pS^B0*dMg8~ zmK)P3gHq}dCXM143793J7GgN#5^Ppc*#=HRAIPh>tID!(PQW`JE5ox9XO=`z7lcv1 zx0$I2^hWrJNYZCbfs!+-G&bf+f=rOk9wYTuozdq$AU$nkB(}Vs)CU18c#PW+>WjY( zjt_w}`uZdu-HK7BWXs!kbP3)^1e`Ro)B$H5p;Va~(fbIq5+G-v9T>@St<9rS6R__s zKFln!<96H<@;IE%M+X-(;<(L*12W_>OhVzeZ|Z36wGKA)&fWPd-#&PEXnN;T2}F1o zWzgN*ZSJ{|j%vZ}@b=<&YcfecaE)n-C+;i8rz~)mxPMTfLb)~C8AIGAdFNYjm7G*F z^x+H$nH`%m<>(#ax!1zm%A)rH>bS{ydx0aanQB%K7M#%WCr#FuD2ulw`3^Xj+;xq- zUPSON@une zgS0R*@yD>h9AD0TsI2Kbj@;=PECwN15AS9Ya!L=NgHC9+h;#JfI(Gtn8w8!C!C|BC z+;1poDesJP5qmMW*l}g50Yc{`dxc0d*{#iN8LDe>i{JC8bscZ;~q1Vc>q5UugD7-<(5AnUxz`GS&Augx0eJ#J+GWh9Ua`=@QA2L5`8ZniBVM=S>qa2n zc3Wzi;kv9!kYnv7t(5o&+|UGoV||Aa9pPFUw038M}XSwYu zF9{w(M=9QYVnM)fVkA2~5eTcMj}`vs_6c0mftjEi*}9fSX^GoXhBTOEB^nI^+2QD( z#yNtQyGW5Ag^due(~Ial8{VWo#SP!8K;I5Q6My?UCdESt#=xDpka4pkZciGk2HHxF zYFpsey{YYHq8+85hU`un#N5;sguLxptiDZ0NA0O5Vbn-&575&bTH>jDn-_(e{N{aL z-CSM(T6s{Lmg5glo~m1AD8tLU);9(40S3+!MwR}YP*8?2a)@G09?gtM@Z~Iz2MN6D zEaE%hqh|fm2_v?_ZM;bRs*a%R{njhxm%bcN^CAaH+wE16QTh(~#c#~lsW27@jMI-@ zdji)+Ob%j@k$yh#xv;%sz-*+`^i|kdO5}zwC@}=HT?dz;PndkZ^+4b(OEa=iu$L(R zGUyY;+h}5p_ner{$}~hnq!I@0cbLl^wXRea-fPtsA{hSCiy1`dcHhs6RQjQyq{5R& zVWWrE6OTu{h!8XfSG&rVaXR|keiEsH+(O6M4JnMNqe9jJpu|Kn%aDeLuHYJV8@p_T z-b;25qqW3!-;|F{Ts77Ps@^Sp`aBFfpGV+9E?6E|H&8sqO{OSRk1fow;fRozfam26 zy>_--(Vc9;xCrC6aAr!|!v0;^6AWLrKxbSQ7PJp$uhdPHzh#M|!eBSWr|4*u6v3(+ z>sw^H5?UpB_&pp*@0W?eOQxh|Lr^LOdR~Np6zWA&WlFT=u&NI;C4=uLDb}!Cb(OG` z?NQ6cAuZ1nZilJXGPwzEqH87bwC#bEsOTJdzw=I6w{2Ocsz=cn4hv>~*budvRHpAu zZ?}>PWtRwv9;5>?gC`oDOQ)aySiL)d76J~mV&|%p>!-2HwtVC5}ASUY{3HXbeUI_Qd zbH1|`2R+xx;8@n|5pFU{niQbeg0{i|^JvYSuJZ*E!fo@2^bYI$AP#((Sv$?dl$Ash z)c>vB9G_Ef9=iwjc0^t_b%rtz`V6j%WrnTIx)4AQmw9N-AGVMq>I4D9!8nw4mDvMJ zzajesZ!zu5f^P;ua84EJw!Z}Ys@tmhqzbq|>nbSoVlGd4h8c^7YV(?^`IE9O;pn+F za|nx`GSI@0Sh;X9E*Jb-=8IsBw?`>H*H6CpA9aQR9v3W_cW%Y;17`3*Lw`^aqk*SW z;Z>~5}TX?S2;bwt_fq@NgTYbfN0O_(=0b+~pc zV5$NoQO1k7!V*d7&U$!2I)%2{yV>Ntjb){*UxLzwcX36MG2BQ7`w_>=_&w}8eG4eZ zeujPfJl!924Gu+Kkp6yTMv~&QMgF!-rk6PRSJ)M-K7Fh;HRTbM{jebw06JMJoSx0# z5oHODV8{Y7QZsiLc>w9p*k}1xo{QS}?%%Mi?@be=9NLvle43)W%UTD%lUHgYU%hp2 zZ&pxuZ@Wo_MHt9wVx?;%Ny6{@Jmk|!i=RILeBV|Ia>g2g1pzanm7`@H4Fz*tGLRo3 z+-=&Jy7`{;dNJEAwb~jnB%vtH#pv=FNy6vpZRmVs^%f z#D{MoK0*b&XpWdwQezfmlb^lI8`eAUt_C~Ey`!c0wb7`9f^JQX5HB)uQ91EVzMGgq zy+u8PUr<=Z3SG4{^&(k5ftmb(Kmb`>3#}ud-6_qfl#OMMMz?_)q_0^$6dVAI(|$n5 zmUnN60|UBY-2A~mbeT6LwtY8A00!{-Pz-WFD|*X2k{<(Wc}^N`uQ($?pnf!B%d=U? zmN0a`4-Qk!1s<16LpsEpqEP0_0?lO9tE;zs6>4OzOG$s0y_yrPVrjZ;T&zut{SA=K z-kq&J!Gd@GGW79jue)-&KlDxR^*1pZ#AIFJa|N;Yv$E*xskGLsO`hXKGJCrn7bBQb z^=G+T?=&62pq=p{S)EETA9|$SxM>AV+dk^D^rl>6FncDh&RvaJ9gF#7^}ab@VTp~J zE-mgnKdNc)JbAgQ4U?5^`~Ih z1TJVx0;1et3`K`+LBV0+Z4ik?J5tZQZrEBjY^|EZPtz}0>e3|mh(JzfpC5rQZT_T) z+vV7ISV4e;AuuZVnF9!a8B}KvjJI7X zvk)AO375{Uas747d+Gy{k5|`eH=*PATr7>tmWfaG1Nkjq!r5-c3d3tR;_Busxo0BD zHGP2FT^2+yWA4k_S)+oI!shnASx0i&BGBSFX`}V3Ow<&eu%w743?$)BYfvWjio6R; zP}f;To*fsD2t^$4P&Hf)r5BkP$FL7N4LY@rIOmsDW={R$!k_oZoE3AOF|}Ut{th@j z=04Mn+^yfKNxriB`{DTGkCH7)sH?M{Q@OEAejF)D+zc|6o5ujOQAkA?B>1fBt7;Gb zw)ruuR6DAj4<^$b6tz@H9nCCDgF~()+7XDi)05H&`RiK?MEDjl`^T(|MTw0UYeB*G)G`WD7 z2`Ny7!7AaU#bo$R*?dkXb;)8>wA9l>>C!{ykRiLWtg|lqeRzJlq%S3VSfLm)2*Eoe zp-eV+(b_nu7C8@&m_Mv1*gK zZbSg61uNtNlp<9NRyE$NWLfv{X65@2?Wg|;RbItg1Y7#jJNoV1ww;#i3}`EzsOy6` zV!+(b$3Fc>dk1q=44_aC8sYF2v+qJtLa@I2mOf4xdGYv)A>h+8NwjXbBRydsn0o~c zU+>mj7tX-qbHxl8I`R<#Dqdzdm;Nv{|JLLI5!4zhcY9U5L3okR*2$W#_c2v968`K+ zY4L+r|B+F~j9yCTH(8mlA2-i3G;3SWR-j!LXW=C2;P&AT9KBq^lVRWq`-02XA;LYj zGKUP%wE*OLh=h~lbYROki>Iudc0BROXVG!{w;FqLYJghAg^7EMXMqP0i}C`OGvf=x z6xk)&ygF@eoF5>+Xh*&2!abj7FJt=_`#CH!egj?|c_I{D)|Kme+e=}u5lJQ*!)9og zxITepb$sE3!WT{j{iu$_q>XeEb z(HNoKO3#wBrR;jEsbEt^Pi0kMTF2jaaZ>%wPl{36Vv&?wI|!5p6=4%T`=P`fkrIOHBQFTXRH&WHIdw>gK1(lDh02J+(fzw6g?=MDD#uZ++#jj?_;76ax{zY&7D=+oCPcdDBqv>b<+yToZ-uV zy*b=VvC5w5fY!d$s6Y8uh$#oJtAw5|sv5=-Z|El~`c{WFuJ#M&yGQxb@wYz9D^lq5 zvWa{5Z{yHHT^I|nV4vn9Z{`#@zd$F2iuBfbOeY;C@frSTjdxG&?Y(d2gsx2~{jp z#$%zHyU@CmYO*g34*|1jY@6{cSA)PuV_0Xrppt?v^pooVHpgdav06zQ$D1slM=ONp zmwTYLnUNF+;zjdnw3! zQt|JUIkE53W|ap4eOMXWY=kLx-2uYhK~*5Z=<2>eRXi2I1RMC)h7Z<#Q&%cOkJA$> z7a?97$iWgS4tj^s_{ky%q#eT)flrqVQqY>(F(imB3~&D7K$FTL8!t3Jv~JzbSTEQ4VthhH@{ZQ-}jJGV%{%g^wSwZlZe_V0ig~<@I+stj+1)Y$?2q?f8N^R#qEgx?c;6+-^)u;w5o17& zS2!d)#Jw_<5&JswArCQSLPD28v0waDgZ*%Ql}`%fd(!?;3HY8x7K!Lq#vXI`sjXCE z+gB-De)y%H?N&8%E{Nhob!dKe=X-$95UVEMc@_^0eyMn_=DU>8qzrnUp&36OD7h8R z#P6nH7eT#$<)3|iF!qG@wY+u=hP?kQ(U^-+`~iu^ACDL~f0F@ez_Xagpi zS%=k47B@z12o?jY65tc}%=a|0V@f38yKD05ypLKyw9mMN^@tkeCAK^Y&R`)Q&Egv1 zhT;MVQej2=;nR)L67@=w&X)tew7~%&5$d|>G5jImSbxCRz-3Xvb$0Zz967l4NPKrr z;at_rFX{---mr6?zhYjj^>qg;0C0#-eYK*UJ3waHkIazvun)Hf2zHU2jX8FO+a@IA z;*qM~Rh%r95M`+fN#aO^lgDXY13kvVG)Zw-L`eTYlO==#v(7VN8g-USFdGqwlDpI0 z&0b9hwCHqP7W(gljj1(ngX^Q$Aaj&HI9? z>uYlfqw_vk9hR-GKdMobk4x2X+1(RzwAIFr+nyZcZn$#|ILoI3-{wH=m}W@7*Z0=U zjT>}b-3&7DoLd9dn=4aQ!cKN0PVVt62Ul%Q=-K`5-7=U5(&1Igmx%%Ip+gCQ6*M3O z%wWJxRqN%k67Y&5no@wK{+t%BRYgY-o>BN0DUAD!tM#hhRd}zZ2{}C#$;SF2-$f~u zhGyHz&cBfnG7OmnIewgo%4_k<4nuub!h;DaNwur=sy7h;Lob?Snt!~8Pq zU^c*MziR+w(~-T}SGhN~9TN&a0*uGcF9!W5w;uUnH2xdoar4h1HOqVt=)BP9epDqN znHyn}I@Wxbw^VhK@YNcEq5LR>mdFR|zie?lsqxnGfTHLP0hfTE@$GbS zKDKKwg8W9+Gl{uaDl5CR)xf?v8z-(j)%uk>hj;=gJ;2tJx>X{-!uEocr3VQk>^Pq+ zF(K*=tdUfV@R={8<9Ph-r?>m1bG&18Z)+$a>qfS6StFn6}a{2RIK3e~d zlO>9%Gv(xs?tC>pjCc@2q06lZZ40f;Jdng@GCCAATJgm1&-uw}ZMljIGp*7x{_F%M zNo>xGl4?m7S;{r%?+O`Hnbk0xUZzB1J&Bz9Yv!74Sp&*(=YX%b+mx3M$=d!4TR~b%oL?7=JKKnJq^s^v8;dgC^x@hJYEa$v#-Z9cY1$@J6fEgo7AAKjyMEB2d4#lqpD)vwhKk zSE*UYY@X~HWCWYCS)p<#lMC+Kq)r%o2AFq(t2YNVFJUu(AJyPJu!RN;Fs@Bq%%3rl z{o8W#_Iz;CtuNoDwqP+ngCFLy$@}HC?#*Jrtgb^9ie89A;TGa?9KdPgElss0o)zxE zbb3aDl|z6Sp5W<6Jt%a!m8IG`J{cR4`H+F6_ydolc*dpKZOI3G;oaJ<-fyR;CXG=z z24r9smyuQ;+&C^yt)iNxs(f*_A`=0w$>r_G6e~BN!wZbK&s5&2_GbsQnH@4L&u(fo z)mK2^g#t}OIBUf~mZUhO9~ zT9awlnKEk>nYHd3Q|tf&IN9`GPR{*d^~l&3dA_;M$zMPuDBx4)=aEqX*N?cBhQ4Pv z&Qtmu!db9k9?kmNn8+dIsJ2+=Szf&eyk{3PyK}S^N#eF_RP%Ymp}-_d03K7y(=LK) zT=(u#b%Z;pV3ys2Kd^uzZQ|P?D zuk&`f1L8{t$9pZm@Xlz~WS6CXJuOb`=fv_IT1mYT$hI3vuKhMk&z(!qT1LJVFQf2< z8f{-3b9@V%hc3P8d0J5TZEL*y%8lNP&>|74!{RVz``}5QP(Un&KHGHQ<0hx;i?z*n z3DO8vBxq)4@!?j+vTJy4Y8w*_`W*8I#Jcz5?Ca}U83ltqgIh5rUXUZ?_jWa=zsO3D zm2?F4kLgsW!Du-}$b&I$a3f?f)lp?17Q|4+tajK==E16aH=w&sdnP@&0_ufb&Q*QfINL(A|8I5;d{y;fHLh%2 z>d6g@y~8Ma0oP#yp&!t(8`~5H>Ew@YXE(Z#)vQ$PXiRMXv!VJhq-@0qQwt5&D7SSp z&jR0a2$ApLX4e%m)u|O`J8YS_D5agVv}~3_7D@XBQ*b#{9Y8Purf1Oy8KwItqIVuP z$3_@Gz+|QCaN6BKa5Ja@Ox+)ZX`nrZJ9*$SG08;JE|U=AbGmfQqhG8N5&?at;F{zcFiY1S^{xz?U;+p;1*2u2t))|!lfx31OeBV?8?y-yC54pupiqof41=8EMvt|nI*5h~?5(2GL?G#;&vWh^|U z(TK4%Bm1ZxoMUslUd*a&n}qOhe!4ZYvR8_LoDln@63@blTAwM6Rk`R8uTOeguVCai zmRxt_9A1$3?a!88@O^|gT^qu}$)S&T@rn2oZgt<{-yt5o*FTaWo6N~d&vUJgIBLut z)$s#bM3!d;Jf4{sKnW0NL$3CE+6GCK0GlLLGxTrG1L5+UEXBr5I53U7x|c%~pop(9Q9Yyj zZ+e+szSy2ZBQ6eVJA%BejDt9$>SrZmS>E>Qp}fESdO6mnXojQR zRGcLTgdmQw^BgHOsVsDAa}v}E|7uE$FX$t`NUS{<2XIt^UbdmJQ*J72+;IA_qSn=7 zqKF;q0Hv1YN3#ezs+7&PKJTm1u@p6X7aDomS6QTK2)ZSscdL5K;q2@&`)pI;_UX?v zDmhPl7}eGcV+PxrNs`??Pt`>x9H0aQbm#Rv7uwIhLm*$r&~a6O55p~fLDYxCXfId1 z+Anh2atZS(<3_P*7xwncng?U)Blh^<2FW(pG}q9u1YBgaaVFXY0o6DbnjF`UJ5N0b z!GnidTl9X_F6Zd|us*0GBVAG?_QWC8nuIpNGo%wSfz&DXKLs0f>JPTSRAcZ>ss+Ht zWfyeZCV>xoKjn?f4I=^#iA>|&5ige$98eEGTM|j>pd|F0#EW+5ttmCS$19%UTXb#P z##>i!R^y}8$~EvPv3u@uYbb=jkj7_UK>2OjwE-8hKjdg%X#!QEw>ITiv(e*4BeZ}2 zob7Fb3>%a4beSfVNcS~-{GjFRVNTwu>oPQG(y}w)!H*}s|5xB1cIa6k6-UmzHRs^( z_mGo$uUJNl)xttRE)1W*ySX$qtEZP*EOgZP)hpl>;kbJ+!25{UqUj(k8R&2x9kw(7 z496ey7Gw~w$$a)oWpyrqQppssIA=C5KuiYj=PAy!YS4GUPT~FV;>aK zq@v87&FE7T{d+;JCl)_^cyIR}Ml=~|$ZekQY+v5jCR2fV(oWMf9R8^~eC$R#>wAVTLiKY&_Pk}5 z;3I*T+a>1rAO7*A1%tj0R-nB;RQ1aI4ia-WnQ!^43hb!2?lVHN&0v2p8@`B_lF`P~ zhS_mO`W1uRFx)3HvLhPY>~B!19O0TRNLE6dq&pj+1Vrm?qg-QEiv=xyNjWSz=K&pJAJ`- z-%NM4{m&={To^1p&NjyR#(yT??`g}2KTYW{OJVOpaeUVh_JIm2^6<|4p+kMuhK0YW zIG0G9A?FUV+*_1rm+i!r)9%I8&k_Y+smlcd?IPbNbUyP1qO-b_7S_c^sPPiOOK8Y) zNI1NGN=Dx56v57(4^CN5;D;ArZ(_{-qG9(39(hJ^*VY9vt*S49+m1D~!hrtRon~>| z9L71bK+BDQ=bIme(EUY#_(?>2dpZCYhF9;<^Y@ZY;bo|lNGR4x!wVX?FI^G<5j z$cG|$^o@1z}(3x?q3|Px>x@vICq<-gLAc%7BSz1c5!YcqPDEwJvY8 zYY4MrQX~O@#=yU6I3pOb?XKJBSof=={*XH9XI
      u+O6P4vToZoW%5iQ84NU@%ci4 zTMOeN@$1Z~^OCXYV#qE=5Vr(!EM^RPEBMK4+JN5~{5We&{q z=Nmko;fsaIvH7J*F#}|V6mW!`K8Oo4fCHvJCEs5a(3I(hqqwbg%ENKAgdYTM(+@W3 zeXJZhqzcqCV&}UFT3Nu5pSwd7&D%Nl-JhA;!g9q0(Jhi%RUY zNBmd{a-2+fJ)-FcWP|mIQtO@u8Q8ZWP!AS{(qW*I^9f4vnuz;MQR#C1vbJC7 z9IBVCq|+hc?qD$yb@o^XB$CSCsJ2Nx69PRDkikBniTjO*XPE-rI$i9+X6OBXFDV7z zLakDTq^{l_mxG_ivkJf=9hJ3j61-t+>xAA=Z;+^P=K@g@QfwSPldr;SP42<$PZrvf!w;-IJ1F-3<{WJ%te;_&< zdGSp`Mp}irhhhGB@`82<(@pJv5)tOQLy4*(&SDwlFGG3qlKgtRiO^P92>9JYNdmJ? z3^60WhZx=jtv5Ex!SLl?@W?8V|0S{uD-$u zKTh!h9io}BfG#)yb>#TD9;6Y31_Ml;?4=={Doi1BX(|*rlE)@Hi{wyFsFuc&K4)}8 ziSyhpFO;<;%S>1*cbYV_*;^X;jOo7~4S^j?zSd7nVFMc*_w(mVs7S83GYT{S z3nOJpbn<`GLX;a+U{iZOrF@0lvrIH{0tMvIUn95^hW4BpgnJLW2+1R}y*?3)Bhn@p@JR=syXk8jmspMJuw<$HyDh%F`I8Y+)#;#=2 z_Hu)U{cX*sgihRmbG8Rfb!Z$Q)B8B1HGU97)1N>k4AkO74PN3KA2-W7YRUF55U@ zOJE!o!nk%L!Ix9bbby^x_6xLDXZWqMX;z;oNuldr^dmat7nRoWtqfLo9sCH&KxuHm(fJT%R& zS9M5J414=WaN!%+$(|hc6dkX}$k>j7u!0|Zd42TXuVjiB1xO%Cg5Re5`n)9>X~=3u zWb;PiT=l~n?L<*b{%XfbdReK2kD8{x)=8$Wk&Jo?1M^u^sFLnq*R${w}9T0N*3va&j_A9zj-cgI#Z;^bd)n^%vsPAyvpN3zpxWB zu;X#3V4gWG+G!iUjFQw9KC9A`dsff7i&hp_p`%>-tS6=$Liud>$ewU%e_uy(p^;bB zEh@q0bpe{q%vx?f~*oPmVR?Ury?l_l9y3YRz0uf&RS|mTEq+QrB29X-!{(30 z8zIXiK7VmH;2CN=%1e=(tkLxF(n2$ZUSnD#0D`_E2X6Ay)?$`alg{SP@D*yK-+rxP zvwsxBi8;3st>Ru*75K+)+?^z#2a&Qw!HfvwC6n2W@cEt{ga{%$JRk9Vva{uQBX7v_ z#q-b;i%EkYVRkea%aYNmh!M|IPjU{9{yz~6Q){>-{Bo_K6< zv|Jk-LZ=2BzG z;xqnSz#l}!=G}z2MoFGND0RGwFS&P3ZqQx2*wDOVm%~-Rx{bIyv_q4cYEUB};6N{M ztQCkPQ%TjT(@W}WEvm=c-PBKPMZ31^%Y>F~t?udJqKwZ{Z+nQKO@)FqCw_hO#t$dD zjspW>yZa!kTme|*ijf#-#i;7YIz$KK~#GT5?U z*~lIkG8k7t#l(l_^$X@J(*!w^ss-5$3TOo?)W%i>ivg6EMy})2D{5=K%*@{#ohfV$ zr$l2{6GByk?u9g7p-wID*DsmT&207b`>+b!w@Yom8tx#@OKt)RUE>|y<5R z`?BB%P7#&FmZQaULV>mqnne!Oht*6jEl?}}P#qeA8sj|M!t`JlGR0i|0PzKpvB+Qk z=34F8_fSl-qVNA-KmuSS!f(mjiT2&tM_R0MWEVU3hXX5;5+7Tn3&KfjxGkvWRt+Pi z1=Q_o@z}}9ylWUWGY-)LFwW+*5qFyjG5tC0IgA^RL%l?aBZ zsE27WPXRF|{2eN`YY|4#17UBWF`eCzhd-k9!ptI&X3WM8Owiy@HS#UAUtdDV^8msA z(SFd{EW3>HjP6qM*ZxM!y1XABdX*Hl)uzEUU)hzVk-LjttFH7}NO-^C)T^$~V6W2s zcZ^v>$pXmM&X&O(T{g~hK-91p2-9}v{Zi~iGhwc{s*DF_52`I(k*jb7KKJ`X>fuRQ6@?TjdWq>hw3R*{2 zUdq2p2{{alC6kI))FvFP_{Mxo&cyu$(qdWyiuYl`NObaCm}P9AHuBKt%UR_GZG7}D zAdDPg9*(k9&pa0!o*~pn^e)&f@~cKT^xUIzS5`<-clQ)fnlkz)1?jTMQBCq+R@GMl z&>qzUc8_=0rpN>C1ja4hIUXQE(#JlSL?k;XR54;Gr`p8RB%rux7P7f@I7jOx#T*3j2ej*E_G;9?>@*P0{4uMplDFLU$?)KJ#k zTh&j-yfK$AC`b}zU`0U2PS6M_R%Yd!Xi#~+wY{%MVoaz>SyVG z<^IIm|LpAN^+$L)Q>zYx3afyNl5s_O+d%xoYg`lNSQPGb6IFT}R7-qfh~fir-OzV> zK~9Q2fO&9#PJ4=2@8{Yvh*4PP?qhXD(mM0U@Qvd0mwL`{(+ZP=m{Fulbhy-g#s;6C zKMd1H!zV)wZJEWM8E`Uk6&>I16B3Bb$0XGlycH}zz22I0;Wc1R(%;3@`Njudt@?lg zdotGPsm!9f&xf%@x(c7FE6p8*a71pe?V6V4={5 zRym_6?>EF1zW7%S6%ZAIRdQ?XEJ}_&A3I?KJZ}kZth;m>;uJRI3kdH?p}o#h@#Day+XCBo39^h?e~&OIlE3y@ga$N`R&a#oH=RE02a&okzVP8A!9H z@Cr3!6G6IaMC37cOKx{1h3i2Y3i9CWq6`{H{Y;iwj~tG1F8FAdUu3_$%FL$QG(Gc` z->ym>>_T~+%z%aPM7IYu%FNgg^KdLB-`c}&L^_!6MR{%a2dqlZP^<;bF>>77(c`@w zS!02wbEvM;2z$NL<+mbr-KZE|V_@;KDgCP$fMzo#N1s&>^6 z32(#cCML7)TN6)H9r(m`UoWy1lh!ytAnQsGG3)cCy^w)d+wYobV!Afs8{R}>CU8p> zYdIj|Ccv%oa(eCR)S5dekj;Kj!0PE71*?Omp%w!0Gyf z)M(+h1r_rLK**`3Ap3q|-H>B6zsT4ra{UzI&>?rNmV+m+CD3=VsulBOy$a4xM;`PO z7v5bCgUjp)@gqbp4_&T{sg1*$N7>{$ltqJ-pzr~%fBFPTt3@5MKgX5FZornGyYj)*amFbN=rJfQFcw^%4EG<#=nklDuI;|5D#5w& zl)nm}^nqCXknslfO-i8P-?nEXw$<4YeVI6A{J#wX$I`m_!3SHOa?H+f}*nzH$ z&>m%DqQh(f19*VkthFegh|vC2w#fZ#5>F_ubDL8b?($audtNSM7%2!M-Rj)UH8CiF zs1pTK0iajg)^QlMl*HG$r|FzX`qIWLA}Z4NaH{6(>DwK(w>ggsd>NkX4E=_+VCv;k z={1Btwk)`9hcx%1UH!INlyySP@G>{F8k{e5F{3&DykMs98%d5YjJK*CBjhJDzwx1y zA8sAJxlIGF3Wg}2vI|%$Z_v2wi(mK5S-5}@?A(_XV?a7)tGY%`?VkiBc}2F8QlNf>65Y5C6 z$)%hdt3i>lJIUdZD>_aGtIpR7D&oypbf9ujv>} zk$z5Brtb^vH+<^a4QMj4h}vK7vP6lsH1aJD8}O{oK~s)iWND<^9V~K67B-+DT060` z<{Ek<_9x|o*|6N;*l*8k`533OOlX2yOr#Z|e~d(l2_uD9Xt$G(yzfD{!A=Y>h7d+o zl30%#fW@KK$w{~Lru=ENG4828bBLI-P>UQy`U8aO{OWvFtufE_68BcGc z9M7-czRFaic^vZ<0&VhZ55kaun@vm?xiFV;GsMeCn2F~=!_h3qr@Foun;QDcm3P7F zG;h2vyi<58MT+W@8GN9aq_oEJ;IU@Dd$a!+vi1H*L?%I2P zK*Whl^$ge=&kTQkQZa6^^3;5Y3o$*4V%jOSVXeVN}$rbwK(Hq788zYi^PO>{; znI`qYY{|&rEnB??y!}LQ+Avw?%oVo6WO)A6 z@0WHQA~sNwLZ!=lBCdx-3H_oGEuk0>eB%6lJr@9fj@jaVo{j6YJn$K-*rCeJGlk2= z@_jt&!{$5Dj|4~bPvl^r5UBM0u4t_kkh|pzlI1NIvy@BPAOn85leI?}E9ricxMvZ% zw#Xq2uUsH(f(PZ*ALg}A*4V~CjWsW0)Zp7_A!Wks-@Us8U4Q7e_ao;|QRjk&b@7um za>M;&+G|aAnPqDywb}_0_R<09C#YZ769SD2YcYoKKq!7m91@i{&#)h#$EJKz9-BsY zMuOg*dlktr=M{2|N(T-lZx$p$tl!UiH*QmGT}gEZ6w zbl!WA0Ba5`PiSU!8p}&!msb7|@A-ZCKa-bxSUHus*~B*ARP9po`700yPAzk4$hvoP zkMJ|+TZj}dz8wqx1WMpG{CNz&jdREfY%y)SEK!Z@S`tFJ(gosX1SK6BC`N3?0%ogw z_*?p~v%gNSRk4L;uqqej^vgz)-VdrB8AE#IQTz0A33gB(^&G)k%YepPq5rr4KiQ9C zsixuxNFt7N0{5<#KPqd0A!-5eUT+FGYOao&&mv|j|Z7mkU9DujTbRzronV&T@a&h+5>6h>v_9R#&a*j$}`wDPo&XR(rrz?UmRz z-G4kNzRj#jR6wG&Oey%)89Ivdo$3ST&4Vrr>Dg*GnB^`>N9TBn29ax8@uL^!K5$W>2|X{8O~LL2GEkr%L~-D72wmuTu+w!?W$> zaarK80Stb&|Hs>o;*VVpb|M$Bow%Q6fUpR^Y2yxhD+4`nxPA#2gRBBzy%@Nl|J;l0a ziR{>YNa)|DZ~<#YAAzvpvNCAF6T(Nm;E)0%buU((iHa7jA-6E;L(W^Iti90ryC6v;p5kx6Scvoa0vErYAn^BN=s+i%KQe@e22i_ZH^-<+O-YchmwrT*=ad2Pw!mr& zm--Pd*2R;-_j?AZP551PO6=q39WSCY=jpZ3M`=JXCEISY>^q(=>}=ojIP;}e=Cr*1 z<6BJ=J7zNyq;97TwX)aO8dXmTV|QY`1ixrGrn z7(&JC1|PxPv!!Q(;G76b1GE97Tc!;|t=Y@(-BNf~8EIk6J(#_cc$-uDTMDV|);e6c zDNs0ZfDQ7Kdc=+ofy;e=$dEnAe1k2-*_%Guf(bW>I_WJc^+|eguEZlhkd7u2@YXWJDrb!*cywP9YQkc@qSLqX6fQ`)(7sK@w z;A{4HfjnmP*@-3Z%vX2gZ+BCNyz;Q(il}h3#H8tfOF5A1>7gWvBlm_a@B&f?GeA^c zagG1Ie?<6ziH=w%o<3mr(I36}=nq`hKPM!H3*3hKfT>jsPFaIR+PYcjHqgwC0<@U! ztm8e~0T4hp^(q^Z(94W42Zy&P%HksuLzOhPe$oVyI#A`~k^bBa4CcLQf)e;l^nW-_ zVBGOeD1DPQTwUbnZIom_NeFMoLW4`6zoSZQ7D0|5vTRRfS(n~R_;i-d*-i5uAw-Fih5GY-3Wp#O(-&A%cEC)FK`uQD(#YjbVTgp1_l^$bAp2^AEl zY39|(^I$!D*;HCUsc^BDIX1JDmNw|N z(}Z&S7k7EN#6HY4`0rHVs2fUYRwo1MQwW9g*$Yf3lH-l!z1z!DMX;DIIrG>~Vv&(C zXE-i!Jz$S(FWhu8k~#>Dc@I^9>z!IK z&km9EM9|*khy_}Oi_34SUlUlkQrx8)0UO3AXIduf?T67<*_!-}q|68`O?|bmf(TWO zk)$eH3G=m1Y;u-dlKJh`7!h>G(kM9j(0hl18&Wk=(K7&AE&idm-t|=W(E*6dIzXh% zLTDJO=JXs@8lPNaSG$o!@$j_)Y2{}MMdc|a3ljR~X>^F+!$q&rY1<%#wZV9F+?F`m z`1q3%P)ouhK-{(FTPx1+o?>FkpMouDMrp*|h!E!JyA=B9Bo zF=vtUjHMw_)?Lfaj?*BGQPl$tFk&MEum0B@^wCi+Ib^1)1m$5hmRp zUl>}iiptT5InJc!K_qIR7&YaqfVfvkhUX}0Xy!2i?22lH5>upB1TLC2@K_XG24S!q ze?`e>1`Pmf0IKVpcfi^;`>0@%2 z{iusNvwu)A#omr8G^|O@n-#?k#HU*Xk5C(Xx_yn7%@c%v{@v?Jp=y+iPT(k5cUd?y z)N@m_ehi^{^%}TM7nK}hKDelaq-h=arVH#ZD=PCfrUF2;ueQ$&+*)NCIC9=<3?N2k z2qfzk@R*-T{X!Tlsy$w=sY)6}7W7X$@-nWp7BkVWsv()zE%iGtztI|~#EsLzE57Q! zC}nKqNOrp?;>bcSva#;KmF2D}Xmg55EG<@`lffRu=5yW)IQ~vPSbaz2C(ES4;^4c1kD;BVQ`foB3}^E*i0j zp;21;YAz~!euY5r?0(<}-=9SA*{44!EFR&tQ0m@K3Y9+kC_7_(_ZXN#R{=zWJ2j;z z1`3^9H)3?~Y$%fRg^vORv3t){2{G3WF-ze+Q!|{*zJ1z;4q`31Q>!;k1GJpqoDq@z zxf#{c+<&2gdargtb3~Qt-YGC*aWYj32u06y1{LLSfBz%1;sHh@eeE`asshe#ENE2A z$L`?~c&rju>P{&}!=u@CXkFm_#7M3paIhjA&#RQ};q38bk~~pfP(b5;quMxL0tKV3 zx|7{g!~R6%Be80tlIJSN5nKpA(MV#P{>!9kLCby;s^amz;-z-!lm-ub?VF}DWuP=P zEZ_bn!0aAZ9w?)RA~NZE5#b;-4ezcpYk}eJwA9KXU#sSS8mN7qLopm8h|lkr=$VQLtr)!{mk;8o|Ciqy$n#*uh>-g(jhnkkxV z95NFH=wq;;w|A;2D;9^z-5+uWZvsR5V|LZNDa!`84Zc6P#|J4cGYnD99E|cTr?@6bL+&q67k(A7#+$$$G8Lp;#kyUV)$5{}l2}Z)_L9wD#8lH32iKyE7<9 zWH%pBP+4N68t_^0u_^~qn0P^JE*)@Wzz372G@$)0u!}H&3(SK;SkA)^wH6K(1MmR* zA==uKQ()FeERu$~I>O*1j~OF;GK~*&b7C^v#UI)d-QHSwe$u1PV{Q-O01)3^K6mwm+O9Pt%ySi{V?@ZVpF=*_ zxqZwFN%iuOW#@_#n}iO(tC-Ijn5K$Il@|!LHdh2`C zi<~*t-hWql30_sS1DHR!T{wHEC5C|so}dq2;4GhFBh=D-CS1G{&kEEfj($&n3HHHh z!v)72`na#*9rKYqVh;Xgq0bbw@KrejRX>KS+Rb9|7?vOhtPCo^g{=Ib7fwank*eze z^0}uGGeqMduGq_SmLmJiVLoWKzb3g7M&Mb0cMhO%nfG&pmt8~@C%7Ia?sAZBd<(8~ zc$vfM71|0F>H6=E_dOZDY7Q2>MwMLuug?>(z#I{lbM@uprVf4M6@_HkqaN*c-^&`F znx3RGe0qlmJs_H!$ck33ckzDXoIwwUv>l^kqfotyF zOOErucC^k{*A_gqsaKMWQ#u%NBV_m2H$r}prRcvw>AEV1nWN!(-qX;?@w~mB^6~zw zn-M&{4IqSquowrTBgJOEs8l1Z5QB1j@qTrq6{R3bF-Ll@cacC8%$(g6Yu(N4EW)g= zUY>-@g|SfNTJNB(AO*|G_zWIye?YntI3)n~ZsG8m+Yb+cM`^(Hx zNt|nx@Ma@{CR#+4_NK>SIjdv&Tthy{v_`RbfSIv0diAmn5mbwQ?hUxnp8)`Sinh+34q2$fe*AS?} z5vXvx&J9)0i}oOY%}onSSccHTILo$+Mxf*QhQ?2@ya>fLA9N3;_Ab62_NKBdc6BVl zjtmgoM~fC}pzAj}#4@c_NeDKJ^B#SyIFP&7VH@)_7o~-a6HT$UWyer*z7aTH94eO9I>+{k z)#28Eg&CHFL|#`7mK)7>FbSzSJ;4;B83B6Ih2nyxbFL~cl{ZkgO=^_9rVK7qY8-?P z6%WbM;0}B-H5R)2V!C7xH7(p?um)Uox7?^s3b!nb?%y|y3^1yNco8pM&jE_xLd1E1 zGY8nA?D*3*Oh#|8Wzn|E0$%2@>tjP)G`;|7b2Xt0aK3hmWB0lS1+TR7 zU&7w48swI9zM{mqvCnMlvip?V9*DAa(FLU3O;t9;2X@HCeSsV;knP+9C0%>SqrTQm zKynM**2fsD^f3Wq;=0BZ;rC_JIRE_2f=@HH{2}W`%C=&JN_sirS`TgHEcWUmlEn;? z8lINyGoiGbu?nra0a!NR)HGsfCmSRscinevO*4FcGafkQ9TJIGkSqDi4O5+{{=Le#p)-DJ z@oY2p6q|8S2>?zHKr1ZT^=YpU{q2NCGyk?<)!0g6dt2w9xwM+SafbcZoB!}Gj@*r5 z58gP-^Q!H}p+CN%&?Xbnetcf2vXPL2$!&F{d{k}W;V#`oNjG+K_q3;vNr`ov%SbJ; z@#290?-&!b|EDZsG@3kM+!pnBCW2%0oWCG;Dzh~j|K#~r|1g-^3p1=+SF=0Vs`Yes zY?F$#w2+kli2h6)-BjwTx!-cs;jd@v^72|(3YPvz1r>8Ya9dX2hc4*aS&+i@xp@G- zsl1qD`vUK@_k4Es#2Irl9JUE22W;s#GfQMz5~04#?>(ZWUuHy;lyhU#$3T`fRA)mt zN$$X3aTw5)k%?G=v62f%cg(RjZ7Y+f()invcgIOabKr3Y&c*$PsI^EQ}kKS@TZRMsgENGXI;Or33~5B zlhHa`x9jmuCC73+%Qf81W4UG}>j;r6Kml(eu1hs?jmdSyCXCMhr6`o)({4Q#7!N(r7Ymrf(QxY38DeH;FstvNFUT7A#l zC*eCw6`CLqIM?e$hwq__W)--X%T%HEY&+zq8gxFICF)%UVHp_dkRt)2NuoVIw7z)^ zkt5qhxQFr+0%;*&c%6H8XMUDChv8K(JR0O}&t1i$_q@ck0$Dyh2X$XR;~}TKOAI0q z5_5CedW*r`-|fc5PVpSG*_c1x33;fn*_^cI_WH;-IZI2KKwF-37^d3k`4c;X9w0;% zroQtTev|`J#%Zyy`R7~~@s_jVj4_SNigugHT^_TRyBbwu zUubGsTHGLaHLkj5WV6swT&JM|9B#x)Thz=RQ}d@ptaEQ7tHUC{oZ06zLmAEMNDvjBYH*(AxTX2?h}8 zF1jS>{!c>AW_$5UErWtANuktqjq+^3BDz%Zd4W3i<9$)X z4?6$f5ctwSZ14WJdfV{_UT|e?0i_D;_*Yx^EI3%wsi<*hpOrvlP2jmR3^w*lyjjpW zhX{@5TCXLBG8Yt$OC@yXvV2v8jX-1y9m7d4MLpNi2<*?v^F$hF%JQrg_$LR{xqzSu z5MOTURz3Pc(nJ6Bs-v=RbB8y()xb|#sW$`c#_>*3JS9nqWflgyP_&+DzL101KhP1y zz-Ci$H?{$~`415V~{fLMSuBy=yT02Zx%qVz@He9{@c-!oMJ1a`zO8OV%mK^vNbHi!gkl6hVk*y`DRA;& zvDnfGh9t@&jz=mwDkhQ#v4U9XKM&__;i9L2FSz9*CJ&uVNK)* z@wY^nQx26?v>j*yJPcO}!I==-qMhU{BI;K0U)O=TnM6~kDZX05t*Mb)8ULVyBC#s& zh}5|4+xR_$B9F;xKeLqj&{BQOQ@8K8x*JXjYchaw2erFU#+h_50c8&SkChsHCDFvK z-{pdKYVeEz+{z}8T;2AEm!{1VAbEsNmCnj+DnULtl30tL{AD26mf0iH~v-G*0|G1!)F zmuR)F)ye%*2Ap}GP>cpWN{HoIo&Cnw)A*WY(RP`U1W-v7@gB0y2*scy!-NYrPk?~F zf*zNY#DR6?L_uZP^@tizw|Io9!#c|iT6?jpi2!zkwyirFy73t z3R0d{vai56$m)CwZJ4xu322H86XXURCtlLLB4O=~?s~TUVwW)cM1Z{VE#G}pG8)<3X^12H0{l9YQ3BD8{J(GrnC)qs_cQGG{q{O}}>xDxMPj`7!?J*ZzJ@GZ1Grq8=Md?0~~$6h2I~ zX;oPbcR^wvt>h3ycVivkS5?QcLU_}TxCn)VpaQ1em+FPwID-IDl?g8M0 zgi6y^(%A1HeyF7iz_H~xUn(-nzK9XnzS?Cv1;IVmDAZ6h!{}iaY9cE&(W$Um#Qb&4 zeR2`QkM-jH>pDC_S4n!`Ix*BKnkW*azbJ6 z-~?TS8m%3O6wG9vsXOcJ-GkL^({!ruFrt8SoY6Ir#^T1xLM1hnGz*_O1fZiiaPWR` zaTZkZoEjRWn=NK2y-&IK3oEs$3T+C>id)uR2*Q7_ZUW-~MK%?VF`1cltYSRXsNuI!07U#Z%-ljK3^u%QP$#dKqqSCh~NKcKr1Cm^eaSVtKHF z{)&wuORRZjZnHhc^HE!;uHW5tag4b~Q)4kBRFzmQm81ylHIa4hz-o}vvg-Y-RYBcf zAhbHXxx13M&yOjQ6%(LK_PLDM>?qy=B%D~W;t2rv#`jBkX}9&-%%iQ*ITsyM(#?dm z%$r#VMLQlcXOmY|yK%?4E%T#~IHfMZH5(t`AhQOGGvvEwld}b^Uc+fIyMXRIh^y!l zmx<#3;CAd}dr=BNo52k`P3DaOh7Sd%U%=^k9YvXsN@;1Brt^zi>JzrmY33q|nVgJh z4=l(X+V-BQ$t6)`)SUcIYNDu}KF0*86gH7}_lT2!T;4!qgd1T@*A_z-e$y&Jn&AbV_Nmg+6= z1a1cZH~YpzCN&;K^W?i5FvAh3vX`v=AaUYdM6q=y9iNp{+aJW?l%BZ>jx+BDXi5%8 ztC-6p+6*$VP$S%g1WVnW1+zL`aJ^D2ARDw!)QT7gBK~H zSgOVdqEytzM&?7s;@7}pL3X!Fwuc`7e)8DU zNtsnh_+&o}7#V)r!GD+BqK==OfH+U+Bza5~*~fy~^~QO0Ak2z54jM!cKi|yRt zP%xLJt6n-sE-Y^ETv6=r+WR0BAlc9z{snTM|HO+Bi9WjisL*7t!4B?Z*ab%Gk0b*xd34PfJQZQ(A>YTP8 zGAzn8r8EMcFGx|@Jdbop9y*4ef#%1lY|ft$BN-a zQouk^N#H4^2WTslizP|Uw?`AFJQ0p%AL4StGsNakvyYwW ze418NKXCiR%6j2{m#J6;s&=)s_`=fY5wBdb!a*i9-RJAbpFQ<0XE_h0d_VC8H_eUS6uYWg~hy+bW*5$ z?CM}b>=}!tOT`hKXN}cEh)2B-u}RNJA%|sH#*S69AduWQI(08s!i&>MW3!xBclV$ch1bt9kuvySZk-(y%@;3bng(lwZhLnw&oznRCP~k^NhN2POn*Lw3*?} zc$1tf`$y-DN=@86F*|xZKR@v{$NQ6?!TTpNgY@t6k-$u_$kHfFgK`;yQ#|gNa z;QJ}6$)b*F)NW5aEUH$=10EjNPRu;=x>h-on-2f75@d{w#!I8v6GnL4Vx1j%V|y=@ zJ^?2JHivm&D(IK5ZZ38SkKbB8sVViMh4Y)NBOlwyY-RUxgpWNLZk!h9{Js~uvc4l0 zORu4>Qb$p%M@w|ss56roy}QYeE$Dr(7?CLeP(e9hQCRj3*9RbBw#$Fj%KT@_qh{DS zY2<>fZT=Z47lbj3cb`&(V^muFNN59zfsv1#Rxy*`pnFBH3|551@!m_?Z8Ab_Fyi9q z#E{X^ziJh9b>9uKbEB6xZRRnb}>a7AFB|wMaO=-`-k+K2b zTw~xxJ=jJZKeJm%VC_58N!bzr#Dn2VUcUmPm3l)!V--6Ym_-FsS=yr-eM9Jb4s z*dE(xRRA=t?e2v)7y9lkwAM9tvHu3?3`p@Q-6r%#GzcU znRu9&5&_&hFWc_w^d-KLu8Xm2^LpXALvqa2n3ArOyr=d$mz!Iv4xzFh-hJL#_nLLc zMtIV;-u@#tARlM&OeQTv+0ISTKdMoGJbDc7 z=uUL3*tJ2{ge$=80GSP&ntS~YIb?yt;j73p}q8EI>ETX8tvf%T5^w0^GIT6qZ(-DwhOUB30d()Xd63DqoU$|lKY z2q>MWj3VN=_@XrHk3exjLMg@Te$0Xyz(p@km*M6h^U0waoNz-HKM9Se2%*BQ)^$xXEHpYFEc%3HYmqb>!6qxQB& zI<4qi1ILL*B7mgg$0ueP&>tFf*4zW?{FkrVqgWB~q!X{R* zY&tcDo#LxuFtObZk{|39|BPC2vFX5Phk@mAo4ZaIm%=y^WTTv)(+X)egeyJ6@spHU zt$D@EW+1Z}Nsoa%D{0kY)VGSbvqqDMm>RqseNrba$S2i9AlZX##lxiR2R?T2#bo~f zq>a~)CHW*4?NkIBqj$)_$Zyda+&PB@VU9xfu_1@0#(mbU%*gJx@!T~a&Uy`KfxAS2 zWgb^l%}GNqy0W97!t9v%gY4dwSIqj)mA!b{3F`A)>TIG`LohMnG>c2W} z?J5}Re=?!NjY(b+=`l{pCz~aH@(JON3q^&-xWt>4oNcHGxQFN!h~l>Yc+#?`2C8YI69;oDHRVhoq^d$GtT&1CH+&o%`ylu_ObO4@HQA;j)-~_V zNb=&B0)xK-`-6$f;%bIfrIq26@Jvz@EzWD=s}d3swYbA<*184G3s4&L4aX16liw&J zFzktP3Z&7)uIu>uxQFt}jTO^7pvK-Rc&kl7-A@9{4K@h3O`CHhfXt_dJ5nv~IFEE1 zh{>qdeyF?zj)zk%_!}<2Oin$w*byH=2X))I9+%jNRAYG)l(y?L|6OP1`mzZaMo`(P zdd$Y~qdk6U?2#O*lgg7s8skepjzQ-@wn7g-(&ZO-Bl=&JcOT4Obgdw|DWrdEcuZh{ z{MKCg{Pnd@zy@-}r!Imtqdn0@t9m-OeZD1D;`umSm%9;PMNpjecwXAe`t7g3f2(VR zmTOq&>n+xm=|i2xdUq((Hd5e6bFL|M}IM@9j!B^ob{)uQ{Z}beZta)vB z^WE;~SN*I4`S`{F1`;Xl`iQtF9n%#B+*7*?rff)f;uHu!d#wsTepfY;`Fq;+o%L5~KEu6{-GfXae1)dLrbJ=Mh zMe3KrYy5h){D8_F_%oj@^F?%sO) zkoUjC8sfG3eTkFB9|8pop2fl9Hh;eyF)Qj$$ep90$uZ&sJqw`1g$pbl~&im;jUTb zbF8VgRA5keRa|700wHeaP`uUYPusICm2Iyf0xptKwNy;3rWL~$nA6pRp!wSez29&> zdUgJx&Fn^m42m$K?AHCW-*d=XM++(YbV8NGop$mG%CFHwtr06hpx~WD#R$;!t=vJH zm(4JYiq`2%#}t;ELjiJl8^bQVK=E?3C|3+vsk_yEAn%HvLzrVm6#RcC8w*_xqy7i+ z(UfYSS*7SZMm~pnWw3Tq<}yZw2@xPXH5$jKgGh?`xHwh`#R+kDM;$T7H!B?TgvSp& zRAQmj=6izzVf>UuSVog0xJgSmdxz5{`y`o3+Wy;}hABV#4SsxSS~QIO$emg9JZ=q^4_?yuN{QbsU#7`20{_L6A8Kl`6|gO=+75pLF0tEmihOivG~0NA z9RSU=pf+}4x4=j5bq4uwjD2j?e+TMAY|42+n^70@%S7$7@YDx6JRRvu{+1q3rjd#H zCU5`S5AFZ~nQ{EPMYd*E=Cv|JZ}z4O!7;@jW^>EG#alNV^$oRF{Fwes?&Gq4HedXm z9V-|VUsq->S#z^@#2mKPAjplX3Vc2dAPofJ1(j*56&z3u9*^_dcgsRazt>nAT?v}1 zDD3Yjk&p979?Xx^-3kvQa>DoMd{&6a=TJg#2i0#x{73C)ne)_2R^R3D{-naTuWvEc z0d;EoAjKSaeB(54Pp0&^3WAtJa6#OjU>z`&cLMOWX{_l|{X^hM-uG4LF=BG0qqT8E zJI7>Q>$+6OckCN1l>+@o*yHAvdTx9dMX+Lmd3T(f{Ttz4 zkUMK&u&MO`j0PzR3&TF)Y!D!!&ae~{Y4Z9r=_vloS+P^sz0Kd5}Q>RX+Ob9zc#ePpJ2z zJ9VgYgo+pl15HXjjnj$~UjvRm>P;#~NRq_#Sbwd}A}g*1Cp)O1H^w;XhEdn=r2_=}EF$~Dx ze})*WeqN~6=*aYH2USWeV%uP$T8oqZz3Q-Iqp(OiFT-hd{*1qo)S)WHCx3}HPz`x^ z5!25QgN&9gnKV&h*B1dwC(Kdr3}GC5uT7dukPB{PbuB87=kI!*{%_>;Y4vZ?{hh*C z=2>_oF`IkLmO50HMtIOS?!X(ZeImI{o^+$7a!-xu-@rFaPH;r5-r9drQ7uvPOTSsh z6C&f^4FmkNmrJXn)&vxhegrdGz`3OlfU5-o?bR4W-7KMQFt@QuRJ!B8PBHI~zi(wC zLYF3GG>E0}wd@LFD$HO}yv2qu5E&&QMRL0yh!rIx?mG0%U3sYT47T@NcM-}H1!lSq;{REfjoorQIvb0uW?v)$>5tTNZ_Y)B z3k`b+X@7kM`beX*$JufE1qq43m}e=x9{j*Zx#5QJ!wa*v;D-Idh&~7*K&DblF!kzm zRR!7^LFTKW{|SAX$VRIw3+#R)<%R)@ti02I7?Hl2@en$H*>WmitIur2PH!_y4q9`M z#OSrtkqB7k|5zLso3RYXIDedGW^yB~!$py_c@%ud)2j?d{y95*LkV#@AGEwdLo8Q% z)dhM;4?lxCfk&fH+7(b#JB>yM@j5o}V``z`!~=bVhvRbK*lFfO7P@=C3Af(Rqe*8$ z4uEV=c%=% zMdhTg(&ju)8QFL;F%y(26z;5;*BcPLptPsKKO1SG;m1+kro#XLAZw~MK|DPa^sX2K4W8?qLm?r$8+@w-rfD~mg(1# zDuym*4c%=$7bRpiNqaoSzx*0ljoJ7T@8h?p@PX1nJo~N#o*1%Cj zHdQ9W2dj#FW40-7TlIxn?V{qV)!h!b7f!|j3_HwF)J#Z#HWLl<>5@;+Ag7G3u>0Uqwew5M_?uGKi6zyusxcmee76%IywA2>zg z>S&48yAJJpYd7;5rK*o6z?yV^213fmRYACjeD46FQ09FyJ-wS^%NXlRP=bTC1O|F} zl^WFTd4RQ5t^C7hwr%8W*PDcMFr8wc!g{q=#HwiEp_W3VX!|7vEVwK?uM55p$u00h;vAb4 zJO~Tc7gj+5m}0QLc(m;5CXjC39?t1Fch30eD6eL1K6{&K4Bo*_HK|wChqePN!{pHm zCzxU;4c08MgRI%hCgXQ9_io%$esE3@aTrpMlm8COlPCe|g(Z%LXg1J=^7m3%jYA?t z7yAou9I0a2PYEAjkDO$!SF9r=)#Zuf`8*PN(!VSvG{L%Q|s)UO5|Ui{Px=NIt6Y11jG_x&BXBEB%=xOWkYuRJl)EBkVmDnV;j}G+@CPQs+c~QHhzPJ>7Tf zP*;zzEL!4l<6JeOg4Uk}?S;zI8L<}7UhSe=mmTfNBGwB&KM8+YrbxRkgpkw(7^vF< z0CpIXewJCc3`Q+cO&I>Z>Tb@puYD>-C)d(-ZaRp;XWaO*yvqx5G}~E+2L^DI(~4k^ zTh6Ly=w5F$ob%iEtaF(SN1)jXbETP|p+2QsVThsmiHquX+_(Hxic6F2cJ)K9Tg8JU zF8A7AO97Zpm@bLDtaXU>^ysOaIH(o{R5x_Dn1!}aTkjCWCq zkt?>mn?t}>r+I+2J70Q~LUpe%$)#M$w4!(LSg?L&Zbn~(O!Fcm4LJoBheo+M&Zcmn zusSQ@W)31d@wRVpf+Zg&LRvskvKnvHG1(~CPmJ6E?5=J?;C)KLI7x;wco719en((T z`yv&3-jAG!nS7T-XayA>aH9*BFOa?fGNpJv0%L--QjAw^bCg<^AAe*^KjImw=<_a% zqTrw_vQKNc=Bh`WV!2Ct4=%7FB1__IeE0+{(pBmgB~lZ#m^&w4eRL@9J)DbQmu8*g zO!4p2*zROB+mmOsM@ynI0q2Wkc9ErN!U>5x6gj^xfazW-Y1GIOs;^W!J*8+Sj!Vt% zJwtbNHV#Y*6p>e;Ot&30$y{Lw{Oh`oN^j!t33{=3t(D0mW!G++bzntt0#IBo9DG3$ zBg`Myn~G7gY1dN8rJ?Fh_kb`4UFs zqEF&e$_cvX6+EGR6;=wwm8p8M?2uRCQeSPnoM1GSgC_kWh9kb02oLgXj8A1A9gG>1 zDJ0IK@*+L<{Jq5Cq3_QAtA@3ApJ5oNSmhUe^M}jNo){Qf#GiG43*9$v|0HvVVjZ0& zPst$g5bN%TUYU6VJZRvhUt{9SESUzMv`U0uM6uH0`?B4_&^tcp?0W5wRWzbnh#!@m z-2o1nmAP4CHgxzW@-l;oNlsU`hXYv=MS8V%*~U7LEuhGTOo$z&1#{b1XxCdmMoo_r zu4Pwr&af#e3m3&^zg+ZeN{#!Bb`5$z!#>TUSILa5XA-j;i&oB-g}rR>?BKQWFe$6a z4JV!+!HLOrQYGlMgTiphm57di z0WgIgo%J~rSJZn?6a5rT?ocLyM9z$UW8w=wnTRa%r^st?QSA!$(9Ud6Wx;h+x>G!O zAL2A+e=yVc;OUa7T#LJ>0`_9cikze19UZwP8UUee<>V9tB_Ef+7 zr4gR%*Cj}nwxz;W#gE54%Wq4N@Z_X)fv)W*;#6wH0NZo42{T5K{H!gr_CBADC2MfSc?CUwcQ)5RpdGuSv4c)Sh*3zc;L*k-h=IT6AakP8iqb^Zz-4<$!)I?Cex# z^v<5H{7xdZr$?@g7j6r1;{%8j z%Vp|yVf#pvFbW!Y+)oKb=7&E(@+@xz?5nyG%Wz0~?DRIE36E#_yS|BXxJ|sb{<2@V znZTr$98J5i8LF&655nBOaFwYFuGqh*H>^2bGXEMxx|Fjd{8?C>OjNix-FIzc&HfuM z#;fxrR$;4C>8AWrXfKr(K$NoB_NfTd9qCd_wn&q(| zIH;HZ;x_6gpbPk5M8l;ag+7ZH6fW{Ggk@(T#9Z|S)jyu!OsdjLy(pO4n^}j$s!K

      i??nNl4W8f-l={Hx&EiE@1jIqK*Fr}a*f-xfuHC46#Z9V_ z`5BFm@AtPz=3s46Ru$IDR;d0|R}1w@gd^0u?1S(%kaS-?Im;nk>^kmEVX=645szP^Ge&8jq zET?S8s z4i+WjRaOKDLxDtl`U3l}r^)Q6y3DK1MT8I|<74wRcB5ZR^I!5|E2w(uP=CcX4Vwmz zgkiIpXMA?zhiUTVgcD}_P2u(v51WJbkLSdf(D2{Rj2R)Gly3m?jttNJ{Q-MP+*N3& zLtRM;&9oMyI;3~mv=~^Vq5EP|kxmBk6E-Dx`5oA37wNgb_X00P2*o8{)O?F=uT~yK z7LIaf`RbCE6ThN95}#e(2~;4-sId|KgZ8Bvv;?R~3d24)vd8d>I>e>RGvcaG=aRI- zOxkI&ck%e5RENYc&krIhcO$$-RAT{UPzYosG6wqASx zt&~29yLo(Nj0so=5{SkBMPFXJRFNNgC5V~narpl1>C&QJM3+VQlq zg%=iw_kh<|Y2#K=Eo7FJegut%&)c;>JN+4XAPO#p`b3MC!363oHw7^$Iv!X!9K)?* z#J|UFcvEP|D3dG!%UB^?>0(t2*yv%EMNxU9NXV-}fi%&SNJKmUZc@eYX@4LYmeLfN zP3=~VklWC>O|soC`y~XS?p!C#315Oi#^CoXjSl>?NH4MHLd`e@%s}$o=xrn z8|9wm52KH%2KbakN;GA~W$_mV^KP-@R^XhQHysQQU`N+hbSy$5+Y5Co{yc6zS87>> zZOhH@Fein2|8a zQEvPPL`p8yeM(&QZ^paUkGjcZ=O{AgX43&BL$gK!|162e7|0<47sl}4D4`Zk)}uJo zZhTqzt%jPSRwz22eF^1GHoCx?YR(0=6DqJf8bVbGo#!oC(NMZKbx_$MQiOZ2| z7sZA}iab;avc2bD$r%TrJzTv*{-2W#jyli$j2&A{k{KDF#0vaH@cVVY1*UkU&7!5H zTI4sUgLU)RX=C{QZ_#pMV%)=5gu!e0-eqQn!@sG47kj{OF)5aX$hUcwuBQY>b z2{asoaw`_4DGak{y}{Bt_6^c41C6C9;K_LS5(!v#%1?;a|8^8wfzhzo0nZ1y9MI6} ztx)L#r~`(PL`Uw=>ori`>pH4L8vI0_wAO*Fd>{X*+2h!NB? zPageK1sD>^dN9V3^wGuw}PwMyu{bpK<|}6c+CN^Axg3K zUD~cwhKI%yqdT^*)+}wB<2Adv$#91VUG>XT1bhbw&Fl&ol1Rab_GBhV5(VwiySS07 zxlbr**`__v%8O086OE5{XWemmxFH=j1Ab zn^d&6k5;7CQlEK;!YLJc3P&FDQIMTq<8Tn+aXPZKADLMTONYZ|3@xJ1b89MkiCk2Y z73Y{UCA%+F`FMAL@*JAxr0LUZ*@#TFAtEgGD8pBa?1L@aH+ndT)r>E`&ogbQO07(e97<6t$&&@|bYng4tu2DUeC2MOO+4-gl%R$5;xNW{lT@1ijobba1jH*0Kc|44a>$O=T zt0joP;8G6fFye&Nz^tr4^@G%|9In?{i87#SNXlXuRv1DG%5^=!^E?$ zODE`U=_ArFqY->H`kxFXAG_3nBrR7t+G~<+>&U>ivk$=}F(aRZ9=~1o6>Nl`?3yS+ zM_ty$2{N=lRoQIXB(uZCE%uh&;8v(h^&SfwLhAR(n?dnQ1K0QWbh1C<*xI+wLb8h) zXiXf2eK8NN1gcNveq1PV6`H;sucJJvh(hGGlop>KFdv#3&zN5BlF2AfO?;`f`I`s-hpbt_i9iQw{l#Pvg84)-R>Xfk~M#x(R4)KTZSx z1i4!3CgEv{-97CNze1^yJwz(=HR;1=P!vF1QfOMhxm9(cPV_vj?;UXdK^CZp0|br9 zY6RcLie5dc&DQmdV5D;DT+fN!&{_k+(1%K!?|(eYc-zSt2k-IMvjCTjTm$BJTNm>7 zC`7As3IK(&FK3J~6SE{%<4=taz1N3OFjVC1mj80)pc#tqMqGvgu3pjLv z#R-wo2djB4HO3!m@Bw|1Aaxp_rTDaM%Yd+?ngA2IqV49L`-krRj1}VJB|Dx5x1m3~ zR2JS)GJ*+5Rrjx|5aNh6sW1ch7vufnr{ee&A{Rpy2;f7S%?GIvkIRk~rMOlLwJ3si z25%lF(#juphC2SVcXTXSIwH%>ldxVLP&UmLI%jZW_&F$cq_808ZM(Ar_Dl_0Mq5D# zM0R4CY#kj|o9CsSL0*9E*SBeR$wL93)#T(0rCrJmMWF3x`IuJuK{Q&owI6oy*W?d$ zByH5mZ{DV8+fDQk@?Z92ow&3NY|^BS&_nu=bcv16)d@$Z<3)5DWd6FHt)DUTyG`u+ z>QIduyET6%oI41(^F{$hE3!Z@RJy~ux3!3_)&9B z^LB(Zp3N@eE&UF`doV)2d?DrgHv#RlPG1k<-?z2UKQ!`bN^Ulv%+_GD?qbssk(NL zn=sM7q37x;-L8O8Ol)V3FI?X*AU1;^alx{|h_VoYM)@WSO2g+I38dez$z6<2RYf8(8N*MQxmUhC8NlfI zB?_SwqKf>ypYLIev3cAZF-o9>-@$LhdrHc@t%Nhz#6N#WyQ9#BJ=Jk;zpU@}H<$v| zki#^M){G4bx&U$tcAt*cMy6DqJo(jLs>Nxm+Q9ZonAh1;&rVn_U@u+|!O1zNm&Ggu zy9JkYgNi!KnL)&_-HUW#k8!Pt+mDzSEA{Rz_}r=?=CuvQ(N(r(TQbqsxO{4zaZv?n zw+|rZKO|AbFCm66c45>5GSfF|2?Q}o-Oa^5lT~s~?B}B``8A@@>doC;(y?t2gS7(8 zu;AE*^_iOL19Nl9S@9?w+&ksg6?5h^O{kk&x{d#SDbAsD?0t1Rhv0^=5&Cc^s}vU;DYCK)biRzHl-@ojHWWF*UVUIKdmLJ&-S zlwCMi2NW5XZ=a0NIT-91AAm%fhBAxHb57O4CTJNZv@(HUUk3Hg0gcdr);diL#n0Pb z1qu;`d}nx}53IG3M|f|-s70SAhsFzi56r?V`_Z0(I@2(1dn^R=RzQ#Qf!N~8eq=IZ zB{BLNS%2NikuaHfWq7y^=v*oD&eZQ=(btB(&IBwBg3lb>HkcJhEx z)Gl}adrqrOsKi?arI$Qo{SceAyr;2G*UBcW#AX&2?9}Um;25NaRX%s3ikVO}2iOpVb`?NAV-bQ64T5U)nRYW!YRVR zT5h$K+vPX41S{Rcn&7xyB9@+6OsuL6WRrF_-FpA6lKjV%K-oeTn-U9o3MIZ62-f)G zJQu3@y#+Z%R)kjx$A-lHQHCQ~A{0yrh`(lNq9F9(Td4rd>|AlqD(c;x;PUPGQs6hn zT;q}(=rec0zXE5=4a~@T08Q?_etXIP6;BzG_>g|ElSZd%&wj!~%qXpyCWQmPVjlpp4sc8nu)8@j z@qb0ndA6+#ThtO6pgUrG;LnRc}N9!qQTHiq&zr zxEKdGReI1huCW>Ko#5BQ24@;xnn=h+ZI5|*ac^4C(}fuTvihHFy|&?q*G*hAEQ~D< zo#&rhT=)*URT~x_PSj+j1;Sdp^V%&HS921mz{V(EB|W%Zs*pcAHX^9BVV7Qv|8>dL z{!5F#Eu7a{y?{yieA0Ij*NX1;!kQYY1m8BbOf5UbsZnHPO+dhEXg4it7~`gjt*{}h zCrYT@(V+QuDR0nl={fc(Gak0R%W^l7JfA3*XS`r!cu-$V3Ng|sYB>{!um zGL?KQ4axJ2@b@3v-aOKtSmUg$8RQ=Bu-|1KU5OKHt>%UhX?rXK5A4UJ;%aB)$R~uj zWf0g0B=doe7!L;AcKPI^n=IGDR{vBV5wQy74JAQB(7Yu+vrxGhM(C~_CRrYSbC^$< zi^QkAE$^(a$(;wpC8&5aZ0t^dYbV%L=4We@s-KIxW78!I7_aWdi>|54X-6& zM$fm>z>Bk))T(Xl)2(XedZx{Ogt}p3%^Gb(9TxtZ;LSL`MV;8ozsT0MA9Pw0j^u`b z#n^|X@Pk-A`r=(dTzf(6OCeQHmaiu@_9Pzo#Xh%ykE#}uCCrwa!b33>e3K+SI?!`- zwHV9lVJBZ`PUX2?3l6yi%fxG$D~-6*B?;f<1`6F`q>xq}5%nM-A<(&+yEU#nk$s<8UbnpV{1#6_l2Ya|?5UYF|UbAID&x}+w!aJXTbrP<$V z>Tt%Z&SN|S7?@A8Kt`QM5>OWrEE^|-z-f%=gRrcbJW;xepwWK9_1nVE27J7xt!0I# z#g^qH1JH8e(=Mt!S@$!Et+iSS#kP$k^yMsD9Hz@GSO2K56ay7^vr76R=FuKyh>G+G zqxlL#Y#%x{g!3uzqP7J*W`F8>tOAg|OEtGH7FEmS@uCG0LKYz4Eut_0Vr zqb>dv3xaUv=C$wh5YQMwhjn$z3Cuy9?z#|tYd+@*6N|k`4~{rlv{xZaPr_T?|F0C! z`K!uZf9Ys)Dhqz=oc5?&IWA%^w$ARH$nO}!3S^~AdfUCb8C=-CAq5l+Yy4V;fry(& z6-zrOY2=u_!Cu8Bmj`N9^~P;AXvohSv`SYeceBv7t#ADU3mNSPXBrO zhM^7YF|UQScj0glXdG@SZxG7A*qDys*oa9zMH#``eD(&Y4{&rGJ!nda|6Ii89eTyFIHX1;J9kG}+6FTPXk_-=OvgO;dB=!im~arLZ&vW) zUlpf_Q5RYWchy@3PS0L?&4E)y#GLA7TAYcwEvQqmV>Z5UW zXC7R2=-wd^My__!j;Rd~rPdQEJKAbdNixGXHytyx4*Mud7Cf0@uCY}zBiZavG!IKA z1jEZbDH*RuiMEm!!cdtOVf3DvoGsB}j2)^#)szfA`0)Q1riv^; zvi8E_RMA_wm5?*CB@W|z`?s3#@zACg9U%z#vxMCPUwljFd|>O#zl$rD3vH1 z$FaNsIY7q0)CQ;pX{n)+F5S6Qnl8dD<{||@q}LeJd&Yx{%Pl&ws@{L=?ArtS>#Hq8 z8H;6(C2zKlEv-p6ir!SC+Ix8kS6Sw(0U+5yOdl(9!);_AtfS0aAsoqlUj-m*c_xaH z0upC558>%MCuKsM;d>ylYMIMZjUlSNJs76dw_N5cJ36}HY~LGc3JPQ5_*3-v4ifg?QsOqH8~0(dXH^oEDYUP#=!pXrKisGT73EPLsW_EVl8 zy$V$|B)(0e@+z!RPINi~)TRmhxp>y*6tOUXd^6QHc-&T?1~o$nCJ_EUc&UY4VLxRZ z;EX@0=PXfgw;S5Y9Co+Dek+FTTRhq}%6cpFrb<8ZO)C8K+uY@X$DT5eU^ffi9aA^l zG_o}0hLB~q9Y?vLld?3i)QED8q%vwbVP*K@LLZ=SE1E@`fNzX~Jc>`-iMX1-tJtSu z0Yo?m^|4Fui}roQ95w1NenWK+kTe)wp6g7{zE!#8iPyz~)=qbcNb>p(6h^TMo0^m6 z&e2U)p4+nUzlqyZ;6#o;mJu_&pB%YRi_m)Tf=@R!fsC$n`hO%;iHru3xUjGty&DSN zNBw1X=61t@Qy*mQ0ak+2y^=y&XiSBzS_qhtut52ny+!U2nUJjznF;qA`M2QiRRR0b zZcL*7RTi@c+4|}A%e^8gKG=VqMdBjK@naF*f&?8@FY6VtV>A1VMq%6V4Dk{T^u zY_oqaz6bBlm498k*VB`qH&HDWG(u*)5r{PIJ70~g@6t2fJGSP7psT5iA8qq5|4Qxi zvB4_yk<+;MxZVSm!l27bs*NO+*^hreSrzQ3no>A(%Mdg*MrP6EoY_lTnmRQIh}hS`xar6 z`zhJ27c*JVB*{e#=!vB0{#d%7kB3x*I|x3YW91sNe!1!{+|{s~L-N zk#`pA!DhCN<{`&L{_exu)T5qRew+Y}4U>$}R7P?NSGE0Ok%{uCgl||nUO*C=F0)j} zSIv!mk;)Fd)nC&Pd$l+=8Z{9!d7uxX)CtnIz%0-%#%zB)vD!BqvjO*{|58Rj(q2ud z5|LUh>3=Jm1D!~>emdj6O58{-#TSJO%ZG6}AJv9QIi(6PB%!-%C47Dtu4E%-w4`9H>1C8<~7l`XDO#}ahh3M*e|GrFNJZ8kaC?< zhd#VIG|-jIn zvvCj36g$&R@p345i6*9K_PGUvm+;-WqWxz)b#m9);OzdNA@M{)lXJ(zD<*i!H%$6e zV3*nFLYQ(3s9_opRp>t(>Bq#w&H#&16^yWH>K}&!l4=}kIdtigy{TM0m>VXWXv44+ zQHohZwP2rR;eK4?d&i5aRbE^e8U+<-wTncdIy*h_Xgkba&o% z2B&{0v)o)a`qFGfrXS?udqh1`*}})hlpm&E%Q5pAf}~fZ(b8Vzy12S!(T%p>2nyUMsEN zyN9m4+JhSiSOKU?*5HS$!ibosn`$D{SV6k&rAk*$QT=>ydl!Z_sb>mwbt*ZVF-Z00 z1LAG+t25bo`*Na6U_(J>Hr}}8R z(k70}wVEu>ZkRVQU{?}w>n^-2fLd*`s|ynE+}BaoxQ`Q?5cu25=RDN-CWIyWKi0sm z3EwD9Y+7A;Witaj5qWH0J{oH>7-#7SU3d!$wiBn8fzYKb<+Ol&ju;{%RQjn7$13&o z;pMQgULn1n7@`E2uS9_@_CKAS1LC*flvhZ}EdiJ-+8Hdc8at$I4XT)&t0SX7#en+7p;8f~*RMJQZ$avr4Yt8J=zQDk3tnW#_Yt1MQtev!eNd z)x=_f+@pv?1QtHf`6DHHQtSz!qOhLPoVA-NZD;*VHNx&zrm!-KQNtKNJUj46cB;f$R1{u5OXZ3{36VTM zcUnE$XAfc~h6@pn`ITytC@&oe3Zs&kOifS(32~ zM-y!c?T92m3Ff{pzF$V0Sm#ADN7wvf6D%{VM0%^GmcPz}NW6HlE-zWX)lo+s>d}a3 zD#Oq)X)IASt}oQ1?;HsQqj-=@vuxW|62aB<(J3^RQDyXoo<{2JziJuiuB#5@X>0AV z*qYkHK`eY zwrjDgcqwBPwYB%2pj&3T=hO2jwm6S7Fo)cPZJ}Qdc7sIm#7EUVX)V2Y znJ{;Iln9J1GtUPL8z+meeWN9vYr=729AItB_8pz59@+OHnB9F9`_Kge;z$w!1odCk zGwRk$aLa!9`~SBPYP!$7o%iiE2;$K+n$U(NNX3YhEecfPyJg(x@Wr4BnzVGq5$llh z#Z=jA>DZszgZ}6n?h;CSJxs)y9M3O=-L@Cx|A65R$5>8Lx*({|=Fr2)?PbT*`7|TP z`h+2i$EJV4rB%LqiQ6#jSx#{7F)y_mR@2EtYA|^xW>Y%0KGf$x^K8F#DBT{>3@YzI z3NA{dlXex+xv3pEYVX4gM0aow6u8 za}8eB<9q9h+yNOO9vAVA>sZ8i;0-5slp4$V3P|@4isIKS&w#Cmg}(F%Y^-pncNA|9 zpOY9CCY4Syc!m@SAK+ZslbB%~F;TumuM~5KL^QFr%q)6spWdU~(;U6Txrw=k z5*GVPq2H+25W1ROtnF5Dddh0n#Ob+Y_Ph^3Yh)2p?jVNW7B9xN2>ZevnDj?2U?!QU zxu&%^ty?fsNwhw%R`)cMZD4+p5a1R^w7*-?LEuMtxPVpsi^&Ql6}fU=(C833$%=)o z-Q=$#rfgoPfL2b_n=bAvhH}BjKJ^iW7!Nzya)$%^%$f}uEaxH1KJTkhZH-TU&?%wN zMV=X6&)h!NZr6xPsS%>p)Aq3E>lI_ZsJUPbQHL&_(im1dn3I1CU zk-*kdR8j;0jmt;*Er#m!`^tt?2J$3k@8=L!uDCXjSx11urDB7>g$6JoLAWw3Nttcj z5|pIWMsv!`2LAt%phBVpYeL?8|p3RVZNQIy@@BFqMHT-<2 zj`Dok!Y-b-nzCCzhK4gYVx)H}jILqbRd=x<^n@m2a+Myw0}vicaxJ%K*+bE;5B0z| z13VlF$+r%vW_9m3ME&<=n*`y?Dhq%;lqJaeTX;PyBvXxpQ(YcJ0FU?0pfp zZX*64YA$AXp?QB|h~*V$QlvGt51YHS=g$S|78 zzMvHs7cz!iYJF89OuH6OXJZI;&O0WtndDUbF^8^d$J@%{L0ovVq9Aa|hIoZGlczqO zKOL!rfhIvohi)*1{eiTJtiGp_DNlzs7Ye%v2GQLHT`WY#CmhHHn>C$FDH`i}mv;N( zyeyug`6gIsz(Pj3_st%r_v!-=0V@3kEd3Zj7JCnK9 zoullz_gPIZQ44mZpp9>^kj-}r4H*IdlS4gP!D2%|-aedpPb4=K1@+qnj(riO4i!SL znGadQel4o?r}EjRF6%7Fm7UkcnC_p?T^u}R#-2$yvwk@g$rs}8NO}3z_rczqZ^%y* zhO-~*6>l01Mf_gjhlN@ZV!&#gSZ|Qjx9=73bU5Jp!@rR<3bQiW{h+)DiwC}E zSx?b~4^UhJ60-f7TH3mZy_VVJ7Ecojwl329%sYCR{zO_188t$aYg;Gr-WdY{S`o`+HjCf<~30zi#fB1Ur1M4 zt?4!2&o##zC>vZPm55~#qZParw9WxaE75XLKjnYkh7d+g*+psY`zm1UzEEXa8>_s0 zbJsJW`WK3lNK(3@=jixURFF~DI2}CyLOUWs>NS3$4R3(ok01G6jt$aP4!pjxV#R+` z*;5|&-_i1rUWwfAfOn@#&7C!*cU*PsY6~shgDpb^b^r=*xZYkHWwOzt>%;!+A8tuJvFKlY};;W7((v0htBbI{}8scD9`0?ZE*`5zF0 z&P$r9JF>LK^wx*s$SyGX3pTM()YZ@%IV$EXtrZ^BrhFEJoAd~MIQJdqt}-GuigL?$ z96kp^B&#JO$>7lET$5DW@j8C8o4gZP`QfjdhG>HKM)wqo%~~R@n+N>_pN<0%-w*02 zDEl1eFs?03-k{wg$Gaffb9ahDK;q6#hK++okU*wZ76`|j7A>COhzP39Y8Wt41&CG! zQjyr}Lh-9-TUT|-x_?K}8#<(;?!UM2lEWMr>6<0c#(NA<4rOMP4hpfw+nRA(RT~qp z!{OP5^oFp=vqvwH?RT)-LFH=qSD9CS=%>^_Kx>2>DKMutdgAeqrDH#-gETubIOKA| zkOq9)RHrXc6x-NVdf&FeV5gM@mleB@V;i_-3rB9HCsmK`exK+Xf3Ly|M(L zMRo6{@TnBJ1($z6i+W)FwzWk;MrtlKl@pJ~ll)2uGPn{=_~h;^KhRe~iFa8HX|!Dc-BbB-7l#~Q{or3S3_>#)1T2gt)eD>I%*^v4^7whJ+Cjb=X-5n(uQ$QTlS|XL8teRFU zQjb~ZIoTOysc6zX+zrI#cz)J@&Wj>wEEuf)bp%=vl^WJ_e~%KzUKvEPY@9Z*N@rW4 zaWlNq)yZG6S$(tk9pd(FB;ONhX*8_W61E$v#ZbdmHF`Y-3daDN*O#j<3OaSN5BeWtA|u>uIDuxa8m^b(`0@I-mD*b16dz zlSe}T0=(~eeHtg>hcZN^Vzm4b98Y^)v;?6+br#Uv)4d-KqF3D`#5Vp*t!y4_VY2fQ z0h=cc7k#+a=1JkqbdieztDeA2795_s-Ar~D3R0;QbCy>r-E(Cns$mQ5)Fl{TdbTl6 z4pt#q1PC?yldfv`8WYNm8)_D)yo4yeRLGnh+tTyszDDp^#PGKrleUg1!E(pS@G-^X z;GMN+F*r(o#anqbUihb=Gu?=zcGb8{|5DXve9-y}Gwhbo0OF#)~qr)bJ*bP$3y#6(Xt``@-jJt%Wr?YOBX$duo?kKo+H?eOR!E1kp}DxLzxrQ zf#H`9zB3d{9ykS{tbJ?UyMu*(eNnNQekyI!~E`md`)4M6U=+-M2Q8mBfQovDa zI2H9oJG4IWXeB)C8f53WVRN5(Kaf8Ghpx59T}lz|8%QBz`(laq-!z#*_-M?JSQNY} z#96eQ#;#krRQf(GJ$zA`m}3*0=7|V<%UvWC3vaC+lD?L?yvnpJpxhS4Ib!QW^s6x)EJple?2tJeCUy6-aFh zMhalkW}aA`;Wcl!skWSIo=nuQ@te#~fA3-Rl7Acvd8L`C2>8(4kSd?eb{kW(IPl4n z9oGws?%XnSYwNq-sZeL4cEtMm`B8cAu6>F~f@0KRwF{Ef;R(m?ml9 zf%2pk#z^e0{A0_ya8?3n4_!k>7b2<})w#%n2Z~g*sHXWne~Nz&nh>5)lx>g!^l{qH3~+tUj<2iRZZ% zq74PS(SpLrB)Bj)@rqYbD?P=4m-6cricn%k91EEpuyODrhtBMs?R$D+Tssb}6F&hrqpB4F#Jr$nl&I_E8&6 zUHhr8bLjNZ#rZHU#PO~^dH}mJ5%@8+v}zwW>wEE2xOnSa67DL_y#M4L>Eto_0K8-5 zDnk;wOzcZMX3T3c``KnL#`CDq7r7g@ycnhcHW0t0AA$J1FsrARb-x*^&Oolq_J@4UmyxV=7&IGoXfJ+G*qEqGc$HavU=Uc@{r&Zp>0 z?t%iShzE=jtJ_e>ywKv@b30^OzPdi~sy7%26D3g^G<7K_C~Gh287}zK&+cfks@ZoM z7A~ZW))7J;#%YLNI+zI}U39ds%uFaT$e%36>;nI!k5SbpC-w6OP8uB9HO1q`lTmHS z!70VHLDUl`pQGJX+u}G;=K?gcO8PSt`l6Ha7@(bTXS!+wsT`0p>wL|eQ$O|hsMsBz z`P}}YOK-A&%Jban@bexrvS~}Fd3wiqFLy==TVS_Rx}psc%y(*$>5jypP1NO9J)H4; zYX#ve(3r_BUt`acQ+Ks7Pc{Zp)p8)_RPNE#)=@>$IfR~st)#UGU3@0sF=nA>{Z+Il z#42M!Ezg`1MqgLF_NGHYtRJ+!n<1{VG?>l^gsw#2*hy$TS_J~cpLa~}z?^PYf~USF zb?F5*~4&&mS+fe3lywm0AK&ou40+cOv!6 zw{aWZ4sOK?%3nxgqHiB&ZRj-h%{q3?@9DPW59dmN6Ug*A(^3=7^z-@}(URST;I=-i zk1<|hREj~Kndi}IBIB9{a#n&5-WFCbXRS=q&ljm0g3v|W*2l7uTt=G3@gYg~BxP3c zV`BIU#mw!(2AUFEXh?LhZzWf0X_Abg3^(^#)AC#2>uI6w89zl<>jK`{ zff86kZ3H=vJy}?)`PPZ@+HrZi_%&^jCkm3RAtFCTTmt5fSZ(a>k`Qw`07^L=zsHopy5Ew`u81RUNV0(PhwDq zFSH@EuFHiUEnl%5hprvn6Oqc~1gfKV^YCHNA^wcKeN66s4J_}@~HQiL@GjL!f7sF>i|gMmId zavepg?J}gVSbeY@bjMQP`4DYFQ|Esw2RMIv(D44rd{jtQR5Io?^<_megG-iyP(#f- z3MqlI>9*ZO-*tC~b~luCoIQ!t21d{xjXQ-}fTTq|e^X1~TyQN3%sxBPvr^mYN$m5P zKBfiCz+EWw-E{5l6591+fw0`;PqyrF|LL_FjA23W)EKdgHNzWqKIs(v$ox7B+SFls z01*`0S$1mvKg~`FoB9)uM;e+h=L2Pwm_2OSE|B1ALEX_0y!;a++f+b|EO)Vty`cM%PYj^#|VL4Vr@Yi6xAOn3@u$o3R9O1WeMhg-5 z9G&VN>(|N8v+k&^z7Tbo`4xDgM?;dzWNY{cnt9pq{sx)X(791%PR~$T9J~jUctQ8= zhlR|M6PRH7Q<0$5r-~Eocq(*sZ$I100Mp^FJ)4FeUcVdSOvmX1ohkFrgi~Ueb9v5c zvGIb1t)&3`(;t8B4d-^ic+UCN!Sb49k=4(9iHQaQ75q&@mOpS3u)8hTl%LDseOD|< zr$#nL9;Qivi?@*Toy((o)N2nS&osm1LWm@`$a};1S;ek<@CWm2{3WWbeqRuLjW0cV zHgMM50A#c1BXspn1t8)hF+W2(M`HBxU6(F3ONs#t(l?OR@yV1+9DtqsEheYt&VPve z`6;IQNW5wO7P!w64e)Cx!+0(#le6=G<1V-WTX?p-jc1K>^0GA=(C0^6MlsN#RPt>J z`(JIt<1PZ68Ib1lousHMk4}Z~NOHT2hVBlBU;`9yD28bnRaF<%SntQnMXz8UFSXjh z65n5X7i*DscDZo8yWju1XbiYQjjkE@B!>UmVe@zLymY_?KlF6!XEzEqQR$_Um@jy; zMeG3)?z#l4C*ftItlZp}5C4OcF%itv|6<(WaSM?C8;4;$N+JW`e!`qY({kOT%3}DH zf2gBWswr!5r;i+|E48^^sel+5G2((yPI-?%Ol)4w1Ta_qnCEA;cWQV({dNz}?V>3_ zCWxAJU362Xe-QSY^gdJ)Eoy2L<27dv^KG#kKz-_=`&}c2e3vNcmCN?W*+Lc*!q)CS z+V|AMw~H3g90IUP4PFgs;+XIi-`LOhk=b3rE(8c(1{`a-QrAvsGAoG~^c_Li`Q<40 z30y3-R`9Bch3> zEf_{pOGZ$B8WAv5bvzj7_l>=MA?m|$Qq#%{4WswKWo*GT?2k8L^{V9~zUw%~{$T@&OS zG1q!-;vZ?oF>Eo$a^-wv@!-a2O@@N6Ac+8!nQbeyp`dbYT%@4RXKWeK2*+lV?xhL@ z$CV3@0@a5^Q7TGXAG3&BRRJxEb~reVkE10;voP}*Ls+2PMUI0jl9=xfAt;s&yxxF{ zBHaOWE6Y{H%?gO%1`3lXtxJzv%z@M@;}JNAf7HzeYnblBUDiisoQ4WI)4Iv(N}Pb@ zETBKOTSwVW6C|HrG(?rv3%5U29wEhN3~Yf(ETR|I41vp)dW{Ua`U`AD;>u;oVY=-^R+VDS1}N!L7ec&2OPYx07%?qevDTf^RX?0xv8l%LLp<4! z?&24D=;h-Hz8I5w=er1TNiOVQGqeASL9zr~d(xgTfoZaR9*V78H`H9Hx@flJ35%5` z@+Q?K-Um;DVsz`~YPpoLuTpZ*(UiKLzR^#DI({VDASM*#%r!05LLwM+bVn|GOwrXm zd+pt@BVP{fAIh^EI@NhS>lQJP04WZ5nGNI5=rB%4cC--QSMedp?v?Di7Lso?YuV>#sW53S03=NEGsCW>Rv`leF)UMJQSuG*9*=^%_7{|)=3Nu;??by z3+j|D|636}t&J6HRb~cl-6ShlqqrA3~3%fHxn4%`K0WrtD)=Mo~-d<*7$e+*bZ4Cb< zMyqh>BV5b}YXpR0f&Zcwi|aiM2!#q_*c&}C9t2c$r=T;I&2gTDhJtCAuAg;y^)b7F zA9?Wl{+Z)v0seV|Z>ABgXc=GqQ?Z8ORAN-m=KO?2%z599%(LKT=3dK+#`$6>DuJLE zqB%_Ny@XTE*#Z-udIcVZvGx#!8uT%K?m)qn@-L5Xx%VNy4E;CvhlV%E)2fKPNdFsJ z!nvITE=2&dZ#r+%4@0I2ve7&LZ?Q5fY^y=Qb7ab`DK!4ZJt-K|yh29fyyg zWaV|D(409!(+T+AOS{|RWP_s%iQW&;CtMC|qB>R=&Fyxsiv~cNZR;QZ#c>(y*5|1DlI) zg<~$6-IMGW{ZSS*;fBk)*q!Tt)pDp##G?UAJF=?C)CozF?d;_Nh54mNKG%k3I0+qy z5CbxuQAS4FWlw;$zCUrvV51r4RyXAQ|4Q9KnevJ{kEh5N`0nEfaJnGlsAW~Ds+sm~ z2mDluOcHZ8V4k~c2;IzJZa=9Z_mCT4@pi}+?J(uvzwf0N?l4nz+GzW1u?srHEl~@P zxcB_ndLTnzjP)@sC!D-<^1*EB7zWCXADZtIz3`%un0|QWV6GmzP%(8@2ht-@iif1! z)1o0vsCUE*qYnzRmJG}Jo9w^jYgj+NncVRkS?cPM)OB?396S`7Uf3a302w?=7Qz zxeOYl@tyV=FcnqH-#Oe;O?WrV%dT5+3e)dU;~kp}gKs)ig_qGCNo!+o4QdknXjrLu zTWbGKQk`H)&CeHQ^#>WeT{prW97hxPXDo>0jsdhO_I>l zuOH4onAw^}m|i^4C>M@=jHUo88!y-ZI=AjnU3t{LBcW7SIVY`5pZ z)?0Ew6gh>wX@)WLFLC3z?~do(x=cGotK}APYnpe_*?|Nmrv2vh%K|*~{H(|b!T4v_ z0_;}xyzwlNBg|-jH2e{u^-g!rz?TAzC~=A4X*3z6ZHeohvZYoKn%!1?xb1C1khqnE zkVrS58qcJKNNbx-u{a>iv4kU;paG?y+bE3En7_K-i{NeU+WUzc-JMd*+Ny|6ME%_i zPTq%NcITwT99+a4rZvj|GJuRA5?siJ0H*x<@%Kd;*Y?q=fwswLevv*FWSltIH<{BL zJ0+h14vB4Uv26HtmnhdvUgps1%YX8`6&(qOx<@;Nx$4zsB{V@#o%sWZ7Or+QqEq}m z{l@FYB|HWtY z(;!-ryW$XPRPa2&uPhXtymZLRuClgp&lBs86Y=I{3F2mI$N2y3B4B?yvBUAZQO8zx3EOE11PG{j^5x-Cr=^7Y;so(WbyTi7?dqodFks}4MNx(rW9B~At zVsS)|yhD>3(OQVd@=zBMqfv3j&m}|{W$rHAXOz_P2z8Qx+XdAIhi|mqI%pM9cc$@X zPl(E|As^U(Wk{);?PrbS*^s)K_-6hfS5CD+e@wl$fLu=9oyG_nZac(v`wM`MaAf={ zO1${5Sngvb20bH3k$DZ1fJvTGe+@}2jHb!s4MvDh!0(PjTUk87N_*N=m(FIr`g8L_z)ZpJox}vKKF1bw1F}&p%Y|2QvfCMDM zL5FHIY%Zc#S-d?ra8sqPqf?04K|6;kPMR;F_Cn*3iZ9F));vMt&QC>rGuDWkB6K?) z8!x=|$eg>1&WQtzvsfl*`~9HlBbIYd_9Q*P#nWo%(1ql*;TZ1A<{R)i^mezIbF|)F zIVC5}b1zCI^?QJsC9c8XV&jkqBRK6xgMQ6ubMj-9|i2Q{hcN!!3js>MxeQ11I<_; zwC_YJ6le>s6KY#eJ8%2f`*507e}yoUm4=loakR}S?}BJFI%E@J;X}6>!5|J>mf=6$ zsB^a5S5R9Ps5CDj^!v{-#9hB~%d{>IdRDI9Z#3nZ;O*z4*_KFqVmangBLitnJ;AQ|)~e^Yj!Bb}Do}R+qzvQJ{rgP?SugvlO2#;(ZVL#X^;;1C6L;O#jbZ zqhGhxvDSz}e8E-F`uoray^gj4!pA=D#7|;;wS!*RzwJnNZJ^#j>obOmK$Th{hvp;( z{Ge#F6Ko0Q?0)-G=(>k{zZBHnf{e0AU99GdI`gHV51y66zJgN70k`XG*zwOw%p4OW zfbYKZ-;*&&3(+ez3W2Y5kL$zH#PtM^s2pCBWWxL4Y%u%+A-bY~!bb4LM<8FYj zQMM|J+s>n#E|y5ByMc+pQ4vy$vEF#zN7xlMnT@>gbI1lE>F*o|m}>=GS7x~U$bEwz zH%g#JNDfC*ZyO=nvJh<5Wk-$#Bgmp1bLzE~9Ym>>R@$Eu1d;GLu_A-vIp}ijbyY(g zH5FY}ev610gp*uD#W4~tWg6rwdX&U;m}-}V-a71v1-KhV6PoWHIj%J4#f0^CoZU`F zeKfF@&yc_W9JqGt!GeMf;^=!Wm1>kewxiVp8vnC1hyDIsqu0y_bTYmZD6k< z0&gT4#!!_HUoMO}WK8I#gGr1nZH$v);%zxG#%FutAPCJ0!SapBb}09=S!jNEnlP^< z*mIq+YdQ9XZrv%dg^5Rk%ooY-R+zPLOfc%FE8&vSoT z647_7?}0qPXo8ot;@NESpi(^6t& zwk!C0{A3W9jGj~K@Au=EVDpKfjOg57l4H{_YkxLN)X4v@EH@YWG%?S7GZ#-hz2sDK zvVLRSh&1quUc)172E@UmR1zNr52#gTH6z>(h%ES?^wwcRNu`Gyq%_rqna)2hE1Zbj za0e%bj74isN1k^E20Q`tid@dlrw~j#Dx!)1{F^4a;baDja>4{tIUG!z6iBd)uO2X` zGM`?tTyaxz#RO}A;GID84T1aq+KvS=ZE;ibii7jGtFB<@0ua7L&Ln#@*g26d`K$xf z->gkCl>f;H0pb(jqkPBCK5z_?4mZ@qiC%fTqfyf+Ii7;(2Wx;SYsAYA5;$ISq!Woo zBb34p^CZ_(DchaI9=pYeBr3d=-ZE|h0Y5WQrn_adg$o*#&c-vZ2H?_HC@1iMMXeMk z;V)nawtV^i+O5}6jH!+Gc@CuHScA-t>JrJib1iHDl8hm##Tkh#U{@<5{U%gEo^_-9 z5m#6M8CjYbrLsagkKiYJp`F$n`d{8zLH;gbiVL-O4(!;&Zk47YlW2qs?&gHm6}>5pEI7-f$6yUaz!9H^l1JF&xMQx)ILG$W=U%%gX-Cj77w)Ps9hr z;mn?pFAjrMKf{MLa^3v&k`dR~lav=>o0nZj4Ez&u!-6+MX^y8euh|VbDc3QrOCS4C{+T`1uyZklEuK7qGjSZm`EWE1ko> zxCB8`0L#t*fcViv1k&()XSrU@MI~&e%{+rMkyA#2xua}@Sz~JvFwj40{|g$sD)M;} z^dzqpr!0!{nkaX^6=vs>p=WjIPjFqu8gILHvjY_z7-<~NWWPL;?io1@%8&2%(OwQZ zO*EKB%V%wf(RT`MYmNSS@oVpfAk5{$RrIV*XKnY%4}B}W_*WkTgo+SIr^jD4A_^-q zPz;+%8OY8h{NBMJmlayfd~y9?i=&(hq38~~MJFFNw`hXdHPBpsM0%SjkYFNa?dlVr z02uzI-HP{^(NPMgY0T^xP|THh(H^8CBDP%er0l#aLaRGLpbcTUC#k;f3_**cAnfJE zKTRa^LBxji-&wSFl(2t`NBX}r82ujGU^!JNVYlfpO}Du&D4P`+%_U9%Il8WkiQ z)jg~*y{Gmn0IjKv0f8(ugfNng4IwfRT%=QKZP&;wT-k_r)u1)6$}kZ79C4>tmCC*K z5K#^DR6D7Dt;^I2vS40ESfd^PpP7L5SQp>$*%7@+O7$m*psq-}prMwnv|1K+QmqAF z_Pc4kHLP?)E3Yc4H3`jWqu@G-34+C}WPD~p1Vsn2SVf0ZAoDf?0TQDGTJ#DM!7Ki+ zPhNqu=51NUD5uH|F1o;@kD(fqfZN9SE%~5WZw=UUM`!UE7%rf7+y1CTY_r%9h}@e} z9jSesZ;i^MR|m_9TXaLv;&`Yktm5B9w;;CpQ`e$?d*xP(H)C87zsa(vu!z|FgA?Q; z93trOd1rbc3DH6n+Soiq0J!1`7{_?n*F$mLT)g+^I3V}n(#HeNMiFotq3RLmkL;rH zR!^+=c2zNm%*Zd%d@?{%JHcs1*6LffkpRy;*6DSfy#a6T$Z39whO4{ztX`0Ybz*;V zJx|Y>vfJAu6w=`TAc;fD1Wb!Chnds~>B-j15oQPAHPLh#k{`6@91#s1ZpJv3eStx9 z!a&tN%8OW*-{FTe7^tVG>Oq#1kS6>zknA^OV;GOGP#V`gi=sclT@=hD7&bgNP&K;) zk$$P(qJl(Qf)Gadj;FjAP?=LIm4)mO9r1RbYQF)B)eQO@Qjg2S;KjbZiKwS#u&cfO z!EA=4k-N?IPt#hX6YD5BT*LA{Fa+GSsgw~wk6eL`ig11G!To4cqlae~Kb+x!y}f5jMZ%^M(uH60!(gn{N> zHst?I@RnBRF$AY{z%#(mXhr>+o!l_a`PmRXc+}%0^Ur>kv65ECaX^`zq$etUfCUVh zj$lZL3DIclG2w-yh^<|%#~?E1;|ze{e8Z>hn=Wx6o~Ym>6)4Y*R1ge1ekn(fFB*Z20HfrvQ+ z*sRpcO^8Tox*f-J;;O(p&hYAi!I`w1o)V zRn^)Yyqp2j*+~17F6o9r`f|?S_y~FG50$jC&SC0~>xwpnbvz~~e|!~@yZT#nNErJ> z;xLiy>hr+iHsoaJIlVZtsJNzHH%c*Df+d}&Y)h=0T0f7 zP02*q6Fc<~zoDS-n{+u@>q?0W9g}J7*r;)WLgJ^joeWO zQ&c{B9T1E$mIr$Tl4UTqAkB?+bTvXKtLP1SII58RtM1_i+YWCs2m|axIkpon%Ww?J zi%gmk4^`G=O`I-CyBuWp8C#Iw5SF{;_Y>7U)j?HjN;!2BR)L549!7Q~Nj7d=$ZpDY z`>c$AEN6jxJp{Ponujiw6t^7@=D`zRqpCtkA_k`_y^c~@$D8R6Wc?`-#@o`7G7(P%z|#czZ^ z`zP31-Z>+=_n7YMoU&nvA(#O?!2_fm0=UU-^?T?~R7% zD0oLId5qN?T>hm8hejeP$_oe0Sd8&T<6RDh$Xy?{@K66h#!pqx)<>gLUx>~VybjOd zrn4nBLzTOTJ2PX9?u@Hw8k_Ag9<+z#qpw8N$fSXuklpdxM0G7%Fx-nwQe+ zfmT2Ld6OwPC0{Ed$-@~Xtt|P$(@iMVVFQA+o9}FDGV9B91zifbU0Sr*ysmj;D`UnJ z>qml9L>{G|x~6Y7)$<0hW@(h9!jpZWGFY_sqdNrz&nhu-eVV##RzSZDxJwePle0p_q?m4kGfZx>?`i0~%cQRa1 zn8y^Qa#M}zqc`WF@3&|^8M@+D(@1fWy|{pSrT{wJ^u#rtB>~6pz%?5nZ`rE3w4hTG z7T44o+lnA&XI_Ui@!Tkhpa3;M%D=>+?&m^+pf3%&c6I}Vzj97b`Y;7GYHaw}L0>7QFsJI=S6z`r(#11iI zC1bT_sCR5X|GvQ1uMyP>)swlZ5POB~L7fenLA`v30Wd10a8|s^5vQ2m^^^Z9CsIv4 z!5-@fFc#agMCO~dX6VZ$=d42V^m1V?3EP3!7Ojje;iVc%B7yf->{oj@(;A6ahAbWE zZTp?AFpF4zD$nshvA?$&UiHCN*OAdogPS)I|UC%B+iWuwtjL2e4zgengU6z%9P^b9(nVqXV_rWfUKws*e{%{rq=t2DGt3V=_qM2( z>hDVX*c>0hlL3)1R3U(1{Uz||M_@l(`9zZ|$x}6a0HLtk!;{v7+JH8AOpr4h`D`kJ!wDSGK{TzBdQF;8%S8ZoJ~FynQrblkt8Oh9SIzK2p^P z#py|_qILPeA^~xO9LvhEMGqGVR9hzVrF7bQWMkY{NtNYu39=peAzM{F8V)18LdleH zS$p^Mk)jrrHWKMGW$zYg7k zXjZzQp|#KG3R(Wtb$K9ph(AH)4C~oi0^5MzcA$I*!s?iaZn{6B*jCQzbfMS)(Y$M{ zyp$_F`%O2lr4?oqCtAT#6Z}8@UmsCI;e&_F&iW$PJe(su)VPH~Vou!Zb&WCsUkSsSsA@ z;CNW}uw~!hmov(K@@BfP3I)*6%Ww!W(0FPJ3*kXRW^ygzz5V~Sg>8Tlg+4yK>r+RN zLp-*%q=1+U0cS;~ab&3necvTAsHcsevk4j(o?uVqm6Im>8W#HrIO=b@-iB2`q#0dx z?i>E(nzKa$8Co9&#lG0t6S~^l*H&m3VLt@Hs1pXKhsS(>BS#5&r@{QVw3{vh)5f9f zjV(NLeSqV%1UU)L#TB8*Crc*&l#Rs;f`A$Ud~0-az@^!$^K5u!l({TW6vYIia&A%b z-xnm%Vq!%7AkI|z6PhlF{{1=)pHXj1$B0;pcHm#T*f~Tz-ax{hB^9#5wZh~7_(|pC zA=W>v_Ws(>ldc0l)S_Kghfdw<2v05l>~=qamrPh_ZXUocceHlkm12nUPeDZFz$U2t zwm(xJX=%yN`b`$x!L#VKsghe=sPU1PFsKNTgd#@*o&)41xj!%|g(Ys?J1(h@lD-Xb z1v#=x>rHJb(b~FA-Ny!;!w5&^2p#q4*pW;1ZE6?x8h&?R&n9LTBZ z6t1=rEOzEuueNelI;+l*G9%S43vs@mu#ruD1OPAIe0F>~-DfTd4C_z1J-86s23IiR zw5~}-GtC$uCQ!o0gZOlD-YH$$!p+`kUJ7t~KPR=_NtNeWg}NDC<8McO4cDeJkVx*F|B_)xaIFZ zHmZB0#V~41Pfy7f=mQRB8J39Z!aeT`D)e(jZ&O+GBv{99(FLNqV|AaZcD+@)y{*%y zgF6#+9o>}bnxO*W=+shxqiH+A$u@23)9Q%GS=PKss|@eCy>SB>BOI2!FtplNE-yj* z28&)9c+x1`?Sam1Dqguii6O+r900l=pz|remoS|qe62~_Nw7*357+ZEm}%Qs(U!L~ ziq@FZ)_IJn|JEU6hRM7m$MyMp6LwBk3w? z)ZQ*ymD?)R*MrW9UlyoM=(}FJeM;X%gyq`ZK~c@X)LIJVW$7OU__fpT_UPk3*He3! zz_b4w*%w`9SJ$355376pVBWV!xOkVQ@9NirJyY3Syh$bo4o^zMJQp5|uu!B0m5K!9 zVkGuN{#UCvy7n%fC&}wUkhnuZBg>xb@T2&GLCDa6RK)#VVve8~sxPLQ0@vHZ*$j6Fji%?&zH zZ7x3rg_S<^fpF8+SeN79nFr@Tq>wXwG41IGUOkRjW`kyi?oqSRCj!dk?_P-BQY}j) zRr{i}xvjbMaN)Q&hSATkJTgJWEiOTCL;glu$}MJuk#Flw86D#9654dYUzJ_Q>iH+P zAES6DW`}Wc;WZ-t{ZJ0$BNJTNJx>)tydz!P5ATbo30of5;45R-NLCj;&|SQEDKW!@ zM=piX!Vo>f^%Cl(e$wxdj#zH2QkP0sNNOkW3O-@Sok_TGZVahrPc(C)rBn3yKT6|6 z5eJ_f5Rx#<>`HG*-4sa<-3pi{d;e!C1OJRPA=IJY;&#={h{YziqDj98Yg? z@IpC6`40u+E6%->^<5#|9lUOEZgJU+Hz{K>b~?!-}yIMfKVm<$FL| ze}hZVsG&Bf$mlhPOiD0)zv&V-+6_x`3Jpd!@&L@1a(UWXnSq{sMBUhSun8N9Cg;^H zU9W-vQNwAp_&k>CP_xM_@h>Z)tsp+%!)fkq4BUY&KOX}P_rm@AQrsV0`=qyH;fFP@ zVJ-nqqnq&weDP2+k5}0g7NOE4-x~%n@p#)qF9Q~& z>H|0!1|DZHWmq^hMT>ODJX`cB7^ecEU93pyNh_2%H9%L4?`D)WM#jezqZ&XB3$iHk z+K&4rP3SX8M#1?Jc}Wgw(121e`lSoM{W#x*^xv2!Pm95&r2TUvnkf}fD#gq|P3VdU z3PbrL#xvNM`2SI-K7&o<*Kx`utaGeNxgwvIhd|5msM7ZlKB+eBC4w7bWW!2WzCqKJ zFlulpg1!O~g^i)HJ=CniN?hFe|Bok)&vKy3AwZR`PvYHiu0(u5u$2WeSJmC$ zxWWEvDd z`f0#VyEvi%Y!p5h8k`T$I1c(!EUKk!sEyRS!NnYk28(-U{o$ym!kUyCL zc-fC9g8)||CnEUdX1mevc(FYglepKp2}<+(+_ECX(9ky+g`)`hk~-95?oKD?Gz)Zx z$3jG{=i2eMpHuJ7vM5Ls0!>XEBo7$PdR+Y>@FH#c;b@Q4b})b9QZ>iNt3qnZL?vVY z8b<}8!vgxt0FAk3Uybx`(1MS5bSbSr9o=IO!$ZoewmnS|j0+QB%LDih&h#AQ`R;Fv z;n*)SG}!wwDzsz)-wR@lxsv7SkBQ*a+G;iL7gKGYj->F1SCueR9Jch3MIp##ZH{W3 z)zT&|3)2*59#>!ieF@&W*oT*}L2)cw;4iEt@6$nw#53%m2XY;&t+7PNX9|ks zJUu#|N+ekMR>Wuw+}bIHSMEssLnQ&GU1DN0R6YnkTPErD^fY{4%+tcFf*E~X8m3{Iacr89^L+}* zN1}{V=I{gaj9XBNan{?|dl}ZaD+`8}Y9y(|oaio_Ia;Y?w)D!JoM9GduH-O&uzj(TQ6O2ZZ zsHA{3x}>H^Q~zxtBfgO>FqnW%qDN6u_k=v^1yq_0WpZ+*R9K-{Jvgy)3<|nl^nW$C zzQ>;z6B1Qb=A(12rx(kOByJhv-FWA=&tSL?A&uQH19Q)GTaq6mXu^<@qcEbd21x5} z^2985XPbhQ37JEA)V_3U#FsHXfTBvw_n?U5PrJhUQ4{B}4@lYbR(lnSlGzc|I${7S zZHLbsij?rQ7H!F8LDZ##X55Pel`rjDejD4w`qocw)D-M8u=?brm-Jht_-r+6W!m+N zrP~A~e)(y3h4pf=`5U8Uc$YF;PJld_x$VCOrEUQyQ>z++!jRR=dy(jmx{sS3Z_S8S zWmLn6*BCZx8!lnY`IWDt_|_~6TeI*ofl0#3(ujET=L^`qNp9P`MRU)ffRzRA)-!_~ zTo(rV|GF-1c4*^nfgv*-+RpcUOF02?p5C4zet#Suv2}|c^2LOSE~v`V;H9(PKydM3 ziDDXKWc~>+RK#W7zmjnsRJJfDF?hg!nHwtNkSkBeMwL$2tj-M_S9YY19E+teN0~Tq z$?XiuesY{#N9;4h4Z%jemW(dIcOu zRmv%QFzffh$rakn^wan zHRHAWvwqq2fuvG^>d44p!cBP?!P+vT92h85#Np%PBLNJAb+>;_M5pkY^doFekCPaJ zAMn3bNE=}~qY_v@@1)FgM@F*MG?59f`wT_)R3&z@b5(t&mulwV0tvj5th*m^%9mtp zB3|D77$o7%U>0sEJh$5~ogor77b`tH%Wc!NR}?cbIaY3u%y0a$g)4%&Z&suz#eIIg z(b{4YW`3FDI_64#P2QrF;x9*AwDTEwuUwN`Y?alLBc%yBQqW3Pm62fN6s^EJ#%2*H zftEoo!eDYLi`mb)h(+k}cT2~3SwVkV&h~=abnfhFZ@L`h$?CtaFYx5gTo)X}U)-!+ zFMP2MbsH_`CvyH{(75~MW6NLyC<511DZXwWsu$CLa|leIczb1L#PBd-r_vau~m+2)B01DSQuw6+ZZUY%-Pl$h8PzR#^)WitWu z674^%Ptngp{)~K9N624gx_Ofx2iP4~_|DoN6e$>W3FAQ)m-ul@mLkbrPHnOPFw(S} z6pfid7|CsNtxs(C?I?$GZ8z40jAvsNm}oGy62dZVf*0rN+9Q(Pr-FTiS4;eDRk?L` zu^05UX2eQjKMHW~C{=txtaB{Uq9~>N>6sUw!NAbvwy)n*MK?aV$m;^LrfXb7ld)-U zmGT_(DHo3LBXT&7RRCFEq2T^1pc+CQMhdJ z5Bk=wby!x4!YC7(FVgUVB|^B${`vxWR<4UX;{5@FQ0D2V` z{4Lxq+t}X4!j_>d@1Zo#Iu(kLko{G$5e*)+9$;Nw`}~w2%EC4OuE&D~hTHJ?0Kjig zeyK4(4K4jBwJ;9C*LXF}%IRV^zPdS|%QNP?Oio^A^W=#vQQzzO>&=rMq9Ca_8ht&E z@G5;H0GJ59mmy7{VZ5-W7DKG#qH+fc!JV+%zgtG48lCM10>Hfiij z|0j;>8^0M2>{GHMPPX4>Wcv7I(d!m`-|r{+ zbRH!Fh2I@BiGjS*PZvM!Aa61#62Vg9{}r}PT`HPgd=vVXnQez#EF@un$;T(TVdT&7 z4>Q%TEh=uz-fPHS*%L^>)WaJk3L>Gle4>6 zwD788UxIAK5X=MQCPZKPp#~_{?v1y0=}^=m+7C0Fseeg++2|MM2UVJM_+p)Al}2In zvrfW0l6364FB|DLwUdY8E}af8xu+G`ow-+QCo4b$2TReeWQ-n6gwC23TKk>!%bv&# z77`aJ_iW$!=y2UMRIMc@`#W5OyXbdgJ)4GJFE9m+|ATI-roB9S3aM!3YTEf!x5Aaw z+~Ws2H$qj;v^x7HLM&LS!e#Smb=lRCe}P7Vp$~hV45wD^=O^Cc5>7q0ezGJ(%y-5^ z3N~WR1T*lSkWrM2JP+MR4>^IL220ru_f{6!noz)7eCzHq2?suLR<7)VVzbdOcx;bC zNl5gNqsa_*C+v-I3@51gPa6Lr032{mY-8*#tT%28__tR?DwX4+|<_!&;x!=V{HDbO6XJMtHzwrT~hrpjs znC*ojaE*kpEIB96;|xa>F`)dxiW2Lqk8f_#=)+T8jRJ*JJhA*2EGsx(t#PzxigcZ} z`84R#GbkTVhSrPUvG3Pn@>~SfBYH3(WE(-2JVhiy$PUDcQlsZ9z}QO&`p0ts4SWV5MqWsdi<%C0OCj1X6po7Vj^ zd05#7!oPSmezMg*KWT3v9_I%oRq}JmgT$-zQ0q_n_qtU|ajBraK_no#&j(5yZ5}T+ ze_flV>A*7I!aKWuk259A$aHoX2QH$XJA)V1y-m}vYexXHpid<%{}nEL#zA~8Oo5sm z6U#MwIkQ6%r-6glOPw1NrU)M@aZ%j`@S9Jw-QSZ zUn&h~PAUjwHUH#yao#X&cM9R(5p=N)z;=k#pQFzF3R_^#(FtTAGWN+X5_Pnr!p5^r zfP)wQ=bN6}qda#$)lK%EkL>Rn`m=G->7U~qw!GfU8eC`5u1OO|hb>)MBtkgv zz-vtm&Miv8pnr@I#E-}suoCyf!Ie-G#O%&V4?f5Ll^QbsJoa@3cay9BQeQ+saF1v1RWn~fif-g^lL#c6Yfi_ zdfkX(z+VytPvIxM%9Vs2-0x9Fmyp7QE-ic)p&W<7tsz{V7t^01vMFmp6p|vAyCj{?Yu_? z#xQIWj(o!iBLNy0+h}i1cjk>WqsPPP;F}^!*+Gjr(sI}SW!JXS!S7VkGo|pO_f7g0*vCy5>^DJJ`YKL?j61=5gS&i(ifhjqw;P=V z+Yw5{U^E=w6Ad+AMU;AwMVx-xGx~BI3paf#&tu=Pd*jn{qK!#mXsSVE`+;tIcwv9e zov59`XEdfc?A}q-jqaCclt8=1R}A>3eedfSa@y-{VBsApIH<=&utKxMFwz9_;UQ$bKM$wn91%owGr%qh~{OCE$MY=?C z&vdYfFUYR2aM|g%|Gi&HnI6wA1{&D3K#fp3_6~L9A<)7Bu?WVlSE_(eX0$FkoS<`% z!<9C6*!-pTV^b06ynL9tfGK-Vpb@`rxz%Cf%HyV4YKfgSKk*6;Y1F5bHmBFU%AY4@ zj;i?vERUt-1|>`PrwJ1ca~524vci# zW=5Twnj7($|QoH}J1;Gx=-qlD}Y=_3qX_x>kHws3-yom>L8Amss325@3(iqrCC9~DVMmHc&ng0Vib zb`R^f^3O=GAuEY(nj z6BJ3;I4XaKOeXBzvNDDMT8m3`B#&$|vfHCPlQpFv$3;}TwI$%?FtpdmCEDsT5(ME8 zckkgmp`7f2^tl;ZPSL#GMraxbT-dc(emn{S?ZR)8de3^(bv{e25r54pZNAGLN(O|H z${G$$_b*KO{P+Dx$<-NvU~@717dbv$RUkVSyEDW;T_mX=mw!9@5&3fnN|6Rp{!gX(MQ&pN*FsO+#X zVz;|6MfZ0Ep6*9=n&^z$MMmYvdUp1bb#)G8fETAiIH;M&Z%!#Dn32n%(z7*i7NE=o z-sH_DI0%oOnPU^Qq&5yE98i{Invixo>wu815R;RRRJ@>#G~^QW44wNNdp`Ft|21H< zqxvpJD&r>usx>kuqw{;tgKBDYIJK-mTdj2Vt9a=P?_lrDZ}2J__JAO(uZT}zf}lG7 z`jUaw{VHf-t0J*P^vjp04Rn#ZP%~jCd@hCA-s>)~E4dl+yFE>v%IJNF%$LH&}8byu?rl8C0pSgY=v% z7Txk<^Z@P-%0;+(%Jo||AT_Nxop@Lr9&NIRf`<9+^TBn9PoRy-koEd}qNjlbkj7ih zM;D^5)~xFViB~y&wr0(hH*1UD93}ge&K+wA?AeiAF7-_cx17w~t0xyYFhMuuv2>E& zzizr`qH`>hjSi+E-?TpSA7gIHJrT?mg8tqbEJii)9)T&yqwq={8&_{8@5aaorCq1G zvLCP>GAx4K_H@Z8|++1CS-5 zTUb$hsWZpDJpUmu`%7#qYM4P6Y{D^!j|nNCjJ$1)GuD7*GIe*Fz871`K=oJI_J|4a zO_Fpk)IgdTxaBXRcj|HLqT(bTce87Zdo~W5%zBM&`8D6R6-$x%((k>L1tBysz(k;P zN@N&$c{Uho8A_GxW2kpARTK zRy{;*z^tRehwjZE8rilEj_f4FaOAHspb zmb*LCP#tqvZ!dGp6c#DTX5MlQ%zoghi9XLNnx5`K_uA4Zu097x#fU~#9i$u;C}~`F z%B)1_aem*Gi4Jl_Uvj$w2*XM@p$4MY*a6U($<@32LQi)vx3r`Qso?RAI$~Kp>F89< z7|USq7bK!hM5hK*^TczjP7TmQS3!Aac|95-MS2ei$j^*dKKqgKQ6Z}Dsxv06KY5AS zBxn6fmfYmh4I|bg1WM!Pt$Zvfyt+#EIP_b_MWxhlLJnIogAo~9;x4nF8?WWn^mu_L zf^G)e4xOA)<NXI}L3C`l@$^bYTn z>&fU=OG>tukm|ugsQCh@luZlHL3XDLk6GO{5Nd3tP1CZzu(2IOeJT;NjqY({ISeeB zh?W5IOmwsxhZDF+f6v&V`1pNtUHf<|d10;Da%SyHfcT6-SZ*vh(ihOJBA$P+XoD>& z$j0)_IO=nX<*HwVNYe*_y#*e4b9D!SwstRC)zr1eG)Os8(cv`;aX~0PDFK4afQu6K)^s;&rs^ z?QdIF_rE#*s6@C_V-Rd1rWUjp!7+(XaxYgIm+gt7^srVHDvw=`5i-r9Rw0PnPUfWy z)Bki9k$tgY;%b2gl>W&=JcVCoC9aZF3E;;hE$oHQ6#rIuG~i?~lg^Jc`@^E{wWhlD zW}wNo;%eq7T7clVwx%D@`m!vz%jF;^70v{UKtQbjCe6E4a#3MOx$NasDulD}&Hh5m zk$P-{S`4iEb>8&V?AU_f@s? zG3+}L+snFg4Puh<6klXQ2(O3F!mt$A^R%4<)B`^!1vVpEi2M&nqH$ z1d{m8fPcMJlIziv5*pU$1{Ri7hd*f*~Re<2_966jbEZ|md+hdsX9CJy*v+_iVPJNKkf?p=JC z6qToqD-G5Zbb^OzL(bu8G@$s0f*sQOrF+%mbnyuCG{Xb-v5@E{yv=<|XEhYmpJe|& z)-vFpYJfycNduT6C}Ra51|d;dF+ntN8@WHgKqNZfnhKR$L=>)8mD=d<=lp!EkViIb zjD$|J(f*IWsXRi<%s+y+TI}65#QXshOx}w=FiX$C?d?-gw?VpEK{0GV-MfxKs^;?) zca$F3vl)oP8y`Kxgmn*4aKNzW_3zv|Lq^V-g=cTn+1p0;7|*^chEJd;*tT&Mn5bMg zPUT%P4k2&n6|L;%S81_3U;|3H{Xc~`Ep#eyR1_sLe~D*76os({L+YiGr;&eRQeoc< zq$B9@>4BM>ee}CphKRl;Byl8}7x_3W0plo=8UU`ohPl*`(GQlt-!S8Wf2Xn!Cpto3 zULU#~hRgE#qv`NW_zZkrLRn6G_5 zREOE$W#FSy-}UrBxX2Da)+rMZje!N~6M@l?xuZXZ&Dy#AKZ9ED7LFn)bx9uGl(4sS1a@e_0_xnfLiddBPofa&R?f3RNoxn0@ug z4Y2-0I@J;xf0aHs+0 zMwr5M=qp*Ta3Q;>4+q~9!?X%heym8)V|a}(M_lq?lB$U!;3*VvLW1<~Bdt}ImHyAj z%0lP)V^#NWR(3~-`HVbuJ@12(97r+ty{oljj(b+0`Oyx8(L8B zS*OS%>#{%D7PwzCECP|d8v0E*Rh-_>{%W1A#;-DlZ?~%+fifN0w86XYfP6ut7=E); z%X6>{Gnj|!!i~i{npCaCIk2LP(_@ZhOzS?Rg-Fmk34=2P$|q&rS{zevZt%!iL!K)t?QW24^vn@$Z7m zPS#Bk>~7&~aLEdk6?udGyLsD-mJyi{zKw}+QTY;BBLl7p&=O6MToGdvDnwdlfeue1 z7hTu<)!wkn)C;RhaCw3d(CM)jZEP04a(K$byCRP8MtGI?oVd+!EuGyX&r$<;)Z`&} z0LSnU32Yf~Q@b5fBJBtj3Hv)dlL z?yPM=M3S%SLXzri((KUV($pIB5E`TxG5fP#o~A_C16B*3zUyV=V>=U!Sov|Sd+o?Xtw4BpEgI;n~tR4hOnph;S zV=_2dNG%}bKQ!W)sI7r}*xKM?B#&z=2z%31B|LBED+!Maj{-ZzQ&Hcs&cnPQncfO} zmMkMWP9=ItnR~OEkYxjskvVYm=i|hf^9bOzegR6c+Bu_lZRE1igkT3@X*}iBG_3Fh zFw!)TPI2LW+s!vx?`#8|U;hV`fx}p;QejipAWazpYWXA0Y;GqvE~5G8rJ{f){(V1A zbvI|@V*%}It!Ef%bdNY-|1O9nFU{Bn$bXZSa&h^>g**oX#W@w!yg-`iE!lQkI^La& zMj$}*{UF4WAz-g~e6N6V{oNQCcgNndg` z0t$-wz=68RIkrB&Nl$3XiJ%)|@IN>74)U=%v@FqCQD7*=RTQ(~S`qMM2LG4RCh&=g?B*+&&B_lHl6-2ZFB5L1KD!xzh z#aM|f{i^e0-_8oPXR;{LFfH-okKSP{Qcn zz@OC|O%Z44Z^4j{fNkJpOBE{E)xa%RK&7ieSDMLjd(LmIQG|-UsE(|^JOBk%fXWe) zhU6CeNxRhK8aGZUIoXG`Q}r&NM1*liE7@$60=jb7WfUfGV8R1Q$ynj^D(jr^ZIlZb zg~Q)~)>(*pY4$Zy%CBh;E0wGkOt<3Whf$w=E+HhD{B8I3(%@pUX!LbFV_l(CTsctc z$80`a&8&jZ@4X_Ew?a6etVoI}+DtNk?FZlw;75kpURNr^%M%n~N6YC8T?=QPfp^HOSjKDdU9|K6z zjkIfT_;)$+Uj0`uJ%MK?Gy~v$dk9jj>&qq+P*IT!jr*=E)hfpx1ss_E;(NNFzvSFbEj;_vyd^p=ga%JC9xK6#sTA!%rilSliY_>wHk=!$cI7T!xYp-du=$NKia`- zfKL`5H4x#P(2(5&Qa=?&HMQL_Zz#gzuYU!NW_zniq03niRuKCwbL$PSFbO3(qc-XW zVR_(9U$AF?fJDk9&Uke1)O_AmGG$P-cTcqJLlHAS$dKle5b}} zcx^GJE=4r+c4u+KM=vIN^om-+VCC{}WW7opYL3FiH_$f@J|%bbEhVmAlR4MaFA#wZ zEHzV|Q*=BFI#J|Fl>L&P$7WSa!wI5842@o@DgUB^(i9gMq-+kZ3j&34Q_>{+I;*m3GkUy6WY~>0o@1$9ui0WNin& zO~o)3smP-ofzf-{_o=50cjE+Pcc%->v|n^XXm!zPWgl%y@hi7_yVMwHj;oqMijA>j zfah$*dFw2-X?{&h`hcVNXqO_d8L4^r$#m*0cZLA2pHC2V9jEDvJff%ooKb|Ud_=oPTZ}Op%DI`-8hI0|hh9wW%9~wzp z(v5%nCxBeDfCj5<*4M_f12SyA&*Xic6o5~z$Cu*Ks$3^&nqH6-ESVPG=+y{ zMd?aUOeec9uw%<*LTQ(s_h(r8-M9hs7hq0wR}9P7DmS1<7CJQD|CMJ?BOTwjr}uVa zepCW5l5jSVxv^8WhZZopn-(ZAN3N|QmNJ64%D^UlK$bT;Wya)2Rr!nh--Bo3AB4(v zAJb>et+<49bi!|}{^!@$!Bv2=-GYdp>(IdNdQz1k?-pY;5vJyYQFkv$k+z!BuO{d} zYRPN>a@oQ8_o`;C8G}csSUkfwHfA(VjJF%4knyN^|I;Jv8Pc}ic z63<1$btIfNh3Jh!Pp?>WPTUI!zQtp8c*c!%EQKS1^EWYF{`CKmUC#&S4K2pY6qVksJnY7Q#16e2nd4Ss08tQCESV{-kbX zEsR7b8Uy40F>G*v5UUST*ix?GjB`!Z-YvH`ZwEn+H|*bv-9nue;1RUXCx_fkxSFz* zgP-x6CW_7iLk&L4rYvvBo}iLcqNP7LVcWnwAVb%F*ita>^ljnF{8FnuUo?1cDcOa? zKEk0a!i7ZfF&nQb4u?4$CX>2OjaXEPQ$U;OzF5|ACV(Zo#g!w7$uIWK&sv0`1!;(j z++65kUU*DwRX^>(GOi*5ZOq)*`K)VuG1ox^S4W1CvQ+jKlOHRFf2Q7Fgk@U~ZDKY_ zxN7my4s#pB5F@;nLjQ1}&c@P2v2}&XzYo`Yv)G3BJ>(4l$ONk@Q7%j@KMwa2sIp3B z7%pwR7y?u7U6hLQZTKTh`*7V>yFr@5OMKMk}RErN<)@#Hmh#Xn; zwepDL1+N}YBkhe{E7$=E!%!*vD=*bri%wH=!X!Q#G0SH)4dKLzq>HN5N|^G$f|S=z zX>u0$A;iM$R0Nb4YxRR_V51@Cxg|I*VQ}~1xyFz=541NA6w2ikEkCKsELNgsw z2BK*SUsL3;1;L7W;mtgWhdIL(^`Nn%#m4$9NcDc*X|nKa++jz*0`LXlGUg@yT1Pts zt^a>zLPS@ItX`-Ao)Iz%Tw0v{FH9Oq@PhHh@MKiOhK%K31UETPhkkIsWuaJ|!HoWAfMA z%T@xLDMD;#+kG$T#!sk=@w7Qb$@z?JS1UU}!k9|%#xcwE6XFqIh|2`(8bcqLdo2R~ zCS4-<@(X<;wcx@8A+}?q`4wB>0y~h)q0K15vul_lJO+YEE$-i9>~n=U=~5xYNe6{lS_N)I(g33-821qB7ArPU&15<9wue4)M7vCaI`Z`gSpV%O zOp{&#a@^p-Dv_zt!5GgVILe8r%CJwvniklRYH(v;TuQdbFT2LItR7;eR?AF!I5fex zR7iI+HyVzh=$KE2A-EMFqyymXkXb!yjeh`DQ>QH9D;tsrupjh~2bwo8`MPO_uar2< z;6)e8e%Z&|O2&6ftZ{j)m+_ynM+F0RYXNC|#1fgKIXvG*@?(?e+!VwMGTvb%ZXRHA zJbzQ*#*nJ=W%B+#-LHD=9e&Azc#sqGd)B7*&pFg^0w8Z-Xw(q}JLafiqLSTGu`Xc* zk3x5{#1wMC8V27N9KYf)aF@Un>r?RyVQ;6p>t`zc>4AEh7fx zLapa2*o@(1#_h-1$XY+udhFiC5g)9;Xp<)zwQ*M!zGT@2xjOBLnAlE?|ao2%@z@>HPQb0-+Ol0E=*FE}mUl51^ow zf{*4>m&_->qng@cO(LUXUUSC|PNvFfiP?uRJ{;WCN z+r89Lm@Q{4Lq`mYESbB948XcK8etZdASXlk++^#40Xd3qa>L?Xjlh#f0nE4$WKQC3mFyLX|AR(SYnc-DMVgwdKC21QM0_Lvn`z0fAyUA~ zxW(}IMcr9*l=J8p@QMg-XUlgZDuA7ZHVZ7>A&S6?9g>_s*OVBE?nvkCr(O``8C5pl zSSDK#HT8VM$OYuAYM8=qvFhm4s2cf zj+G9(*0!cN0b1NFT!^OpPb9UkY>(0df)XCQ^PA;UZu*B^bLYjQoQEl&9*~H z8;_bY8sWzSv%(d-mk5>WzRg^~st%@=i`V)I+G$$t`=O|?_T9)`Cc2l2^}oYeUC`Q& zCjtDK$2i|t8XxC^9TXmh1RN!LbeBG$W<`-)X#s-+eU24Lz z{VR>{4}A)XyLO_}aYGI*lw1JuOhPTsU^Z+1-z8i$N8{gFoi*^4suBUYfb+^Q@F6o!1qpt7QXD0hMB~OsmTXF9=HU@5 z(BsP?;QW$rA_xcP>QHYTTc(qqxo=zKq5cWHy>oD-UAjITr(;_??$}AkHafO#+fF*R zZKq?~RtFt+Y}>!|JMX+RXU?3j=2V^f{@Ar2ti9H{uUpr;Yt>!_0u6S^s3A?==z_~M zirNXcv3hltqZs(DE-i27F5c}nUnTw37r&*oL3#-6JH0b5NIDSwihsWt^oZP6K6MZR z>*j#P5S3*6_*>x!U}(yo^|qJko`G{rf#L)?+Df9Unsc~-tj&| zKGQU*ctVuZgL!)s$UVSQ$|x6w*&m3Jkm{Q4mO(fk^czZvEH+LxnsSkTIU2yZvF{Mx z_5m5&5+A^yV`P84w>HEyMNQxmRHdmFD+VGrv0%#~<=^rGeYi^T*m%NQm^T%K2JFTs zeg`&BDlF5U4YY* zgHk`i)OdxEj=ci|G11P%lWKA~HM$r?R6VV&Zj9m7d@cx+PJ@knxX6;6&b!|_V)No^ z0FjB7wUT3uXN>OtIhtKd0QaA4k4_%=}# z44n2b5RA?Sgl?`ZlnkkrrtNF2Yk!qj+;^N2?M@$*bn42bE#JS-tUOHshO+sp#dZgo z!NhK*&}MIxG(9Cy0>-@2G9`@DN7Mh3Ged=>uoUYc(fk8lA~$fs;e9wKEP$VjWeM6I z)~j#mQ^w1tLQ}WL-M%`UX1=8Hm{(nMLd!Oa^(Y`@DlYU+P$Ze=9sPXK^kh-J-+}#B zG924YjNNcXS8naz&_daS<=a5;fsncKZ0X^6iXAm?zL-eeZ1T_>u!rsubyLDa$ghY` zn3AWa4VH2fwI_&!D{ZI_Qil>o>$a-M@!tKQk$?*E)fr#U+4>IMqaAGDN9$p@N4I zu9sT!8dlSkQw)UFNr=|t0~?TGSiQe(a>vr%MhVis_kmN>d2i||)#u;$lkA&I;Mx{b z1SP2B>@QK>u3GQ9c`4RFVtf*_RoXqv4!Ul#$4~~;+Cs~pDQQn+qM`*y4Q=kfYa#9B=3kt^sIo$ zrb;EGHV?$TJp_u?24!h$ifYt&KilY&dqFkxp(%*g%(s2!hh*F2TZJ3Um17d~h(U)l zd+owc>?om7Z4`PhQk{#@uksiZa+VFRY$&dj8(-hX zGgvF)LA&h!>Lhnt?PtWq3yMkqx{a+wn;EArc1IE}{1E3ihl=gWl6quh?lO{)dyaB5 z2vRY)RHyri;>E8qN0R^ z9<3_5=~r@}@hi)W(#et4!rJP6w59PKc-kHWOY<{j56{FRR4gEbQZb{6Vb^B7)_@o# zho`wO5N4nvUUH7t?t|dC_nIV z6YIw4xhl9{>87|acTq?a9g@TeP^tyHvrmaycJor*);g z)GVqcMtI*0wz#yFhCH$97UJtys|hkc)mdH-)Kz_KC{bmTp*7;D;Oi?j zfKyfN^4jPFfv8;~*z%A5TmK(%P)d;20a99?5^^ z=N11UB;fPKN>+GNIPGvSl#_&|>D`z=@g)Lhnv}Z$Pab4}aS%hwJDmUwOvn&q!D(Tnn?bS*=d6pL9mN%_;v zO&X}t)}=|dSS)|J=+^ZdKIAsomQc<^)-=kI)MK%ry%V}%!_S%O0Wk;-Sg9&|cN?qj zN?JFE{po)A-|>rGQnaAWIttfe`S?L(EII0IuDM8cu*SP)BvX9G(Mk1OblLbPfk{0h zi=Pgbs33_1ZfQx#!Q%LA-VutVy)~`B!Il)S1&2CxM73gM}CdLCE`i@1i&$=9(yhx zbb*u{+IoX-<2-d#jjqUSCuCZG$~|$*WZ5=ekTYv-6-+ZtHf6b5 zZ!bj8*Sc4kT#~An$CRIp7nUn}2LCl_NKM*Ucz2kIz21xOgbul>E5RPYD~vdC`z~TE zx`?&k83q8~)vZ#eOhJXxNL2`pbds_rQSkhoOnYEVMQ1@%)mx;;ck4)yw|Tv!WnSAl zd&%*l)3EN_b9q|DKEE|xL}AF$l)64458VW%U|`b&X{sRY$;0ay=Z4=ecI2n?ZSB^! zn3;DfrVB`Nht>0=;2>58snMnj^U>P@Crz=Oy#<+X)up=A+O~w@C?i1y&T>Z3U>9@h;sCP zOu$+23)E0NMS2TkSr4?i%U3$AwtvPyX~?bPC;ur9x!O?vu19#=jR7G#RlYfn5vOiH z!f^zNM632d{hA+<-21(14t2R`tgdn$u}IOn2zwm0srgg)Xr-mN83vH{%2C=EFJ1)> zbi;P<{sT;dhv2z&+)#bha-78#%pX!(EcVVrbQk{JXA=^{YLK619%z+8xMkd5n=5O5 zv>-upm+5hO+!44Gd>&P>of5^Bj`_W87UTI1TgA+fqBT2C+c$fQLcEzbZN?$Z^+9_` zbGa-l&>(irWE(z`iDb0-CD6@=?Gq1?ai)tBD#XVIcZCh<^&4pP5h#y50^ir=?gOQ^ zykwSr(qwEwP4rDW<%RBGfkw?<7MH7yXgwLP%(>LExSI;3VAp2S5S!M$4U$>rgt2j( zaHHJiKo~zPQI!Oc*W)^O%s2|it`Kxg3*V#ocT|P7;w>;uoDTW9c;o}XYp$#k;nR@z zg2x4p)#WVannP6~&>Uu3R`41kw|nqmM7JhdOsP$&dZ1$4YS{Sd{U+_8d9|Lnxz1fo zl%QC^&##_%1hS!rjV}` zAhn}s6}_kEI1nsb&_B{2Kes7(Zk-i_-x*Nhq)mR#u};T`aoRzdSc+p zPX?6UEUSLs@^2=}?5G>LxN0>DG&vL?acT8KA+uWe!pR45S8GMKoup5;;z(LdsqPKs zd^zVZg-A^AD5`jN_}pITxo_q!fA!&6*>0lWr)On;;fAk8#?LfgAA%x z+{-uXYsjX+uU)^jhnB|ggjYd7l~njjxHi$URFkTHR_<@dO}Ud3f^l;clcEJ=l|R}l zuLi?N(38sfJ^5M{@qAFv#_k|**-d27uGIHi^eQ&$TT7QCSkb@_8pQh%B(z@M2p085 zO)nY~#F*zXBtp7UUWB6nYGC~U%t>Sv5)Qg!4E#6nK8%g)&%0Ml!%$5GOkd+Ww&zb0 zp|*#syLjDgtj=auAhTTeMp!~o6dM^?ajk+n=x@lFO(K+oNg1Rlbc2L94X4z3{@p`mL?Z0B({ zy*!G1I3WKHy-#$sq7~ahgbVtU8bU=>j5jvM#b5lCc|K&lpO_JZ;)oSb-#`~ zmHeT#NOR`t@3A;maGHG^XcpF8qHe=-y+FFBuMDw zev2iOFs8;)zf1^9&@|xc_P&UCR#SUl8X$W{J$cYSCHlqNb=7B1oPU}oMj81Xs9ArO z`mEwg#aK7C@LS4AD`vVlT3h|PtnPDYd{cd>X?b=}xre8f0uG7##06}YW==B`OW7g904O7NY?nHAyqrDoeb^lWucf^Cv}S3WwL zI;Yi}hJu9FMyyumx&lQqi+=RY>HNh`^8ik%h%jSyez3Y~BFZ$^+?pac9&|wQEjrC^ z7xN6(FttY4&D;=kx~$xD_3YHd*d79N@N~aDFuy4OY0fGLp*#h-i^b$CqY5qfC0P%| zayJ{>&t8`$7&9|hi=TX6V_61k!_TtNO~U(PwrA84H|GktXcK5{Xm_Jh#AsRQRMP>G zEUc@mBh-h`r1A=iA-JU~YKT@<*kva){sJhyt|*|>G*CEppLx0QubR^X=^=xdB=+tf zNn-m;7%8FD7>}#uFxN(RRMTGf(G@t+d3)pK*m|N0NvfGKc)Cgz1k1!?50}1-EH#b4 z@EZ}Dew^}=OdNIcoj^n_HS(7`(syn`o&4|meUavJ&>P5YRn;_21KI^nK7&;}Ol)zG z;2(ebML6&y^tj75N|mTky{L|wjj=AtTa#be-tR7ESt`5T;$Ykec>f{=So~!n0)Mm+u z)u^S-euz|l5xRuf(4W&r7-HL^MP;$3Y3uE=cDN6_!Iu66DW;v(9P&|D&y>x{vo$m& zZySiVv9B?f&IloNa%%2*J%X^8!t7Iq{y<%VoC_HHs#|ChBNx(D4%pf;G8&@5L-w|R zFNPHE<*Pv;Q*i-LDH(=fEpLrH<>p#2DHs;z!!|~UdAi^q!75SGnZ$1Yr-qa_KG)_HNrmQ34C>E*oaAEcor+ zw`$!Up5`_}?K7UoO|?_S*-y%MiGX+HNzX`(78OJ23KtaLVS6XBr9O8ub@1u9@vu@R z=DQyUm-q`rKR|LNAPhK)KBq-qg{y5AL_^iX?0eiiO;f9(oF^QXx|YV|&m`1)4~6Ozm9IvACZAJvNm*Zv^UbT`h8`i zZw}4M&Iq6f{J!GiqLVVRHgPlsFtV_J0nmwcMlvZvh~8Jw{2dUefVqnxFmImQa#s z->7gMIN0h`(A9VcndmR|0>p%|wNwvTC5*2en-eT8Ou41#5^YRRz1<-O`LV5I)rQ4a zL%W_>)Z2NuuBgodZ62Suw#S&r>aD%BXeC8sp4YCSN;sJz@;DD8?bSPR_~J&}gFLJV zCJ$eJ(sAw*F$F;$t=3GLNrg`fs(6g9XxqRl3MSh?5)UuoLgMp}?wZF02?!CnGdjI^ zdqq>5EZ#0Ur@Kc%xvr!LT~v}O{nK@H6?P?yZXRI7_z6YI*M`dXvy@hW@q9XVdGHE| zeMR?h43zf~YoNfYw^0&n&>#(}wDtJCTA6*U2pj^Zb@}3~-DQKK2$%bOnR3oBtty?i z{jgt3+%q&;!aHgp1qg)i-gQ%5qF0$awXn|V@D(Y@Pt zRCe3-&y#aY2LlT!Pn+OFE9;W6N?wlIs7wkl!*881fqK|n3MY6#HNJ=n;ch#m`KWz~ z&#P9i>B}rpJfQ^HTam4N1!0?a{8@&)@SZ!(@2c_W4oqup5Vc)UkSH!y%`S60vT#o0 zmkOS9elrwDt>w9b%Q7%@Ml;5@pvTGTSY@`(-RlIIch&J`L8kE)hOlfx(<=YOHW)OH z0iCcx>y~hTI=jqn8Qk;RLy{wi$gcaf$gXmOIuXilaxY^&x4k(BVGfUHb{)F&WR1 zrg}R27fEpc0@G{s2JYqb@q#MY8>&RBvq_R~wpR7@Rj!_QMCWQ%ye>;QoL&~z$)uu* z_k(^;@#Z)Q6npj7sy1UwD_*=7c^d zAOmK)$FA0XSa9huG!@4ZT{3d>b!~aoOHKGsbewWDx|nn2%aw7vCSbF?2NL@sfCW+{div&LDf%ppg?bZR_qEvA zm3fdy3ZbdLyvLa$kba3?Rq*q>jd1KoYJ)HN#cZf>zH73D(gL1PRqkCK5ZnBz{4k+G z3=*6W+tyz)S}i+~KJipLm`9t(f09eFCk&o^A<*&E^Z4YdpFPUXl%=vnUuS3a&F^v` zdp-xQzEpv)g|Y&hU4vnmZ1+??OnPLcKK$gnHbj-tvgo7+XQ0`FmO#E90$}*qkoox?bFOmDTc_Jkz+q=93Hf1I)%~4%SuFfJL z?sZQg3i#ps%niAyR#$mg$x2QLbeYX&Qj)uVJfK3TBy#{s#>GXeQw(x4A~{0zyiy5j z>MdLv;)%Xp4RViv>v&Sx?VA&ENVJ)Vn{R#5&8}t81OX*)T~Y?mE$05bwG%> zULT(Gm9GMJ{P5;i{FcXDK23yr)H-NV4X`E?*%aAQ(6w7dgQ$}k#{N|`>6g|HbyH_e z91=$Maj2Mv5|Sz@;4NwxuN9IU#VY(?2`1UAA=pTDC6of6Zjgx#4nDSK{(pDVEYVz zU+Ulp%5bMQ#|3OzzDxmK!8d>^V2&-L`H7);%*tnWUx# zm$FOdD)=%bTGzxqGtpd!?x5^qMeqQW1xLJGAmVi(sdU6TD?Y?{{D8%~z$m>MTU40W z1KdJBLz|r#BkL&4%wKGw$7-UFXlyAi6zNrGC| zA6w%v50UgP;@U8gzQEvQ5MJax_MY^pZOvo#6{iESh=XGdNOBY?>f_IZVo5ffuI3ZW z@UIP0KJ62NERh|_nlj@nU)N>pPW1?-s(=S1CP^=ysXCP`cN6BBxRGO%&vPen2<3k4 zrVWT1fwP1t6s;28yX;rjd&XX1~jqLGA_POL7yA$heW(;F?#c=o3uxk{V^na$K+%(C}nwNq3Cd6 zDe64=jzRqc^lmA9@}m~~aHq}KD=G@0ry*|A6zpToq|X{5xP}b=ke=OHjS6Bb;aLy6 zs=6!RGH|stUEzQ1WQ%47!wf|ZTXBNw7x+f!1>X|5yLcr)hRu+GQh=365kmgr60WhY zN^{Y<-dL!pxFb((^avZgwH6HV2 zPW7I|7?&dcHb*Umu4bHbHl`AE~TN5(Y^qF0?H}~H$QKo+|Q3XdMD`fx!J>v)ew6S)S(X;v> zo09yZqOzh?{I*7}3VPNK(nf}6PF9M4YoYMLY8?$sKNzZwy_KHj-yVKYSR+QjmoM}m z9m_-i(UXdinTe?*fSI2D4`yrP0ATtUL&(U%z{uJWK*PxT!Eb+$C?H_t3eccoq5s=6 z2BwcI26_f2fEF|z|KA#a-2OQ|5j`t2OEj!B+26h9|I?Lz`{;j&2?N7_F&I`>761(sBMX3${=@tk=;>Mi z$zs^)S^n1e?*^mBU+;AFUvOafnD$=|#PHGlf9XJM|B3?x(|-Zt4+N+MY%C4`Hj{q~ z!=K@U?SBd%|0*-%mk*iQSpP2bhYbISAOB6}-ROJ%t^ZS*zx+eyKiBpQe`w#y+Q8Aw z#`+Hge|ze`Tj~p2|FPuPp#P``u>793fSIF%tdYHfo|WxKaQeVb$i_;~%o^~~g5mdj z1v7UefCeMWA9|J7vo`s-`~B+gpN}SgmJ$Bt@n59_No;QaHzKJCU%~7`r5_6?^J6)d zU?s5XLr{&Zx`qN#zPp-uM8eDW9J75WU4k-|MUPcCVUGQo9$&GfPkH-Xzw~7c-BzXPMFCc0Ug}7g11u zXqdrfQN)H$x2An_{&xwD{lCG_!u&tL{TBv&T?q1bAVVSzBE0)D#~b^bP6N|(=`*=nKLQ`0)aP% zwiYuCRfQHuHDIBw6Xss01Y7O3rEG;=t%B%O#bjNMpED?nwcaT;J*Gs>fKq`x`chUd z56pkuCiM$AT`I7jNjCagOA4!&yW}wlF>aB5VP4mP-<|AIuMR3lr(J0$@KeKztLYa% zkO%)?T@&RmDJobk=svydmH14ukiGm{W(3Z)X5rZuQ!|Ux6r{kGQEfCyQ`nV9LC;sL z@gt_9daEuGgDTeLgJ}b`V{5D)8la*?(sl|r0jGzI#Fh5KBA2;TDvGTUo1}?+lVI3g z$fIv2d=aQH12&pp#x-62l&GHyxH@F(ZE-*&<;4WynRs;9t!C?N+m&CN&z`cds35GU zLU+Ei>pBI46P?}nfq1}8$SGQvdigoqciG*^;cB99WS{iDeTtfPje8!P4^d`3y!6Co zWTi9d;JpYu_PlMJ(>};b`}OdK5J1}t7k?=}AVa3XMUb~E_SJutJi0a!QhA@y@W0#G z??Cid`R-5B#PlbH`HM7(3rPHx{)Al}?e%^Kx&I^q{^lJ2VX$ZYGnW3zn^@U?^QJEV z2Ih|-`@s(WIqv;&`$q=)d$3my7$t1z4ng^LibKRU4Kl+%{?AeH!(;x6`~Pd=#76%+ z0R36tRB+OF{DXA~iVOYDfWPD4pDgO1IM|mjzbVCs+yZ(IM!%c=!92wUB&k%)tW69* zJ`Nv0QUPc%u+jrGzOereejl;zqfbQ}QE?$@J=+f&XZS%U%^ckTzaJ~OIegGracg56 zz(yen;(*WwKp`f|L79%8LNx6i~#ugf`U%o$i(cUnEF8|k-E5w;3;@` z=!8^$XUvcG|44fOAct%pH2EJg{*5{RcOwv${L2XbQ~m#@4*#kCS^rD2XJP)We^$T; zZ~jC7|D5YT^#5n$zw7_v@D9`7z}(hIHT}TiUsV4Of&WQG{}WASqyOhM#NV~8DlG;0 ztG3O~{4x07I+ZqZ)HBp`)cZ&6nghH3GU&D%$O>uKhLm_|7zis(cJf58bt??}eZ{tX zNWa1Vfl38HdZqB0)MACcV7u#K0LnjBCP1P#;4x z0d1-JmxbfbZEFQwktjaQPYK^(JJ#8{W`? zFTC=bwETpnJ{@w6nUKh7XUYL@MX*InHu3Vw;B#+=fi8n9TAMD%@ke#hEo;rmTjhEy zxbQ(nr*MQmdE?+?Q>!pAYB#OF-bJZib@@hc|HwcCse46K8%1p?49*blsW zvYZ<&Ebo6L=Q4%XPP_7wHPp456)AHz(H%1Lf6t$VI zpY5qZ7dVe_F)H^K?)`unh=%>2hj3$l?y3Z%wP3kL9}8*Cw<3E0GjU9#9TGx%M%l?P zX%ZYC;BX(HJQtkeS%cDG0fI(NxH3_3VkJQ_I5ES1x|oR(%wM~HH(zNCU2N{}o;#vL zB+Cb_$MvWzbMThs5D22nE3_1u@`Pn_xsqm~C5A6kX6YB;zmQH@S`4Au*ffC>mH#|) z44jSiyaLw(**aE;MP;AWH1LvmEe%}f)h>p3y3VNf0%M`qiB`r4DTCx_;~Oua(kBxx zI?aG&lZR61L(+XA3Iw)ipm<$kM<#!?u&++aM~FUQJU=G zB-tZ|=eVP@>*NUfswkF+5zNeQ{r34Cj!GrLBdH1o?9?W+5S6$LOopvZz=Z<(sw%Pg z5^EtpE@4zToJ z0(r!r0Rm%#S01s;*r&HTN;n29-_q%`R#6ZL!<0Mu2-BCka{>LTSjsZUx-6h^Y3uTO0T?Pc?na=|s41Z>tTVk#IGoIe!iYc_ zw190H?`yaiK%Uk@m2V<|4?}Ui1zsvEFli0Ijij#`P_MeNrx%+7_F>CATIwxszr^s) zh4l$#yhKpwJ90r?bykIDwg+U`UNah--rp>R6OA z@3k^_K?@yp_LrbV@c&JrZ6^{7l?OTlb#5_g&uk&hJ*J?^eu%l;)8j_^MZfljTc^1ioV^s& z^5;&U4YLNN<#s6T2v8g8?t5dJ>S%_2{)l0z5*hbk1rJl|M((`CvMC5g{#9XRQ^z#N z5E$jEDm>&D9l8r2;wV`}aHpZQ<4jaGZyUry@bM_s>#a|=7Qk&@t|zm`q&jR|-Tnx2 zuwF~L(pPSp^Dk#_8g@jq8CSk5qL^s6-XjW2I;1d%u|jrIOYpQ7CKI^v%ib9)1m79W zC{(Y7Ii3W=;9iXO+N_5=VI^lU7LtR^npz8VfEhsAS+9?ueH{bmfi3}AK@8p2(q{KJn^e|fbNbqPyTg4b?urYovrKv@BaAHk8J>$oidPWg zNS~=_V;#~+W8HAtLE;R{F!D{biHk8-lQhOfF{;=!{&bEnrc)5eM1Oj@F#`HT?B~@x zdoSvqS`;{OH5~xJ`{X*4!T02VpE7(?8se34*OgFLIOU;bq5Y(n`c)2{#Adeem8pPh z8yK?apzs&qQqlt)<;!Ig7~J6qGa+C05fKA>K}Mg^zEX$&O#@ii-|l<{RmhFbTGJRYTyN3Q~O>KPwodh0kqkLKrA>?XWTNO>29T z&XwrdYn78%2rsvSf}&w|Tzocn+`gVb zL@nzc^Fh?=UI!CJmu;1sy5TOH zY>7l5z8%1kXLImDjTNqu(qIz_0^3-)kk7+&B~U6GFXPC&*H$!!h0>1U^-TD?$`?Tl zeeCjJEP2EamNuiha2~x4tl^(%==25~Kk3@GPzoSfyBp3aLa;{OhU1x-u$a3bH=WPI zw&Ak|IltXmaWYBgLehWjj;q`Q*PQTlM-C3FhY6#JpJ~=>x?z*R!X9RPOnkrc3$+YH z+K~x8ctLW;HYK)TFJT$#qLj?t^7pox1Tu^XL`N@*;5|-GANuC-_K=QH2c-u!w8U9~ zvosgnSEunEn=>9rm`<1)L1e*a8lzc4Tn1lZA1N;Y;oZ(QP7M`urmSKNBreN5qa>@$U0BUp4UaF3m#wSTyx8-wFsV0 z0_D>*cc(0ei_RE@P24Fi`!}f2Bx@%)l&}47b{}z6UvzQ=nj25*C=TrftD3GWeoCj? z*icuD(p?nE6OK;ZuyRC0)ucV8{Sa_BHHpcGc$889b*QM&&4ej2Wvna>YsTOm_^s6F zfo&%<94rB1o!)Gb-J$Dfn@(GkIm^v;a{oKx{pGLLZcCHKm+PV#YJM-G)rFQN&~!Jg zh|9?MN=5DA&dY;716-6-TB63JpRS1RskrB*LK#hCy01xM#crQRwusL1hZ~;m^^|0y z6JBxqdVET@FK6{;MYyU1wzud@{4tQi^nvQ@%AkKHe%ZgEdhB4Z%)Fe0)-5psL6E@e zCGXE;<&O8AevuB7kG}p!}bH^>l*7aU~AXhj1p76cN=Lw-r zE7KdXzG*~B8eD!HMn7~?Oett#+sKb&uj|eiP%Jo&$0+Coa8*G`6lT?$8x=H zX1iI_P}dx9-OD18mg)BXxiM(!Da3^F+=S1)r5YWd;NVG4CKG8wR~_TN%9)eO;|Xx%WX79 zB#w+1#zT_}AdHH6^qUNLscq;h-A&roxA3`gX$Y?9V@upq`DR6snjk~eHOM|*+8BAn z36}4(ba4A!jR+&&&IxW2^8Tx6TDoxbE;6oO$FN7+{R*--V0_{5RT3HUi7mdxt?p>5 zXP|gxdDIOjJ0%DCg-_L2f_5)#KfgOl-JYqZxeSh*C%{K1tWFUh76U6>9zoe2XgV(w z@Y#0jGn%UG`iir{NuP=@yjZ_3$hC)!~%XzE~bB5};R zWL3r5BR0nHiKe)MnQq~l1g+Rr&8oWfItLv-j$u&+W12O^bVHz(!N*{AKfiLGWA?-7 zQ8WaXOeklod?VFae6Dc7Q@9f%WhoAtDSdd&eEZ3L_?ZJ_ARH#dUma?f$^@=@^JVv{ z&Q67Lcy1P-uR!L68q(v_&lMuEenl`?3vE^fWKwLE=q0@IQu!*J+ZaxZm^#!S_O#{B znNGS1%1b%qu!Q>(G~Z(CYGx>0J46+)-?d#V;^3_Z$!li?2wyXCc!-n;l8e!SCd^3WtiD+YRg$sbMx6)MT;>ZuopfFI0#ArZtG!It=aB^a zoEK2oHo%Jccf+IzVw>)HVB?HBnrD=Y!k;{-HouNmWyk1uz{owhUpdSqZI zVE6HF6TN|zCLcGCDnM;mkGrcjB9;+aBArT!zftHs)X! zwGOv85b2v{uz(w2D6H*@J55hqZ3BK4OAQ_Ki0XM2KPPD~94g#*Zo^&3-}yd%AS)~Y zi|FZ%$D(d_A^FY&7vwV3M^iH-U<=k#v+IYr4ur_G#!igi@!7gIN>h-J?*3ie@Kxn$ zS*RV!i(6lV4uEj1urTCgFK&h59KurIdO}0(>x14Af+>O@xXgX}O45{im%7{Y;5J~6 zk#rRBYxe;Rpyy4(+HUDtw+Hlu$|AmTgVP&DU$LUtRiU_SS$$DNz4A$vX|$e!MBid$ z*W=1G`@;V-{8J%HYH*}(BMJ7YEZfKqQ?V1NH;YcN>_T&CKdMEedPU!g8VcXo4~!cV?Jr84W`2TmlpTlEu*>w*B-p>saatUYNmai=jKb#$*Hr50#0JT)y; zH@c~xCv7e)jD7=bP~h_`q^MIK%jc^L96_ad&IS0V%16yliwt;2Qdc0Xt*6 z?(Ymrw4YV9I={wbE99&6QCVLOJ}S$Bc`T-xuMR^JxYxM{mj3*qHtZEwe^+<%%~(qi z>^mLHPX-$Ohva%6s+A;Y;v|_Q1q&{%uVv}Gm%y0r-H5a@W2R1~lTkXKNlIr$c((-+ ztSf8vv*Px-&2{G-_@nn^brgF{SRa=#xXth{(z|DHl$3UVX!JA-B(;@S*>Lq2^kac| zk8WqZO^r_ZR(zigGW3Cd^SeN+AM?}UR#qXTnLLy(mw|t&Cum?dswhw%GlWd?Yqs$b zy!(74$eiMEkLUlKlI+zwcZ=KUn5a&ly28$bvDjMTwgMhNT_F~m;2J^mR1b=mHZ4d&0c(FgnX#CO-*@v|3_~jmw~;V{>`Wj|J63JV{(M^<+`_ZL2^C@~ zHiU4|N$^nA%+Z&bdcJxw@Lj1Z{jsFhI8I>=8>O^uFghmm{Fhv?fva10t(9BQE5Xoi z=7>iz2m!EHsA3u+9O`^QP=~Me*RY{7W8`I(pHvhEcLMNBC9^%e7DH5O;oLYRB1A#QBXavXT^t=TvMuZ4NsP3G@{eHB zl(ow=Fx_PyyBTnT+c8`?i?9mLY`cJ45;METg43$QCz3Yk^|a)#@5l?<9rin5p!e%~8@F;hQz2zHuC^n6*M&9- z6^Rx~l%M-r{7I?7ZhN+K<5Oxc@>qtS;Le7==gLtQp?yYqu=Y2D8G@1Waf>O&PDqd} zPKZz==|C;klCI4()66O=K_WSsVSL%p3`seD-*31r2Uin|+FF)k;jn;*xy;~`;Ckxt zxg#5r^4YhGkHQTv_#!EPdods|PR8x!* ztuWr#+a1Vg6osHbN^3joR^umFS6^gd2&&lyV#V~cw=f8&TfNUV_X*+j8J`2E`)RU> z!=#3D4I)1q1WoHFwF&K<+p#&u^ZNEi#FL3l_1cu7kj=%d5Y50K(X3HNmCQt{7FXfD z_PfQ`;}Ca_D82^sPnJDLB2n>m(loXRXb}%n!mZslklBeujC7Q~P;>yk9!QVx;<*VZ zAR5+Ru^exW^sR{J{rHPE|%NhG=3Js1;moFE<0l2t#NHT4AaI8GwIh}4)!mlRI*p(=}mea zd<0P`&c|kLcsS9rm8YX*KfF|o+cAOWre@kq0EpXeHjC>S+eEE|E}1WCd;~@JINcD) z$~!Q+{jxcxa5A-^!3xEU*13nT(kBA7OIbz7Rfk2v849DRt?m<08v05^LG#$muUjb0DaC(pNPyyOzfh{X1n~kr=J$jaSh5tU&GDhOli;*Z{*#J z;Z~{x{MYNiEsxorwu08yzdvB~tDW6d6y;18PN!L%smF%S-Di|PHb>*KYtj6aaL@w+ zE&HYG4gQ8qia0mPxivg}Llr?-&;w@cw3U#VEB4;zcFP|z6V(Jwbf=>@MU9DdA7HvCwumnv@b5VNKkwT3-*fsB;ZpMeX%#gsuOrAc)C+G{zNaXiejnu@JR6%> z_Nps~-KM;YX|}38S)d7v343YrVV2?)!&?zE`-LX@I@-tFLtjRM1uDiFe^QL^KN^iw zT4FRSo^fP+VqRjt2lu5*U+BR@q6~md9r5X0ewe)<8cut6g{6>OQ@QCYX$kz|_Ps1O z(bPF8R9MI~F`)BwnKK3bf^C~PJLW_PF*<-m$6lHS`qQjcEw|~yTJ2pc+)lk}E3V$B zyNHONz|p!{Qn~P6U_XEQdsi^mbrj;YehtQA>kKDKI~T2|vX*kVytOQ28X5)o@l{dD zAY{zh7(!ZEx`wD$F0kd*ZMVG~Sn?^n^dD;QoZ{|f+HzGo2;Nhfew+5pXpcAB zf+#w)%NsK}kfN5T7TBFInjXWHbj4+AUnyjqZR>%|BhITj3gSTjHY@mmqWl3~`z#*|2uG>z)*xRW{ z3Flw;waOl9P96XkAj{XjirhBDmD19@s@hgbn4I|0mu37Wev?mckOoHg5vy7Oc@s)2KmK&YeO$Db0kR!3R2m;9>tLK$un^e0rvA#FmGI?_sCZJQuZ zc0_&{8Cq^sr4!Y8Qx? z>?{{<tVG(lbTMt3FFG?PDYA z(I)-^ho2yeSzp^Pf0!XnrBQiGEpm{ki+~*qoZ`ZlYJdSH7kE|RbM5oRvn7Y z!xIC9PuP8)7WvphK2h%IrQ&K@moXPw&?VOA`U1r4A%O+r{|rM>2faGd1amLk#3D_b8=O5UCZ6_?-I4OSPC4k{4ol?2W|pl8=$ z&b@d^RND>_f(Qey0LpL*@PV3cl6mV*MP(0KJp3WX zCVVQSo_I)yW;pTqgml?<6jC$YOT#{q0lRLZiTZQHhO+qP{Rb!@9^)O`nc@LvCk9T~B|mAP>i z`~hoc=-2!(OC1(`!iNNAe_yYnFIIY%Dese!iY$Ql_f^Eb;>0^z(|7OiTPS=dFhSm9 z4f*}tIyO$`NY`f*w&5Mt8Q95x-C_o z8G?T2Lly`RL*aYa>rYZfB0Io<)0Szhu7>puP@3j2^x!I+7coGFoC5$Xtg63s!13W= zr8$lAb_?y@J+1nkceRy@s9VO8K$F|x5#zDJ$F>{loZjB+mDSE`X4VCL4_rU`^Owz22> zrpWOy+?6SNX>+=fW01B=K{jTxhWwFxi;O1hr>3gLCU&gTw{UvdQvTsF)QI7-u*jo;sGBa@8YP4B4%_D6kSOxOzzV;8f?vZ|dqN&5a9VQfR9EZ^=prwu-Mqlkj% zT=aj2F;m;1r*Syk+v5&PD=Tb6FnQZ?QBK_c9kLBCRXv=2asvWjC`b4rtmPEQgA3RZ zuJRW>e7P$N$hy!cb>FzcfU8*!LIf{Dw=|vs;wCEyP>xZcpf)c`bOogu20_+)J|KBm zVT~M%PeN56Ni{>WdBKWBha33tv#uEc;qtIb#_=+P6^x;4z!0odLN^DOcRSHZz=h6d z@fue!TY9tV!%{^+Z}nb%fU|D`^>D-SU_c_4JL|(acc~NWuFTJ(F4iN8yedfx^!TZL8aC|B4g1VnF5JS1HoIj%Z$y!1ss`2b8a4LecNkbu+6SF^f8VYh zWMC8jIMR&wL_*+f@cCQlrEi5|i%7b+#aU}Ay-``Us1ctjbj{>4B>t8NaWZR-N}JRk zYw*l5xZW=dp-54PhQ!3oV-{NLan^6EQE`zcm*UHu#)yyVTsq!f?{`y7f+nsjq{mt{ zlqITYTq#yS_fh{-k-xe9KU1`WGRIKWRMketYIevUSBWh0_}q_Xvz*(l+|2N&7X~rT zL-r3Z>sR(qvXMbH0*bpnD7n34>&q9mlotv(JgFxcT89=|S@;d~8lQ1=4)*{Fj@=Y4^Hvv-UbEEP<0g3sleHc=8EtdkU zGTbQbE*Fvv4eQ0v8OTvPct0EWbq8o2z1Yxy=p!wib6e~0d)==7{d@7)5KK~1Nh@!T zI2Nv9>#@(2{;V>B+-b%#Cw^mz2etRdE3;;L1`f~FY4SqwXN6)+K&=zh#9tvDPmIIFs*Qv(=InjmhG$wAN1VY@?VgAX zH1Bg?HoC*+UD)_wqD~Ax89-Ib>=?Xav&^QKXH82tjs%1OylbRP>>1*gu>AF6qQ_}o zy+9zFF8%Yz^T81zXOi|w_?6E2U+=Zsa>fJ{3%^dVlFHP{X0lEA2^^Q+i+H-0z7iI&(9deQPT#4@+Pg<5S$uEcYj@H{mzy>;qW zX=YxOFKnj1I|Q{c{U-z6R~r<3DAkS?Jr$Rgu}w%H7-A)-t}wCbzHO-_pGQmHbczv7 zxC|7|ZY6_?H)pH|SR4<=qvM&sX|ftMltfu4RTP@aInMXy)?RueVYl*&tB>aZMSu7? zIcO+xuBE+^l;7R`?-l!#!qct)HI_}XX{N*rPapRNMIsFD8@U05SS6wDC|Fr$^DGZN zo{o3sUtY|$c$MldF!rNgWfO?$U|&=^`VSUcI~?HzX$fA~L$t#LPL0R5`h4;QCgYya zIV|(gJ@>iC9u~FyB-CPmD^+$2Ca4CIs^xxFH`Hr8YNdUjRpG7Nx|hPLNbPe^I9Sx2 z@=7Ws5}q~iR5YcnJn0n0LItym&t{-!1?5?>T6M;Pqxb{*ufQ?fJM(-MF@eRV`DptD z)G|C4YK5uPty zwtIDXCH!eJt+!m9BOWv}`R3+juQ6l@!Q@YKdJMwYeFMd9i4q$VFkW*yjc4gPcv?!M zx_jm;G62u_J%!|y3(jMtx|{`4ooy#qbR`sMjZctr;KzDL20`z8b(+lfC$qix&%>>K z39Vl&jL03VuTdX5qD-3(Xkrd7c>?l8kHWd&_-(2tAk%O33&sEfV$+VZVg7-nMR)_-IBWw4#V54V7^5rJ+Gt zo$os3&^dCSy1i=UE|um-AeOO9Vv#y`G64I+bz%WtDgpMOgSDs-A6jMXsJ6h%_wnY_ z_q}V+A01^RDxhJ_12YgNPCjAamqw07dT4A7#Jpjc*f1{BPb_qSIAYIGEegUT7r*DP z9b%VQkrPGbfg1kEo-zwLd-}o@Z?xX6)W5Adjo;6RMpifYJVx##cJF-UsZkrFjG^pJ`kHw z^D&W9*=t_O3U)6RB_8lXUE1%7O7ZhK7Q zalGt!uj$6#C^?uIAcR+iN41q=lveVY+5>j;kG@y{_d-7q{zQX>{J=A!^#onirEchl zVAg90WkKvL653UidF;^Eqq;(Lrj%`B5kz$vnudUr zesMoaOjt~Ar|G}GDo4zn9=4vUG=S3C@SXrJd5imj{H4DoMFf)wk{o^ah3$i>1{LHE zP5M$jIGsUct+Rf{FtOc11lid3%YSG2LRb@d74jB6^m*hzUskxc31Tl!_>BJ-t_#BLAp}ldb_ns3kWzJiTjF;ELjDvtmI z-7zn0kzmZH#j|T&8?N#rDi{lta52f>RZ`}vsFGw1?S-yrpU2>@Qg{3EuhO)NHZW+!GC&~5i=@$S}hIpP0v8Rgj!`^VkmhY-+X`oljo+la_xM4>;s;DP0}vk zy7EqLwUyKate-d;V|ujiI`>2*%W09B{xS0F>rZq8O&pEANfc`2%G zUlIG0HCO>=79oL80w}-1lpa|XlD96nmMvwf+s0vv2jA)J-uH7opmXTJU51Sy=Vffx z4Pa`62yb+iW7*seCKx}W+OQot+uP|)yCuV_5|`N8GNlDMi-~#_TD*lBN<$Ap84*nm zW!nAtR68j0!bT9e-y6TX3Ta&CCm|%y%zOch=4$d!m~1spTCxdZkY_ZpU&^Bmp6riV zgz>=Lq`m1rQkFvv$PdZ0U5ZD{G{8;=c)5M+n0&}l0(pD5XdC|4zeFgQ%Ro33J!Z;k zz3E+XEmL1Vld1}@+^_SosrEGDObevd;Bfry!s+N}2YSjZqu>7w@hTDE!rqd2n)WA! z*{Niya(R9P#tXejSeoz9CqA2pc9K7V=T;_ILlIYXjLU;Na! zP|tM#zQ5C?6O1;0>bR)ev#Spil_T0z!=nE%Zs@H`_Cy3?ukB5MNqq^-RF(_MqqcnF za=C$P6DEGhiSCl@a)~Q&TEwXZn($56C*L8D>gu#9q&_hVJlqYLQ+3<&GMUk}oK|3D zSg_oxcs8)l&gJ}+qwK*mQ3oQEiW z=0fNu@FLkp1-N^w4WRWWS-Or!CgM=?6evHs++tOuVkPecrofn_cug5g1-EJ|GLZxE z5$GzGuJ6S4HaO|M3R@0q=1ZQ}bi26dP&Vj}grxV;w!@$hAVv+JmFdq#C3cLg&BA@d zJjWK!I0pm=%)2hZnk0o|5qV7<+jI8GSi8G`9J$nq`7;%lRu)10CFl8@61n}exSNBr|&x1obuMh5DWtNp*4|sjV$k64y5*v^j=`%wI?LSE6_O=P(vbc~AFvoF>=0f;7ju zV~mW3wP7dk0-bvbi3Tl*E1KKZhg%<)$ZhH@wR7YaOQlI@^?`laaEwqUAx1 z#&Ai`+O=3nO{NwIBVdpbrCx|gWBUX+-!Vp+0^6i(F|-R=svoFH6_ud0cV-dup8!bo zjobneU-Sw$p4Y_?A&GGe;Jf+77yCz?5I`W6GAWB(*R50k8TCx{1fP{WORx&P3Fa#l&fwlx|9VnogD zri+|XC~o_GHX`&awTDTvJA~X1tG_rF{O0nB1Lw<(VI@)|GS8;wcb^g7S{&KTJlGk; z)Q4uquRzD4J!@S~y!IdN8S(q)S=7lEc7_(KHcydz4*MR}J}94!Qj?Z{zi}hnp_Ff3 zUkI$ZHddV0bSvh0ta0fDcW@M7(WHc0GO&M6QW0<82n3PeUzA$^;UeuDeB(?+>lFo60r4StVF=ZDsnkiA471qSg&Pd z5~7R08ZTV7{M-q>6(Y9SLEXeaObo|@*wyS^rD!ET+50Y z(>b6*>->Z3oR3f>!DGb*HYLt_Y=@KUYGo6pJMX```LFDWXCz13S%Bx%L(d6WG6G<6 zQ+QpCV1bw&g%5Kiyd-1^!1_m@R}u80JZIN*5$-0^%vDv8?%eq~xq9cd5En?)a~Ng$seD7}+ox6SZPC7$B07Gig7w(1$c%0snP^EFQiD(}@6Ph&c=sk`@MLE)8u ztAc+N1u1Clw5zSPyZ5{ocM()I$4rj(50cbx!H1~ydmI$r{JvQ)v&>dQEy)v5a(2DIAeu6VlecN{etU5aZ|)RwU)w&q`l$fafnsSa&xAT)?aDk!8LN zc};=29|8#(ixz*avtx~4&;irQgo9e&h^|FOENtE2bGjHz@FzMA^ zFmr#W2tmCD(8m#JiE7*D<=1H2yxG?$U)id1)u1 zX4--9pYNZ*kfJlZOI*ph))l8BuOVr{vmn(lFV^k)x*x4OK+?KYt%DII81};c+>(_1 zK#~LlkDI{`>tX3ZEkyY@eF{aUC~)$uZgZ~0^Y%tbp;Hm3DQ#MvW4~m|@a=aKz9J^% zAT7s^cTmT?8UmP%uXTHk1|C#jFgL1>tC&h*%s;exEmV8DFj96fL;D!)iAO z7bMiw=B`)JI48L=?ctV^SrhSEZ%OTh8vp*d}^iJyFSe zLVh=kD!-HcQt7Z}EIYsrp8lORn3H_PM5?k1fGAJm>+s2GTbn&5Qmt^Yn?8CIg%5^| z!h4<&pG)fwcixKDZu(%h!2u)KbEYaX-PO#*>SrpyrUF*+qvh9AbpBQhFc8N3{$Ag! zS7>F?`#f5|gv}ln2hJ}F7pyk?46qQ9`{TzNJyZRmjReAelUKxEDvp0>&=V%YPh0qf z*xA)GDEq}&?cD;S8tlh1n5lUUG6c4%!4d61s;F9G!sY}GI)RPBxbdesbXHL)c3$Yk zb9eWCAH!ZgcjYZW+>Qd^usvcGG#V4FucH2(# zcg;U21DD)DNcU*><1FjZukwVTui=vjjN|Z$dBqQ}a@QGhb-5osv_uL~?wj`RJ+Z)> zV59SM{4T@-S$d0F$ZDHsR6y0mUABMy&#+;%T8)0Qq*&Ro&QtvCBz#I_14*{J5>y`9Eg%iq)dnhzrzV&RH$P(fI`K+P zMXb0Z5FxaD9LRqGW7ck{*Txv=(>=_{r^TpL46#R=6sSyRZB~UbqAh2VB3K zd>982UIO;0^J=t>N~p;iof6H)l~Rw^VkpQx6Kh-!z4$OMPV8U>@-+iqL_Tk!(rHo6 zmQFd3@J#O3gy&g6dolGgOlo{s*3T7Jt_)(nBw;c z4a2c;SMn!6o>?JAoYb%`a-ah8w!T054|>`mU@)j=DOT|uER3nIimh1w1QHm@sUtww zd2rD`Uk$$!Z7OK>yrInJ_V<%T+$$)Ywb0;*c6PW@F)2{u?3pE_Nd5REHho>tm}`NN8Ne%W)mx|HvG*Q{%Zz!Te2En9e$Zc$*f+L3sF1EC<&o+u zlO$S?MHotD@qaI( z|K~Td2e5C_O2r6iKkgCet$jGf)ntDck)PP^M!ttr{=32Re6KysA{ z^psi2em`Jzw@R2Sj)B@I%3h56xBS&y>afGyOIZ;%DfDm`Xm~(3ZV*w)1s)2_{nsq^ zCArWTO|7N%MHGIXYJS$w1!pz>%`E!!992`s2-Za(F`CMjiQB;g@g4^UNC07zdhQ+pn#NqveA8O&f!8pr$ z1Bwkdyhe|XeIH1SNw^%Bx!qUmZ3Ud_9HfSCoWM~>e zjR0-sVaB%2Dck9(!@L9+xcrY&d}N{;#p6dhY@qxu=^rV}NHS`X^GzO=N5;!9%QF?E z)u~kVLd1ZIPL>vq4yWyAbKp8ptWA0eCR_9-7(H)E*k;k5uc_Kp2y7UEcF(Sln4h|D z)87;h61|vt9Rox1$e~m4m+nh-|DCkUC%X@F7Bzr-`g^O>x)OGA{~%7tZHDPx%lB+H zNADq`$CVFror_NVARF<%IvK92JlMfC3BUURgG6@89Ex8NP85k~L#FP&6XjO{qj>Qd zNzaTub+%N=^#YGN)Cz&ajHuu)US6;$?H*K2&C=YQN=NceUF91uPv$SQSmhw|A!#DX zAI9_hu`p&=C2ZLE7t2=L0wEcbg`}X6R^abKEpNOA>Bmx?YL8>WDzJy^Yw)pW*kvdW zajKQU2&gjNkc2s#Wv_n<@>hB_#>?k}P7*pWJmdl<^HmxrEbB)1&(7`A7-*Wul6CL9 zR5q%eo+ie7uNfnQSBBiaVttw#O^tLC%$Iw#qFO_j>WHbyJSvIJm^m#s-}DvgnWIre zar-~)?mB5fD-}H2WHJU&FF$kBL@?R|=+Jd%s}{U#BE)Mg8OZ)!Eegv4Bquj~6AoYk z0i!^8nKWyxyoEC#VT%sMTeWQg!BZ|N4^WuGK?^S#WR-+M&+)Ro^(mC2;Xs<)1Vxh{I5b9H6W%vH6M&$(SUQ`i-t%MoC%~Y2x3RhDrpJ-lvX4(|)A9Q_5l7h2KB@{}G z4i762>En?Yb)kcH?37{%LYflHI0@BnLq}j4$_X!N5{#R(x}ERWj?rdNPy$0K<;|5? z?pYwou9L0#ih~L5!Q$_~4*kp~-y{_M17b~j^a)y;%t6#y`Dk4js>=V8k)4@O3jGv| zF5Y_}=~!>V+bp_2Bcc5uhZ;`Gu|Li<*Nk|!lB_aoF5*GoO7y&wu!)(LQS<4kwMHrxboU3q&O|-C;ZzE0NArYc9js2ZFUA#$N-S zUNLsoV|tkulC((XIHjcd@KHt{gp2f=-OVhMGu<YU|R69O{MD8l^54{u@G5-v{3 zGeN#<@Ei3t&K+~53U<^r1fZEI`nt!zexWLCSn&7;dhJX6)a{p%QI8&-kbyfhgNQNj zdA=dgzB1TjDw=i|_j2=kZMLydQ4YH{c2sIe=iqyyeq3N%*s`}bru)ubx6eLPN}hbb zUEyzXA~JVxVXZO@^x`dg{3^&tY`#WD*wu>zN+i_YA^X6O_BPdLu;Hbhv;B^z`UxQpmd5O(SHm!uHJ?_pU`_pJ=`4%Z_MXwfsa ziZCD3xpan@ffoAhQ4Nb@8%kv!J7fL=FR=P}Lt%?Ez!Xq6Ls$0Z{8?=YjI!!B_Fd0q zQ>d=L3%iTA3muA+TT4NBx4}$0LzFQp$W-Ejrt2c zrR>vPJNOzN?t^eJly!kL%PAKnR5?{IE3dY-2Yq#*XVAN?7d0G5XH~eGzdMeWvt}=H zz3uWDpgU~LZcDpQ40?2tj*+^fVjPq!3@2GQ?FL2`(~k>Yf?_ygGmuyc`>OYr@eUs= z@$)r~{#3K_WV4>`Rz#+@NE^&vGO+{{=7^}C@kkcg(xJ9VfS3BCLQi!iU@i`NH{E?S z8x+qRg-4&G;j>?Ps)17O1Sbhcgu(&J$S#2DQ1OE5K+*^iHCwFsxV;Q(16x*8r4+ov zE?mfMbYq^anmB=*aTiApf!B^d5D7nc^-0U4xNTFBSNhEyJTJ(FRoFyu4R)LVk~_h- zS84y}TgBmy4UG8=6q+EM*D$FKxin?Zs4AD-?P8T9S=-o=lmvVO#&7;sPAx(Ak)<&2 zbn}UGsI@!LZwRPJlr5#IQ!Sd_MX6>|P+c25Lo>`@XR8CN;Vrsuuz4Ptdeq;VPI@TqtJX%i2dJy_(=XMN%t* z-Sqi81`OS+G$aIk4oB0dLDpTAj%P~?+u~ee@HQ_B>7f6(sb2EOl<(eBU{_?}kI6H& zGQmr5koup6Pl)C@OA`hdxKZjX^w3i!^=@=b#eixmi|uovY2|0|*i$iwT`l-u z??vEM`EbG*+Bmrgs4X}ph*R9dALHy5_Pf1{8nigNwS4cQJj!xVMH7vLgGHQrPLvmy zrdLbN>;5smH-1Lbjn3v!9O)FMHuV9}GGg5%FOeQ8kNOAmXlI1VXip~|y(7d7eAA>+Aj%%F2I8ynD{328L zC7m;5Qua*WQ9L`eL5P9n2yQ9>Ay~oWEVO&dQbHHB1rW z+{TOgSL)B-OXl9$3n^!i_G0#*YHuu>4&j7KjCpTJH&uys|)SKEd5K(S_+Ef_N_ zcLJS!{i%H3)5WhnA2R9MBPx(@q&Sk}%O3#PNFIcba`Sp;kHK$||HkzHp&I-DVEXJ^ ztEsGuxtQ1?d!LU!qH=317%Zyp$EA)8+`PW%j^jN>9Bc=3t7)_h8VOY4IVCUTnYVsV zy5D?S1b0EX?P=IXu%XG`=9IXIz=0aAzMEN~-&%A&?Vbk2wk;)=p@^k5kT}IPxqHsK ze(%njjpP(Q4yn55X=B6;#|%U#&sTaqHmj^b32>KM|G;MZ2#wBk=%lhHAYS{# zWYATCEB6g_fVz)G@wJar9pBlnef-|tx})N@EnqR_b5v`d9a-3>wp~6-U~mfNVj8)5 z&X%0jbb0w>kHW6Kmh&Dfy~Mb0v0uu|0b(7NN;KUF>(9j|y)kFNiWs%ho&*9xq^|?Y zMK?nVQf*BV`9V8y*WV)MauI1x4V?oxqS6+V^KStB8bhdOraECCmuf8&d2Q#IUc`uu zKVLw-nZU-oySLQ5X;3$3)!2lQWdu|8-tzRZJq~anlIu~JJl@M1BY6HJQ>LNOupU>u z3EXi|C%BJY)k&yO07I*mV>X+pr^0`~dH3|fLX_Z2vB+KP5=ywO`+fWE_D^j*gDESq zQ*pNA(X=dcIV|5C{GJ>k&)Gu`Hrvif{x&Ov0LrJ}PGR9x&VA|6A+E)u#Nf8#@=i2Q zwPNgj?=fO3pY-L?A%=Yx_~GP`s@q7`mUmgWLB~Y%Zv!u&^K3PUxR2w}j*O7SRS3sB zQwK^rjk_Qpyud>x$F`E$u}Id@#MI0u3Zxe0J9S$_xe@7!0nIxN_c-V0?xEw*W6DQ- z!vY35Y-pFd%?htol|lmmy)fVn013YZKh&OtR~FbcOgBXWa#oAkC|f-+5a_WMR3b>nClCA?U7M#gV^H|WvD(s z6}g&hu4L4oP`lzIB~X0Nr28aFj0v_@1v>*_U3bBI(L?!yVeCUJO!o1R!D9H#zMdBPnQZUsNDcpM8XK#k zubP2;XEUd+(%)H5F7SAxJyvmZg2NABcAQ&CSDoFgpV7T7)|gNRaTlpocu5Bc(3}rs(N*UmhRe}8So5ecRibe&)QeP0y!RPl%||ctfMTlHf;q%dE58?rKsz*v;eH-;y4G* zX3{Xy=49cC`@P0dV3tXy*fuf#EAsx3AEKfPUZ}n;$v59tLTJ*NTrz!Wq^)Avp%5^C zgd80w^1>maOQIB(;L3K5-4t`=h1>^V1=>w4Z!5LwN@bjH2TFp>NN~RHQc^H%l)yRWtil|P>N6}ERR|c*#5SjR-*@<>cdkkdz}xU+ z>I8x(Fv|;WUT7VtSkU&IT$Mu?r4e;9C7Ls!uLA~!u}pPJMAHJ+*GY2xZb^m`^MR#I z4c7hACNz%NU6?=!Z*Ea<@g5naaPkzr6HRuHuamhSP0Tv9Cum-lckDR-3%3thTZ$;h zS4Ib?4EYSdM%e3~p9Amo8wRinjIO8aYB3A!p%eWy=@qg!W4hlD?5MHOL z8UaYMsIW|x)MyTjKJ7`UdB?oZmv41_b1@M^-065IYsQ0@rUIkPc*2jze5yg{{M6OL z=SC2l@8QPJ(I?v9nmr92N4}(y7? zS6u_z9jh-Feqtb?{wADRHrp;2iAq#50?&=GgyWf#+R^}z?6GK2mANX5+b+7s*@=X% zDsN{*VX^l!&kS;ET+|Pm%jj}NYO604s?gX65)q@$JXZVJhYNX2@O^Bo`K^9Wf$2M; zLb7S@WosyVu*GHA5f=uH6EC-@c(=aAV@>$YdwZgjjtYxY2e2Z4!IgX#&}_reE46&_ zV+fUtM4xbkL47ia_3r zeM72?2VaPbs09aU3dU%^*1!FCs=>R9>@fX@-Q?aJ6 zHhN}(ni~_UT@3X8-j_bf@i6xW&&ZVLOcE{`lxRkO(KM|!E0cuU)`x!P=qDyGH8DrM z`lQ(iapED|*uxuLR)P7BvO$~$6J`@R0CEFV$Zr$KI20NL47R3bw*u1H=qlyMRDh;5 zvobV82sa?}n4>@6qqY85j2^vwcRn@ZGBb3?j z`P&2vdNU1LL?ecJ6YPFMuhv!89FTMT1ewRv7pS$3)TLzw24<*5^j^LFx_~AfGzo#% z#f-ghx2DU2WevscCshGlv+ka%0vYZJzz1PjfX)quL3h&ay6b|C z-hCkq6^Ia%I%s?-5$yC%qb?net(!n+ay>WmP{Yf_3Xs8$a}s{e-C042@o z!gbN-_(9EGW0q<-kn*o()cVSMrN-Wgz;JH|k${w@v4Q}5h?OH%$@fn{!nFO24`O+K z=Qz=92`Y~{ljxiNc34dyl+D?Yi&HB*E(KQUV?to6O#AVEjI|?L@d@m zpE4cENK!p zT5&Yhx|${215#=0)RyWqjRLClGlUY+^9=|#qfTY_^qyKz%2<21^Q(nD!WG_E83BSg zi_~kGHf~P}?5O45C9J(HL3;f#-h6P;nH%pm8d-&S7_G{SN#SGV~8#+g3-Yx8CPRuV|ZwF^@?yt`9 zJ6u~QuT(l_rT)r*P)urH&)AC-$0y*jqSDNYFw)~(ZPV91*z_5!#8Lr-sQG=IM?Dz| z&e9B*@T(=Ux>AOF8oW|4Zzpok?L8DKZQfsan?mQ ztB^>3ASx5Ig63){`yzw;8g;Uz20-6_VdH;$MyQ)q-Oy z<4hs;5*`$Jku6fZg#~^7OOt+mgDA>I4$f?BNH39zGT^^3{XeDp|Fe4j@3%L;|3bz` zOAICqb;*r7m+&<3MKl6{buQ2!11qO^CwVe{AVc3sO|z<5p=46b4~dtu-CUt2mO#5s zpAf&1*sJIHwtOiKk=he?WNhmH-X*gQul7TqB-|dP>VwlPb!zIH9RZ$Jd9ANe4ndSeIH+yIB#(R_!#0IbsZv>*VX0}FrNushrH#K74DlHSk)o1`8@z|9^nejA@fG4Z<} zPj7qJ(98H=m2>6txkx8E^^@*o}dTpgarN?))Te)*Y$MZGehv$b3y zGh%LQr<2W3+O{w8C0R@a#*a5Nak;BLQui>;t2IaD$P*%F0P;(1e>_s*4hNYpX_CfG z7S@5Xq%>j1uyWFV(2k%-cp&dwP#A&PCnF9n{bocWt3O-b-csK zQ>jGga=DCGEOzQ1dM}0qt)2>h1C#}Fm6aV=b1R@wVC!y4vPd_fBpEhC=R=iB5Wpmc zBa<`WAz!mKGpyO$LP%Yph0RCdig9%8S_5_;AVt31cWGJcG(n-$nHmN~m>jQXB!ws; zi{G`FXqQ`d>QY>~d=PcPB3)>uZJNRF!UTH)cyP9{6ezv0;&h@Eo7n@G9<#|6m8s}7 ze>`l!|9MBUjgX!j47h5J{w|jsnIz`^vCon7WVm3Hcpjj+@not#x-_w}X4D*ytIN)pDu3TO9yRr^?uY5aUKPoUcGIK+O=#s694gZ_e z$r{x3=*{jD@$C=vO?8{2I6cuL^Y2r)(;=N6r#g_X0*qQKhPtjQkMx>U?V68huu3kG zL#5rR;|nQO<1rJ_P!P|ZBx$$lRZP!{nBTt&l!b}*WsJe2K!^F!JX|YW+ z@$NOQmlNgC;tE6AvyFvbENTCKqx$Kv(5&W4ga~z}evrr5^T*^KjmNP=Z#YHOGc9w95U~q!9O* zX#&=~BiLkU8$7)`@xZZUEeGf@096pO1QOr000@<@yq zaJM@0i)jOVo$~^fI~CDF#UpJE!scYb! z?&*Q!$1O}OXe^c-0JD5CU7&HN;@YysEK7fze{O4)_35h@vBVk_jrGc~s;WEAC56g@ z#-*R4L3YqFDIQUWfs_(-+Rj89fp2IO~$&8AF-?1M;lk8@qTt@&@F1 zfB@97;~Q`Gq;*{RM=h2=wE)ck-zXHmk4J70tbgpBHlhXXyqIXGmG>F8M}mN~|GA0R znYdVHS&ET8O^xALBiQCT^+eJo=J%C^rBfec&(>n<+HKPUD?gPKYIshzH_h7W$1}06 zie3Z8DAFi`kbHy5HN%+txeh6=uRz66KopN2t&%y!?-=lP5SXR83aIOR!Ar$NB9DX{ z^!|3HT0%IIy^9h1Y@7htjAR5heRr;kgk@>{?HvK?NlV1?e&SC!nX2>eY{!@gA8tQ5 zo^H=5HTlHGCjun_K`5>hP9HmI9_)>t`urS!lZO0+*-rl3k000|jeArO^|7a-epWU^ zj;CKJ1tqT|H&ZHGDE(B1Q<$vBdk44DH>R9b@x-k2JPcU)mva(_O^_;Mufr&Ec3tL`Kd~(nxLi%{uL7bVi znHA}q>`-gyn>2J}2IcT87&y5ECAK%ne2!4(S~sd>7+=U@f{ZF(mhgVSi;@UhN7CfEotIXtpKqesXiuUh*ze!x%* zYP?1Ut`5aVURH-S6P44@01f!vFlYlla_YEd(=MYLN&$LUE3O$JQKrMTPtr%9mk6u# z%)v=Oaya}4Yi!1yU!09|asNKT+w-H6kBSAMSVK;lK0&nzWd7euk|hi|$(^X(8L*|F za-r7+JM)488OLE^tEAeuwkzS$4q4bpR~?O=>X~3QuR^^6eH(sa^8|)R*%-O~s0|`1 zfiFPHxamVLg_#X-efhkaH{>b!a+TZ0B~VTyasMg+#GroISsVL-b9Ee8W!^}Q_Ke&K z_OUF2Rb(mLib@|0xrt zWkHz}zC>?uB9FhMxod=iT5(4wJ_@k>g*UHwkFBS>{GpgAS{iD8-+3KbF^;cpet@4D zFm}aL`dLL1pBrql?7kn1Dq)4j2HFn!mJ?N7Z`cSs^j`ME10t%8%V|}&*BeAEf);Eh zL(`xNt1&(+utCexsJpk(QR zoL@S8C^z~bi%TkjD&8P~j7SCO*c{uddy#|Z-TA-NXs8eFwEdIfC+Ndip<)YaCYY94 z)`^ZSGKzEL#el*pjS)AoExnwVs_H&1QMV3RZn0vrAzZe)d*iq+PU_ag_@C+4Bl- zPEfm#%eJ?K6DC+-^_6}R3D9W~N+O}=mwr$(CZQHhO+qP}b zwDYdwE9}Z^=P!Chj2PWicy?R#E8{JT4J5}p%%hzg*W_|9r=XpBZOwNFKxyeYsLsU`c(!@6VmP2uU)HTN`db{PXDD14;HKNaP1u)iJCj z$r;Q~IE9O|y!47+GKv)Nhkqcfc3P~isU<0x*!7aV_UQl`p+#y&rH5~SB<%T=%5L3O z#)_ZrfCIm|j{yfBk1#QvkDe>k-ESM(m$~k&K!iP|#sY%3>9)NccPzvMk*NUzGcX(f zrA!EvL+5<{pD&;U#b!3HXiRos4%=y?DhL2(A)C<|N6TT`gWB7lay2-;K0nqTI+|yv zjA$_ z_B~x?Heomys$Mum)XeMv1H08UR;Ooh`-WLv{oIG9&&3I?gQFJ#2?~|M#NDz z_Uezu;y;BV?9A0CBPZSsRw|bETNM!g>0ZN$m5zBWWk0)M!x2hDyM8>Z*7 z>?AP7HNMd-nwPV1f|1VHD9b;&#Br8r2K1vyv)3daGYS8CIZhIo5#$YFdesw`SD8(ILkIJ-4kT)54Kk?lIx*%*Y?OJlN?Ms4fOQ zM}C@t>n%TdG(aQ~OW#DVg&jw2SPQEN3FVm&ln?aV_|5nmcZygK@Ttw@*S?94T&UNr z#6ZHbVs;?SGK zFODfs+Y|n^MMc(9uHH%WMq2ef?AD;a{5g>(v{D;&grH_nbgPc(T~0oIEQ5 z;`kt3Yz}%u7W6YtA**S)Ii&QkD#UCa=&OQt$s>&oayW$sacdv4%J`AH2Odbc z-Y+(o?gZ#}+HLxNlV=1eTUhzSwiC8zsar96@D<#KQ*i@XA ztA6_mj?=-s=(_`eDgEI4<=wT0)Jxb1g*FI){Br+5qPOyFkOYFmv~)-}ytF(CDdI3E zs6`W$hG2>(O~B{5D`L}9Y_+X+Q{5|W6vJr*NJ*VpYK3ltyd}!4FOf62rQ(L16K)b@ zxW#GUMlCpNw0T%tma_shGoD~cZ;c(!rFfHhvJ^E-E|N;N)j5`s(_=m&1&jcRt_hN5 z=bfcEKRnCXxjP>g?1bdW(oY=#Sa_Ct+2{?MY{U3uZ!38JlD&w1tmr>TS;bp!sJAou(IA<2DTha5G?l5N*3j8aM~^f=f3Pd?_j^* zFOI1;Rn^#{No6$>)W?~wVkH2*+#V#$u0Vm5I=Th)4XlR5dNDfU@7D)2uhY*q!&pTD zifOKS7^8}%hEXmL8E}9{PsdK!2=R7z_Ju@1625Q9RxxXG^MY1*Gk@EFWdz4KNabcO zhJ%_HXniuELy62y$lUqsb@r5fEOLMwaMc`Me$1Ga82F>X77E7%a!2i)tE1clj18radMbipH8%4GKada_7bzXP1h)R zsvgTiX|21|UBJMB8(8PUv>yt1!doqbeU6ae9tZO8CX!-)CT%A5MF8&}`_^3lLFIGV zSx4bg8D8&j#9~$HDoA2O1BeC++qs@vkB(;(m6Jf|YGDInRq1OWPGMo432I$Q@}2CL zKC{d-6CSg?9-?0{>FR3MPd%%Bz&P^`F>3VB^W#;8eAIcIQfXlb<4r56K}{a_2pKD@4JJ!C4rnN5H(MLGpbAwWD-8Qb@XmZxl)nIf~Zb(B!`#A4uSA zCgo=IFmOVLNXNWB`LXw}MZakkPGRv3!VGk8^L^4H_-rRRsNMdHbatY-lI@AG`GHO8aZC##fTW0^B&xLs)Z5Zvfhr3-LZCIt$nX;~Ebra=YhAr0TTsuy5a;4_ z4;(9Sj)0S7H_ntkI1Zc0@EyjIhbTN^yW%dfxc3B^CBiX_HJe zSDBP$;_q0AuZ}+f5#*pjM(Nqm>>$`|Wun`Bh6toFY>{wS0w@wF7aYX7PqBpDA%)97 zUY%UktcONxM1ji zp+dOb7`?s_Cq*TT%^-v_oafc(>OChuKlvAut~0_GjE__G@uT9CHefl+NPo2De@E+1 zobVpe*gvF>1Qvrbg_LHP_=GiJ)onro>4E;_k(7g}6z7LMEzy7z?-g)Bgmkoqvl*s9 z8G0T-(^viDJ!D0sjTTv}3H>!4B~P3I#5tAAKC%jA)&$^cpbue$6W>!SmXUFMtAXlG5!D7zV-iqPj5z6VczGTM*^Xa-_Di`ouK$LRYFurIQj2V zg|r(nTGtt?2a0iNheM#Zi?U^fw*ufI{s&1?IVuIOl)aJ6U}Q7NaK0`bJC$xG2dh?yXIUz5BziBo);gHFwHo0z zT|Jo-c>W#hJGA)8#vDGjY_;oO0pzp!n}SlDcvC){h618eieS&cW9sq^yg|BDxY(ZU z0;wp7sDf8KZ^O3?M;dzMJ(VkJGfirRaJM|?yyUfdLqVb~8qyD{#Ed6~BcbJm8TG`}OLqG;B<;yPuJu~%I zMFo`Ly%2&0y_P`QF}!(i%d<$+>44MI7syi3djFfYwQOSdanfDn#tW~F%J2l!ukgV^ z*P2t!-pUZIRZt!9N7oB0IprcRg>KzD$+3AyH>Wy|S0Nnu5z>^FE$nw;&c^yCRh!G0#iK*8ET^`&v^ zY`#Z#*-MpH65?_+FK>^apUGTP;BHCOYy5#Dy^77xsN4yWQTmPf^iVCLow-+?vEGk& zNc6}0bxQex>c&t6#@CD@5(*ocWl^uP5Db;Hb`Hy!6g3l>2iDZwqNF-PYc5y8^gKj3 z@-?}wt5{-Yy11@#8@oS)E378Fh{Z;^8KgtI^O$1`>I27WOi)g^uLXAt>-MQJjxBZ+NJU^U&R7kh7U;=Ykz zA*A7GgdTO3PAMATzd-dA>tNR(UZw(qrWwOmzrY^lFuw6=*;oE*HjRZwzE{B}%27?L zBNRp?stzwum7EG3LJ?QPE7F9#N0d^Ea%xzlM!h6%TnSTkQ|C~9E0^R_{;rKITlg|% zm+AbI3DT|txww0cG5c4M=|{yjOq-@eQKBc#bqig;Mj;2J^rh)KO=(A>%Y>S-8`Sbb zc*>zrp&P`IR(%pR&7c4!O{Y@I@;k((U{h3vrWjTI7G{>ov zn^nB+s#ORDa6?(mBOz7J69{!8Xc8S}$&N~H~v_BYHcCU~#uxM*{Q`#ZG zLYOR!m)PMZNG*&&WcjEE5I=BHVa{-$_&uS!mhGxF?mA?UNz+N(THH_mU@deoVFADx zYh9>z3m45hCSafY!&bYJF`hSHmc?S4WRcTpd*rc8@>1rUu~Bz zM#X_u*V@3B$nYgNxRGSzZOnYOkh`a75!sswX(%5SC{j#N+QEmz$$EDO`7%o-MYKNn zE%+XX!iAR!8}WM8K7Q<9P{wXZZS>kC{%$nK!6XBG>@k%HTyF|3M=e$Q9t_!SZaRjd zxk>L2#U*R9MEx{c?)<@ZkWCCo{>#jN>M|a$yv?+~@a^c!At)Toud%0hY;cz!$-Zy011X@^vT;vkwPN0ZBj{p z@V&?&X@wZ7;%K7mhP#HAUThD3bQmn1g=oO%Q6W{;UcJk|zv^w+@JV+HXZ4uWF+zcY zFIbN(M1T<+YcfdiQ_*~dp2HcaQ7$L$L%1OCZs%4b7t1ribV=wJF592@YjqkL5}>Nz z2bex!E_s!9c$Y*M{MH{s3B!!%JnI1CIMb~>pH$g741*1em55)qRqV9f@!luF5C}A^ zc8LzP_M=Q{T2gwSib2KN!Pow<siJOzKF;#%4P=wM>Yb1v~r^Ot1y&-}d!7#S(JdxEf3(D6lW51{NdL#egMnL#Oo) z<3ZZHD52vo>Y2T10_FnWoC9H`R8CIlhRWclwfSG<+h9fC-yeXSh*bm(a1&EQB#@xA zCcO1NAI3$GSFoUnxeBd3=Z#-)J~KH&zhl26D4FSo?KLw?VkZXsnxozUX|D{}zpe!y z;)nO{3^>HQ^h-fIG!+rjEK6V_tj5ToLpP6C9&qVC{ET=y+QSnldc=v2l+I#FdyefJ_(Ku!T;DXL~|IyT}YDxU0> zd0Sni8MCuPk4@OBM1>2Lx1I2ZeZgk7VVlG}sn)x$hGI0e7VJHlCTTiabRF=TqQxj9 za(znUJO2CWfJXh+F`GZWM$;-apmHd&uI|6UjdGkPVRQ8yvc7f$yFrj(K|~`2>J|$KQFo;l-)~U?)}S zKftjpR`?tA{;9XP#13kR4@VWfNZBbrgy9}0Su|=;>0pDp*OmZCn`K=Q5aCdW#9#Rh zMxpP=5F6x4Rh7g-2YIRe$pCr=-AT{FB+`<3wt9Qnj}}APF0nzwzQbh>XVDp-2yS7* zATv9RJU+iGe+Eft@R1DV@tOcFmm+{H<%*sU?cH^BAC^>X!@*d5QB4JWE8X?t^GH?Pl7Du8`yQhh7nQr=k3%cL*{5wdP(DdF8;u;q)^Ye z-2v*yXr<8D$u^VrK{`MzE-T{rf0tdjfo2=S!^aT)d)rpY5&zMfHxe*)r7VDA6S2Oj z;oy!(MP?&Z;ld4?_QJRzy(W@5%P}k(MiuR2=xPuZOK00^uj#~{moq5Sx^yRv;LBy=)@2N-IeKeF)>R=~0r%N)MQMZH*uRC(h2HhHM30GvI~+bEZ-?>R33|AG66D zwnG!uE%#|_=9&d82rXZtbRa?FC6ce+`q0``uLvtZcz3!9qJbr9VG=6yPK+Z*T?eAO zfW_#Q``puAA}za1)5j@2fpvD6cUg`2MMQn8%Na$qLo_1=X~fmG<-e7qIZaGkMxjdM zz3ku(1}B*v4vEYjB`jA=E2SOH-8=|medim2Zv8{jm91T{J<1s#xT_`JYIXw%#orC! z64X*>ZkzTL6mUEC(e;s?_q8U=eXy9JTJZE3oM{eCGB$EGa?JnxZ3em>Rd^ws)Q57y7TMMpYpJ=};vE;X7QXMUnyqBL5|n zM<+5KWby@}dx9A{p}&tE+DvI-a46}X*;ZZH?buwPN}~D3NJH$U_dhrG6y5^!BYs#_f)rZcC@aJ=yBemBzfX5$F}5Gz#w_6JWj_TV)B6UyYg(Bo9Ykl^9G~j$O_fEv193Z+ zUpN%X6}975r56mV?3P*^K%yw{tz_DzlS!B}`d>sa@lhSnpx{!dK1WVz3^I>{f3fVu zdF3!(xug0KJ49q4?*QW5S&2;=S)gwG6%&~P&fJ#+cdy{oQ!IJ&QH4+>1w$qKaQX-u z=H_ISrGfW+3b{uaSoZ-lVsTrG$dGwFzbE2`oR_#rFzLL2Pg_kT0yER3VJt2kOfJ`+ z`Ke5JP`)}`5BfK#I364v^=1pjrvlrM60rIWDs8)g5T>fYg8-opMf$zz(kpY{*DwQz zS%fAi;;0d1p$_N72rBwwo%;y+VFx2v_`N(25{4HHR(8W3q!YkiHe%|GO-9qSe}buU z-#EGS)P}Bw%(Q7wY~9bOOVuUJaTu&{8PbY63|mmW=x)x{j??m_*| zM^-#ky*;XZeSS1ju}RYu*w&2&`sa~NAE0Xx8{pTI$DXa?lptQlr~tlVVC8Cn@WjUh z!IqjKTgb5G6FDj&*e${osVp)@{0(JbQ8@HHzc!N#5oOxp8G=&2gh|tt!KIsV_FVXk z(Lh-3JJ{DSvwA*v@aa2kM7lq{KfM&{v%>d?tf%5bfyeio83@+y(clIdbXuVn$4Y!W z#cg632;Z9g#Fko0~^inomQWPl0{s zVYJL8GwnKuT9_4)8P9hy8Tc~CXMRxv#?cGB)f0`RV1rn{-x*()E*~7qveNb*Kv{i{ zd~&k)R}M3#^Y&OVeP$Y+7ZXW7W25g@AvY7Q0zLok$7^2nG_qz5455-Qg0$i>T}Go>NO(29NEbfP7u>#Ce{B!6D4Fxqo^?|dBOT;eBN zA$PnW^0?MTt_s$JzUuTlWE1npGU}59l}+DKia-{Pr-fWoc3p}%BV~6*_T{qHv17}Y ze;6+CXsh9sFGZyW_-uSw5>?e1 zwfo`;q<+5d9K`5$bk90v(79lc2TVATH3reibrur%`X}^{-|zAun0JJ+WtV^4qTnUmCAv z5n%_Q#`GO4{%Wgh16;zUcgJrz*(jx}@dlTY^-^yG8zoW6EbBQJ=)c@aTEe<%&?lUw z#4fJG1?CWiKTN2P2msOYDcM2@evjEbntm?#5TinB&~ox=j(k|Q=R(Yytr;nFK@ z(mTkXlfDn}=_Y&Q1d>VAX}SDInj&6`(rHVr@nGetu@wfTTP>dp>%>~J&cOURnFB2# zvNlfAZ;oZ+|NhxeP*_nhqe~;wpM~_7+>L%To(B+t7wAJM*1cWa2y^F=d9eyQ-31f7 zafCKRw;Fy@-7a@XgI03tI)+zoeU`$M*CR$Esw#Vg@lNzet~l$hqVs_GS$r}Ld?I?K zU;A%~1kD6jXe%9@|Ecy~;=Ia891mMBAVGk|@Ma+Uyvi`xZDg9YKMri|74R=ecBOX1 zZt$CmgS#yk35?dZR%(eWVZuxY?DI2iu6I~GL(T$D0Y@qp&9Tn%ab)!6XR)`g-9>dw zVn&|?EH2O?zV!u8a%Zs@fuG^t^IIP(8s5V81LZa5lFYmk0M`){$v}MYTEYSlW6w5|xRRI2%{y9yUX6Cpx601Q zt#h~0n`>Uc8ac>QJU~AM^DHytjkV>Jq2FTR)1Ja&5G8+Cu{^hOcM6!(e(iSDOoXfQw=**)GBm6C^UOK^^VwlbKG^T=KQEr{TK4_~Z|<4;Jt2j$YG2PvV(yqfs^G zn)2%(X0C_JEEz*LOca`nz3b+?IE#nZ+nj3=M?q=i)VWhz7b+359MaSUj22qBQtTQH zz5VT|o0toJa@Y1iDk!ZNFM$-&%hGQ)!aDpCxhPJLXkJlsO*rTp&IDb{|8aAAQG`dy zn&Oz_lmqhv!6D;c*4=)>HvrgjY)r3EevgPE%2kZ9jX}j3bx)hZPP#oK`3n59P##$Q zVO|_|auIoK*<%4*A7#Yvsl(~^#zj(-!mfp7obD1x~rwu8+^HpZYS z5cjqVpezuO;(4hFZ%Xr$PQOQ#@5E(a1BzOcMYwNF*qz6S*u`OL#K}1<$5;{XLlJ+- zpWnf7Y#59~JKfFdp)2X+_$dgoe>R_wBl)BCE~ab|}`Kn5{6y_ruHn^wx#AOWD)YP{KIJEeA77kY|LJ z&OdlTfh~b>0oE^m8-GWd)7@Yp-v0oD#3o(F$7%EFNNPCWB;pL?4gZUh9>EHLh^!dDmWgR0~bFMalEGBljiPNHyU@e*GKt=)=(mYe#lMKenb zY7L&_BihD)DhH+W1$k-zg##oglfSDHlfW*+> zY1k-szqYyL&3Kq(3w-maA!_tr#)X`=d8rCe)O<2Lt_H(zuSA*iW6e!ik7)r`7+Ut}Q?uGVcwP_cxqTZ(J}Do;9su3_fhpVg>jx$wy|W z101miSi*iNcTJ+q%`HKYu^KgtkXxu}QgouE9We-%J;y%|OtfgKdU1u~F;y?m3Zl%e zfZ4F&JEUM7H^rM($)XBN?+w`!P#_L0K%Te@L1yN97t~dTPK>m8U0&C~f^!dAU#cgh34DLXB2Uj6y|kn(ItW?3tepU7JM{QDcgO-H>TZ5bM3Q5j{E|dx8g0U_T-hkqtbkISKm8pc}`B=U2osulX4^%gj{+o*HcmC zkIDkbB$C+t6i^SE@8DB5Bi^eAa?DcL$s+i0eK|Y`rwhY7=h3Z?BgAIO=IH32^N7dU z*G^#ik&A5gyu3%5R6Ca5T>0)PqkCBB0fm>oSKQD%rT1j6v8*5zGh+GAdxDvzOw@rK zDIS2pd834zFFEN~Hy96lU#+T)2cbuWTeWW<(*q4fons$+hu^*M)XQ5+;CkbjtI*p% z>SRD*9YtZDPz$aZ+t@l}I=`@$!(7esNM`RZ5e)>1aR>(qWKMjU{jnD0npgYW=Zp|) z&n_@9>hz^j4gz&S0V{|gNheh>Ji+Bh92e7v`J0FIDFZzdp2n6y?lN6D%cLXxx`O{! zty0WrtVz-)iz|hXSP)0chK@#D<|?EAR$kFMPzLz2;wfNr=J|H&_qy^XNYFdZ|b1 z)@~&(Mk+8*o5-=^l+*0@Vn7e!>a(LqBDu3=^~+3)1_Wn_lR0`B?TUOE?pX4jLjy>v zG4Zt({3}ZGdYs^mI9EKaI74H+IEldi@7mpbFdgAz9^OdfaSNmE2YURn9}celleD#}2Bco{XI1pF8GZjJ z!GQYB8gKi=;GCurUDXy>*f%9d5cPx#>^<|ZYt$}=!*hspIT8fbT!*l>=jRAqcu1cV z7r&H;!S3Cf8*b+UAmlrBm!)Pi+OEc2$sC)n$_;Tlu%d2rM2WHe#AeH6n+(37HIGJ& zfWNsFVP#Cub>BI>;BsY4CoaHa5nV-Aysr~HA?rb^g9C?Kt7)*2$XnYKtj$!m-viy+ z^|m%*szhX6uF5q1Sz=vQAepFoCE!72>z6jhFW-khBe0fp2=j7Fr+U?f!R}0suVmvV z^eYsn3V=Q$d5Jc)|IXziOPST+K-I}ln`Bu^nh+AFOU86hh=|?fd@UAUc?sytY$6)P zR<#1ZIVO2<5xXy3^}h)I+tnjZ*uDT>@J>-WH^jm-{*#m>Zd!ShMyK!Zjb*>3|F8?y zsZ|I>j=w;F1j?aI77(O=e@pZoxj6%+fRyf>Jdi;cE$m})fTC+tqBj6E;c^aAbt(d` zmio;3A2eBebBLTrE~guTbk+i`-;)IazWBk$eDM=~jZ^b#xVJAs4TY3>9lPl!IG2If z$aq~H^|!J-2S7s)2ybR#XdAk1j@Zx{8WW(R@3(NBI<9vJkaLjMm#|HAv1(yKN|0Cy z)-{(`(aa&NVRX}H;N6~9S<^>FoXVy}jbdY5xm==~`xsuUB##JX4rAb+5ZCkg_ck8v z)4>ivOW;_m2|_?$N)^Md4iLqPSC>qFu-T$HN-)fOTG%WY*y-W3f*`LV>%v;K zc`g^@08qf!p(=`+9!*1V>bt@wQj|u}bSEy~F>w;|a@w|%T+scS@xPe<|K^cp_tsQz zC~D=8;2ka)SegK{>Zv2P?GHD2mgNn?3f$bF^^8eu1HD&cfgpE6o#M@AYv`_+{_1oT zW6Rr=gr}TJBe&Q8$Ds`npxcWL5xo$bY z+l{}u(&Er|fDVtrpeCXyH)FbZ5IK^k$GAh^*pDq`wn`;V9UZd zqgC(Sy!~@eW69Xs+eypAOWK#o9HKA33Wp$s0w>xUNUI}f9qDKmX8fh;9s?YQBE0a? zbt*W<-}FnUea57xXNA%P!C0r ziz*`LObd(X#?CM?^p&=d6mk_&z>BE%#^7K7lhf_cUTc9J?&5gIaX>rtO_Z?cH&@9V z=8aC`GaN>vb6uOf8&2uNuE+gnU)zc|R$Z|&9%hJ!K_pt)B@UP}>!vs{3|X_alS`kV~VJ6$y6K*Je0oE=rfT>N-)<-7a=Y7=oUgB zvEA|w5o#fJ>Kr6|BQ+KTEKo+lrRC|3yZkRVE0>9t4dMx-K(RjvZAE@rw;2ik$aOjU z#GauLq&iJIdh;`xEE_qHMw`c6O4!u?I9k8A(*y-NJOLz;aI7V4EHk=Y8e*B4q_9$C zJ!UX*m^y1QqIjw%ap=;l@UD3(Jqb#C-A%9On;7c15V8P`7A(${9PAofOdXBb5gtC` zror&8{*Vtn$#L&n@%utimo0HB8IZo|-0-nV9j1H*{SpcPyCPT`xQ`dSiES)$9nt9$ zeln}fA)EiMe^Ld(LnEG)m~bn?kxq+;5i;%5DccK--_0+t!5Tw%34$HfGRhgXZ-BxA zUBSlHPNVN!A{~--cX-`{f!+JX)EL5I_z79(!~n$qKBO$b|4#wm$8SV+dTX6LZ3>yF zJu_j6_F7}Ws7eWlf4051(qcF`JVe@u&6|sXFS8l5Fp7_oyw(Wt!UYDSW{L09v!rOk zkKWnPWDV_|!MfKx&V$eSBhTZ@kleRR^b$I_uW0Tc`+N->eQrT|2EsR1?Kb*`7q1KY zy=2=&+^Q4<=uf~YOnH<64lu;QI;yI<9}9+eCVkCCsE>C^QIYqAut}{Hv{^?*UW3CJ zmJOHO0n)YP=L8mQy;p?ATeE1HV=a)ziqIpkSf5!%xbMmmP09>}m3&K$Zu9S+fR@tt z+isTvu(kJs#lzZV`=S%))irP=WYlE>I5`#lEt> z?7w9Q>nN$;F>$}13Cgd?B^AMK>+U-%i&LQN%EZQ~iI1O*jnju)mZuNX@G^3te(#oE zxXb(ziN0}=ZsEa1oQTvRN(GZsVb%jEK;+WA`GoBq%M?o4Ue9(eWW=SOH*2 zgS~icqsqKk(9?~MaJqUf&NoBW+rg9w3{<$kT#8$6uco(W`9e@ zHychdz$H5}n2Yv8hDn+XV41s5z3jEP^`XDY?!uu48cA{HR+mVtjxS?mJJfUPWvn%& zx$IM8>_5wXB;n=$sGXk3t35^>Go16yn5)Mc@)6lxY-4G;A4*AAdyat0-MN<}E~e=5 zgh*$0jxfE;c)fV3CveQeDyE1$n0_ku7YXbwls$+SWi)f0=r0Y|rv#{Jzv{Ef;MxgzG66jVO|Uor z%q`usBOEKy{29WVSo^O?(jXZKJqKte%(=R}mk9t#oGFMeyS3w!GXrEo_cB;-i19x% zPj*N=*#qi=^KM=0L(U2Xf<^7NEk9i-AG?2q_c3>#@G*6YSJAXjA6x57Xi<0L((89b zI!7au`RQtere_cI*+&Nvv41(eS9VM;ppHj16QZxoeZqK;Cfgy7nJQ0o;D!V(lr_24 zJ`N$TsDWoAogYevjo^e_`=ISYUSD^doqG5kwFR7tgQU@QY~r_pS$03ogcmkhWNJRI z(piI_5aN}}pLM8EuW(;=EE7SX7sE55@h4;EF;UkZcl}$$0W&@CtKKZL|c&H zHbFL}xz02j(A4G#^e!z=!GM_2PvHgi4+m>8~{(Tcqgd7NAu!af-I#=Ct;GHA~M486K`%jap}r^>>qwVpR&N}D}J)#$wHKI zkE9M1vE)vRGc9c^Qs7~GBC%Iz&6{!cs4rN3LQj-0C_1`A{8yki2pV=T!igzvW$z6@ z&lHxk{Z?~QUZM6fliH28%)zd^qu|rNc1JM6{GKetgg+a*)(D1RBb0+U%NL<)i7$i&CFpXA7qXP`>GH)X?F=)}rZ?~Z(MpDf=*q;< zLViBNdlKZF0xrgM@426dvJ}`-p^f@cpJ@O2;njTqff0nqu8;~mmJfFG;xWc2sDF+l zOFnQ=9U9}SCnR!;7H@yDSFz2)h{_LW@IRwMd(fdmxnjIZ0iR{Uf9TIY{&7Vt{Z@lK zS&9rOQhIb0kVz2QT=>;8RMY^q>+2KRUQz$O5udC|RlLz?zw~7eN$kv90g5DG0y5khs=)$sOPxwhQn&h|hMXus&rm`i>;&Jxo|uiW zP9ilG{I|bn&rE%^1_VU4k-t|X%vWE1eELA1CFE?J6G)CRB@OO0_`z|nj&$tB;idT` zqD3A~hxXz82?^GsY~ts@*|61?cmiJ&skZ-TTn_n!D=mQ~RLp+I!*ZI-8eMPpvdOu} zFu6o=$ln<$CXX)A=scq5l;D?CuBWHZ*!tLCASY$E zPs;Dov|X(KwMIbrdeSWMy)3SNm(bT|=Xr`Y?vI6T&8)^OwG>=58uuMi=3L> z%6rh1z)>nvdef|NrGDq{EL~Zfj0fS@iF8+2`>$a35NKzxhKBVsjvIu=bbbEcTVqRc z>_aO!$21cF0*gx8*)6eM5^*3CW>Dec%~BhA3l0x+Fue@_`mmrNiEriky!IyG1BL`iZjfUg2bc(L`t>^OpV*3A^NA}QR!Sknf+tNe$0G!tk zgR{>!hXIk)tpy`7+V-1xX(^&G@w?0jc@PC^dCS+KA26~{RFpFUrn>=aR~jY#Q<9Pc z9v}GrLqI~gW8h@1pTV7~AU|&IWrkTo6o$5{Zq_rVi+O3B(WA&=`tBY2g z9c(fS^S#F;MTVQR%_kggRs7#6{NFk!41nwR&6JKIS`N+k%=n4^bmjDmiCzuGr@ftQ z0u~F;93y+H4lYfl%5KqzPSuZ_WsQT_2vsLD(I``ZA7;J&Tc%SLlnxy>33clCcG1ay zt}->FVh(y!h8D>zUn*waLe618Je=5b=7@Hvc=FB{UMbb2ohbaw&2=Yrh=J*)@h=N4 z8Q0osUa`|(7_J39q+IY}m5H=ZDBK9Gi;uinG@iN~m#$f&LcC}vx-=c`;YuqX{O<5A z_a0T0MtAwM1Vy@F*;LmIRRnV&$&?iR*Y>j`xBbf*IxFw>SrzfOiR$R;lnRVWd_SBs zUEAGx$9ytVz7O#Pt-cAbf6K6_U`smsTQZeL$EzAOxu+xho>mWalbcv|0Gc}im~vTv z?+2{$o%IFw?ImEFW(EyR5Xgq8jf*!39F{#w% z4RwWB{G4$SbQwzCcMFvR3{iXl*{V3Yg`jFa5)yVr5GJ!`*f8ARTW`W#;FEkHZ>=dW z&o8|B3Gi)CNI7Vx<#(QFpIf~NxA2z~eqXjNBbETo5TyliNge;dj&`~NM(iem6rbx< zP_+^rl-JBFPE&hbL;Pf-xlcNPj4dwOsWrE-? zG3BNF7ol&R#IsyYED;o^$16D4gUo*)){qa=p{vT5!^vG==X7&|O&u&w&WR^IJIl6W z>Ozgj@;ZY36+?|9yJA=hr}C5lyS#R`(lm6-qYJ_?yzSy9kPVsT{ zvtw7x8BYL95AXS8l1TF(96OqsBd1%xus&)PI>19L7#jH^)D!KQykKjcC93~gr6DuG ztt5M~FqIFCCOV~b=&sR7NffPbF=-RORW1V!0hC@D1v%Jte}dl|)GicCntm(!jbD6# zv%_y4r@?)^0UHq^ui8O*Ot#ZUK*)2CDVJ9Kq|c(;Gf1SZy6JaP_@i#7PiYF`RHJ+5ir4vg_r8%-w0AHam$c z+5t^iK+I9SWCy+o2>@<;d0LJColBIg>8Zeafa~d3D|*&cT3s<=r9@Qm*zQw&xD_pB zT$^+omy^l2uM}I|OOG9~Tqwcb@ers)=^><77$)`QA1!UY#27zwkt-W3y)fnab= zM(s;6I@!Sc%E#RMn*>{*R#_GYX7;4|GJCCoNvVf=aPRm07)CbN>SiiEjJD$9rePoh z=?LKkkqS9+RJ*P)Lojg43i0{dmjs>`%g>l&x8Q^Y&{U{!GJx`d9C9FXf9UyC{jzuFyB#Zagu!+8%K;NR4?b!j=z&a6v(6tMpypST9HZ!Jx(6uq(^tay9?N8 zRRZ&Cttgly!%CDrUn8w_(~#a>C+g$Fs3mn8s(q1Ffn{vTLf%%2DWc}Xze?f%uO!KS z*m1&xWl0&oXJ7FC-u^Zfw>2NKl+{j?WP;g^YU_H)d~x4dNL|-c8uF6Smb$F^|Du6>) zvHIPDq4*jbeN72nnFAIkzC>Fv7<`<&x5gDgmpbu7YoQT@tB8Rex00i5lRYE>$a8Ls zcl;I!eYaYvuteCX+uzSV3Rm!nI%*SPCz*5m2M_Hf3zCDW`SDe!<{ zR5}zIXwe&d+|4F94#mzXD}|>n>by;)>#^@|YuxxQX2U7SiGLx*$>$Xk(f|(2`KZ`A zaN#i%_o#c>?Ul04wrKIU7^g&-P7rYX%oqxDW`&y5Ok(!52bd!qUM{5iQ05oJ>b}DY z9heVg=lu<|ME5rcV$V0aXEH}08&TWhy;qFB@S*d{T+=uD?L1G8l)cqeto?U6U<&^) zTe`_=eUKT?03PT(d#NBuH4l!vAqx&&3v_Aaq@oAW+m?(h&R70*isEc@8k87oB%{vr zeN*C3JW-z-VQTXr($%v}<{?4tUBfKn5%>dcn1@^g&`jq4v|hK@HwFHy*djRqQ65X1*kD-G$if1|15uB0!k;=)U48?kpnW zd|k~Tf3?t_7T?(YEQXutUr0v7<$u(`H@%%4&r;X|Jf2e)eL)|kqw0eZRzWAI`?`*B zrF2?{*vy-2SCQ|}rF`ni@vr*RIi>7R5Y}J)pc!fVmDdgs`Sb_^{hyeX~N51Qncin_wqurQ6W4slCSkzV;YUvUbs?FaSN{(iMoryjBhO6ryf zTiZOup=qX$vaQ-;MXd>jCPGVjLwjBopQuFlDm`+g({`1nS!{V)FR~iNdO>xH~73iuii^9#pSK|4z{z0Fa$?rNanOIDW6~hsSvBpF^5oz4E zn`wLJI}9P`Sr@<<;8Dfjm8NE7x|wF|U}8hHx1t4<;GXV#Q-=ot(A?VcD&S3D=LW(p zQf73ZRCSlaW*JhfJYRV)WREa2Mj>ySUk6hrakkSRwZT}BO??hmN<2$x?hIFFP?2?F z<=gf5!vvI3V5*W#`O!-{x4QEOX1^NStZCesMnm^2K32QI@MG^Y;M@;epvkJBBrVR{ zQb;m4ywUhRe*i#G9!IB$Ml?{AAy$6d;NR8s{~1SCWAK5~5&0nc{)7`d-t_eVgggjX z)=OCyzSGkLp4ybeVzHb83+Gf#$>;7&ahs+dMopS=>H8?tNEVIY8K%I|Gf!;w^V18( z%&f)Zkp(DX-z{tA8gEzcau?j@I)p_PU7ki-ut4K1d5q_r=&XMp%fzmQWS{)Rfrjw% zSgRGSjAIPPZ(v+X&u^v`yrDMYobbekmQCuOrPyodtT4cBt5&GfT@3j(F-N2+8y?FQ z#So*IF8hQ*v@%z0Pcz+6<1;Gv4ISscU<~==fm-+Qxv)u&#*x^8eOG4HT?%DzJ1Yr`)LrGQSuf2cZDtf>|k%Zg3Wy!Jw#DDzRWkG$C3 zdaz^OYMTDbxq^Tm164Kb(w}<;d0lE~bnm12EDcZzZ<~xup{MU+V`Juf*>4u-r@p`A zdFgaw;&)3AW!fmC=(t+5q}y@jQ!FbFZ2zZQars*IMS#&-=>fSsXk*9li;$5Vfk=&_ z&P^rJya~l-JVEA=?Fj5eZ!v}=oZcdXj(2}b$b%5*nJ*XO)d44nM6j-=aVM6L34i2J zaBA(5B)#u(NC3e&z)ywUDW=@@?I1jxM^)%TxOu)s?YU5_A>^)*Ixj^Hq%;<46|LMlQ@&hNu3#L$k)Z zwUZ74Xs6F^0d5Rd_x0qkfjT(vuBe&-zDlSpNuA_q%8X{&stsHF$~8CaW*rG zfA|=YfZ%7zewGAuS_i!ic%4yNpN8#l0t#byQW;;~v!Ld6x{RRLwVa^R395NonFm2V z=KC4rs@AS016KmDDiw3`EHkoQTw7~!AC_43@X*fpGxRC)QWPD0#nhDR$^HhD&u-`a zQ3@#!)hXi3Ee4sSNUe)8@`Y3nP&mDyqxr?^80=F&FM|w5k^{G0!7|miv_La7myQVp zv4M26+evX8qNwiP+*y`loOZ?bafO?Yjh}Xt#ao%l0Cs|;tSKc#+0L}Zj!XLP8se!J zZiYi$X1nwL?9Gjdq_aRw`Y=SLKsT zy7C!zN>PUXC5x{$R~D4oaj0gm<9Y0=F-?amUVWVPwdx8w_Yx8FT$yw}gKTF-V9JK} zL7tPqn1mp#ZAnUL*P=H+V2l0i0`iWRCpXiN?5DAYZ`IPdC*M*uA*7q!S>4N*$0f+Y z^Rl{cc@=<*HAcILhv}yZu@?9(=;3jNYEYp~OJHY;nNv!R3;QSy%v!2l_%|-MmB_6U z8_{81ShlkvC{R_9WwST_`cjsl`m&7kB@~xLdiU+hNuNu*g1h70Mg}JHOm~?YQI(jQ z{doOWeFHXiE3odfh z0~*7f(9kQ+sTEyMpO}?(YiS3%3u@^&$i8CM4Jm!*pFziuO{sj$X{L`@_aY~-^NJtD zq}!oHhdtE;Rwb|y=-C*&@P&8E_P42xw@Fq-oNNkMm_{yjiMU#-B#2ai#b$VazP9uHJZlxp5n$BZfAzVW(_E>0C*)%*b35-+1BO=*{PX zI=Z&4DGE`5x~IX2118Rucd@7UvNoE6PSTDv?VWiu*w$h>99#7Eiv6;_zmGkx4ae)b zjf6bC`g|`Ox0?FB^iBTjmBLW(Q%P`F&Q1}s3cv01jCZM|YOqq>z}PK9TJGl_A!T~B ze71ht+GiLIg9zvkYgtH)do(rJ0m*ms90Fq}n|6+#9t2tXzJgtp1TTWm=}XM!#D&*j z8(fy41*AcaF^%*zhM*Iu{)FI9^}s90;;*IoydZ_)^v%q)6z8>UUsE3 zXaO|+wS>;IJm))2=GB(@{t=*xU><@lq!zRHfFqA?meVhy$98Dyj%I5w=PQvVJTb*3qtm!ey&i9-qzd2#i80KMnh3=tB|*NzW({@QpoNgSUuNK|MgS zX=OmlMzgex?SDI-u=H0*_;o>fZXX6lnS$u%@@z0`w7Wr|mj1!iKr9wD>mt?ak`Trm zDd_=pw+!?XEv_E*I&F0wYg#w9>xKNg{qs5WCoRW-rj&EPMzZUK#S!u?7OQfSZJ3Gud8U8GkBEuvtwpuGKD95z-kF9{hpAK#~~g! zqMgQ@fn2NvkBg^}>=I?KSg8$Qti z5{tYn^C{*Baj>4_8vvT!+yOgZ)j7E!u22Pu&|}IR znjJ`xJ&v2*)gy|0Eb_RgUa#|nJLaS@lUm{xRn>`GReP0(Kw^|SJhhqLCAS#>0Vvj~ zs#Ua^(suhd>j4KL3TTzLLn50wNa@_KvqTRdz#07I14phUNPbedi?@M`?1*>#N}gTe zcp1e3@N@L&1@|#cl8s{IjzKKvsEO`1c8zr3E~{}A=Rq`hS-9&Vq9^&Y^@e+NECAr4 zgYc79aQ_*_%#lizj^Z%=>wj0%|7RRowX1s%=$Z5pblq0G1aQ2b#9`0Pt`p3#!4>5n{=kbt`fLf|Atf_3C2JieD;@I3g?`c)+I^TBZ&hCkWC zgL5MQY1cHIA}Bu|>#ND*>GK;6uaieMW3^_VO-2*bnb6?W+hvP< zlDo-Md<)yu4%ZM!8d@zb*L>DViOj=gdeRXH&uC8&V)JR!)`LuCE*#p+9c2oN$yx$N z;n1PZDeF2NQ`;5k)#1T0#R~b9qj3I`Nk1sxzjzq2^h^^| znwSYZW1nxu+1A-~J;$2S@y;hwW}_Ix_ZqIU6%bt)N4&A+faHM}et}-a^QcD~4k5h+ zq@~p)?1S$my2|l4Sdk|m+PXT1HveqfFOu_F-?`>0bRJLqa%_MA;ZlK>tFR7x-P}M1 zWO1M8_XIGA`Amy2F^rO4lTGT&qxTdvkI0qco7<>?LbDIP06{E&+K-pfm*aBxGKr3a zR*xEUR=nEEU_SML#IgFg?eqeZhSJm8j`dQN#KGAK{t% z%{K>@;PtI-*fM$)>!)D}pg3Z+==N}{_kMN3L+HbKry zlLhS$IB&lJAbD%FIT`6r5kDb1?E*1?kML7XUVVH4yBw+~aH^^lx^2xEDI@6#0|4;4 z&0_`==#;rb2JG@5mIBs-MKLi-@}2#1wz<{wJv@m!h21McfH7hPVT{kr6Y8|a9(L@A zYQ^Zbsc>g3HWKkwyT)^LjzK@;)9`gL^SO_;!;`A1a)*M~Cfcbd1h!(nOCct#yla2H z8*xp^U@1+u^?fp zoJ-uN-^*lS7A>z(ZApku>iqYUO(s5|hYjaG@SMI|NbaykbJhY8>ABr*WjfoCmb9va zP8+kAxm-Q4bm+gg)g^d^Gwms{1&7=17VY_Z`;r9tte93eT~MB$o>gzkXVvImTUPpI zG|>ewCD$u_T4~VcsT=aMkKCQ<-LuEuvblR~GHlxcf1K)Z+DZL*{PO3H=QaZUl`sSn z1_1%-YY*>oBF6wLWjLC0m- z9>=-w0V-(-XCfm{ldm2;{%D0^$F6f)Zbn*0-qxhW42x@)7-)Wz6~oq8x#3o8f30@B zKo^Bcd*>mMCb@U&7j#Wa0M8ytf7ypS3#C`6(Bq#v8?M0lb-|1@BR4$SYGH1ND8fmt z!013MNastc6WqbG6OYklUzX;bfQ8aZ^P?f((+_?J#gPdR?sUSeFGRQ4Fy{X9irr52 zJnG0)A#9&jJ1a)^XG9k(|K=G&<9@xhNw!l%s(YbS($SKkRZV% zLj~7Z+pI1~CV?GecreG3_TB z{-)*2axDGfHA4&sOcc)@!0shv!YCD~P@+h3v3O088o)hEae@VON=UXCDf|-r z=+6m^R2p5-aBbdZ$M-=hWxLv_4uslaxx>WN3o3kUwwr0SdNkXBu6Du{xP<|nlQ#Ph zN+%dT>L)6W|9O)T1kFoPbS~Z%s%$2xk`?BWr z>O}U%#l|rm7#1I=3t5QIbeV9laDN)~tKN<`202DjeYdR{b88=CW*h`ILE&C3(6pD+4~{E40&7|K6r+=*SZ&9dAuUq;qxS@Pp-6k`P1 zk(tp1z9ca;4f5$?^HC6Mey;!enWxO7Rs%xd@w=RejLL&wJ~V>%Pm%J^E+$kSw7F33 zmR49j^_c9uDX4-Kbm)k3C=7-#e@C=(bVmr)X;V}x48w34L7qe}iWJ(Qb1nhroo+2G}fy4s*AipP;J~{M~cA$Hm6+R})w6rDT_6mMFg)aE;i82>9zB zjNsup2-qt#}y;t5wH~;NiuZ$jQtj4Z%jjZAEv@M#!X|9dJyK|5D`E&6|6 zVP|E*XJ=r=*MX!H_~(OcEPtO9GO!mnu`n}t!e?XVz^7CH?*R+_-+Rh#_J5c1k461s zZU+l{Cp!mxIteEOYYQU*TQh5uf2>)^+`vJ}#0j5#!`$cOSCH7qS*-?&BD;z@GGnK1 zm!z9RkBr*nYxW5=$|d)43tDfqK3HYmP}<}s0R`3$CrzDn;4fjO zj$8J>-5rde=8kWCBYqy$tm#ja+A>>fV|judn|=jziHy*ovYGVgGV6alqeWdKas63t z0PGO>Qpl4{&rJj_Z`YaheMtu{E|cw1i}1 z{8zZxzZY8gUxl_d{vSgBK@JA7aebMK?>*%;m5iPUNB zT<_R2{}ZO$PQ~1S%)1ZNlhBVlw)Sk@;X6}(IUl%5P&Urf=dW%)`Y}cLN{7DU;Mk} zZFz2eljWk2iHOoZ<8S_I8^#d!4XC7~kW!>QpV)2LoNhK@7jYc1QhBHv8DbeEWF$r4 z>;}X}wU%aq`bdX5@jZfMJ7<;FIjQ^eNNDJq4`$Pz{jLgaTqdx@Q&wi^Hr*K%`jPG- zm)?cKe3%)0tk&3+5U$(iEulSqW_|mssT@E96sOgE!WF_v=-(aez;I7o*`=q#B7J_}KzEy@Xobbns|E^>%ml z=@;cP)taPB;Fc^6G4NqHvC;N|jxP4u)YHGIW$wiqd(4`^NV^#BkZN%@kSiuxrgC;F z+)W!o?|@e!RmCqf%S9fWlJb@KcLW+B(2j!HkzoGJZN&^)Wx{H0jlX$;{}83H?pEMu zxYhpUw-OzZRNmOr7+v5kY>T@0Lz=oX0lG-o09OSQAn^j62o|LLMJpsHtcQB#Iryg= zNekbnY6xAAnCHT89UWwIKG}(!gEt)EKRkQLh3_}R@WV;5gZ`-!4RQ|_!hn=)?T#VA zqFkV>PnwxjcbBJxxO1Mt+-GiBS{UXLdX`%Wne%8mbMP!eH*p0! ziQ-VuWy|qDhz9Xze`4kh;*jN|{zcn7v-BYId|OXsOf~$6l>E1s8mL-&g)gnl(;5R?3USw>Xl7; z2Z{6XuFiL4qjcT3%&5L+*A-J`xPCX5RBH+TP{lIE>prkks%luF zz%+Dmc;~uq5J6}Es&rU#keuVBLQfnaGfL9M<1*HUXFRAqh8qcshdE9i+qV_&3$3S* zUfKUk7_5Kt<08k7FCB;Jz4NZ4W+qb^K}NEvpO8Y2uPZjwO1-44g_g}@?XaS7_k{Tt ze1NT2`6_YJL7uS)_yr}F%9we$?CVrCRvnQbG9vLXkqvnb{Czuu*CfBPuz#R%Pk>EQUsY*0bK#JzIZcLM^ij5~DMz+y5Zi&FrDEFuyg%9% zL@>6#$rES{!kM8QVBs8D2+seLqCw)v?`&Fx*!T-$w>=yBXUWgaa~g{&ui>gsOnP>24e z?>43ffXCyV3#@Uj%q>jN2<$!~8ezst=EAF|1mqu2y&qZn1%zw{EJ_R(V+!gla8le@ zj$FD0N#Toy*h{@7rBSYq!g&F*$T){fu7kD-9t859Cv%Gs`%WUM! zC55k!LS*0&HmI(=tUYO)eY0Z~1m3iDQ(WY^rZ;R_i9yDT9WZeWn!`#Iro3qpRrexY zNo)(NH7mx%gcY!`DabF>Gma2_Af@x?f*G0W{4r5)f$lGwKl6(v=O}{#*U=jSMJCWL z>u`~KKmzE0R4Ua&6+-(9h2hdNKf%q4%vLV{m;;6%dF(hyW_%%0T%ei5jB6DM|8UAW z*&A&c9OJcD9=N!lpA^;#`XI$6HLD#;Ba8lSS8A>(%$e^FiUvQL7qY8#E)u1jii50v zeEis!NT}M(jPWKR_WLuhZHwp^GJ;b+!eSvoyhru&9>x0SWiF7$YH>1}=pYDf3?CeE zOj=TTBPk}hc>A)kT?q{2#(7fyNDD3HkU0HCgQduV%qk_K=v*>vPKvI@QIBlLcn}2M zMn?JS^Hsg{k{>(pt@gq%bc=^Z9xmhOowLlY2z(!6^E2dKjrWA2G`($5dg4F=(mpBO z6{yL#TD#GdTHn3#$3|E?=5o)PWxX&oW*HfhU$!>#)?8W=g_^0<<6z zT!v3Y^bqV0M4-f*XsEu&Pj3TkU6H9;k|BciiUH~gon zw5XY2BJ8GCu`|;=AifrV&j0s=Nz`;pqd#YX5wC1H&6M!OLj}=VANSsl#D}^=IWQIF zcfICAejF3-=3E2*&Nvujyc<~QS^^yNBWgQ%PqZ;f;NSKXGC)`()7nbsOPRkpSyOz@ zR#>@SO!PjWPIs1aP%g`X`Z&|{)D}RAbA4yMsp1>p)U)}J5m(_DUy*kd#XzKUITnW5 z{^P>M7QxAo<=xrF4+5IYWnSB(bbzf0B%?I3ZYC$Dmu;IWlzq|wck7x-&H%>9irK}Q4uKVCSGc2C<*!9SCk{nac#u{?8Cx^WI_*erqrK(s! zs!f%AV^#HzRVoAA-T7Nd7z4X=`=+L+FB7ABFgJtz-%2w5vhSQB7PY?5dV}#vafG}{ zRL7JD%btDh!*IsPjm-J{0F%x3XfU%*Uw(!d3l$rhh5f5@i4$d(A9#Xi7vtcvWX#3c z-Wg|s)ub?8BMk;V)>6`gfSE#OOZ6(hN0h0gI@}Ln-=H^wak<+R&03|SL|8ZbT^#6G z>YlEt)@%te9o5TnhB4p^-=cp3)5{<9)_a(0iw?*>*qhPJt+S{$_MsNGc(EWz6o3U* zZLJ61e|QI;V(S*Mc^n_q7Lr~2l<64yEE)Jo1JzBiIa?zv6b5%?Q2o|-_jBi3+Mh|7 z+m5k8bezj#7+(ZOKK{w_tUN%+%Zt*Pf}d^4KkK%=bbk;9mTik=2+HQHFd6<#w9!SP z3lWGPW0>wCfGZYUjAp7Od!&a5wrF1+q9!7^aSAZlRA-oCl}CjBqI>u7)mX%bgus1t zZ}qypmiPlS>A#X?$0FzKVq&dU_ppbq%Tq(-CRL9g^l$bX82_`JUCX)>6ISxD@}jwA z$bRr{Qm6Sn0IN=`(k0E7D^u-Ic+z$6ya=zYYQFYwNORu)l*x~7Ipcli#;1GOng6L=pMW3Lq{h^H~R~uzx?zg zfTabV{oQ5yjwog1;PSIk2kN%?Iis;3yxg=!@^^-NdAnF{Vy2?0uT-kE*G%-ExSfM_ zZ^bPb$3o1wW0?){E&A7GCN52FRpE7&n3KOWiuvhA`)x*@wot*Gt zlv$C^5a)Ro@JKEp03hlI6)RD5DAP&}xjmzF?tS0jowmg2X@M@iD$v0)o#9hi0AT%g zf|mgnPFjZ%m5tIlG;;a{$*Zr0J)nL&3b$7M>GdtozLcl=aMg#SUsoBmG~-bWC@^VX zTp3~I^z~P%gwuJC%a&BzhX;An@M18~H6t);L85D*eSt;c6{GbBpMPlUOyIBR>Lbog zz+r$ubzda}^pi7MZ^*gIBWs!b$8)qw!MXtn-A}nC4{hPf6k;6k z?}&m^bp~YUuCTy)bov&x`o-l5kxa?Mh7refFWmIe4Z~$Bmc^qTE-W^2YPe)y<77zJ z65-MT^2-X^S>fC`nfGUjzDf4r7TL~pO7?*|;A6`ShQrr7Y}MYH?1m|8^aJL~ldENV zO*2StB~$J*fboR|XHV=eKU%$L@~w(is5wl(S5MAnmKbrgZW)Qm6Q;N%Kl;>>BfgAN zXqQk0x$NSU;3V%}`n@}*U~pYI)g95=?YaS#sxGDS+b#2HTuKW7VSZ0;yxFkEgM#x_ z0;><40H^tvmmu&dABASAZvT>b7oCGrP;~d&))N-Q{?O4A1jo${w3-lscQO!`A1)V~ z^iEDakp{&4J;H`JE@IvSke{z-|Nhum&zUq_tF@c~amDjk!?vvoBOZX4bY<$79)&yN zOMb5n<@@DkEg*3|MV>3>TyYDbN&kC9z#oFS+hm|Uk~owCxen`H$y`kssuBV>xku57 zdEOgq>{71xp3#>&#-K4=76D@J3*C0%5ICG-9l|F$YhKjOGSUM&=bq{c_ILEhhJvBh zSKM4Ccy)I->QWZd)s6yl9|I=ZM5&W5ps4f(j)Dcu(D8_4n$ntRxw{lJtF{nbWb9^w z1ZDv=SSa`+uIhCf;O3sUgv%9=NJ)ux>)&>B0Tc*40CfPt8|40Rqo!`FWC7ZY7)lnw za?5-T)r!q9E+C}8=?bx(W~Ot(@csB?m}g&C8~YM(I>=>q?o%Qi08jFOWP+?8lO(zJ7SF z$pa>4E(8%CX65}lLbIv9yaH9C7a|VzWpgF;i7j&N0H1JIaRdh^(oDI7nOtGm--&ia z;_ANfM}~P?wa1@Uq3Kx`pN|H>tD4k9QICh=XYB<(H?OVvO}$kpTM+=yNBVu>Co<%V z9HeL?BuN-`nI4#_iT(TLIv)l^w2tgkb?w4VMlDviY3o}18J`Baidbke6=@)3e2=nY z{r1^}5NA@P{8#2VRd4+1%OPlHUD|MMgBxa&&)1z%k<1Hd3+M8YjTcG2pR;n0Ockvt|A?9z7BP7OTO#Zge2z zpM6Yp`3X-y3-?LDdfe0W!itHpdVAjPD>nw(Z|CdGjS8{tWX?1}QT6hRA*KnAL!Zl{ zDUu0We*%9?)tGMfLSlUC4+_fkUrW6ld`5rw<^jbI>`aXyq^cZ@8+KF}PaXY{RblZ0 z^;f%s2ulqDP$!L&ErGH(+dLQx)8Ew?t9gFWYod-*3ec$-OV4={GKFHGB^xFi3d zRT06jsu76Ta3DysG`Tju5arpjW9q`1RY)2?eWfNxZHkGbL*Fr={0y4BAwz7}?8|AMO zW0mO7QOoM?@)YjUXfsC?51}_Hd3N5vtUa7EmVc6)na3h3f9J2`iNx=9t^+;twsYYd zz8H-a25*xSAXF|!CgmL8H$^1Y6oSqWw~uOL%LaOo`QV5wo+vel697`+3?vwRkS8ht z4uvR+1ru8gbj08e!QY^-V5@~sWr&1C9t~uC}gOdvA@=`F@!`AEj&xCP}p8} z7HML|oGRnCy6gff5mZA@IF5j7Bs{MD+=9W*r9nJC8h+GU{$#*gFjMU#dKp{7fH_*f zac8EkFU9Kx6)%Gr9KJ*#lG8%ftgn_vQ8k=p z`u6&e;LU-zjfvwWIJO$%M@^s@h>M0r7VhBH1>|kbbn6f^Pu!I}c`OQ+l_Yjz@ZLc2 zFLR`j?&0AaZ2$xv3N32b$#P%|-%7(W~{!iyfy! zYX>a+MbT@uJ~KMd&;-<{6!=`xk>+f;rYMT_?Lx)$9cfXH_h_?aIHl`?7FxhYT)-RR z8#qD3Pcd6!E9BPmi0=8q8TYK z<2F}wOWMoQNDvA4cL&c?>5+_;=2|9$putr#e_lv}(Ym;mWcE>n5Zi=Z5#3L^Le~U_ z1lZ;467S0K3viga@VWQjLd$ZtVXjyB%Os^G$-3e%q(Y329FhysVZsnIPIOkm%k`j) z=MmjN^g6%JcKeI)2N|{YNA|IgK5dfTk}Xc)ei4k9s%U zAu}Jq4;gM!KryH20CdhI_|?}C4m=-YH)swE{DoSCrs>w|F55$ep>)0X#`ap-unCd1 z@KFypn%9Ev4+)2m(#QhThQ6eV|J>;Ud2W~&7}JTXQr{RCZeQ~mXaY`3gn;qr9s}8i ztDlNUzMi@_HW&J{ptzF(7iOfes5YP9^DA&0r+hgRxKG>iMOYR zkLZ|t!XT~h*G4=#NI?P(Kv-{r$Jxj1)bz0NZ+!xe(vy@VR5*gfUli}h$ji%qPDr2J zR@g&*;D---zdp3{)OO_V4-KVwCIa~Iy#h8pXrv?f3oC|k#`@X|Exq3L>$vl(g(9Rj zbfY3uZ*XG}YJt&D^=~F}?yj(zDjuytT#2vw%G&Cn0PukzHZ*v61IxS%&5*MT>#G~=Q{ z`v2DFKhf^Yf_b9$8Rh5eia^~}A@RqZ1pRk4{ePCTtBO+??C>xtb3X{5gLZ*@Xogqv zOBdPi5qzXL@CB$dIxI!?^p66_6TT{@L@5oCCr2qC;7l0Ajvf3GI;6mJ&2stW$3j2> ztEHD5_`p=`XyQ1&)TjRHa0b_Nv4X~f{;~(T+js)v(RpGwb;$X1#DvS@x}j;i&_Z_d zqfYDF5i19;n}AoK5qF`FAG7v{hsI{N3xcuZG5ZR^0OJn`-S=$y4pKPP=`g(?{hFVY zAm{n*9QRgxpPz!jpQ74|hPpurYFXR-`^4G_i^T!GuIE@&nHCqN#*UugzV{jf@YNR?wW=N-D zplTbQaeQUorttex43*4iRvre%92tK)`P*tf) z){ZoewbCg>M8T4PQO!zx2Y(zfQUS2lb6hkifIW ztxr_k{qw=}o0|ft!ek0b;L2HD`LRxrLTD{GToz5U!cIVouM6^7>u;9Dl*#a~@@_d5 ziRg0__1K_Mh>9zgsl!UPWpBb6D1{lJ-2@gL|8th0D&Z14eshCGn?bDjnD3dWoak;s z%W2$;kLN^8;fQ_QBP7;4nrC+4Ie*OZk_q@^Lyl}5X|d<@_plSfM4@hqlgm(;nh;x` zPoKP&kgPNtY`E*=GVx@Ahb_;TtzWD~RSpM}Uzp!ooIufr3kH~WDbGU_TDpS%T<$@8ZK~2SA}o_N45o8} zEUBLr-H0Hxr6d_GiLC834eM0v65USFR^~j|exN_tpd^Ebk*lkTLHX zR!S?aU}vYbsc|j=KH)kbfW=k4)MIRBhujhx<;wW7?tNQ`aO80#w5ZjLHWFn zK_RVg$C7@Up|-=A>sBcH8uxs$f=kFJTG+D~D%zf5Cib45zmQ{+)5dA~mHH<6LSQTz zQ5fPK8&uuhEFH)O(>A+bt@h=LaQnr5nn2cpDiB3k)jjz=1qnsxTQ+**nC2K;aNK;E zy1t!^r=GxX1dQNHrb_}PtULO%2lNu`eE*T=S=^qz(M3!MNEJBTZf*)c0Dy&zT?$!G zEgD&Z=kETNW^s1=obtF?=}Ets9Rc42O7^~;$0MqTZvflB_t&@B{xc_$e=fE@*qKjT z84sZ=>7G&47>AFsTv27;GuOY0iX4!Oi^18zB}Kj>EZ*EucQ5b$i4sd8o1rB-MTi~i zc3jA3g9)lem^#>Rr(9XnZ1YV}-_pzD@6F%uLu8A9?mOPb)*%ayt&1lg$627rozp=u^=;);ayvHsYTCy6Tj$8I;tS~|61i3F8P4vs z;%gQ1GP()2v$$BjaoH7VYGoMSk4lHB2+H zP?|AnMp|7gB0%R|7*>WDb?BhM%*i8c4q#ancsPF=T}4K&VcB&s(=A}@13G#=r_RIr z3vr}?5ZY0>*G~e12oZ>Y8>br=Akr_PIFL~6=fqa-;ni9x$hLu@J1DxagU>vrzR})8 zvWOJZ8FXFmUX+72D0d5#4OaVS58>Z@c@}<&;I~XCQVtnKw4mKGOqL-XoExUvO?7t3 z@NOocs-G$sI#8n3^?<}W9~g-`TgkRcD)Vtz`XA5?=(Lx>qtsw_Oa0h;rkQ4997&$bV^+g%bF-~3D6FZwGvz349a<=TCf^5ghc?rb}G%yL2 zHZHeoJo*%_`QT6d>Zke2mGFfcnKJl?@4;*GE{n0Z`D&`FGMWMjEkB0jb_!|v%8E(K zAiGAKG!MucPnq?|Y7J^&2->v3o*L9O*_)?x7*@CioAU)+j&6N=E6i~5>>NTP6vB{W z!cTCiuLhD?zN0pTv?kWcKi?0J7$~S11&!_OQpGS_HKnp1aqHM31(`+qMndmZ%c!VO zNIXy?z}gw;+CV_&-Hm|HUa9xi(-xfBduIYly38IYw_)vQ0&;hHMk|S;!X-#kaemC~ z@8owP;7`^VhY@?3H=xjtRw{^bLijJ)ZD_Cce6^x+EJftkP?gWkV|h=7&%QP1eK(i*xTerL0be{Ht2@VWe+et5Zi6(PMu?Ow5`f;CjU-%9x^Lyem* z@WfDDNA8}+)j63CfwlhS0%3;at$yPSEIH=eWYU<{^<*k>G zwR)VYRIMi~4(yc~Cmo`U(mO3=)--4FfLwfEKwVR%H7j@hNLX4?JF?&6PZ=`hmQi$c zId4R=xjIKbc>hDG$?T1-o_VGLDznYo)Yg!7Nl_O*o!6>orRq}SauUT!B5lahLoYHI zCSq{ykY<))-47E*1u8Hqm_fojI4IHBxFIUO4k+B0R}9*Zd}hV{(M? z6Rd(WOlh*pWJ^Epv27n-cbR&U#lwQTK3HHOHxry+(`O*IrO0{t^+#*f$~%l%pP|fX zJY|j{)LDc$%*uVOyWXV}7kiemr)_p>)26Y8SngB(A@zY!xk=TW(`Hw6LW_iV1K~WE zEaQpO1q6Uo=7r#wi*U<#njS5%m!Ee=8`eW_tE_k{l6i=IgTfhr8yrq6OBpsO^jBAd zn~=l(n9UGngtYdGE}N%do)kA23IAhhg!mGy%$;Z zokj5MG^^(|Fq#wl8F|tOn`JD1r0Pc_0f$b{@(O|9rw*Q&X5B7S3MOJkt7hi+nG9M6 zjx}!3MWqUw6PLyyb&iJ;LVt;q!WAYQ6wr&fx4@x?Llv(X1CtQgbiX4^)}isaA2+0wr|)Bmey_dl2<#(&_x|3U`JiVFM-l=Pp# z*#8%l#Q6_}_P+}yvHg$d`yW&pEi21EP!byIbbo|-@n`TtprlK~EvvA`U&}75PSg6p%eLJl=iD2%=@Eot50`?SaQfPHR zeqFP|y2y_70~q?6Z4pTB=|m)ZPvvj^Dv~|nXJC%Z*=36}5@`^x8_8tme&ZI7baSFX zRVSa-nh5QP5A0)#=O3Q>>yji#>KpCfb2`<^a{0U4MP;|=tC7U?mRVf1wsS(f=+{{L zxhYn)WFM)ywP3%U_bR>jWgU)yE+rc@b1UDXn+pL>o1HbB-|q$%nl`41Jfd%4Ghl6L z4=wsgh|L)*9ZV3O8E3@=np|voXN0_}BV6Y?dP-h~%63wjPu_7xnR2vAPK{IC7qe1e z55qf@UMS}@M${42#=fr;h}Z`B!;d2@NN1at@u=&#He?nk8LClwL^%Uq>QHlx}J&F1k~}ZS%3Pra?41{8@FSw~uWOUz04OFbg+- zYpzci+U1~1X&Lf5j8lnkiEk)G?E!b{o(#ldoQ^mvV9kYfPxk!jzGH)Q{ixj z&a=Mdq+P)er@beQ16ezbA9Wn8b?F_KBkC(?K@-3IBaE&Httv+9+aIbL4e7)JLWEE? z-i__d|NAp{rB-`GF;Y}k68?r{K;~?AkgQJ58IDssCx|UsqzA(cLWCK&XZQOb)Wm7o z7H?#NrdWg*o0Ou9r8p(WIG|uUoHF_r-Cypa#;r1f-C-5R-|KbaLbQ&9cVTnu^!@Ij z8!L@6zR4vL^Pp#ym=SQNr3;Y)s`u5hhd)|azh z24vro&IzUzd9S}8U)J6rx}YVN`kj$V1a{bU62P}VhU6}gqIt;oG-OfO*rCwO(WE1uN>ZNwltT2m z=&leH58`B8tyr(#E@~qqsyiS=EQGBh=Q0QDYP%;?i+OUai`jD;1I)VKZZ$`NT*PWt zr=lo%^Oth;qszMQ`55wJb%SC`GHH{CF}mfIT}I_+Yq~jZScbLi*mI!lq$X|LJQg34 zHI=F&EDB|sRv;xS!?{MWkIB@IQGy#$6I5HHbQ(OrVxM%$@;$&R5qdS7^b%tOP+Qe# zYx+o}cEbs@ao7uV&^YpYcf zI413kBr`ccX(var=zyeb%T7%2C9r2(Ui{i_E}zXjnoCL{(qy6Go7zhyNx$X(=`-<6 zQrsD*|KRg?;AySS-f6jGi*)6S@&VxH3fd6<$T(|*S~>X9>7XgG`ja29g4x}Zr( zBl#-{NlXkjRyrT@$lfDwi=}yLM`?OZ(LF!vA88E1mdcppg}h2Re$sB;qDenJi0W+8W%xU6_zbP6egNMi$JL&Hco!%4J~^k}ALIR4|3R}# z{mH<^?-+_G9;!^%q<8F?^_5R4w%zup_^!p^;wklE7>Xa2iZWT^XJ*rFzq`NgS9=J{KX zUL8Q&w0w5dqz5yxM_?hD>enPnK@7`!rWFc}bml)PJ?tv=zN8i8?5A3#$J1iGuif6!&H=`$ z(MHxI#RTrHuthgW)4bZ0Gp(nStrJ~76gPt4+^WyGZ(4L-eZ=Eca>V1CxFMKD$U~L8 z$c3nKUJWxisNXUqKC33uhFJ?;(R3eNt7q%RxKX)xiP1^vk^p4d^KUQYi|e-m3i6}q z44G|2&kCouo9T)pmXw=)x%$U8X285B#ZiCSr4d|Omyu|`m}Qj+uhE`9a==o0=B4Wf z9Q@o}DtNMB2ZF&QYuGUb{ZnO$48yCJVk6>A%bF36TT#Z~mQH|J?rydac6yWdh}Q1Q z_~de4n`Z*4A-(r?uZ9^sfpkqOevxm|r|5e9ME z>&{Q;msDK|(0Y+wtPuGKe1*#MIcV=|k}cq>^dF$^+cuK4!)V5vh|X{l1bK|OpGLP3 zPfOC81_J%M*R}i_y)$}pb^)&XT9Nw^a#UqgHiV34UESf4>v_XI>QhJW>Txt-aA?v| zU#Z^HYpZI~hVC$j@f^T7bkd4{&%E%rIBpqx5bDwgf4ViO-pwOYDH)VS-a4Q}&x~vB z@Gr3_Bpgsq)3_Jvy>j1-lzt&Ky?PGyaLB!-mWO34?BB&7@X=uL$o4_1rotO(Q%kb& zGn+$+3Jm$*t|%g-5$5H1Ez~FOCU(7O<>NVvZEYPc5=+M{_!I_fKoh)2NwTbLw3!w6 z=lb&}NCc-Ub*??%Nm0RlsI=fX$jlTMq`Z^*rRbVp99M;eJx}cccw$yFMLKo}~On=~AxX-A9%`=cVQG>P? zU(sSq_>Hk4{ktDK|7WtYkx5IO4<6y$Ob^lx$nP&n^T&)Y>7|uc9sb%8v?=46p14>^ z>|~>$7-c+T?@16~2PuZx*Kd*{nFpCYG&GRmfEwqIb|`8_i`Q;bh*N}oV-+LJ{E#p6 z>8~qgNT=c8^p=k6++ zU6VL`sg=K@$3q)sm*QxWSl)JwR_zQJg05?Wn9nJ~T6x^i1IwyNg=bm9HWy3`4Xlsv zFF*x4f`9Qhb-Q!qv3H>7EhvMrB*v+s!rwWPy^ko&u1*s}7W#x!(Ykg*q}6s5X$ueP zg(cPa-O~R3Z25=Jjl^#EcJMQxSxUvyznY*cFArRQwwFo_wmIKf=W0g=kxz8c}i@^#W?%!301=(hsef zyU9%ywyjZIhlUhY&&+$jBMNk8HS$xX(4lP66d!;&k&sE|!yb>gU@FhK+M%v7tmk|o zK4=)byMvjYnA{&uhK4UGs=k+2C(_*#Xuz8{F&*i!yy^;bLE@s*(X_X7(*r?s8+2}L z!Lnf#Ql9f$$GbzieGO`$-hjHj}5 z7}U!xTlsW}2q~uRgRAtX;<|xqLLM>)lH^XTJ((8P_zovPC2n6XjLVwvrJaLkjFFFB zwm%gx$y5f-=ltm|`bd1#JTaaB#oTy#Ux)8zI&-dB3p%LlSFmSNhU-AgF%k$s_{gYv~behEr z128)~F?^Ej5jYSq?Mn;)}p+HYkZ!g4uzv?%zpF zg0CEMSD|$zM>q2KX>gCtU`g*Z9XNu?p<6Mu4sFs$;YiU1KX;P)vbPBeuIMS6`Av%n zw15K|*E{Lsp0J(UukMjk3|H~t_YIqe25(1VI~J56LL9vHUeug8WM*-m$kc0dyrwHw zeuqFfmYC#>lOeVxjxO~_2nVB2J`0~wgAACd8@(LyrTmn}4e+fN6w zLkvU(=pFt=yK&JH+nTZylO&b>aw1X}&U~S`?42@j)AG>ESZlnFS94p7u^`VSSYyyY zP{HrEPE_ybN{U73Jw`NZEKwbh5yjXd`VuJmQX!_#LLI$yc%gsh;+W0E=$7VFn=jX{ z@C<^a_Gnyo6f;2ZvmB^dcPmUIpytaGhG^RZ{TKgIqa|Uw>Fep}m3)sVakVoXI%l zod6k>758E`_DGgRMdRqeh#9Wp=9{O{f_X}jh5=e5_5;m>tvMUQerK(RfsjS@U)PMX zbW3U{DHj931Wy|9wf2H+wfRj-Z*Y3=n=ixQr7+Jbfi^o%{*4xQxi$mW^R0daErCdaOPGCbWtbVf>kBGF2lFXHdJCuVDqbz%$Sm5I^*QP2s$sXHL} zb1J)~OBA}zssk2DF5`t#8TJfa_euIndNV2XNwjz2&k|$N(>RXQS|*r>3;&0G`Z1P6 z#J(GUyO@i5-bL{ec7S4c7IwOu;Mb{Ahgc2KOox&sJt=7!K{Q0&EbqxtgHK3Z3RN`M zpLT2@W$#t`UnMRJZlqO~1S8_gl856UWKS8(WvD>e+%()D5cBS=SKWn>r!@7ei(6C; zDf2FlmXfy)oIcz~L_xF&G!O3g9xs2xF_gwyHW$F^M`FjmMWyIY0GY6@_%C>q`BssaQn=;$nQEO99rwTegBdq+af7Sr4{9# z?3SP(wdnO<8Teq6uCj&*{LaatjTTQ>P2*jj#kg0%O4b2H2q4){_0;{~uhI{9S*CTTY|AdVGv^HN@GI8QCUEp$oy`$aCr>AZ9(qYpo~ z6DkuVdAUhzF2Xd1F?3b?iu023B1&}WtyAT8IpZ^XXym!N4FxWQvo&39utoP%i-3OT zgwqaUvr=^XMdnWTrdo2&drd@$QnbA@z zw%M{3A*C4dy@MO2Go}kHG(HnDk`3+f*Ig+tY_&~7Swh6<5BP3$?6B<%Cs!*du z!VJ~$etE(guPXwq6*L7o znB`!g8q2-EoA4|5|a+tRwUyr+%O#Ef%7txBAIM$WeC~kMkGLd47 ztI&3PL>U^8d4QLfoE^WxuT=P=$+V@6KGzX3c+@>6+&?%^I(O_@e(I#qA6@%L?tTfN zuvi%U5ns}vFj5H5fybn5*x~*}yuIitKhD%;Y&g6egVQPA=(|2sf_RGC3v7RpxAC*0 zqWtmilw^+!m>6weo`@ib!`Ha+GVNG(`mp7wsBQ0w+s{#<>Bo90jd1<&@EZqm%Dx_bhA8|M29gQ6 z7?pOZJ<1_8co4Qd$o|X<86ujJvU;ly!7I5-SmFDU4rOP$A|)h@*-siw9Xy3L^}Pi*gUbNn z0sVJp`u{Uo8A-Jf_wr6Llrm?du131%=m&@d!86{C1IENeqS4VBV{V4C4G#tBb&8gukD(AD&o%B1W>cTs|WRnlCW&wx&Pt zY=ta5%>s}rko}%zxp*j*dOe9;#b|?@R8+!N=~^Ke`bN(@2bP!g0>d=IZRoI2Xx^#L zdqv;p3;r@iWSX&(uNv71vQ^rwT!u6p1Ah$TV0S;Xf4Ku}AS0Mmj@Xzvh--X5Mo+Y- z_b%pHsAe?C2jF+N_{#klcsu-f_`cC-1qEft@y32vS1mRv55id)*fX+|7rd;{zwH@B3A+?70i>JTiqO1jEfLZN9w9w zPy8F);&4pat8H;uyjxRMPp5LRFz7~;4Fz0(dTfYx@8|%Mp~4uL`-QNX;8U;U@=!&t zZcMUXb@g5@vlPJC9IX^*YR+^PVz8mo7q1g~KiEa?P3b*k5rkTF!wS=|sDUFhn6tLYHye+$LsCE1-w@0j}BKxK$k`yO_|-T^?MD&ujd5^SWTzrqjXV0ZTA_rk>^!> z)OA612>~aOtNe>NAtnZ!=#g&JK3u)N!&d|By}5j*dCiPWc5+x)w)86#h?|(7EoSD% zu-ueHl3qe7Q6f-RzH=o*zPB=U{gv?2ndE~~U5AL9*t#DsWMN;}NQ(i?d2JCy(8ZHj zR0|)3gMg~+DCVlzp%T7YUh#4k@i(Ck-yBx`26#Ql{$78*w;+yjnSee{_@jn9t*^Z5 zK_%pz{;#eGNtHY7yU}R*Z;RJlC~=iXUEu-? zY+DnOK2?B0pwZ_i`E~z~s12@z-~O4`ePk-~qsydixi$nnnFbkp%Pn&2;2GU_ZC}Gw z8cA@yYndb2OneFjdM~tU@9wqzH;bIQe(&pa{RI5COn@t}x76PH1k3s|s8F}kJx@juV0!gW&q$d`8J(~C7!dKapkApd6=KDr0 zznkMzDo6pV28B#X`pA&_fH`9IW@0UGdEAl!NLyT@I@f3!IWUztm3fn@GkBCLuJ)0~ zs<0R@agoD~JwzXnq$4lah?odg7}69WF|)rs!IDCE5a=Js*Hh8`K6e&6-PH7Zb@v zd5o=nK@FqO!YnaqpMfJ9HG8zYdk6eZ4(*U#ln6H@FYw%7Fwap2DlKmEWE@RYMMhn!m=H+@b^Hb4XgTt0sZ?Q8$G z(#?1X(a@u){@Cyn@FowxQFX4TkB2ZxA_Wp!m9h!dQvCC|y3?o)M^Lzy24MF_UjwCC z72MMBl_79NapNv!?GJ9{;jAkDs zk>|<0*M}6$)e;-g$}ebKNOpPvGaUXipGjE2j1~!75keU9PG(g z$$d6z3EckXtSN72auh!0BfBmSu-%k=A_(taGR->%rMJoR=}O<#9QVeG9WO{03L4UOB(L%@-~(k=xxarmy#0xQ|HYBZi8fg#z@%AL53|QY z8*J0w7bSbOw~8HFscyGb^^*a%f{=i0cW!5xf-|C!#n)ORd+!b{p{__xw?s6cHc1(= z!^h{iT8K^rBcDEX2oN&<9APPA@eNB1&Tt-2tGj zQbGCrg^Q5tZ*1@rB2 zt~S?~&F2d#Izkq$eQK9AvbDs^{xT2ABhmT`@ZYM7`2ktW0Q2%uT)(VgJxd|I<^(`Hy4if9b2fg;oFQDPv@1|1Y2s6UR4b z^sPW*`@X=z!1^!lBQ~c0BCuj*`zE6PZ&Miy(>Jd4zvDNeSNg`O#0md-<^Sm{8%-tn z@L>m75$2)b9%P5%Tx%%U_pqjk@X<@UohRhmYEy{3nfROlcUb{VW(?@x=uFON(Y}fJ zED({@)ANx;X=+oDnJ#dC+e?AHmt%UkMX=2HZB)EHDkrI@tYpK`;#tG_w+-j%K(GW| z&PT*5QCdQMIxCIZS`jDsmB5`h$|qo-$M>g7BEVL>v256roZLjnxJY%OO-DDmh8#d(Cf=gP)Wxj)ukfA{V?y=9l><%R*zC`XLBp zFog08HpAdsTXdMf>KV&bMl>OzwI;E1WQ-O1Q*S6FPpML6J#{9H&Ec}RM}5LwH-TO~_@bVoe#s1UaOK@JNCx5wb5SfpASlnxaspT+#C-V~s@Lk7b~K-#A}nd9 z{e(pv$dC{1Q(Do-&RXjdFufF|jd)8e<*rbl@r>`!8gLtWSpHT8kyrha4iyWUMli`P zobBBrV~;eXp(cs?%LZ5fedxN=Yv%q+!=PG_)U@=YsxaqW#v3MC1ar{b;+UfkWsMfT zr;~SEZE!b)$;Gw>FugDm#pzYpMyO57PU2(h_qM8-PIB1Y&NL9FtNT_E!v2NXRBQGWV)m#U?2xQH>D67z#lq?mNdNnMAKz8VGd-0TY%% z#K#=%ow@9=fcG}}(-Chxlbr~o%Wit|^cXZkJ9!!RJBLlPHqO8~*XkA|{}p-p@W^vDXcxXPW@24ucz1gn9n!C3DHC{m2B@CzfwpH8r znr?Jy$N2)pARSUhsDlWKrP!kyuD1IG;CceFpQs>WN-`gS#wHB=c4=l|L zRwY{%MXX@+FjH!>pS$vPg(u>;s7F+XvgMDHoCufBAAZ$j=zDJw*+#(D-x16jv6CD0 z?E^aIpEY*M>`UdZ=g4a&*b@qwk&ePA;=bh{FX|v~G5E`zHWWNn9grU|5qdx7+kOVT zoPlij?R-&D(@Z4Scte@vE4^!}4jwJKw1N1_;XM+(8s)ex=qLP2b4Fa`povicA*aKN zy$EabVNI(#hL4I^%6ddL)JOQe=7uH2DjLaYZ#=gP!|pNjC@Oh(L3!5w1?ZCmBG6x# zvlBZ4cQ!Hsh^K0vQJTljN?zS^BtQe6Ou19j7=&^=;``LOoJS97F>HcOf@Di5ZAi>d zAwylF+Z?j3?gPDduY?|>bKj>%em^2d9qorcscM}}o4h4XR&_ijWZ<+bT`}JncjN@+8k*5Y;9xR~QObEhiGGQ5>ZpN8_|MneP6 zT`7cmJ17;D-^d`+kg~DWhl)X?K=}@L6$qi2X$2UKr(hDtNfiF)EZx~wNA1Aim>*~y zBkyftKTjVt*G{jnf~A{7!K;shJz-vjK-%Oeq@`(%yw^oM1L^Rvt#qXV6ix0+HCG!mzQaB=%hQew|j^)bsDY@XfkrxZ|52y%?M* zip|g655cb@PaF)$-HZzuUbaDEo5RP(v5zc$KZ( z(p8HzDs(|7O3fif!wL3>T#m&;<)qmX+N+UheWH6ry%QraKT4lk9j5x=pz`ZLnT&()MhZ5~NpJMyeacia9pa_!6V}x~Qf*$A%Eg>d|A^6jD0>(GWtv z?(MDO09hwdJu_i0u$NhpCQVip*)k`2Qle9X*w2LlLSj#ARzbsrYx9(r{0J%k(w?Cs~AHfT^+PZ+fhDIO1~)j3OJWh)i|> z*}*G5(JLx3BL%Vb2T4^!;r{{MowMtqv-vrAk;9t+5BmeMCG1q~YRUyDPW^8dysn*5 zS~evoI`XQy*O6QIWJ>twcXI^u5ZctDFy~c}mKKzSN4%vbU}#`ptKE09cDp3cDr8** zO}vgy-BI$gyehBPDsvH)aTt$|P{0l&;&Umx8RA(WNE+9sh18tjf+vaDtDPq=_(pG;yM7a%FFaTvT%Nb^`pK| zPRx0anWygEkucTEm_;|&e$vC+z)2V#R-uB!d6|%*?=nxo<+@$i-<2w`Pdg=(huf69 zq+&}Z(s9l!nU^lC=Cz#hE()X1Yc;=-^Zj&ERsPaBsT^T`*tLjhv+C4k8UOJOK~)t$ zLaZG)(%oz|VUFIIq4ec|Gn#56&({lgvt5 zxZ`Z_gSDu%YtBj0j~6P&Ml)yU4=lA z$#v#;YHG{xpR;jp*nefx9%h7+KhYU%X9MY?OWd{?xIo0tnZLW9^1|c#XgA?m0-q2u zGE?NJaR6b8>V7htWF!~TakVungiHEH+@Ys$DSpGW@nk-l9pTu_n!=LOE|~0=k1&Oq7Q%>MC0K9n_p_o|Eje8@1N6uIP45sJ!^X|rfXt&^}G+gi2_I=R=sY`~>f4wO-K{zin109`ZsPQG@zFPZ} zscHQdBbU&&?dxd?Or+=R?%c`BX0h9IydwPZu*&RNPv>j-j6nCRmrd)ns0L=)B#O+s zr*dQGxPhbyi~#Y)1~M9ON@BUZT9ZJd$u~Cat^2pkF24K5?%lwEp*0&^1RMd+SO9i zv;uz?^t}$9nAPS*vhaRZvAWF6RpsOzl|=A8LoLKi8sQl677`hHqIy|ghEQ{=h>42jynMI18g-? zdo!ZYx6%BQ=r*j`@%%JjhRkD#7^x7By1LEe3T$19QTD~zrcuZ z`iycNOcaSA2WK=F48RCFG+zrW`}+;02v-+sba$vtY~l1H$cC#CTrSc6#Z4p91K%y- zo5W%nH-QIo$LDm%o?sd@LVb|X?RYUYdC!{|0G?$?J$J1yYMO?ce&jBpi4QRF$k{mj zlm+b(Bn2Loup)k1)U($hk>@*Q4csgVvEKmoRPR{*Vu3F~Z#vFsk4J#8o&sJKu1CHy zm~e3B==+&2^2-(C6I^}^yAQFlwMfWhqBQBd8^^Eu9MnZ@TPk;m#-|!uh7LJ;=eUq8 z)ERUUc|Kf)BlrOjA2AEGSg)h#ygu@^&GV9G?37#0I#RR`)6Tr567{*=;gvt^#tp2L z$OG7lDF`G45v2`^DNV&pyKQIkVoyu*D|24c!{0sggEkF=n#$tbbrX8`IutGq*)x^m zVt+3%`O6L^=JF=pt1sgJl;n6Hh+hHZAc|EIlK+8?fRwT@S#ntPP@CLaOFd=B;x_Zh(6$`Mx#gSH}p z*zw`bOKgDJd}3faEa=(N&#R@M0OFeFdkgE3=JCQ%vylsx5`XZ62+}xp30k_2RD7Lt zAXI`bxtg~*kfd1gft0~lc#!|xB|@)8Cayc+YMz-Nh?HdD8>#}r2E_Va(WvEwoH&h< zf%NQICXhzEmU=Nx&Sn&t+@*Y+j9s<<99Un)uBfAy(2vS{YQLH}(lCL5%HmYds8}{> ziVOlOxEfwKasDx9m8tJ7MX54z0n$BhG=>QEz>DvVL8IIO+%QwoWPvIe9~4>K+DT7Zos$swX(RjDe zyt1yLLH8wNymDj05$E~13noz1*0@kyvLaf*aM{^u@bc@P2Y>owX(|ZO6?nLw#If>c zUn`E@O^c_FktK$PCet&oVL!c=BsB6|Hu3|613w5aM&nhrsV^`YnU_j{vOM zXbLDEdWIeIxw(G<*{hs$5^$``gE+Juj(Zc&rpB(eV?%uVCqRf%NU4X^1BzZ3I8j=w zdIPT30Q(_EVwAxRO(0$f!o+7ZGS%iSRD;GcShx3P1>A({fn{B4s~QE* zhT1-INTRt4`)tGMMiK4KIU}b*M+?y_zdPM!kB8CQ)x)@=wUCdgY4Ag+!!pXq1_{1l zbk~dV2li`mm{UkW-(E3`O#ubjKwsQ)tWH#NY5~(pJj@agj?k6Wt#v|k#eL~%B#Tc{ zFq{r5WdInQt0-`&konbw-qjDKbr%;(j0+5tzjdk6>!W@C8>SJ%u207%cu(mL3EQ~4 zjxDRxcp)7;B(`8naWH;hc;lY@ion)E8Ua<<>yQAU%um2PKR~*^IxffI2b&fYW`533 zURkvp1?iavow`&pQxm+^WP4NHOZa4&s$GRG7_$^3E zisI|61xJscUV8==HzHK_nP(x$>gL1U^sHFEAQSv2{RK1X`Ks_n5$cKpr0% zuka;ZMkRr6Z5Gw%;f6zQAgO>1mx+-@m3?q08a^&&A-`}n%G-1UmvtRh^_(g1%ZxWf zyp$G}?|?s%yY*oDGHOk{aD);|Lf_V>hbsCx5h4|tauVP3;?BsrLifkzD0`nL_~&9( z@Dwu{SBN=5!Ob5?ROZ*-Xy^#V2#k2~ht)gKNH@Kk2^iL_P z9BC_5jz&Q_O6ye1?OeZuV$s5QRu_HEi- zs=dvdUthdSd{v1jiCy>IQ$Prl3o}ld!~6K`-HQH>89hZi`PEPn3b(WnvVorc7lNEN zzBSnXkskw2DL5Ba;;jL#j&|NWz=DS=-EGL+1WcR>JKNZ8iGVJ@y+lu71UGvkx_kRb`kMKZ>Zv%7lapXf#m~6U;tWr& z2=YA#0_Fay_}LamGz_Mk0&?BawEPu&OmXfb3*F^rRfM&K{BSg2ieWAMNcVLCelJVH zcPl+%EEIQy5TK?})k9{b2-{G{fj^(y)`0z6{d03O0)P!(Js$5>VG7u+aI(gqtoMCLy7Rs+)6kgQ;vbR|#j!5EzLyc-gqzp*KzVXQJByZi!m5)*;V=TLVFuLx z-;vjJPK>+*6fxSxM}mAdXR|6qM2Q*y?o9vhatuNe!v9QJ{uzAy&-L(sX|!-~{&Sb_ zsf(b2qsc!v`;VZEgur*p!omEXT!k8;79$HAp%yzM^Y@tLJ2LV8aLTq~62dYDcHe~; zUV2tp%G z(F?>V=@m_Wn>#u=eD^6L38MU5zvm6~!fM}pNsIsL~l$;!aVMA*d0*4X6xUf&OGVqgtz$Tgu2$J0>9H(D8PJ>lL@@*@%Km%QPC zE0nUxMz{oJQmgA0VOs8GQAbqan8uDT^n#M4`}>c_HfqA1Tm)P37*^r%D7>mLmp9nD z*&rbt9WnS$qd-Ei1x+g?z3)Zh1Z-~}7Ig_dUJ}ebgiM&W~U-a8~h^L?m zH4f_tfWUz=76xFZSw6OIHBO4wY4FAHJzbMZa2UJ|Qq`4>mlv@*3%0FP%*0Rd*3Z6n zMxWrL{Ige<3XEhV3o==MbORCMoUx_%Rp5L! zwU5ps(wiIL^rNrQ!vAIFH2g!gxNT#DT=avalKRUefw;@3I-0SV-b z>lttGC|E8G)_d0>Uc29~UfR?`D+{%P=>D}2tH+hqfE`;4FDB@ncH3nAaPs3Sxe|D& zyb`HSD+veAKS{>;m~}f*ZW?({+1sGLIUu!+mHRm9kr363kxc?n2Qpb7W6_2y6Z4>8 zP3jcv^oV_JG|)H-M@?=PJE5>XQvH=$D=?!uRe455GU1Gdd;;gb{hma#yRcAdUQ5bxcYOm5If^5 zM?g;C?9`O54?9W?N+ZcCOOQMnUNEiN4MdMLdC3ZW5Jc}(?+_OFv>lfkC@5Aw5}Y?_ z9+AN~l~J@1HiF!gFN}Kf{>u^DOA*~7qr*HsJ)hIe%mBD#e;%r~4nW}l(DR&5gdT;R z*{Xiz*7>w+feRrM+UH2Iw);Kd#W33%oXF29DeMG7EQ~Ww#>8=wTlfW)p`HNIcOkG| zj!JeJ=S#A+!Aj0uOoUN~RL$!CTr%3zR2uVtk@ik8qDAf2X4$UVW!tuG+qP}nwySp8 zwr$(C?fUoW)1928zl-ji>zS;~i*@minejf)m30}D*NHh@n6y>K^^EfBvnU39372!r zNqSKg0repd##HEpnt0KvSNRu*{V6aOW^+H>Ob6|})p7VB_N^3Jn=Q?xtW+D?%ErnD6en_$ zO~YdhcCBwopW6gWg%XG=*KgkufYw@euiV5ZZJ+HkxOu4U~?S`HcF z)=u^*>!Oa&!9yV;Zf;ybr0=48lpvAw#L&%y9lpr`40%S~qk)&mB@px9rk0 z-L15=ow-8wm;FJg2N79| zUr0)EVl@nt_uvG(jS*4L{uO*QI}gX|>_)Osm3~g+gg!)0v5Wq;3m{`(Pub3YN@dM` z(%Cp>!Fh_4g;JoNSZiP#_2JgeID^p(7 z8#}M{qSB^Gyb#kRI&0o!NC*-rx)n{#(J)Ov-*>4fI>N9IPejx^=#S*4?Cnkwl2;Yn z-in3}f!GFL45)knt>9nh<1|O?a!753*&jLxKMM9y`Q4XCUTt0UG2EmMqW$({7Yv&U zgZtNW0?}(klaCr)X@SUuM#9L0nt(RAV=2Jvjh?x{%imt~6o;G!WJPA;eB+ejAoT|J zCSgCGqbKn+Hz6z!-b{B-ox8{}4=4b9rugmz4TREed?|p34lJL$q&L{V_dUD(4$ z+7HxO?TsZ`j`lfV8M7wCEzc5K1G8&J8(Cd3Sim01ZQ(ilP66^th2p}2ykPD!Arv+> zfGE}^3Hqqw?hj?f3BAYSz1_uyxzp(Qrh>f}1= zn!0+vCMiXJ&Qv~r3wXBgDwt)vQy*Z^=it_6asC!uVl&$Of%z&Z&S!w7CB{I}rY4s8 zksDoVLy5y*v(CQq!yfW&L1rw8hV0R58S-7i_xQ0W#&SBnMw2DglEgNl0!Yl2^v;{NVw1mq_;aDG-E+aV@Oq(k00j|+1 z-E~B!diNpawm2z*LAk#2N$ayK6&fAS)+$i-mY4)oHFdV~Kg4h^ISD6d4ipPUpmpgG z`z0obd>iFk;{qm2Q&B7pL!$tt5z;QlgV5MjmJzcktA4z5`7wlNv8;6#{X-NY2e9oo zY=ZkAaE{0j)yNOC?#hYUjF8nT+*lV;UfTe6wE{XcRoLv>wiJR6AqWA8Vazf3Eg236 zI3pd&Hw`+0I!@(%`e-kwa8o1*B7DiiR*QwX`DCY!c=C3{XUZdZKnI2i7}}^-rz zJ=Rws^tJl4gYPlSM@*3ew68pS-e0WF@IxCj7S(nR#pVfc`(Ws!$_}Ca_}N|3iQjK4 z*PpVMrT9yHK~9uo4>Ma&i*v;r3eor6ksc+p0-m1T$2;V;e#5BoA2a$)&LpW2<>q0- zh_XOjd%VMTw`3w1ESS*@W{Do+6BE*3np>i*V|79FX9F}~5=8yJ!2_?9 zh&lD%hVT1lFHj&$gT)KInNH?WGe2S^q-oOT`GM{-bLo`ewx?!e6 z?2lXNr(b${XV(KrRg?c2Q+}*9zHMoS%IVqL1!+sSEaLHQjZgb~pe!kY^XCuzG{=2gESK@xCG3n`fZ9 z5r43@I`oWdS%R^lxO9B4Sis{}I$(?Ga{9-P#Mw`UAHvC%_Ad^92pN_r<{9>Oxz4x&B? z=&7$``b~%f7BaU7MG@|6493SKdkFV`QmQ!LV_zK>jBkLd3c2R62b#tjw9vtT$^v5o z62OjhOnXcIEEMu1L$D3&1Kq7=)Z8k|DYOV{vTyK#(&(d|Ld#&57q0R>X3$so(-Sz zzmnO%c*gcW`gZL9T6_Op;`_feKCg;w$-rZNgaQu00QDu=lzPYPtganyC1{#WAx%x z0O>d=M!_peUpFkhga_+X76O2@a^cUXNdP-ouz_Px!aaBkvv*C9B_fDTZvXWG=I{GH zf0wV3%%X-hY;ylX+32?%*|6BYkdH|9*;Rt~$& z1KzZh@d)Yf5fvP{vT@UPVIyTf6xedxcE^bwzntn1BkKY)iOg%kR-_iKV>vlLX@Kvy zCzT+rz2_1F{Zx^?P1^ryBJ)o%@Y7=5_@ z#hwnpIX{!~C#40L)k-=wv^i74YN{-?Do<6;bT~3S)7J1VR&l~i>1SOARf&+l0=R*gc_rP5S zM2)y>XE8y42W^a07mkmdnOxmSB(mL|~cLVB2FGxI(Wu|uH>0jq`# zC?41hHEjghta!RLe4jN(L=pI#=O268#h4wi-|%|xggG&Atgxkd4g!yMp^-(Bx7Pb} zk^b!C8NfkezhytLbv(^30HI=^?k-1m1e{174eE0aEwJXNMEh8 z9TG(kVWFvCGH1WO{0I8aPE_+%1LzgTV?@pitN5}D@LpPi!j$-n0}Q3KXcPb0Bb=A83;vmkK={mkmhd&i+#23qOt)mqitqdWTcVb$!V4=!%3W_=m*;dr=wj!GE13|& zG6Nlv?3>A8QFzR}VPP|S^%&PN#J!!}qPy6PPpnau_3+7Ap+6AWy8;pOLtySlhjUl1 zV7i52T3r|BeejM~X4;8=L;b$?5&yLm6v4u(XUpBHYZ?TeAP2bx-3Bf>e?;E}>9p=r z1+Ui16n>~oi2XDdr|llWP`MPBL~Jn#KD?UOcal2*z=z#7#g%bVG`LDA>0=LCh;D7r zR|agE9^6#pJcO*F$|as+Vnw{Lg2+LNY;v<+50=s#yE)jCdlIMyA)crG^C2lj0}h9O zOdP_BC!eZ^u5<$Q`5P#$LP8JPM#7n4#Z}Q#5>n~<&7ZlveoCHIP^p7mHrh|3WS_}T zDs8VU#3a$TD1bKBgN?TCP0CN!#fE8@1g!FCCJU@pKvn%tRr7462&*)vD&9$ z46;TPWv+eshJYI8yb^z=9C!%;?xBcTgeiZx)t1i#V5~YQ)yomI9*sj^izP6Ol<0y32>EC}l7= z{u%k)x~=J_Cl)?5hw+MRgj^8tng_kPzLQ4PMd`Swju;&m zl2{h8N+IH^nKeB#X;#RkiSfw~kQYxjdBr!0#E!5O_d6LeF|_OBw%pMGan5N|K?0o# zo3Ex{p2CHs{AnR+JppC*zUfR!J4i;RZRKaYj<|u3RTb~!9|yT8qzcokMJ^=eaPn3t zk8RvB^e;TJ`-8{BW4jfE!eD5P8j*~OfZwTtC z0WoFtSH+D6Q>Z;bVlqn0n%s7Gt98;n(#50R{kl7zIz3cz3>JPqcB|bQ$}npbO>wEb z&-e*g6$$jkG?p)$pXN$FD_Pl;Rujxj-HglS8jTrQZRsL7kw^hw>X-Sx$5AsKdJH0H zd>hp~Q~2OItW$|r#An-aTf%iT{{{*f1qvE{n@U=-($?5PWGJE4W3_g*>v!l+n%3L; zhG;HE0{fAgiDsK2bxeCg)+8F`>-vN&soQ9p6wfVLP=4OaYI?$lW#N_@+x8rvRy((u?b#Sh7v4MhYF$Hb3=YYFP^710s zo8KG!j=Lr@m*!;hqL;9a57a&lal3IY^STd^Jy&D|}c2+iNluYEUm5*Q++I!RFo^&efdHMCmP(01)KuA$h z7iS-?AsFT!k#5Ot5a4h+j9Ejqg?ETl2qv&e<5Qw6^ z5tkbxY)pf(-41^0LzpAve3Drk6_3>JHDqG9fBNB`h#yplGnZF6lXNjeJjwVNn$Ojo`VE&@Ykwvm zhpJ=6*n{!y{v5TV@5t0Qool*A^{?7w#AawuT>yiCW%M>ytF(+ZhRW{I@@@96KqBYM zl2wa!-`jv{1%Vf+s zQLRYMn2JX+g_izGeaPzE)v{if{0U{w;)Gve9ydWDa)?*sE}lF2jtTMV7-z83ss$vw z)!}cFk3w6}-5QT0{7cI7PzzUjBHN4$#gdcIamaddt>qV^Iu%gA%>0MPVp9s3zF~F5 zJxV3so>g&y8_6PjY%ugnw?p#CR8~s7j=?+!iNH*&AkvKOp6yO6zA|SB_3{-6+(&o| zQ{!GK_kbgll^NIHKlRoX89U1I~6`22e-YSq>%wS<2vh$92xU9 z$huVpVoZoD@@dCXO7V z?CVS4T`AD{Ip5)*KcM+9;q1bEt;FbN>0`6pf zGXhOYV)t9v70@!DKM{|~B@AZP++gJGQ_DZcz~GE+ro54i7_iLf^v=VEqG+;A7bWRL>Oy5~eUdrro_aRLj$;+8}@jATmJe)B)s6Mv0xY#tfFO8#Q0Z-K)sv)kWOgv*bT%VKP>j zKVPn%)LnWK@twfvk|UGogv&?L&q_3G!)NSH|LiPh*nu- zu}2yl)H!?KfRZ&*G>K71VI9Tx6i#L88J6eQw}f@Yh@OZD8q_tLY}`Tid?om77U?i@ zvN;r$_@c8w1t^{3n`44I7+I&ZdcSO44|}}9hT;+#4973G3JN@@XQS4*RrNr*VZo{; zZeI%#(HS05E#~AsA|7L1QtQvj!6f4YNg=wDoBr4`z*I`qF4ZggbkCnXtrx5CJY@ck|dX6=hUJjpPRsJAy%sU-RWmg<>=8&w-T|adSWOihCNlN z1$B40f0hs(CYWtR^q1Tf%@YCznG(luUD%(QzKHc6Z z6yV>9s6i#8wOifkSA?!GgVObKH7*SV(wuxrk>O8Px5V!?mX(vy)Ga{WWYS)fp-b)` z@XDybPG!I-&E|(g*(dGIIuo;ET;6avQ0KC8^r;7{{YI;;2vQeY&zU4gZy&;huS}I4 zo6EN9dDSn<$jwRp2^Nus z9~;-|Scb03iZtyG6quZ4KC(xi>WOvco@V+{8(HyX5pB8;EH}9E;BWw4@z=WkWI52W z_RSfBTDua=l{w)}!7Tie%(TLeRQj6J2IFsx#t`a^v~IkRL$K*X6Ww~RJCjsPnDfk==|Xaxk7M=wTDq-lZK3Qxq0Z9@S4$0upzhG4kn>r?;r zvw%%~NlwWPlEuEa;44(6Px-qP#a7DgezT&m548J8A7?v-uy*q8A&3=odH3oV<8CufoDYMJfU$)aRVJ;y@ef}& z=1e+}e6s>_^>#x;1R(gj%B@uXuWH%jbfuMgr}3!-#zeP)Rgm$x^#*Eo0*`2IR#nV0 zDc`4M29^!TU~K?-qct^6yy2Tcf})rvwFHO;6Jm&y8MX+k?8a5uCUD#M zTjJfiFv3H|&~@92dm}fL{}r}JF9w`DmayKCURZ|9O)TIR-_IE#od9R)duBzxWE-5D{Vmh0ed{ z5CA?CA}$b9Hb+I2=U$K=5B>mnxMx9TT*y#@pm7EA#Izt1G6gIIjZs58#V1pf!B~l4LukM9W1&_(Uj*ceH z^ojWi3&s?wtTnuhHG8?!QE6+#c!A8KHO=3O-!X~xLMN~cl#n2FmDe|_(#dnWtO9@a zx$%}lh|oKv)^#!(QOs0Ie`Iq-7F=Z2$f{`tVTKp64)~sZg5=VIjIR;@%BBBq)#b=1 zl#}zSzNQ^d<<_E##jgS|xI71Q$L?#qrd$DX2b?solU0C^l1Ppct3V6i;1S08!Px`; zHJ$^O%?Y2L;B_Ah3makXx6X}x9rgJn z+0eqYUQS7+ys1cGCBq@7o9O2=&@0zBViqvIWT@ovkt{ITwV7JFVnP9026 z(V^fg%K>o=zZ7ZZ2K261zc_(+9oUJufhmXaGYA0B@~6m6=CN&qs{;-CSd z90{SCbTuRccLC|Ru&zzi_7<07aF+~23lhxepWJ#Z?mOH+NNK6t8Iya9mS89GJgio; zn?%0uJlWl(QdeBh1XH&){Hs10Tx&_&SF`@dIkQpFJcVMI)$;p%f{su*qB3$%aMd=> zdW?Em6+dTi(nx;U3gC2rG((@$wF z;vX`4%0<0v@HRG35ook);df^sW#ts_u|fp$tIy=ptz>a4m$p4{$yyCt#Ei{$;I$(T zivu%Qw&OJH<048^N#%_e`pf89Rk9>XK|=e@gI}@jNE(Om2vo%BbB3S#cH@m<28A_UKhGXtsCA$MhxAs3B2-3T%_|ch~78z*+|B zdNV+h?-2Q!4!ro9v=x5(r7rMnF4KzOO7GoCRX`#{$7Y0r1=3c9nf73YRGuWu31AJ~#+$ZxGdQjkJ{T_|pkhcg)XH6xLAPfu_K}7EG}Hg@Kwi%c+OELb?XFEfYGjbH^nyu? z&+PR3_)*p{+-4kWgWb^@$7G%D!Yj>19CJCrjEhmPV6!LJ6cTg%=VP@r4BbC0pCMS1 zo*^|TLxdPB8k(FWAYGdsZHZnvj+Kx=W8g2XLd>2!)V|2zS{e;cUe)Y{3B8N2YE)^= zsGf8IQjQ0R>S$VGe`zC5dKA^$(JD~}1WN4c8^8$ldV`Ti(KCd&)Zm_@OEC2$EY%?Z z?3>&J5+6z1T(^;av#H)x;)BNA-=u~v=)-vDmahY_`YUD!Ny1ExdfQW z@t<16@*ivwY2nNL7^9^PboCgTMqyz379Ma{9MIOo*SMr=NuiOf+1tx0CLQ*&h^ADD zt0-5e(IvBzPhW=3b13an6`o8FR?17p=;euSh3Th)`;i76JK+?bLQ_AJlq6!><4OCB+ zvmchrqlrY7e{E-SOnP`ho@Aq`_c`{GQveg*i}6}Ve?ww6jwr6`GRR9Ya0cs~_b}DZ zU5MJ_zc_!Tl(T%iJCRKE{hyoRB-Yw*qba>XYtHYQq8W zQb1e3c;6+ktxOd&aYAts+IJ4nIDPqjuZB=iS<9Yy*zgEIQ&k6fGg_>Zchu~_L&PgP zM{GT<8ECG!%D`=5JqW#<)2fDRBG8Zns{&<0&LGqntI$#pv;*wiNsF4heD4EmDG*7m zg}US+jT42tQEMtb1f?h0+pGhYaQhq_ofsx{l%g*s<>T2?jxH^0hmfOoYsdmMJtZ6z zx&p+VDCg2wqOpIoorxxHt<=3VI!e}f$W&QtI;}>^7n@~5Ah;{mCi8697iLmLXuQ1i zaqt9x#bzJ*&%jDTOJA=DxP#%pd8$f?caa-Sec$*m%~g&`NSTF?{4QA+m%t;sPJVBV zW-w`c7MqkoH}`kIW_cbv=^)CboRxv4)#D1>l>`(s`$R}}=}54w!Es|P^cJF1ba^d`Xt&28b#Q#=93_i!wOoI>;>!vZpB~xZ z2mzT4o&P!7p_Xy9gl!k`r$VIYNf(rg=meY)O0dJyQ-zFQj#i4}uj}?8RDFwzL(RiAufqYTn-HHA4n|+R za^QYi5y8Bgk}%yr>0Mo`^hXBL>-DM%_SJR&!4$dW;M>S;iHA}%{ZUn(9iXBtL4nrv z#5ITb{#|Rp1DEUSQv<#Z<146EUofxVO&x~aG(6#=k2Aqq>~sOgL8j>mMVrnH%zQj? z-PWgIcUW)2mivKi${4y(ShkwiHacpJH;3meB{8GYA4NWk7@)BQbzhCpXw^op8^L zUqw+a(rIlb16d{bG<9N*kDqXU^8VAJs5FX!9pqsJ3R&E zXhFflqM|2Y^45C>88dg$Emfe~*Dfsr0m!}(7=nueXjlKX+oBO3iw{w1;hV9=7HJCT zQc_4`m3?)~5OvnS#RsM^5rxYu`l&h&fx5tYSLq~z?8}mXphXew?O4rTv-x5BgzWS7 zQb$fJ^q^947ZY5>@CT8v=_vwztkLwFQtTVJrB-P2Er(IEi>=qi_f6aRP|pk`#S8 zSJ-Hn{G$~)qT3X#m|Jz#NJ9%7vp*XYgVBC%rpVnXu9tA$jx>S`6Hf>_zlyiFWuwQ zV@Ru-oF9FW;xF{~&f(|66+&bf?1mE z0e(t?L?JWG`gL8K89OJXfUS8_MH=;^YzR7eB=7JSbHX5IFF=t9)oeMSXOhA6UcVZT}vv#_41`2G^S4znAQ{dzHPS4Uo&^SmxlfZn~=2ilf8>quFD>l}-W|?tf zXzV=uSPZ#junoz&n6*Xe=E&Bj{4IYQn*Zo+0Y5Xu!`3cu&R9nZuWU}1q*k%jmK*39)MNu5HZ6N1N;b7N`10;eR2Kt!Af{h=5y~vQ|4ap zrt~UltC!iqNJSq1GQ(iwX-PSQ-POZ`k|%l&9-tXX1q>SKMrpai4S*i?9Z`ns z3mT;+RSIcMiyd&NHs+GD!?Ml05`@&Q1C^yH@H>=k#rHmDYhp9R%dMjs)%-5p4FHS8TgCW5~+jJ0(?Xo?-zDyFsc7(rvINHumAt+;e@-ZyDlX?aVq&xsf*3$Yf)sGHL@xP zCv>ugTG#j}Xlv2DXOkuqBeLciqKCw?xiaR9_AVLCWiTM;k15>2>wYmImkx-JeWgx{ zwV@Wk;gwIqO~Uu*RT&({7$T5^Ym?1{ubCm%n;1!p3TgpwVkNq~9^^|FNL+Iq2vTnp8VP{ANuCPk^oYhIRw+`0RoOUTu>rH4xh)w zI`JqY?=^X|v}>1LeTi=7p6|cK5?W#Yoqsi)VoH`&zjd?2knvh;<+OA@Wtut8r1NFn z3WXtH0}73=GTt^10ON2W&&pJ>RS6V;kl;FH2E``IRh;`aI+Sf${SR|I()5FaY&zZ{T}r=uJEub)u92c zs7o6ZgU(CCC430X>vZo@(x7v@4-3vdzC*`mx|M%g`t;S$5GOiNg!UIzJ?lTS<5(Q^k6Ni>4o)e#0{< zqxPr2GuMN4!dB|}BjGKp*8IvM_Sj4C&bMA;aDR!% zQ2%^e{2U~&TI+!z`P=2t!NW0FXICw{eM`vs!8lz~4&2sFSZ!ns@9wRS$3A=Iv$_Fw znxbRIJF3;>0WN1ENTpgfaQ7vjD%ISyuhl%Py}>fmMKhU@(H(4O0KuchsZ`YzJ1vy$ z>7J%|m6N`~t6BRv&^_N{^_9W^0W-xh&SFKG$w z=F0q(>z4rpI8UAjABxA&QrJ(QN>SN)%ED?UWhd4b1Osn$`gm%2uOX(y)xzv(UmWsz z;Ve~RtQUVUjXoMvy=hBWODS`JB8AVYIZCL&XwVOVEsbWs6d>O|No^6AdbjtPjW*8M z5@Az*Y3Hui1a~216C!Ho_2$*p-gM%HN#2H<7kYL#v6q$S9GV%PTkMqvA>6plh~Ax@ z)dAB?`EduCfkk9-9be&#xq~lhL_|CxhH&y>?X64K-pc=0`OtvEVl-X&he`$jHcb}Qb}dVYB$H6H%?#Lh-)roG*>@HH;vXUtyG)t0JIXYhg@L^;8Q3{1xOD&NQ9I7?ky7k>nz3v` z53$2>AVoWg8KxG37hXAYH1+~9_lHB85y=&vu$^a{D_r{O_qn=#}Cd%KSrMM zQ@LYlI^`eJO5!`UT)AFfV&@2|$xAJL9;NmsIYX1b#f{Rd>GZwmwv;jh{yN4{vdvGi zG(p^LT6VL;z|i1*9Ww0;VOcZ%paRSA5)|OOGPFWsCa^@I)UyT?^4UOuP`>&kO_5eP zMLdod-}gNPDK<1^Htj-t8+J5v#6nHvSCb$q(3QtpROvoQ6??A|0H6lc8f8scLa2VJ z?d1(ek&#<`2)OlHGq@3{CGgn9=L+XZ%(-^8Creo~RF33TR9YLKL_Ao>O}ujK{&Tb6 zOr&d5a=n+-9R!#NlNWaDToQ&)PwY20ij_W_BZZq1L#(v0?lMBva*aN4L>u1({tH^1dTd8Q0=T#XR*G2UvdAa%#FR3=NYXyT@@&rJ z@dwd(2F21JkJXn;Chq=kYV2zg;zo9A!ti71I>R59bjUAy@96Q)Q$gC;V4jVFf0Vr( zq>AJpVw(^h_4Z>YF}lkjs9Vo&w&)nt6bLplvIB6%`gyMC_oLVnReXaq%(mABY!@XD zurOq{&zKEVQaoG7lF4X@Z2Gok>9Y2& zpCV<6IJ9jtDe$C*KUf2K^565~Bb-&IjOFJ9NeMIstibwvlh(VCL&96?b6m9;Or-JS z$r!j}8_u)rvj#L6g7i7;ss-$U=%G4A88@^=`A?2=?T9@})#PqDaK0d&bLOR}fzRqs zEy(;r1qf$GLV25Q_v>)#B0PUbcaOAu-rBX_8u9NKNYv|eJRhb@(f6d63?o+~ zuy&d3&AF!PD1yq(s`p9(E{6|C;`(2bx9W2a5y-ZfVj9jOdUbCyODPf7;yqkkJ1-|pfork-(D934XsPf4IU(Cj@^8O!T z7(hB%rqX{cyxSe~QGBK&BTxGsQvobcc*#Az(MZZc?NO$Hxf6Plz`>7f3!5^JD)IiR zz_`GT!7I{#ClaNr)vagz|W<$~{7{yg-cU^;{qwlKs!_&Znz`IV?QA|9I>h{7oS_4Hw3X5}O3mj*`ofA^Y4`WpSqF-XIXtv(0p6PC zuAm7!B*LKWBI^V~s9Me8^AHJB4Kp$WxD;u~VmL4;cdEw3QY3`Ll7Tv*H%6eiy_rK@ zNhCRL9G(h?Q}rOjz8h7s!pH;mKqV;wjhh{TzXz1af$NF@_*_pvWk`zT>r`bK)TA1= ztz4Ip=J=-~nw+SO;>}oK>srZukIa4k;tfPwoNmGz z7E^2hC8jUJgl0y`3Lsbm@_v?q8k5{j z+Jg(G)bLG71t-I8?LW-)%>O%#D_mF)2m~NE$O^w_H;#BBIPT~&e1*n!J%q{vr#4$R zKn@t8at-}+SA1m9R9$P*mJE;k{&Zc4QU#EuEk0)Ry>mhF@t>0>`#lA>H;F+sVkmZhm@}%a~bgy_GMFJO$W|=-65oVt54bBn{RbsfXH0YgMuw0Vt8YN160L^TlA6XnG{Y==Nx z??nkot=BxmB3@YLfy4gZrJn=OlfW7QB{9(rw?P`;=SCxm!Qi8dzA34{CL(5i-vSFpwpB z(AohCqllM1Vpp65t_M5A)Jj~yE;R7%&Gx5>og0$3C30y)w~pcB?b1A6v&G>pEKK&2 zN&S?4?O7y-wX%rpXQ_5=F^EizAedQATKPxT6j6w=BLpsdxmsR=`-mWLqZW4rC|*=o z_p)xj_ze5YPR6wfc1ej${D|fUX??X^)@6Ym0a@=OoyA=rz@|N+(v9DCJy)o`G{pO) zog#Q2?9;>zkh$?lus`+-ElXT#7}kwoyBdh%T_v0zS2{44(Wq#2ke1)2dt5j*IWR}Z znn$Q}bhXU>xeEEsd-c2(J-7aE&zsMbLnTJwJgh@Xem8txVay61j%Lz0n8qm|ji^_+ ztY7alJ~b)yDc~$kZ;kR@d*RpdhDIrm%Fy6;LOV9Ik**`P>?bs1xC%R=_jt3IS760; z;TQb5%GH`d;wBt1@VjmaTdAh>@z?=#wE?}3c6-lN4@@_)iDfaPQQU)o*Ur)cVcFd_ zU&6)qzrrUa{4|^+Ox%+}ub^<)*3JP?U_m*DEt$t@^!^q03lx7#UXjd0cie@OiTWRp z0~T)GYpuM3lPi)R^C;ZF?c)6D`6*87$3}XMAv$lG@KSYN@%R|>XGX`q@@$wMTK8$* zz{)#kv!^u16b;nwV<9D&hNIG71Z#1zYc;uxz?DR+12OT=A3F?trj4px3%3i1J6j4! z0R>IDr#HkY8ZESlx$0w`rGM=2WL<2K2s@LC<^T>o2tmWVj1-{eK0CrlAOpPA*kGq< zLECFAjVEOWTjtghmqC}Xcn&j+l5CzD(*3JpmC71CMR1AvCe zQT2K<7!};yfl6Sftg?oz;%mg z$?}+sNZYwk2$qyDz`0eo8`8kCc^Tma{%TSKp9s{*M^l+huklVsgG9DbOp~o)Dn}Fe z7Y!}gLO<-PF4x z9sfy^@lNG-K7f9hfoN6QlM=`J9fDk!A6Jz*fT9MbrHzNd;|0KCk|a%riZxdveq~H< zV1pxFeBoZ&w5-IL^Kg-FIE`*(_@kN zePgTG^^uKw^$CPrZw~y<(?VBTv1a&!EPK0W^KHS&2=kTZaXlDSMg@EEk2|(}!_pQ7 zjm!cOQ0*&EUnpvG$5{Ar50u&;>~S*~e;-!7Bv^j<6-{{-jDBd89~Ng96HA8U1~BZx z1|7hUyP6sTfoiaIA;`3hC^+%45ik{GJz|K4>0j02hij`&l*;s1V9;Sl+nGVph zd}ZrzwfPm?Jt(hFPBewNSr(kk72?_jm?8_9Lm(T+!_9tih~XQ*{-D+@LYP7NjBoDGu*h%i>Wp7j~gJ(tmA&Xj=WDM5-{azGmW zT3s13QMrq@bK3$ik0rFR>MQ*jKH;CaQgUL_FPH&^K07f^{!REpql$$2bc1wYRB$~ zkEJoRWWVgtmwpq04vtfC9YKFvj$g$NChsYoF^GW!52YcpmQ|nYlHk3pP-bZ2r#NVHhva(q=;w%?`j*;{+d;0{# z68d=B>rj~tgh|99)9p;qq>B=GA{&99%jSqZC{ZXxS%}n@gzJudVE;cgORzy4LjzIJ z+%g^Qq8Uv~)sfoc?btD@s22zM{(g2_+ zv=4$&sOAg&62!jooKzQD2M3UL_ns|EzScbgubN4Qlkd7;B49=oWNzVDu8zCiX&UChosEZ zALP$Ou;CUv)k$Q7`&rPlIo6b1#FR8#E}3y3m4xyX5nGXxL=P)RFdaVVsUqv$tK5#L z+22d`ulpswkl`EFRH!VbXF|8pT9&$0&*SZpm0}?%5N}V^EM*5P1HY4+W%+%B$mu;b z$Vh%27Y|Qugr%>M=(o?+aK=bpPA3id_a{)>PY&?ol9y~`>FVSK5C)kMejYD{=X1x& zcSFa&P2XMe)x#=>WxEcixMW=}rrFwX=MdAA$nWw*<28ax$h8pp*^uMI?*PRkJ23P4VMEtLFw zf4|g;yKWxjBWKYvf+V}ylx)1D{|{;Rz?=!#ZizY_+qP|XY}>YNqhs5)ZM$PTZ*1F6 zruWoL)vj~Cs&l61U#zF@^{i_RzAD$L`x2nK-0y@mI626I0$2A(4x6#KRWdAfwS!sB zpZ#O0LvO=WTv~8M&Ls#bRndd}87<+>U7DwP^sAkI8M9Z$SB@T#Iaj>dT$gmP2BN3J zo2xF$7ARK(`*|i3h$J!N%jw90Xo79yYTjg8k^(yhaONT8LLISfT&f)L$#=%}2WV=iXFmYrX)fGqAl$qMz~&yf`P+d?^dl?I zUUlLyY5O$rHeqGeu@xbdI<+=-P2O%e944zLF9K5=@T88VRyHlf_H^IR3%FFA`LW&I z90B!d)AN)8jA-lKxkJI-UeUGtT@f)e`7@*-$Z;9(tE*r7T6XE{G7ui*F!aneD{#dTL`P2P&}`B-f)LKQW%hm zu($tDng0K7#+4QkwWoK4lh%FZLJr-N<23T-MaxNIQilu$JyP`v?<#Oay`A1e1gQjl zm>3@d?jLd7za}J(4~Ita=bdV#AKEPK3L2XHfEw)L*oQ2!C}c?@_&!1B?5S2)%PT3g z7U6)PcT>YJlWjG_z4)XCYn2&Pa0jm~uk4&`k7@9}KKbWLcDg@dMbEVHxpYDHw!EkX zpxPvUi)_jsN>KC2Ae(74MC&*AgYbZ8gmP6SISI)@+$oZ4?Bl;7Hru>uqFZ$dbO*1y!H#It^#koB$HiK z$<)Jv7nH5KV{LjgC{UC=O@3~VT87HZ-dv6iz2?o(alih4VL&QHwL6FH7Izk@4`+q+ z)q}HpZsgNp^5`q%yl;U2*-!G2$RIx*2-x4LcD{+hjjd^gR~{^%=sLLZ4HUFPZkVuB9E z$<|FedQUfU#)6Ib5ILUFp$<@FB3?YM;~ENF=MLdULnLEGLx{vED{rR?qx>61F)dYo zi)N1R1#Kp z?|}_NcWxK)`)$8Z!@hd|rB!Hqw32(Nl| zoYQHZ6J0CWPFzgT7HB^aM<+Qka2%N*L8R0O7TWSvNVgkrA@`dVu!i!P!hst>Yr_}i z1(r-yIr1XjmwW;WH$fYM+MtRF`wHc;!v*x&&85_@HM5(91hRSL#xhVkRg@@j$t!~d zKVS!HRY#pPowG+{9IHx~V!AHH#*izsvLG2}iv?lZd%-gOvdfW>dgE#y(}*gdGaS^LVWUD$rkJ<|sccT&|$C!rEvi>&&Fb!jBB_EjX; z&gF1qn)h4LOvWlRsR48atTolvJy%E;UuJf^FX&694@dN_%Th3WU}{4t*#c2+0eq2; zr6M*0H;Lk}IU~vm?(&z)%TSpQp#z`sMCVrY*2CqnigL74nVTr>VHi59zZAPOg@G$U z2+wb1-9!CH&{4}Ex!1Orqsnc1pSV_#I7f!*Lyj4QkwSfYk3f)HjRNp=Xe?FlDctcjtMI~X~FK0W;RC4J%uJ$iL>i4C1HQJyZ)YO2$Ry_A85Z!-4#Bzgv~kf z-24u6b=7u`iZ}}G+QeP(%SX|cV9D{DydM*s0q*WA-N?AdKhv_e&-?opP4MQA3T{qh zw&BXAdtF z;73AnZPE5 z;h`lY#@m>lRWg#dI5%bL7MQ2#Sw7=5Y1=!IGP_n2L_-!Ekd#%^>R^ZJfdv2FFjWLg zk?oV{M|cohHl7cy6XH-=iq2^-oufsN^V?r8>d_Zop-~?h?4VTkfpSaG5C{gb?oF$< zK#Gx0qG4^@1p(_ipBc%pa|B@|KqQVol0azHVd59@RsrmF`%3;OD=oX?$6O9{8B8vV zL4cGf$3JIGRu?bR-8Wtm8fr{1sF$}FhjZTXx@@_-g*1SGP`(-8FU`-hL#mb|$q;Z# zDspjW;dx))7m`RaI_e(h{8EY+hQm?_J7NxJk_W3&;hX5kT*2Efb>4C}p24#~zR5v4 zfyK@7e@p;v{MX@1VAvadU^DcHqOPjxOYxNst>@oIKbcx;?5d~fHY=QKd`;1gsF`&d zRV#NkIsV?+?A=b`a4E)&oP@`O`i$`8UUV77Li+V29gM2vVW#Xu57j^65e|&A;kdRP zjUDorp#pMDyiEJytIypUm^^9Q(JtvSp%1R?l^}9-cF49H;9g(yCvBG~b^4z9#6`Mf zXPmACS(yD^yZP&0mm>{aV7NtO_uK<1`v6XgVuPf4KP&D*Xz$oN4~JqGw&El3FG%72 zF&DZ}s)R|!JJoLHYS)(w!WEK%J6@!Ym1D7bOCFzgdA3#ddZwPYG`!|%LZxt8i(~9z zDeX!bl#EhBpaNIjibJUy^Vx|Dr!psnyJJpnS5XKkVm^B#bkaLi_a}xZ>GQ_DyrPMN zalv%-kJ`ZrR8^U9cFUqu9W-3x*nTF(QxI*C=f1ur0X_9rHqvY;s zC?>~=xDw>qn$l324x6;|D2hffckcNsq?6qh<;T$MLGy#4!-gDB-8pmkWvnla@ZWn@JYR z+G-5R_GcB3X|*liun9dQ&(?_Ho@M~vx{B%^29Bs6qmk{W!#YoSvHU}Wd99zTIs+aR zxkKyx7X$pPRLA|gYTEACYQgVU7#=`zUqd58IB~#Z27qjVJ;3$cv6*Y7Es&Hl=Cj>7 z;!Bt<;J|68d?#r$3C-F73{t}<9+xKX_}VkE%@@YV?=r&{V>u4B3G`j#{&-NOQbGAp zn;+_)jPtp1w|R4$c0#&50DuBZ7j#%`^g@l8#RbdL<}18aT@z1Cs}3Q-Z*JY*9A;;t zs5)%^Cw$02Cje*mvpP&o{5Pi;E`d|9+}8Z~B*>s+)3YjuR~5gtZ~c^4&@Lpn zA1+{J-X_n_B~5UVbZQ+^j$qEJ3QIBLXKDd>(@J8I69e|CRv7L0Jj}kdiIe)e5~CU{ zGZt~WD~wt0(EfxjJ0i)n0Du5E*mJ5KL7NR2Tm;^H7>KsOD}$EENy&<%Ul6D_B6_W9 zF??Czp-%id2xD7d$HHF7ZNql#bvV8%mG1U~HZw~)83rf~cC5@`I>3>fg-uh2Hz3L9 z!)#*Dde0D@piK-uf*%1|287~WHzN3B!|Oz+w}qP)4;OZ&38qcoedUA4lRIhr8?Zw%wr{2@q8LFt3%n~+S_|EP;m%G!Rq zoWK8Rf^=WFT&DJKkdQ)@&%Z-D+Vk`D5Yj0&_00)ORx(9HaTm4nk#*-m5-+@`oN<#D zRNEri4M})rk*OVDe0siOHS+(_1nz?D25g{{pEzE&X2&BbBC;ghO+8J>BPQ)dsZB@n z9#IRZwsDabMAwPUT}!zv~}q8dIEICwj} z5z+;r$1$Bq`T))qCCPkA&$HK{Z1rtp96FN7mHE(8SQi@V_mdic4@eK|@Q&EOzukmgKE!aB&3{LfYGI z`DO;V;><16zVu_1Q_Q}ofaLq>#y0<~FNqF@Ms)E%TpgQ#h>cV^4}c`^>WFt$?NQZg zjL05-GVf=|b;IsRQN;9Vq{Gb0nlSW(iJX&it+YNg*>q;n$|1dX>A7#Z0Z@Pn^1dNl|`t%BAvpf~gLe0HiD5 z?xOF8fU*`FNJ~7M*anz(IAts>7??<${|!ygUcDXal8p^vIOi+}Ssx1|yQ5;|29d!6 z^ClT$%UYKX=35~uq8!0|b-IU87}|n0Z;EUfgxdKWL9NCF0_vvYshDWBYC^ddP9*$O zLI%act=<{9wUh`5s$TWR8xECRRbhH=y7!fp%#6m5o{M=gFeky>vmM6Mcm8Nn*!& z2UW$J-DMdrwl)y~?t+S1?6w`hdB?=}HLpe~7g~R~Px0J;3hL7cVv|d97n3OaBlXA- zz#bxg9FR+mNBt|`H)a~iCzatrrs?;VWcn?RsY*w7zyI2Y&kqy8<9gg4j)|{EG*5rs z;G`pty6k2Yi3P32i?CVH)AR6v4`ZDT&{+mK$;MiRQ%amnm|S^O6*}A6&WaeG!am zZuZ#fa%~wPpdq|2#`&)-O!fO)cWRVV1@Ez?=13i0#J#WMwTS)s5+2K)MTi#y2)=*) z)+6Wu?b27jUuz|*wZ976(fzNu%*kM~r|lKuvCIn1k>+RObx!@2@wwhD$0Qp>-5k*N z-Cr~@+MPRTzwa=*Y-d~=qVf8LTT)7sgxCUjw7wHDNY5Sp91&!$7<%>j?b7ld#o>aR z9Yu*0UX|a0!xWnPGxX=40o(;n`H-vYGl~rEb_X(-X#~Z-=^bP3`t}ju)pe#_Yu*J4 zEuq99sOqp!AkUq0CiXSJ#5v9s&Sdg#vfCFlz(;?QJu_Nb}(c{1iqZ@wA;z zv|WbBe?OF{4u3Nv3Aw_0mqew_FN*>x-0;e#o<-Ym(As7M$cjMfX<&2T2j~s+X&=vW zz+g_LI;tGL%=~~~SDaCIEVh@$aH!a#k==9fk1*%4uZD%xcu@;OtkhO+L(f|gkEf0s z+-z1_I^Q7)jHgnNL|kl*U9`0=Q&Rm%|5-E$WlySw59)$&(cwz)PX+n zsW*rsSt$R6<7(vONmEc!zK9#;Gm&w%!lb+aPMi&e zHiB;ujuhl!+5~=d52EdPvBjh;pgviaw`yawwL&$2Caz)m+~I;rwLzCYUFYB3N^xQQ zN6i_4O@wPOzVyjQ>VBGE%)!VR^m}qgPTTicR`S93)aB9WrimrGreQDF#^fV+Oyl$TKu377@k;z(q`feDR7a~=%2$R!^-%x05 zUsZWKx1iZOA7Znq+jRm3kr4H0X4Rf~|J7gY6ot(0Dy7g&p8=F%#iPdGmVtf$fMR#F zgu?*@>S8peDSzXSUJ~y9x+7(l@?z`Ng4sF4bJL~yS@A`rRDzmNd}1cWUPpsm3qsfe zTFc!smjlNsDw~!iQn7Lr2Dmjcf?y!*n4lQ12XMR$o9aq-KThStU*4QgyrBu7R*YED zYH1Adoz`*hEsZMU{D|r~inzvro#rV#wZZ}SaYo$aAytKi zq{St;(Kz{!*Kw%Kc48ceAudg3R8+SGR)xp(-YHo5j@o6-Rv%5NwGi+Z3t#ET%G~-V zOJ87YxN^SOFzJ%7^S<2DB8h>^P(G$N166IU1S2QEPYl#Bxh%CDDBZ1mR(#2-eEtTE z80)VR`G5*1bGU^*ciRKI{HB?OHdG7XuwALfm#PDe$`CHY4Se6GvNCryXhc`^9j_F??7N6lZSkhk=~ zVutt8?+Jc$C<1=)KPh2GYO7S3F-{ECW3sdL{u1*+Zct5qK);*=EYN{5bd=?|!0V>A z))l!rar^`o_n_Dit#AJrXk>y0Pj)R7mTcM*cu@lzAT2pfGBmb!mhPUjoyj|^2pa)! z?W7pw*K$&Kt6&qew2K-|8Rlo%`Pg@_(1-pSYkZECe-S^ULrWDm685zNB{989zJ)LzpTjV1}cGr+W8)PA%Q{U&L~isI4(_p8YOlT6pl zt1t$C{sxVq4~$j2%p0VCesCl6?0L-_9%t@WqOj2e5y_Y9vX{nS|Vh(Jsts!KF{GeUI-%XzJM7$_*d9WsJ-Oo;35v?|i0 z18mbQosA*7orjrj2WU?$?<8tZs6WQ?0n^g_bzzV-B71dx>Pt26 zOq_O*G5d_-PX4~*{yUsV_KX>hr*s~x2BHA9gj0?r^j@X7KfK2GN>SEI_DXr=1xPdn z&9ST2q$U*d%DfT`Tj#$bF4@3kPz^y=DLztipe%2Wre_Q@)A9L>n8@{&N9i^_>UAVD zuknk4>K~9m#za5uM)e!ad7Y}2qHsncr^!FwLz1uC47x&HeN-|(Z*7}~8LBB7Yotlh8$>9OPfl9A9vkioyGR!-L?D^gSo`Ry|>j&sUBUf;G}Fo zJ$+RY=x%-2!x2^m>#Gb=B5csGAG_h!VNKk$M~YFUc}lXACn6A%$!IuVU>?FJDox}j zWI9SuKi)sTDAIGH)ee?l^{P2wCqN)M=>fs>1{+Oq%xhxNVx8L(t%2!KAf_>00FF#W zay)1D?0%Nr?b~H%^obeDPY#ZFOG8;9+yST9l^myjZ!E|pvXpxSYvzQ^Xp$u-0qzE) z*KayZ45K!DZlSXs57Fi+PRHj?y2PtTz6?C#{`0a!0bl;M+4_kYKzbwz8?Vw zZTM0?SC-yTC4R#CKV|y=W)~>`pZDpr^CjCNmTb!Ub7JlBP@b^eq~ja|5&Yerz#D`= zQRyRYMy|6vNa>2}sPxT@+%o`ebI?gD4qrijKG6HclbOa-6Zw`QWLspa7$V*4@b4_Z zK*Lmp&M~Ved$0LGgzl<6IN|9V7cYj~r5?xzQ#|5B$%>K9$ zb^#j+K}&KR%NY{vuwy&rbakE8l&G0l4bWl|wl* zvp=fsm>zJ-()kuD`jEc_O)4Dh;LeeZ&m$9hn(E1!OzUv~CpcwSZ(M@%**$prORwj+ zlfeH@rA23;^GH8Bpo_0iiE#;rfIhGdtT6z+Mj49in)4wFK%?UWfKCImFi{IyK$mE{ ze5l)AeqngXuF1=O1vjqP9l4r}!uNXuWZDF5DGnp;(wrV{;@KJ%esjJrD9X_A@>i7} zt$276O*YQ;z9igyB~+dJmRCH`j!HIfi#I`WWJ)fWd3s6)57SCD);n~pq0AD}z@fQ_ zY-Xm`3lbuvDeQ2dCyFr6h`3T3Trg^ezRqCnyb!v#DBk}}q2|$_^Ec{&aETsj25Z7Z zBpmQhCqOwoqtwB7NCv_CCkzJp-FH>1jw2ywxB4uF_eND1VVR8z*<(yA)VE3PII9Dl z$dA@ZZt^`966{oC%%#2-d*+VstL*pRF$kvix0FcQK5E zamOM-k&+12hGbOutw48uW^yU+Mr;~$)wxS7j-u1;mg6>kJf$xLXABY`h8q%OQsP_W z6v*ye3czjV>FWoqdbA%l8^ji~;Pv<{*)A>ovJnmTp$QL`jS9?KM!LhD{2s9TFa3=a zD{Gw!bl};DWiS*O&w3mq9eG3e0;i86Jh(m0ih+$x;w?DeO_QWsc)cOM&qtFgRo=yp zSf9PTd7=7a#CZE^F-t0^Cb+IR! zAgqD_oI2j;+@2+oGyg{ch{H@i0>jeUPOnL%S1){y*M`Cp=vDcHU|6;?AWg;g@>D@A z@_e#cRU)t!ZA(JtogEwE)+@d{5<=30Txrm!Y4Q;DvfwvT@q>VLAAvAY+pt_nIZWnA z#BvDec&j4r4WeUZjwEm#|xwjcE% zOB!j(1{|;}fuk@AL%IFeBvt{1IH<>;9_BMO?Fb_l-&}4=i7C!BcejB(`~;9kCtFT@~8DadJ zAILoNv#WK0Y#L=cg@YEo(TmwXTO~T(D}ObVxP+m=t}qiuc`>45f<`C>djbB(ZZ7mF zcn9iv1HG~npPAiP$l24_ji?gd+v*J++^W*RTy~hg?2Tdi zpMm+-%CcT=<1g3(+PH~$ckl*5% z%uidIgyXCtQQk+MB0Y@tN6m*)Kc9_Gnm~V+aqiC04@jS4yx~)~H(|YSMkH^C=9ex8 zvF0|##FTXl=i69Gn9YHBiyK-xZzG}yCdQbRzZHgOaq%^!0fN(7XZchl-l^mFT{3RHL zpErm+_QD+3&r`y?Yk1YkS0{t=?xJml>2HOf3h87pviQhIu4Ni+@CY=&aSDqs21X@Ak5 z>JJNC{J7ws;=V=7_ke10tqw|onH}@S=9dJF60j+E1f_u@EbvukB=w&Z(IE!kL)Kcj zWmQ9B%~~fZxgwYciV`BO2KJ3>#Cj?H<&~6LNgj?(E036tveo(Fvbubw&(n!KYF>TT z_kNY|lyJ6fznQ?`9C;YEwF$@WisivJ7 z4y8^#D}@{~-+=b&3Vy?NlI8@9Lx1ni(7q@mCVa(!8?|0?XjF&8L3*OeMqwS$!C{@G zV!t@4=6pxQlMEeVn8+(BZ^;{U)FxR4_HjBCSq! zZywzDmz%)fuqsb9oV5oMJ;oC7o?*L?^k)j{xCPzxJxHdCbdz;_Z)R(rlx7&K&H}%l z$i}(a6Y}UKSMb)jMh^0<6CYAgpt&61xx$H8y%o9iI?Us_r0iZO5T{4St$gCE)S5N- zgedOEEN6$*Aq2wwu+XO)gU!1ei$gi@*`vj0&@gcWN{<+~o_;}hNb*IIeY{ncPGXc9 zp_G&4bgq~dXcWS2B`L8%eec?O+e7aLN>j>TkTYdeymQ3Bj)imm*+58}FC(UGhX!GZ zW-wjwD+Y?uHsqN!Ai?-sgwXIAnFk}=Uf4Tf$r(lzx|LyBmZsxo1R|OmTKU!eQLJaC z0J=B^z7AShT*CF^FJ$K@+p^Gg5RVY@t0WPViQRQlixv5VjiFO^K7tV`_(Of0KXCTW zU)C_~rvH@b|L=?~NMgG)+xi>LnB=(KnC{zO=x^mnQI-n;u4N=LWwrH?&zk-Y;#UR6 z^(++{Tg>B*+B{Ht1H8-1-r)d)#)6s`3^JF8_F^pA#F=2J+2zD1zMkGFV_nl+Q>3oC zQ43Y!%S+^m@Q{fu%g6`lcrtFnP~5@6V+h@=Wk*C0k(7xJv7x45d_9~Blb$&kWF3&% za3g&CnK{|ua@&Otl+ViDLDL13J>%bv8Xp>BnDrm8g`yE?Es8zU6V2(>bpwf+({RI~ z;?V&>zrV)T#Pr7Yh4)|s2kHeJxNo&>ANA^Ie$3 zzLJRvV1$~qnh~Yq9kdLI8Q5(gAyut*Y5V94XkwP6m}$G}iy9RHAXgyM3H$h*Te4;A zU#;uU!A=s+me4CWj{R}Rv11N_w$O9xrZO0ESibzg3JcRv`piMK0B|~P1dhl*hl(r;FHPn> zZAMUWdnE;rad%twG0>f^=kS>53?PJ62s~ATqJ!7|`1mlqp3Fq8+6dJOpW#=njMRll ze4Y6-(RqVo{4x5q4MjKBPH@t1s!RI8fQaS-krFY~&!dXk@wr>mC;yh!G5CghUewxP zXwHK*4X(;yrd!CKjC-B|-J`IE~QqgUbB1fWSxIc;Z}@rDvT1 zmt15=;fha)n>k=NnT2?xz~Os3eb%jTtMw|y98}66EjRwmIHk^FP+|isS>e3=L5Ij4 zVr53dN6cevq(@1#K%u71eIJz7DAs~&`|DLS9c^JhDSb~{%s(cH=*724=FUY0daW!W zm#&)zD5p{FTI-10pJN!Aa>?Z@-TIPp&SbnEjsDDDg|OH}q#khyg-**M1!WVMPC4F{ z1-kqW-vJx1U_hO4%-ZG+daCJ@lUY!OYaH9bf68sncI;0EAT9C7rqx#T9^mKyr|9?H zrPm)pD{K}!Jc{fHvtG+iD2aGkzAivm-C!|y8iyqW3;F}~@1^T(Km6Q1njBnx|Ni=> z4(q~(V$d^_x#6SBh%v7U1p4xYAVio=+s4W>QZ6BV+Vh7KR4)uQ4;$c<3l(`3on5d4 zdThKOk=%lSTGP5QaER&cieWMY3|)tKjZIR-1X?p?8_FIRBPg%lam~7w~aSaOKyK@lnS+ ze98;2S3j`)?6)KnOV3bG*_9)HeP9|u~xf@U;`|F$@WgPfvuv9)vNU*)YEC`Vrl zrC>{Y(f_lN*Wk-u;7o%Lih(uiLn3I4-YG@j^e@f_RI`h+De3Ct64?}S9shJt@=G4i z)i2i}jy!dTYmFd51MaFjRbcKus(5Hd(;S}5ZIiGAKN>nY^JJj*N6ID48_r z;jbO{AB?h?X_>;Lz(`h7`fo|)s*2g9J|Pr-&&FUbiT<@!AudX=;gTz*F~{X>!ze4( zAANFgOhL>kvi?W7hXujA%JCVDT=uUSFB-Sc$Q-Xb*Vr#RFmflI1A_*!E^3-5!F$AR z6H3uVOt920I;2sUQ_edkK-))juv>QQKVr0gAqnH}8NQ;)J!a-UZ6X)L`qzK|_MEBM+u5e1K|R?FoN)2_(x&Tc^9o zIx;4FYP43c!c@GYhKab;TdwWCapZCHciTC4T42Gmf4J=bYPQq7#&!oVE8*QlH<;n}ryZ{fvU1OEP z&L&?hjf2#o-RK?-4g|t; zG|Etn$duhaSkf6$Jj`!B-h6x297PCIifMIUCL|(|^ji@f_#=LEK#zxfq?{~Y6~y;~BppafnTLC%WdmtdyH6hFn_PEq3> z%;ERG)|?d1oertjicydhtE3%XP~->9@_R^6WLAYA#(VoY5aF~20PVzaTUW+X+TpLY zgSik4^`;%4=T?U7Irfgq&)iE~iW(5s3?aNm3z_!8DBWGlh}k0|u0gp45aY-`kKf&Z zI%3^J{4l!k>KJ3?zh<-{soy8RC|Yt`VT-~sR$$glnGuF1c4a(u)7t#<8$>oeRH1=}M!$kS&L(;qm6lv{3XaQ)uV=9XN){iOAp@Tk1 zf*5kI=q5mZ z2a#+2SpMka#N7=p@dI8{SiC;cw5W7cZ%pJfN}x4ch@(+Wra0GBbG_*-z?g6Em|p0@ zB&PNJ%j!@%c9s?@-epePYZi+XWnN=<-*^O=xxUZv)b9FhlPHdZ4GDzfI>43oIHAPD zJQNkYex@V_q)%q@e_}i`(AT~Uu#0&rBFh713iY^+NiSe`3>Ivw*f@oXl0s8Qpz>m+ zX-qLz@i2I|Q)aIFNzOauL)hIq-hjT5i-Nv7h*h43L>n;%L7n5@choB51g~}e)e|gp zya-ds9sl?_u{7+g+c6JLafjk^$&cO?^&?{E;@-rb4$3zn#nw|yHn^a>8mu?1teRMw z&1cDID8)nM2^g;lE^v@1&T+bwa=TIR@ZC;&yUM1m!_CXJF1WRcu&uyXCgKjhLHCf` z`~2^pZdv|<9sKt|rfI$+h;*P?W)iQjl|YCwjw0h`)OA~Kf1cSZNY!>y>iBJ3|5K*_ ze;Hf9+30_>v;22x>wnV7w304{HkQW!N6r@Kzt+xw;miMpBGWN5{VzJ}e>+=D9RHjx zW;Q}57B)^oPR`%|joIR4Wcznn65vCc z(^CM1XglajIKzzglE>Kow;+Y<2n!kWU+WgW%lTs-|~?&BN4)q$X4L7tjrx*UGh7=otS0V#q-=YBV-v>C7AevM15pyn3{r5Liuci1pfwur-^tq57< zpp&fzCGydfA5_aj;SY-qJHfGH7F128{t0ms+!aQ9$hwo@(p0mzyM!VqLwi-vEcjIQ zKqGzCH?74=Sq4#p=!s-clcBi#G0xM0WAZ*Kmlff^7Ft47J)C7+1eL)*)A3R_5};hp zAE2obRrp8ZSBx~}dp0}|QcPxm@kJ83gaR!&%cnqL@kbNko)Z><#rK(ib@P4Pi<0kW zHz|E+UNOB0-QjRw#Tla`w|d|4r~<$}E=)X!(l!LbGzH4@|M{_1o?L{xL?mBWaz8^JL>}~>^Y5p? zNfe-9@DZR&^IJWSpF>!=CyITz^9*H3{!xg+E2uMv!P}y}(sRNs2<3d*n4Tu(n^8an zv5{(FKLY?g`|n=YSEcdCt9{HXW{1|XD(-F!EiM*~4W8g?D>sG|v;3+YvY2YV&>3q0P@dbb_75XZB6Rc1)M`J_&Z-E0J+fbaYVRJO|zU z@^JN>TzhC#GjJr?-ht_^zaTqlfAscb>@5CdNFm}+YSNm=G;Wie6>UVkFtft)LsQ^! z0OAB?`fB~H)&VuM?RC)%PZPaBT-&E2L**HUNw~hpC=U_v*qg9^ATD zRmML;aSb@BOwHLS+F)wO85!494Og`CRfHBx7PhJ@KqK03AsL4LMoCJtsvtoW0z=28 z$KnQYsI$YS#&~NzMcw(itb9m@M~yguGeUkd$wM!`FE{@^p(5h9N{b&c=kR1|w>7M9 zsH1)p6ru;*wclf{M;2A&!=UTGkp*GDTj&pChRVp08lTWr*AAU8O}<6&w}o`o2n`zhvjlW8|}(+Ev;9>h?@VOJz+D% z<}B%#u;c=<=}7mA-oX)<6F7A$PJS#=45NB(EQPrRT<90IcL{mjd?_Wrr}b>+VYpqP zd87S~EBreUNN@Y-(RWUQJcf#xA|Q{Hx znWQ2<5&z}_rsm`FhVL*NK ztDPmO%0}Rm0M0C?NMLd}!+shDk3MkPueEk=6dNnssoZq_y!LD&W8#kWFQ2GwP0#k3 zzf(4ykZ!&tBpIzc}e%iW~#4Np1P_G1gI@lJ(DSbclG~o9h z%Vhz;@?PFo>JApc4O1%&Il_K{)aojg2^sc;A7vOV;( z#t}mW(3^Fn?paQtQe$zou%?Mg6a-%sOokiyBP_mQS_owoe(VbLY3DqdN8Gt{m?A&| z81bA4&`MM9meN#uKlxpsQn27SfynyUp;mUgG%}Ps(nYOOVFo0%$9f-E9e0LIT%Sqz z7BDin6_mewCI(8Kt#e(Gr5@?kPVD+G6-4bDV3U$|2hXF$OVT=olM*O`r2TV72Bk`B zN4eo-JIy5~aj4y7r1k5>hb`L3C(-~n<`r>G`WBnNz=Sge1-9gXU@rI&GNtLOYZMk4&~P6eVdGtPfrlOVcMSW zR6+1^t2ynAzPd4KE!;#$lu;7q&*Cami3n*N_<-*QLc0keL6eRbW%2N{*jPYnY^agK z)?DigT$Pc!xihLj!9xkOQ&dz@!EsS7##e=|aB4|IY5Q+PALP__faGXc1ae+uCCTMjex9i1oz7N zj(kY7!Mlc)s9(TVy0r_VZ^R_hacOaPpMJ4i7>I|w$2gYA-bv|KBV-J&1A>*h(-4(5 zO)W&wnS_SCz^@j#4qeUhxRfzQTDLwUoMpijhyjDsXljQDK%LF1h5`94;ifa=xEBPs zq#h`snkn=sujIMSL(fvHxmMjy1In-43tAnTbS0uO`%N^$*A6$0DQ!v=UAK`6i&)qpFFD-&ZBh&`MV zQ?|Em?pQ_l)xn5vl7Dz~du{*H&%;k^n($MN=2jw|?9*N^2U1K8s9}RdaTX0?#%uS= zmfT0exXMFW+Zb=~+9_`?W+i7(nWt&sOgOJ;eBJ_x^!QN49goqSJ{Na(PxI?BUxDD9 zo`e6?Q{(e0)Pk!%b6nX~Z!>I&Qtbz(c$7QO4uN4^QXf8WF=*m&g?sWkKe8DK3l#dd zZ1H7(*MRpde-aPv-9TxvVvRf{K^CUIDAoRGe#_)Io7(X7+6^-Yj1d1R7FeHkN)2@G z(GbsVbFP^O_Kwh8hCoV9b3j#Ct=aK-PHIG#_O2faWWVp$UkqdQWO*9F4Gp+^Dd<*z4~f}kgk|H2IDbB>?>!NhsxH9%PJv-JQw!h?8!L>L z!`F6i)Q=NNFVO;3A@qr0aT9&qTdTfs0eZG>uvi9-U5EtCA!)-h#Uys+3d)O9RCrj@ zxc70hfJnPKqJ9Il_?0mkR7RNj9ucM0?76y}Q%vr=GR1q9ghJ;V1mz&?HD`?5KHf*0 z>R^-5#uY~7l;%u84yHYOst?uCFYHQ1NMu#XVmn4t zDuz2e$&K6%c486gN?B%rm<_ZFg~W5qYqGMi>_KWfa=gxISS(rQz!{|>P)*A@=g#^o z!dJbh{gJMktx^63W{C9K%aTslfz2uwNDs@AwNqlshA=0v0dAc9N_TLMM0vLP2gtHs ztk=HSi6n(3a@ZNVI@j+plkeTM7{)_?3ls=!(Plb z_1G;gqgC=LrSGWA$}_OMMM2__y>A{(ukXTs>r3qUNlwg9mzVC611Z~F;rF-C;h{+Q zp9CSIz-z{5t44%AJtH??{SitI1Mt?Upl9g90nZM&{FZO zbsM$9o+RkyCW>6mw~PQKr3SH#&P_O z4?S-@A=@$6QG22@vKg)0D!Ce!rr$^!H{r!43J*n- zSG3>lvk&KZ!09v@-^?Wy9^}!x+bO4f=yBBqO-oZ2BWm7$E06or;R_|K@3k-VJDrnN%Y09G-Q7s-6)c=nBAk66?I;Jk9 zRDf9rvk|T~U#yS774KvB8kPS++Bq$WqAXjqZQHhOyL+{5+qP}nwr$(CZJT$0zmY1ae1un&rXd8)UPlv@B~0K>lq|KF-A z>hsw!(bPzon4S+R)#Sn%5OnJ>dh~AH+2VL(gBPbpMyVqtX+TtJ@bnw11*IK5Nm$O+G;KD;0Q5Vu_VI1k4GS@p#qwd5fc(g;FJ;0EQG2feJ2jjl%eH!vVmJpK1M-CHwsC`@jk%7+w zV!yHgVLN!z_-0P4|MG)t_+uhhCb&n@ZujKM=!hI;KJ9MAIgPTxTM5Zn$?yIzeSiW0D7F*62LS%eSC<=2fGxK9o#aP>D>8TsKW2G> z=g0aiB6KVLPa@7$tp!QLk)m;_rGAkC+3r-9ulLqF$Nrn@Lk3zaLrX9Gr=F3eWNvmU zy5X{i3ukr;I>ZZC>VXidGpkUbOG&&oh%Jmen_Z6%?q6)e2Lf>l*PzA#b_r4#5Jm`t z2P7KrXrw-=Sm+|vA_hG>=-j;WFK2?xFdUJ@iWiJ|C8Dx>ecRoq!&9%qmDy;-iES9V zRI#Nr8IkK0BCAl^79v~Q)iX8?rRaX*92-W2wJi@{x_je1MM5!+ZBjo)jiD$Ic%I*u zuQuWlOssv%76B-hfM=ZXFO^I;xZ06vUXrcd1#4JE5p3~!zrQIKaYs%h#{StLJeF%H ztnyu)c^za#x+;SpT-%Sx`UBN;yD`CEp%c-GP-@_u{Oy(POmz|pT&ldn2cTmQ%8eF= zZ~?f@$M*Gff>NsL>9eJ`C6Aoj`$t(Zkd0{EzBL#RX2+b+h+le8tz&;qMJN`Fon z4vt^E#~*CVF0B3~Uz-G4FpmJA6wXDTlq3&hTr~O1+71xpw9@wvqxQ1NDMaEx76R%? z+s-kQBZ`)d0Mi_#9{swrmZnrvI;fzJX-noalh9ClOHXcvXkd~149$vuh$!$zzo_*+ zm+Kgk>c#CFhsGV3`H9Afq`n@~+Uw;%p1iGyuYMyg2Fx-{`7D>t$VJKJ6NESAQ*C)Ju0Keb)E1LPv5yvw_xw295`KrQ{Y^}5kOclTk5uXG4i$zJLMTI* zwE|oE#iqLqhH9yEGrc`h6_ldJV^VnKJ1gRnN^C73zYv;vKwoy+D1p#%2hY7S)*LE~ zF;hXM$q*f%ag+$F`F1|6u>Q~7yM0ij80kNSIpt%%ID%4&ti^q|uu=xcc90(n&53T% z0+KuSRE|gL?mN^8IQifp`T*K8-D9o~1~uO)Tv_B-h+b#TPUDXiw2%mvZLQ0s3#N!? z-VudvdFz^gT-MU$vM6b#8*-O62L>8l0%8_z>LGMEEaB*MaR^s{he)F zit9eRC>fCD9eVCQ5~GawbOtq^%B(KA_{-eIX=ky7fGql9=rO|wkY>od{T&_}(Cv&g z;2C+VmVz~YPY#M!6wS}qtNko1*N5)FJMXGHmL%t=2F190?*vH&GiT07ZH` zNI49j6=A33!7yi{E90Rv^sZ<{)N?U#V#o+9El;T#q=6@;4B!x#2{k2^h}l!7B>BVZ zKu=Fe&>8~0OU-lE=sf=0VV@$AN*|4lT$d}5P}0OlC)1|_#AHZ_yWT!+3`Cik#zx!a zD~DXI27En!>Z?ey#yo7M@K>=j=!#(^FU+O{emy1Rf3vK# zWSSBeGb!(4ebEU|sE?W_1N&C})KLMn{um|3wC}*aFDXb11V{9|*hzK(*Ub_Xj4zDl zZe_BqfXLIZz`pYBZIpj2YR0(_wb;6?O)oO*^(h=yP<=5Sy_(&eZdGC=z=;#Lsy}uR zNSY_a$kryVPKAY~9cX-zA5xK*;M;;9?~_ZuCA+E~!hfvy!JDOHEV$yLNg)yg>^&za zWsGrXVHtgReyLl=yyBaDj|!{Y)TZlVL1r63$yKi+qYfN`0(CJqTP}00As|h>UH`_E zZv%EU#`{7Ghf8LhZDo&2lMJ#>t>rs|YQQQVeb&Ge)jfApiwV)x8dR7C^5&WMq&D7z zL7wX8)kXeDxH_8pg7r&wQDD?w^966h-zOnR5WxI{Z(eYnQj0RtGi4UgVoF^4-et5o zr5>X8Q;rUN3c>}_dVwBN4fQFvwi&*aa!tD4=~YDb3<&(d#yrN(c+%WkA}Q`0H+1@9 zNaR_J^h>RpKQEQUYxZUXk~dES(sRCd3gymvh|LSbt|@wJ7a;avD3M~<1Hf}LGwdUg zZIsEYJ&#FK;3e@l?e`|0hkDugCLPA*-XMmAwQml~Odf12s!dp`cST-fxf8Z};df>9 zdIs)?DcxzN$Wb8wK*WU1jJ=SVB&GD2Rnn;#uS`DdheB2aoW;O;E{Z}Hre{_Nr^+Ep zs($YqSPSkJl~}I$>dc|PYPx4)OG+D~db$3-g#?m-7I#V|cMFYx@|`o{JRStgW58eb z+|BhF8nZ42spiW47XqUx4a3y)a%#q~`N=rF=K_c{xlDW0E$)}If{?7MVJ>RLdFTD2 zz}S{9`l3mj=U3!`>wbzU{EXUnQU9FegP(0Qw4)o~z_b-qzq}$AW+YAG z<>|KmTf%`F7C;Sp+OGC>yezO~9x<)URx}5Bz1N6h7cCZrMvne8hU3zVc-k(67Us!8 zR&#f`H8*|+-RrGGu^R!hvu&|m9k=W0&9W3>Z>HP<~CU_9&Z7-iu7-J3~f zLveFh;7`F^ig$|^BagLW7OT4=2s~LlJKg%UbLoilqCH~(E>#r*vKTx96UwBAXR+%d z{KTCN*MLl7Is1ziHm0Jei0Imq6LJs zu|QsO!s46x)k7hs4mlpX)x$4~A1fht)YRA>jWvbFXgzS+8C1(}za2Ff99#re%*}mK zz~sc&$A-UtW8%slv_zQ1X03w5+a4r$bY$AOwISDeu_)iFXA07)8hX%NKB5ZPhbQ~3vO?hOFL**e|?!A>3=v;o+kGX(a06Iz_(ypm_hk^i;!dBu+wY$ z-RyQzev=qaK&<98pc5r0M|IGfhjE1Qd3<#!B;C9b5#KK^%(DL^tt~hIR5OjzZC5e6 zj;XG)97c{!lRIYsmqqAN6T?^_@_opPur)oJ7taqr_tUFeoUMM^mqMBcbKiK8#TNZY z8lX;&x?!V=v?i!1XTfFvnfdg5B>ei%~q0U+Z1Hshf?f(KV*XV}~t zC@WV1SqtC7gwzbJeSf$MA0-x& z+|lG7s|r*~suHz5Ceq;Pfmk{(h&z9reP+Wkn#P!$tfMib6r+y$hbbUlZQB+cz=!1* zf|+q=xK^j;%iYk+P6}iy=ke_<)fuJH3bx~wTX-!IEje3E!g4Gt3;>rtZ-Y#y^{@HpE=xhvr0NY4|#*ABZtxy&OhRbqc#qCMZ(@hHu|XMyqniiF zi!DP9+sZ6U1U!(zcb@dsl(G$c7T55d>_j8tf(95uYZj$I=EMTZyoieVk7^sVFp(Hm zrGao@RCD=eTA!RdnR0D!Lshq6|5W|BqtH1)^r}rdno0Dh3+pey`d+q%p_0>zG8{Xe z@T!hgo*T?w6vASm&X;E0av5lR9W;nvfn8$S}z<`3VC1R;@=Kc?+ct@w3Q zH`{*}vmK#O#jvm`q4@f7ALu>)$wY)l8-{^ck2xw?U|cj?iIe|~hW!{)Gz#R)Mc?ke zwE00rN(xX!I`_x-oRfW(*VaMX+P2TQ<-Z6c?93H@Q8)^ibUUfE8VhzN>7kBul_a7) z`(@V&Ou$YjV{O`UIn3U;pyhQ*XzmW|f#?@Y1?xR_E@s{*ELs9Ud?bT>OB9I@P1M8N z3SF50V#PQ{RY{qYL?g`Dz4b#?fuL_&5FwqJ0uz)bN$7vVZ4H$vTFVHBz4Pb}XN%WK zbV?>D!YXh{s|97Nl-MK1DpC~O0#ile18c|XmZ7GGOW%wZaOz`Q1&tf-L;MLY>KqD2 zU8dxany%eyL#x}eYFnl&X&&k%^brT6;U#ah6Y(07BpwEf>_Zv3t${KA$scK@U?tam3MK6_62$Lc7VA}dyC zr82&4c6AOY%a=1kZiDFPn{n{>Yrt0lu9<#`VAcxJV0bt5-P=%2@Lq90BVkE?Soy!l z(#T8J9H=J%zZZ=*7#`(!@6O4jX4;yVvob=V_#&l;^Dm^V45Ur!&bIR&Z#fJT<8tTs z{`%m8mau}%VIEnkNSZQ*^eF(+S&(j~oMyqzTKtGWgF!sMZ_|zokG%NJB3gdo)McL3 z=LqpH3w|AZ$-$|e-`?%y$D2~Op9`WHj-#C-L2EhjkeTtZzE!I}6V}#$dzAy-1@pH; zg8CPdg&y7WlK!jAzktfwzgr+IKH&>z^=iqp2Z&<1$8(BAVcEOD!!4q0N)0+3v&sOC z>~yUjIo->El&xLkqG2C^@FPG?xD^reVV1~z zCgkX^GnbkCBaF;f;n1dC{CSj&8v%zJde!Wz+uU|p{-vVFNtEGiim!&Y%r$qhHwH1a z7P5)w#{$S-dnZ4_>sbr<{s*>Ugsb)Rty2FE{yF`3`(4o(n@u|9-t}T=xdBoUoPx|J zqVqW~vA%vKaGX+A7)Rsyy3|cVwp(U-xofVTLxeb$aYjq2r0;7Zn(A$;<{}RxlKJ3JR z{XE zU4u;#ofeq7@xL9kn0wsM?MoLw0ens3DliyGt_89jigC{xE7onS$7N~C6H_h<)^DZ?_^h;PnCxzmBCz8g(-(p}OWj0%OjaEu%nE!K8TrilrJOtii$ku;+<{}P3-$O;k;(* z&(x(vdwCunMEj@p)VV=^1A=vzKoyv~rJu?)?3(B&_e%9jUE{{3`LH%j-C2`w`Rk-;rLx{#v(rLc6 zg%9Hu>&+3C3Bu(ec^#yUu3j*b0JrO`6PF4<0uZwO(eVSDriMlW*O#$nru#E!cwbb{ zpbir2>S7Z@(gFgi8}9*P#>#9wUXILgWhc^?fp(X=mNi^{BK#n?{oK!x`3S)VI4YDt zi|PigVuZCot33wBxcP-a_PDMWjB-KK0i(;XBG`dx87xR`n$`M@5!qs@(gkvu{OHdL zb|W$Mksmt_Y~l?CZb0lybsvND?#0N%2w%t6qvu6qD(o+~j#v>U(;_R-?g&H%3yRsF8Z; zrySqHbitUekb{D1OFN}I)wUTJ;hTM`O2(57IdyH(!1CMCy2u#E!S;bG)qYIp2s_KE zgglEYTs|wO+&K!D(Z|Z`A#YxlFdWage)A*5&ypNXF$@l9Lz@0UNDs&->A(ZDs7 z{dIH&i&i+8TTY6`W~Pii;%0CRiS7Pk=kSQ}uQfGQ%M7~W?7_MLJ6%1*8YOQTKkIFN z#LcTR9p-t!3da*CaAH#6RY3!%l*w?v!>V09>2zrt7nc?Sk#{iKRs%xoD(j+t{Zn|WzV#Q;4 z>PSZOGNHu!$0$;&aT2vGSJ+m3I&j7~c;dsnNtntMFssWV=WD;qGObpqvV-(TA|1%fi; zKgNBN`vx}R-ZPX`eBU#Ju%;wQ6~Ga<i9E>#~T5(*@=Dheh|eZB4$0?3$sIG^R@x8%vl^svaQzIYE~LUA#ieZ9hQ5#{}mE4dcfKzTW~$(vM1qT4v8WCL$)y%F?qiJCsnb4CPKX zUcZ&s*H>@Qv==N43@~Fc3_Eb^%L~-e@}JV;&79mDR-P3qy2)n{3n^M73goU z7TO$2sIg0Qm_1nMSG?PYt>9s?{%*sF`z^Znfha1U`g6oa6@c+w|2=y)&Xt8<7uR1H ztq7!A`G>~C(-KBUjY*dl+fm=j=JyU(oyZs7F%2|fc9n%zS`%pr4#Q5%{S#3f#%ST? zL_{{v?F7kub}TDY{%GI1Ytk=uvSE@#w*MNJXr~jAFRvY)bax!ZO;G^Id@PkeSgFkx zD^;rtCKq%vvS|v>7kf3?4^%H?NXW4S>w>7Qq2Rl!Ar1UBQ=`|ASO^M+aJf)y;S(x*G&{t-N}|YQ{e4! zx({#N%zoAu_ACG3lJZF6YBA~u$Fz~YSg;Ph98(ApF-_w{Eqj5jp{=oeXgW@BSP7&a zvUlXmXa+MT8nZJ1UPJtM@!+g2zWAX0gp*yE4GVl(PZgTsT(`}AZDdb`LV1sF(tj@#W zR8i|HDPs}#d7DT65Y6w|1!_O1q^-zQ-nE^cPwO>nr>#i?)1pY&Xro?kWyXY=gxDf>ns=HOy=!*DZ~@_98?P^C5z?9hh=e;@2+h&7wPAkeA)q1&j*b(SSGY z!O7}NpT$%OKO%IG(Y2?l!^j)_y?wQ&PhcZ={L2bPl#}$T1)`L~tKTLA!S4+Y8Gr+g z9#btZUA1j2+UuBy^c{%*zT0F413U;xOJ^jH;_J7uof9?MTfvQE4ty9fY*;ja2*bfI zD~fD%z!mSs(;PJg!#t4AN0#VzQI?*6-j((vP0u);dmb%4K)d--+UM zl9M6A+k+5cB}yW}^|J2WI7Jhf>YGVRuv55U_(TX%3MX5f<FSTa7 z;J<%MNyht03A-gLAycYin*R_=q&+J8v*ldJI=_Ou-eNN~Z%L>p#^I--yU`Vkee364 zy#ss4hV3Ga#B%0Bd4M8PjHbWm{r){4Y~ehkE+YU_DlVLVknBIZf^Wic+)KiS;txsO zOfch)nBE;CuUA_GtpP*cQCtDHC?tk zz}3@$Nkv4$oya&Q#GpvzZio2#=tE*sI0LsrV*6fA&FO(CE#6QG_dM)_Q|{Fye)TWf0uKkgk~PdoVSn z{!I!)=axI{k6iW8IWd|bP@{&7W2VtRW0IODTM_S!#N9(wKnYh`)Q|Pj7I@-}!L}Y~ zUf?>lElRltZDsX$l%=Xbj3UJyE$(M0y#wFZ?W15z7A!BZpOY0b7IrH?ESB%Dn zUH4vYnS)~5&+!R9x+xo+!1>A-3~Rag2xP2*cRo^0-GdSniu`0#&2~D+xM)+(4KGFQ z&#$QbqnN>RkcqIVy2^FSJT>_EX3jQ=+AX>FczIEZk`kzCa8NMJBp*MkQKk@!YmV^b zhOTiWdIHUhs<3sS75D17ZuC5}`ee<&FAfgH|B^-%Hoh&{MKol#uW5j1*al2>a^6}e z82(SGErRDVwv~Fsr=;4P9F)>x1kPPa689ROfLuwa9_kC|)Dv={)#dr|v&4?rFL`zr zG8|`uk`0&F8}KF=@-l7)|4vJ>CnXtF z$sv*VE@&psipyylVoxEwUih#wzWHz4&gqUmo=mQb^qeXp$b4Mk3l#9D==^DQ6BW1L zFveP^7hZtg_~jXwAV0aNwF0&rT|}|HsX%XbTT;@dFdlW~s;8Y>6ftM*Lh}C=s+@El z-z1$F=gDMEA`+klLySHkC)~vcyUS^FbDTBTDSxqZJXwJWGlLd{Q?a?8DpJ#*2noI$ z$XK$Ohi%3>8dD5jV&I9;UpX{XoAH8j`B%B2K;)F5$<@Fj6+3K(1j5w+NC5@JXwsET zJtu?3)->Mmqm3tQ^7OdVXkayhBS##QT{1)#g^|JvxHn$I@G}^CM@bx&)MkBQp!w@& zA7dwom#3<%S0^|unr!VSc?SO~af{C4r^5)xJlQ`p^#Fmi)VSnf%EfZuGBMIz#}tN_ z-52W;Z0d$fs)?4LyzSFNlD3;g`BOh`-Ua+9dNZn0gQhj%%{~rrleJyOSuqm^YRjGh z$BDUNsIRDq$8ds8X>H%~5WjOk4QRvCeP+$=>V(pV5sg zep=aEm<8_Jv|{GZ^-O&bMDR=mp6qWshd-mozmprFHUolGwx*gqty?iR{ff4;*y|vj zeqsbU2{iYawS0cgP$LHyM2vAK?Efd%uDYNjpdv;PLgHi=)4dtc<(Z~Gn7#Br0B%q!!NlaSOJtp5>@0M@az{|m^2 zJGqa(bIzM?`qcX#cg{}uXDW>HBFt-s+&OjLR=m-4@TC_fE2n=Tu>zuA+e8XVWW%@y z&g=Xq7uk%pycz8KNyOCG$ynIOjhRm^vX$J^2VDRmyiuhiF(R9zm14Tap%#mzo{PRT zOOFZEYJk+alyRD%k!c&BdE*XE7_oExDvBXG08>&(~hSS~52}KgR z3!76E>z(H*#_Bii4y_0f{1Ifb>tfYysReUbBH~+xbsSLJ#5BN(<}V`wfWM|-A`_Ku zBx7as&Jx1gMoT(gX zxdUw$%dNYxl}z$kCW7u`+Rh4tsj?iAE_KmOJn4P3AeZR=?N?L+(f}?S=C7N}FyOT{Kh$Hnsgor;W(VwD8rc279&V8Kc&IiyOVv9i|3ehRj}mvMP^ir& z8qI?2x9j@^kon>c)id*j{9r#+%Qm@57N}ARj(}h(Ko@ogZoc-DHqX3!jeUENf*GgN z&i546&nIO4+-Q;L#y6$fwJvxRrV*Z@C4(i3iC5>s3UGN0;A{u9pJc#w<~zFxFccoZ zPYedNN65jS6?}yFqhN`cJYwO~5Mq)2*ETMWk=dYJs@uN8nA`8U@n4W!~1;Q>rK zgYCrk-+!Y{?Iv2qpuD(rbwI)Xj}7-!HALcztBv&cC; zfp43EriyrVw`Hp<`&&iC@n`w7b^{p&NkY`K*uzs`aayN^f^)K26EF8L;2NQlpVrM_ zMHR<=aLPV>b$L%}PKZX?b4O)hfzCzGw1r~wGigot{15xEpy{Q6`y9}8;f$~kD8Aj* z`}o?U&*qTO9!(S2`p3z+JWF{XB5+^iGhMapoM2kZ>pSL_a?d4NyJaNzP!D&hB7C%M zi8%Ro)$SFr8^tY@7g;VDXz4uWAoO3mj~+BuX*QSGfC-lT>a=`3$|CYyc7mmivWpR! z4sW6{8AM(j8LmFya;sg>JEV|-dP?&nVlAL%lxEj;Qh;Hm3!c(FR zcTaajK&+mW+b)$AvXktH4ilo_97aN{85(%lTw$Ue*bH&czEWsBLe4pWkC|O zFsmcrPPJYx%h`kQ6OsB)Wn&D-3Y-7B9wAnC2Azc9xIikVpbgJ!2TV(}Dt!JJ8Oj4l zwA}w<`v0F`OKJco9zVhopr(_J%dHm8Jlo0ypsym1t(G z-dxJ_>Bd$-j`rCA@4fd_(t!rKn5IDmEa1*$0-izlll&RLwl#)fd7ff#+Bsir{78=a z+Io<-*3fD>BPQTXxRIl=gJ#rcPO$%KuzzcXZo8~Mp_M^mGmjvFlo;`p!gNFj_S6lz zLrc-U{&u4FZp}|bAItEa$2qD z%c`*L4X01APWA#k-X|N}p_@_9o_Eb3(@3f|-+Fi&Gz(U{L1JL}j^VG~9b{)w=+MVF zVe!88p=yo~RbyF4MYmfsQWc0@wmAr(Q4-5ZO$sB3`g~dyNxdlTeZ2`tVgOLYkFlR`_-zdE$RPq?qv6fx%6kos@XW%YAv3Fwn&43;(wohk zYzQlo+(Fx|CZm0Q5cCfWqkoxkVzua%7yvVmD5cLf6uQ`G(<5OO?kRU~Ry@{yD2@oT z^jh1NT(Qe3{24RPqJIKUu)WOeu6($e>3YvEEA55&R5F{Iq{^i2TV`R*&wJ+(fy!^Cy`N2QbI$g2E= zCJ?Apj;z8p&y9JbfXjLc9Ln>b{qDX_FGkI>=O@>ERe?&>M&ne1IN?N-e%i- zZEBV}wx#?^vNP|sX{#IV*FN<*nG?nlcjue#dJ3v^(mIn2d}U%+G3wbkbIcPqMq7StxcG3m9o1Yxw6GCoj1!md zQXN@(6CL?xCR3=e&~{SM9T})NmZB#SfKYj=NLllv^#AotV_kSd7aG}BeXoMe6(rLLquGGG2+&4m50(!Gq{M(17w z=rMH?@TN#a=6ZbTPu zg@_*3F*W-k)~?s2B=XkdDVAr-qTSx-M+9m88^ri%LWZfc`$A`cQ($xntD!)~R{oFu z$u;M~KS_YcE!VV$&xJKFCPue%L_zUV$@C7^`L0%Xzf}OX zbWcM0agG5JN}DuwrY`ZGsGo;cbl*qQTN6VOr%QU54iyd@Ytv%ngKE!wDSMGme~-#^sUc-n)G?>EPBT! zOF5t}$ss^?ha104i6{P-Z3!|w(WUVJ{9n(ek6z}a#cS4~(2r#{M?;P!r!Qj|)ih;w z-PYEbaniz2Ct+{l8~;v6kTElM<1(>&6?zn*cVHk559m%k?Ov!lqw&xToOag^iv}}_ z(9U*cf$xYm8SJoQ5q$D)h6iGvI8CN;T?x!oGzU`QuncaB+N)iO4?^_yW1n5^kvRFf$@4uk4rtZo} zfwsmQc|?YP#o}=2t)hgjjug{;;^nP&dLaNqBqT}b`Sm9{52`%-%BJT!wr~)_eK>~O zq3jMVAWMCyzY9~O;`dh&{(2T-y0ft(Q)~8amBmhqut}f390?v#=T*GTytsdRk9K8Y zK1RkEM<(r>Vii~_t44yxMa$-TCun?NXQAlA`BKVQf_}kaSuvTt@Z~al5WvN;?BK)9wGmP!~6WWjS^d730j$NVA2{kxkJIZ zHm(_Sx1Ks{jUoU|EP<1C_I|kA+ZI>cJ;e*qphMpbjuR25)F0Ya`eA=nwGg@GF0hPa zH_2!7x|ScvSh*(YJi%v20%rzaNB9(%fVs3@JZ8OZQF`WE6Sk?qWJv=8-a|?ZTiNSw zfu*H-RLIpUEJTvrO|qGsxMy{@S-IP6iyc^Eiv3H~c#yRRH~yla*uoz6AhA&`o=s=* zNz%f?fqsye*Xe&(}6T2eW3fH600Dpqc*=W%UbU6fB8Slnu;NR?(Ig9Tb>B&>~ zR2uVQf+@tC74pwP7urgk=-sXJcF~d&FwzAX>R>x<5CJg9D;G|BUzRF>V#(r^XwqW5 z7w0LGUjPQV?lXS0h-BWLs?vYMmS78noKX0cOra~=%m&-kv~0rpXG;{gE8|Y=%Ooyi z0&BeuNiiJ(74Bd3&&Qy__ZOiwG2Xqlf zDkL&N-6SGHp5N8hEbzNdo>V=$vvBnEc%eyILdI|X_G|`x$vQW=L&b}HBq(J~5-(pS zKRvcKK9>;0dG@ipFSjZ{dj(p3LowJ#4XOD10_XmJ4;t|&W=fG_^U zQzG$bNCie3&__j9fzzDR+(Xfh03G7UzQvc8W^=QmIjCC<9~v9l6~p0JbWSxsw7>g)Ov&rl2-_9n)^^2m*-kj5!#5 ztNYUahhS#Th^n~lAgADBDzyc#FrS-G+&*Irgx3ehV5YZR@4p`<>=p)H-&Tb zxz%DK(ObDu@gn%H3Iag){=mMikFh~R_{Dyfn?y}1SPQ!=qM!ozg;yJf_5Wh}|DRyX zv!}@gNpA1+L0OrEPG7JZO1Mt<>lv6{H|;a`dw@#!h?U1~FU`Rn&F$=hi*j3b^0ZJV zeRglHW5#8j<~Blc!}ED_8XF#Ye#tTVQzsuiOmaCFWi}^YK^rojT*ROjP$1Iw@~zBk zC$P_f^o!n#Dmcqp#l985_1~VJYmNg3=S&fEPB)Z^#4L}jMikYkg<`DfMFx-I-@dv9 zsNWUB59O(y;f97}^T?W;Zh2s7XUZu!oceNzpT;yASI zZtCfnef87a;+wuE8?#L_BlyAsyU%gbe`9zS_fb2vxYtu_{-&WqK7eR=-ZfR7^PuMC zCej9jQU)s*&J2c3Pdc<4zv~3Hdw!5owzAe`70n6avT8&Rb84$5{Z}0bp1sx{^*C6= z3mTRTW$70b*|t1{D_JuX9E8j05w^cI!dn{3=mt68KQ)wCU6daKt96*NlEJjct-IFe z7c?!#MMazL(E0#YLb1GXW2(?n-{8{92c)-hA#MYL~{S02Ivm_qp8H>Ujq0d>>qw^+z#%rviV_;RA8w;2sgj*$KOZqQ zwh88&;gUmfkn;2-c?6(F$NO2H_vRyVe^Jwwrjil_;t~3om3Z|TdsSkdub$8bfg|!R zuYk|H?qfDn0x^?4No(mt_g04(NpDn@bQxa`3khkIAf3gSuc;h3UseT-gz#s0j7$#^ z`6r4KPug=IghLgP3uPCD{8hTfor;qDkEGS($@YDIHyI8M6sH?6#BC^)@(t*cHPV^+ zW%lBSkkKUu%#KJSW$h{GjB|ETMTgiH@m*Q;%q*K+ZYI?piq4-vOqY5 zNMPXd%@PomGZ?jBigz^qY0TDD8L=a5%qn0;)~6ViiEL-ILrW8}AJMurv-K6Fo|Rog zCN;Ui0dH=TS9$O3ENj*0P{1=BEE+6`mFX?>dy+v|gjU>AVP>367;X z%OrkR8j^AzxZWO5A5t+u#Hg6M@HyKtqg}7Ve>vH(wV>HMuq)kAQ;%94Xm@t(X`}EO zA)psPVok(iBqFQ#d@HPF!vZTOdxjFw6=}@rt@znK@#t(vnj1n31J-^KR7;?KSxCC_ zM`yn?=mIOt{m`BX{$RuLx>YhrlT#O2{}8ydh3c&aj@@?bRKa51(0;*(3a4Rf6Y%|B z6{P(hyt|q$pq`9}thWR{Q#H4i0FFTceGW0Ao#P$~b7Q@MF;j4B*{D>iV-<|cn zK5aePNJ9kdYG2gwQ&W4vbvR>D9D9tFM#Nu+h`%M^(rKQt@rR~x3hfoCg?a{j1I>^z zcB#hkL=?X8V%;o^e)e;Ycy@9kX#N^ela{e;x zA(;bX5)!6LR)KA8IY&3??_IDI1XnQq_-?LbnNsjEit< zF+C4PTh1v>pmX}rj}vuJq4J#FaUe|0)YjxghkxqVM9L{jFiWnL zY@K@CmyMQ5c$zv(RVV|ihjK^d4s?{WewC(lj`W7bK0D?6w>RX91fVDhDL@XLVuB?q zkU4(RIyF)S^k!PWma4F;`CWxO&oz4kaCK<&UyYO3K{W%A8sX02=)p^a@d37|^n+<- z2emW3|08BGg4@VBD#)c>+Vcjv*uuN67m6U}oBdkgg8lk|H^!Syh3N@ikib)cn#mi? zxgWYR3jqz^Q@LwWA0QC!pUE~C4l;}{+%|-(4Gzc9UoC~cXAnsj7m}4cBVVvJLz@p-(6Wt;Pf|4 zyU_r{fxz+~4(lST$TplPVoVxa5{b^tU{Kq6*mAPV z@<1ps@|H(~Pb{3 zrrR1D62x5;(fgv9bk+r{79fE`QUIF8U)Ll00Ok)CT$F(2FC_2DL0U+MOx_MgLz*4! zNix-G@^&yHg)?%S2C0>%1=%6ks>6{X`Qu! zP5O_%46xp@6>V)Y#!hXSf;w^MDX3Dj!rpL(kdnLJi>~^ybKhSOk_}^Yo);@b07gBs zqg-0zN_*RG=Ym*&%3^oU>2vAzI(`6lXnv<)T1EspvyRfA%=Bx&fuxe284vzax^48d zr0~Q@q8!fnme`oSSFQ;o%Yl z6|SkYYW7=NG9x|w5A$PjMQgjByqJlLa@Ves!<^%i!!4ErttzcZ@Y2CY8_NZvDEm9t zao7cI(OWCvedZR}t!tqoL>bT3YvAl{*}OH-YauFXsqr_260!ss1iARD*j>zm4xs** zJ`1UM4F)Mn&7-|l$ACUfaqO(6RFk@dCJA{2q`>moR>4L%R4!yoiu-S(g>J>?9s?dE z{aM1fpABZ$NLLCkMwiai9KS#-X#i$&_VG1Rr^eIWaCKV&&zs7d7JTlP@^)L_1V@A5 zwu&OxX6ky-kTYsOQ=ezQ;tyPw;_Cia?#&YlSO+&)jSv~(Hg0`7l)~xqa74(#97Sz~ zB?_tT37s7SLRggUSvvGC@;#jqRc5U>an}OdjjWS3^Rh;NQW7Et@);FebP*kae9`9r zYMRIX8(}O%-fIw;zchMX?^+8lAiK?=XIO8f654#oP-pVw^f&KGS4X zn`_KBw>Bfk|4K0DV*NW`D&h$aVU~tmE=9FO?CBSu&&(%aJi71@o7PF-ejMlTIRlgV zy?~W+n(+#)wdE`Vk%w5jv3FkJ6LoQ_H^V%;#D#H4Y##p?)BpbjTlC?Uzjuh{8*sU5 zX*l@*N7_3DY1TyRx@Fr{U)i>8+qP}nUADX2g)ZB+ZQHhY|FI)Z?2C1CVqIip&Wtff z&dU)a=leb#)Z6**%O(bk3zvE`r)v?m#m^8h^GwF3^Bn_d!n<(+T(>w&Tu_v$x8&r$ z(-*%)kvGErx|7h4j^nJ3=k~5fiGH3*G{Ur1~HrQ*AF~hStHZtmo(0D5`(m>`50VLk3u26(hxF&lS4^ z_HTAh^Q}@m$3XktS+P|+2w&K2LC;teUFI|c7AaX|&D5GX4U*}BG@5# z1X()=f-JqGz8{o(Knk6s+{5Oo@3Nmkb^thAzI785LyJ^)T2s(y11;C^VzK7w-z98? zxClN7O)!j0Jb?uF)+y9je|RR)Qw=~%3c9O}2>eghhoO?oT3w}zrY2VL)F|mo2=#Da z_*=>vUMX*Cd@vL}W1ioI>qXZ#52Jk!Jah!}@et}mV7riP6!ILEyD>~p1EUQ&&JC2~ z3h8>!bE?#qeYh5}uGh^@JZ3_8hc$;hY#OK&&=x;J2aH*ZtT+mfO$m`ctHejuH?{me z(BMiYk^IoRWPys{%$U|ie*5U)H&lQiPZR%zfcescW$|yVq<#vyyKiwWZ&r-#Xk=Pw zel5xuY)nZxSTNMiEe%t?@UHNFE*pK$QMQDj(a2I%u6pT4UtSAQ(#1u)CIqHRl0W<4 zpxy{1jV7ft(|99h)JrGUxw!e-i(`)fom)tCJeRLrrO#7AZpo@&a~NR8qT1?r)mx$OT|KjZ&%9*8S0wwLKxW12s}tMSb%d`=9|I6`}3~t z>qcji8VW0ij{mZ!#yyn&&wjoHw9r|wCI<1meK zOV#rq)$afSZ@676anXb#@=J?3^uH>zDC|LOGW1*8QM3#;7+PgNH8x-0`iRUT_d*KT zw*3}}@tdj~20?j*-C}TS`c2Ru6#;Sn&K^=S5wzO@-u&Bf<}ft^7t4i8JE(`t){~Fw z(8-eZHDD>D;1Du1w8b5agGF6!bVK4XXjNgPl^2EkRs|PJ0Cwxg7KzL-Xc+r9GZ~$} zNC$rpujcok;-H)=aOn2ANVR;c357L2EBk)V6>|tR6FZ^A%|H{`Lm=qZPW`xe5~6gN zp31F*qt6<_ggM%;jJey@l}6c={ZVPA+a{Z>aoC?d2DwOgGek)_BC4c@*~CZ3pR+3_bat7+jz>x6awC9Udvv7e zk>BRGIubxy9}6vP4?1`IOZC@pK)y*vbb+#y%e>;PYmNB>w%p4Wj^LL-X!jc3MCEP0 zhGu@2kE7CiQ~~ZNV7_NrTC37jp7`4>s(RNbkj?a<+>k9yF|^+q(uz&Yw0g@39-BwW zp`|m_jPf%6Ii6puGmH}5CaEZ?-xubdt^P@i0;dMKOo=bqA-=^1=T3<$gJg}S$;C&j zyrf~4-&4ue**~?J{j`q>B7bCTyq^I-&Wa*0{Hg3b*|UuC-Nv}FIN@rn+9T%Uy;?=4z)hV^}`lf1QA2;_KbpspVig}a(ua`U%#9-g;b%6abdFT zdn-&KT`S`rlS2+`{wZVg50n1DNa?!i^pv%N;lwCgxq%O7&uoC0mjNk4UCw2FKWZ{a z{7BRem_xuR1dO8Y!ptkweI&ZM#9(U|P8&H@d=^8F>%|2|ou3v3>w?7wR9yCW6gcG& zx{m2DilAF8*V1UrH2T@T8@vt7yG!Ggro}7Iu-T_SGeaxrd<*^aAz-f_q%AjXQ0Dft zmH6#k28#krjFw`L!z$zd1)fQeYAaC~)9ml}VzGPVUY4Eo=P5sK&4y%pLUe7kGBN1z zX}YD4T06HnGqV~-+VRYikh#`C?GRoNSAhuspvFfgCJtD=B-N;YeKngTVFWI#joD~t z5@54?6T#(miOFeBBx&@zkVi(4$eh-L_~?o~~vApOS`DJga)semq9Y2?Rg!24viXRzr zf=o?HB8^uk3#PyH1)wvY4kiN|0NY3nn5oUHjYzG}DuP6xqpG%4#ph=hnXC$lCJMz_kj^-GML-dLzVDH%eu&;eXsNimiWIcxxs?=Vgpfc)tA@=Jk!b6xi*#+Z5CA zaMbU4<3N;FZyY{;^3kwH@hf*xxH{#pIk8Rhnf;}7#v_Qk<11zWel!00>lU%+q{6ckh9 z=J*5D>6V|LuBwLi99AYTJo!)sbXDb8X%SaDei)HnBW>X|NzX+kz7%z!7G==0%!?T< zVWFgtX8%cB$YSxbV#}C(oF1Y{NgYI-EQF(qIgkqKYM}T=HQ=k;d1F&q3wVlo+S2@d zKAwYtG4LJIY`2amr2Gh({L%=w5T=IFQuVic6shtt1C3*BYQ|#;6;Z6}@Wa8g2{h1l zUc9>9O+mwB)Wj;N95A7jfmEdR@@G7doG?y3La&#neNPUl*SR_TUBnA}kh6mGr<+r( z77ZTbQf@_UL#(@5cfs?~9XDc&x8q2-itq@ccXco(rFkUI0xI-M4IrSx>qg2BXh&Xt z?E?{cT3l8EYO5O&y~l)ZqtLU;Pl$JaXL%s#C2YM5wfNdXxW41S`?t7K@Q zFbHxOH1L_xZ5>1O;n(>Kl+OY3U(mnS3ByiGCJxgM&#LW~urBSArSuQ}+;@}uU7eV= zjgBNf>NyHeAnn&o7B4e$JZAsR8r}b!V$C$g_~2;#S4iej;z|u6@+W^fVgoDF9Es&g z=1S!;vA=Ff6JjOf%x}v#kAJx7BpBq=Kt&bBCv{vRnRtSI)gOhH7x@Mz4c+L;qkVU18Jp-O( z9LL1vIOB(Irx;k!h?oaPwSLO*ZwluqGlFtQ#pYF=mw9r(I7P_|`Lw0{WJKm1(m7y0 zU1in<8C8?5`RQK zg;!dD7B?3mwq49rGgpz!u2CFtl2A_P=I*Vab2l9id_6<~!G-C91I{rIxg|(evrB~9 z#=;7Y$wCE$`*=y_tQd6BD5u}P85_KseUL39-EJLZ1Udg)$Q7Id;nf+oXn9bvqoMCm z(~F2<8EQDUg2=?n!`UQM%;IZ6GtYq?M4)~bQNbCsQ{(L{qmtug_XM@X>Fx}$rF^J) zvFyxTV;J~h|JsBKuzGF~Jf)J_SYte&0V4NK?d91869CF&UOQ&!1sfknpeQp9?x&%C zMQiNe{n5Z5#O%@IW_eDNhN1g{X3kQ)V?_e@I#mEvv(=5VE3I+4hnjTXFSUJLD!qxLO)rfTr$836hTY z3(}@y<9H?r-oh~?j}meFf}2mtRe^ROB6r_u3b`=P-dJg6dt{JVxvP6S$8MnL3X(hr zGAdh&Qsq0|6vRsxPHOe3`=s{JIrMt)#AJ?+C>}JggX#6orL~~?vSn5Z`^~JPfV&5+mVp{X&n^1eL}L%FDBf=z^BZk&Q!OPX zg>s7&D{Fo;oQb7q25hM|qrWs{L^V=XX=-HAeb#%6S%aatKM^e!H~UfYgx{SQ7Z7_kt@PqLa66no3YY7?N|W`{NR;^1IcWIf z{Z?*4=mZ;h;Lml^G4_QHI&%+PjJuikTX7|>4{88iE~aeCMF zatdTS$A)wSBL2D@JcpEKAc!iLpnj%W^$;Y{|hg=IdEpq~u;7o_aq04iE`b#j>m zWBg)uMRpm9eOlmtLTp{xrDU>_-K8^DQI5JPC!6qlg?(TUSoAbgr8qsj>Qa(Ham8w{=PdOGZj`ok{*&g+v!X^A9V%2BmWX-B+O0 z1cis(@#bmMFvlltj!jVHhsNRrTvoY&5HTM!zFJU&1Z*;XU0-!0P=2CRmbq-%(I!pI z*YA{KcANXW#{xLwRj=u(4PJsO&>TKl)784OHk5c__!_5zv280Fis*Ra=YnoL{p3|f z0@wWE0;J9nx|Aq{uOW=DgcuGW1N1Yt*lP4W=-6hA6!fxl!f!I206j&yJ;+?j(u zZ@F1s!h8+mZO-MQ^i%v;wt!8p5(U8 z;uK0vT47~hMM>PDvA_#Ir0g~HVSLEBzqkvJdiC2+&)_9!WEvP3wDwH{xs`9HUIwhv zuS%xJo}$ZM9MWO5%8?N?dI>Nn;qd=>1Q`(M7gpZsWp+5Ys*YhX);8+vg>x~PUJ&KW z8pG{P#|4;xC^@-!V?x!duUe_Ia`(jHh=nBla|ZWc74~T->7wTXl{i*#_S|DpFfp`W z{Sy0C$yF^K>M}lI<5{VCZ$38(9%%Ij7o=ayvK(GJpl?DcM3g4g)N=F@b4PvMca4zB&@e#!JTMJ^YsRoGFW3YiF9w(a` zkvU#)V`8Q34(D)lG zrpyJy5j)vq@7A;9DUm#xC${ zOYlYjg_THky6~#A6CpheE6RP*hzaWP3V`LFH4nm01d0*#z4?tw!EIz*)&#t5}P(5(GI5#19Q+KC(Qk_A9oWjW(trmLa$ zwrp59kO1`g25&QWVm3{!pU65Y(0-qo1*%HX@p`^zh~mFbFLAL-p4_%$S7ldAv9LPY zW8Y{^{7X*Kjg}FM_Uy9gO&7-0U-DSyU34u$gHr-OXWN1x7<*j}$6zaX{6ytTrJe2t z&w8=5PoR@F%InPV_-QK?xxXuN(yt4@;H6v15vuGIM8y~eX;t~_IAUHY7Hz?MSGh^{ zBQaINR1MviFb+k9z-=FSHvty{U{l$zOf4NH{DPgS^Q_KU2StfNRFn96%9^#(MIHjx zdIfbCU46Si!u%*N-BE}sXY(*B)k&o%ieu=ru!^kI5d$n1XKKsUzV>&ezeY0{IF;gfPR9Ohs4yox74)vOxCW;(UXA;a5Ss+c+}~%JNjbdrf6m znvmF=jUsRL1%G~t?VLx&fI!<}7#Ebg-ZdtrfP=UNL&P}jiRvz~TZlktJ|K#duLiW# z-`bv>zv<7vNO=SvCm{#w+GViM)R<2Od6Ki~E#WL)u?TF67tO&maOQ9rP^{m2(34+N zEI%V*>ia2y@e$Bjep5jF20%KH4(J%uH9DNwRN#_4{NiRcD2N+Tszew(B%pVG?;HK- zl<2!SFB!34WV!fyE|lXZsp(m;xb9u#rz^6psWX>oHoJC>{#8zM;QB_B8S!OZoKB1I z6K6;H>TOs^;jhXCH>J;mM+AcXKh^aAFJQ~<|2K2$Pwd4H)s2JeGH$9dQ$r4(?6#iK z<79#mf-Q+J^3;m|ts++~j-WTfBYW@`e)%6Ls?Z_xlNRdxoRuwSSWriHER$kqbhi4Y zx$)OKJ(z+L=*`R9#mWrKOScvNB9&9rOH$>lyFFm)QAewSPW!4sNtZNk`=W~MCyvri zJ@F=9{Ws0SXh{A&w9{^lJmAD}komzK+iqU1s1d9FFI5|iOgdvU&m?x=y{b^KTkB1A zWGb>${xL=}lJ0B13;vw$X0GR9IvdHHfcMrD2hmNOlI0YQ3(pHI0xlM$m%HGbjy}*p zEufB!8Lb5^o_jb0!mE}uq!y7=5l{V5`CJ2Zp?DcUMvr@^448Q`K`#04 z9kaaQ-Hc7<$|pLrh@;yy-I03ad>u;C81)H*A~<85AOuSB`Sf@&qOS-4t(N$L&3;Pt zz=5fnfY`Q;aOsPH;9zBT<+IwJ)ST{#XE z)dylTS3I1#7A6NmVno<ZeN^kIvl0 z`N`mKz$YyqE!N<+D*H3bt*?TaZw0K@nxx*I4625+EY2t49`ROladi` z4o(vs`AX6ntz5S5KWYiHtyhfZMVL~~z=yVPWYiwy_+fhtd&;m`enR8~I>btm1$b z(QcNK5W1@G(|wQwz=M0&&B0+h_C8+gW3iz9*S<)abU-TW*XKJiV>g<7Xs;L&nLeHVaHahf#4k#@+jNPb2$?g&=@hbIlQbf&_~bfQmcEC!bMxd$!fyN$iU2dXql#8q(}__XuO z1G^b|;R5(GzFlTiK|YP)KnR!-Y*P6z`K>OHsDv!6Pf=f0X2AN`j07VXaT= zF4!RbAX~cY9HCCnOE#s_MX`C41UXM2W=CxqpHBOuv;^F8-v;<>bZ52}7F1l?5bYN< zDx+J~-T^NukICJ;?tC>WjVS>zZ8oriDj2JMfpkYnScA-gD|v#oo7N)kIfR6LnoV$X zxa!e-oeVp)Kn(@vBW*pt9{q!Q1gmnFqDM4kW5X-P3zVnMz>nN5;N!Q?30yVL=Qa7S zSdh+xcBxCbK~R?+UwozZyM&~zGPF5Of)1=z9p%-LnW1B6TJFYzPgBJJ*uD*EX48O~ zNU+|IkF&ghEhRx4T)NfFdi-pMbbbW~R@l@xt|vNdTR}O7y1SgITNOF9T`{xCY13`O z5&VH{1S(PbLVt=Sh%QtpVw$&tgol^-mU!GVM~G1`q`NF2QTcXbY?!Qe9I8Ok0do-B z)g(13kSHJ07%?b8v)R{*e6sn8L4nRX?|5+c*` zpgjoNSzhnD0sa|9CU@8ioE2R+CG($%_K<%Bs22Ts0eEzTKlNWoom}Dk zRBJ|V9O>p!6G0dFxj{BELe$*xv}A#T70-GZwmsL%>IuAw;Grf|(t9q^n#1uDAQH6O z;?gek!g~Lt`7*~$lMpEPQpV7xwwc)SV=KOX1!S)B| zFH%K!a4jf*eD{()<06=lpq7X6yT-i+0i%*nEQ9A1lm2NNrX;xfg8L zoF$HsI!clm8&^?>G4^||bDN_rr}9sh{@a6<&Iln2qNMv3yF;JsckZ@FwW7K$bmXYs zEXG<5H^5BPDr6B93@g-5sXx9a&Zaau$rhUrpeZ_bp(-)%Sd2eUMVZDHo~)@Kv-l&~!6t0?y3SoR(F6E_L$n<@XYCmoq-$vSpX5y9B+{ZSu$p%*i#o^<=ZR(hUik`8M9NtyXAbY ziB8Kk39p+=z$uJ-|4<>OU9^R+tQ0}R-%%0&EjLuk1mR#(CyCwR&mMe=%%j#H8zSFz zND9rN^+oAj?wD7*O*Jbgb!Vsnu2$%NIM|9p(Z^9z@+E1+SHf#4IkRC4#~GnDwyR$F zgjV}uX4dQbjaBMb{Gqyyt@bogw;Frxx(7UnVuW&7wLP^$M3~?suD6n?8j+vl*je^A zB<|{@==0_rn@s45W=f8TVuMDuSBj{a!r|o$Rjd|?#j+}{@t~g@(c*-ke^0jB0UTK{ z)CoTfhg29AOPC1zi@^I=VdePu_2pB%i*OvnuhkK@B~}!436V}~BA{$DF#c>bHRL%s z$GS8wSljgA%X6BlAHVtCj9(DP>VBCZ=*DrCVB|k1j@#}$ z%h|6tOR8PE-(grPBn=+_{yVofb#ZkzGqU?{^&dT!gYAFEk%d7&Mbc4yp^zTrqt#9P z2u2{x|0_kAlK1!#M?x=Bw2x9Jg%=5loT6+Yk*Xz_%W(^S#=35#?o!!+?sZJeUq7Hj z`x*Ew*~5{WsuEr*=opbut3E`;IUoL+NC#^d*!`M0x??nCtHbs6$@v_~_w!CXcELIv zbE};zE)(>}NO6dQw^PUZ7<3Y4fm^DH%`6BXi^`-ydUhB6 z5C0RUrW{2tB^54tH3*7agm?Z_XdOTrGpc{M`S_ueJck{a`PQ)(O4C@t%YE<}@fqHs z62R66wo|O%Bpfv#|0%`Hq?QbNXwVOxS|9x>qgt{7nQ8E0mL7syh?R_EE(j}aK3hlM zB@dON5sr-Eg+K~ztx|OnRX(?%k-rpXT3(_p0!HhIH}$<+`dhyh7QGQiOfIu$to$pP z?$f`uhs``&I`3{~p2w#uf*3?TBliJJ16bwD&4QpF1$?)PB4Sl{wltU+C#2wDvsh%O zr_gs(_(Aa=db9Os1F=jc+3#1z1dhU9w@+mniNKqzXrpWX@sYPiv2xrp@3`j-5+w*? zjvFl@Fog;yS&83N+2u&-7||$@$IV1{fZDCZEVDTNmUTLAoAhGQpT|_1MOP*1uGbf7 zCrstOQ;$sm6~Z}Ac}x_&H^guU^9}r?2%08rArdfnuWx<_fhknaC3v$r>pp;jGNRo6;z?_A)+hKFFO zjCZQU^jAN>ktr!C0y8IiW>Z8p1=m-zpst;AX7nhbX>U`xN+Y>ELv(NZ7cX9p_nd%>3CVLocIJ$utLyurr3ba>E5R z^u^(_T4YkDW}D=FzdQpF|223vJ)6sEcgaZqzNena@dDgi$Q_WcQk~ap3|aCb!W)$9 z=j8Tu38Kw;i(l;OZrbdh!kuT!G1PvZIvHic@(C}p$yC37l^K0lIc+Y`q+L=gQ?<~# z8h3zd@d{bqJ`yB%e1o=@#Nma|dn;Z0A@}{%$4zcw0K%^~sK>R8ZJEk(<>`vdMskq1 zGULhSZ$+}5R%F-@;-O!v-Kc6w@NRvyB4nfop?6WjiVJ)`z~-}8K!l-GDq37il?TWN zqR{OEkAy+%8Vo>xnn&h_b$(Sw9k8xlcHeq$XA2lB(Q!jzI(~kr zOFmQ}RpNeDPbDq5O!;Eq=m4If1`$_M4_uo>3Mjms$ayZIg}Kv+=+6k~W*b&*#*5UQ zCpe2+&y4$pD*$hxY9aQQa!T)?wyGO3|KlyOk>(RGx@dk7EU#7u#g=q`b;zEk=-{Sy zT$E{V;48K^BZ@a0jo5hBjna70|KW{r-iYfE8M|FkVar6P6^)0(($ItB?U|U31i@_V zw%~7AGO)?BQhgQ=F6NYC#U->F{UwnR5VX&BwpowIVEQo}_{y*cQS)rHKsVVwD!NSVA#UM3Ql(qSLtu3c^G!hSCxsZWAK&{QO~ z<&TDFFEk?HoZ5W>)XFnQ;4ab7^+l)ga-n5SFOg0k<(?W6-+ z-d+5u>; zOsP7kjfVP^kxq^PP4P%yy;H_R>5=2flzoW<@US*39#$6=rfKo&QT(2FKr^ratjXyH zx+071977VfN5wXpo`Y5}<1->XyI%RV?tl=V2!q_BjQ3G_WUz_(Fsa5v$jDX*&!K6= zjHOl6=aPOTGm7#Nm(d}((B^oc9&%tbf|(Xl|05TO3Zk09%P+LLe^pw+|8O>1iLt<1 zyRZt9e)bVBsjX8bAX^uNhi|ta5vm26on10uI>yaiNb*k1*NwNWw}Fp`YSx?y$-l&^ zTvcHFan+HwYO`{R8}Br6)hdXb@&ba*>ZTYr>WlU(e zagh+>d01k>64WYW(-~)s;DH;U(n1nMCT-E_nhpLI|S~{QVMRDUNO0ffm^d+<6tA+R-JgA=39w7Kk=GuR(B zZm3uiXfHi9=!LvZNBla3i#!k-p}iSwiP-uJr{kVdt3E0fpR!o4taGQIvp)ltbkjJqZ}_jHxcCV122+wC!KK)pl;86^$yt2FZ=m>oWkJE^`1Z7 zCY>#}7zrhQSL2{=^q5ChX)j>x6w8SJ+{R3R5ejcH;4Y9 z$)c=xi>IN^2Kib$pS!?cJN-4giKq+LC2WJuDhnpIl6?1 zrmK^Z9F`{A4mVxH8aB|k@UVdpkIRnd3>2ClM>aY${EH*iQASkU1V7|^m(sUe3U(xw zdWid02{<79*TL{_6@;1c#Oj}1&VW~1nSQ841)`*`_!0*-!8<|%wz3`Au8S%YjE;g= z?^)s6LEb4|?GXz_(9c>BWLTsLu3$3R(DRJ^{_rKY3)}giX=8VPI^$zaBv&|btUrJv z#S@&y%kSI0h&k%Xhb(`JRKe+gblN*)wgSsS#pIm0BM;*i-bDRztJ)`_C`#Mjeu4T_ zH(GOX41}n}OOO#qYmNkRHX@>r^2CN`49nip@B|kU%iUF}FTG3MM{yT%)%D*3wEH|m zuh-?=1lm6_*pUpZOu%d2Y=e@k;mr~#fGJ$Xog#Z6E-8e*+-0$B^FT-v)=Yiot$wFI zwYAO6Dj=OFrB=uX{AR<^^GArJ>+-mJ&yBDdpX&XHKGo zq&1f#GK2WtQrwE=)9y0HeHI>2eq*c1*9}Iv@x`)x&S3ulSyCEt=p3#`+?RfKE+NxI z=LuuL1w!Y6!9U9~C)jb%pT*Y%$gHmuerCjXhbg-dHZAGwk7@>|82)) zVaO4$0v6^kPauzmVTh^B%&uRe_Mc8`pzS~Q^1WGYiXZh3c+w{<>-X$U;AXY5IY$A6 z){|dI;8#wtyO#cE1+RDk5fqH^reiY}E1C;-$LYgahY48ae`@71dBWwrgu;D+_43gOulx8h7A{!T*cp=;>Ocw;E+WJLP=qo-To?r}q$t2tg_91nh-U zrg7Muci#KmuEDg83I7lkOutLRVbUaun1@F&7p>Y$MGOsm>VisML{l;}3e|h?_A5jx zwO}8`uL-)*;}#-08ui_AYa}ZK(dy>A9kYDGlBr{TjGVgtqsB&l%j4=>I~sEM$a4XM zXIoV=5C@uUWnd9AQGsalGo@f-U=ruard+X-4wue9NHa0y`Dk9Lw^Rjm6!Addf+I!$ zoy+04{0J%BBBA%Kz4>v11J>yKV)sZRj|!H-G(J;PsW_YJmopm|%HWa*KrEhLl0$va zW=^KTF+_mEr8Vly>Z$1jedhC<_7xvV*>`g9VLyN!p4Eb6-H&U5cHCBLy_yV4*fLv? z^=1E)|4&%X)ZYgxlSN8)=s#FqXw=>qM^sN5D27w5*_|wk$rup1zdFj*1Fz{!@V+Xk zeT(?Om`lm1i0RX~aXjYe$TL-wPb?zhupGhi35QjzoWU)U79 z)w7A=ZTPwUYF zr38*DU1u zt5YT#+|PrD4+O)kzdj^%&c8%AH;6+fWK1#aL+60)&GwFG+h2CKpf;2IP?hG4%``vZ zA+|dhNEyR0f>7fFt60&0EwkRVl}W9LFt^H;vP}d5yLizmNB_ef0b&~Z$0Z4M2_z?V zMU!G{sxghE^V^|6=EX|n-r!bILzbGDx)Ccc$8aeTZjUADL6;>!#1q7RVAsacQVEwu%Ia z!lq`E|AL<@SlXWVo1gy7eKaJKZzz_^y?d0)V1=kv9NArq@6;|~qDayVX_@RkUKEnU z*R-*HSOiRX6kFM!Vf2m!D@;o+{%TyfUP z?_yoxjf$RJFx{ce7!IYj)#8MnO>7|d?wfINi_6zz2`2gAWQTVr-v;joiypqv|Bg{^ zdA4%h#`m0>erHue9K?lo0%QI5(U?DcANtjm$&hdt-53N^ImAckd|3k0yU~zS3Z#n< z2gEYLSUH_xVI<^g81vDJ=iA~0jwTcZK|C4ox2MHH2?tU_5FqKUZ_21SqX4UO2~T(ABU%$_B+0T$ zvr)=QJS<=>_(tWlg28|g6}p;n!(9CF&$ln_>r4fQPg{DB>In*~mBqLt*-d|z_lysr z&U`R6=!~IhSd3KGJ0qM)ct2ea^by8(&mOLPY&s$MEHytsEGSHpMv}FB^V5ntmwFW$ z&Sc`$xy`~f`OX`-QP@NP=j5wuvC?}WPSKl5w6-?hO!&E2cy4~2oIcTFXZ5e=O+vJS=NQ4$ONf!hK5n*TGpB!u_XXU6`w#H6zTG+( zz%cq3M9n=1-m#|!g`fCXE7|$D`yjZe@g9XUb2)VT>i6MY$sB%J|<{!I^V++^>*P7Q*dDBIwo0k*4F`_}zqcURr8B z1$IrO0lUhsZc94U^Kgohvg&<1;8bN>N-L=QtmrJvny$B_X;Xo=hm9C^K!7Rn#w)7e zyw=iAIWt+OnYboVKBq*Z1&dRAPA*%XkQTXR?gk?;3i91xYVb>WlkArXa+F@*O{Qzr znNa;Ta3>X`*sOfDA6;CA?u882mYs>sRTFx-VH(1zU}HP=5;+?fi)bMMWTCm@{HGz(MQCV5bu-iso}R zm>)RGo+c_EYLbPr5j&P##@)Qw68~QxgrInX(mJ=a-DkO+YM)aley`)54>YMr-P&}E zQ~_QTp>L+MMbrq|T|?%@k<$qsL(DqAfih$aOZ~N!xguV97*eg&9T|OT%Wd)Ld@|I# zrV-|cH$&*!_5*e!z5;w@5~0EDyh3h5;hwEjPGH6LuJ7q{$_b;aacKvl;dT(*h|$N>x;NYk%wF(_Xi}eV>ITv7v~Y7#Sm6+NjVU=a}R#L(B3k zr=L6f_abp4VP-6Mp@~RnWipj$7~<=_$~ewdQwQ;b9-qoC;hvY;cyrDUWKRlCFRAhY zW?_O0!9lH;MqNolpf5IWye^z19Qn8OWw4XTraRP!wmWGzM4vh1SeQRautH0e-yu&Y6Zp~tz^Pu9@ zPP2Tn4-x}i;{9AHGJASj83Za{C_MQeyv+}IBy{eWT^y%zWuljWD1Uz{>hjNX5%fzL zWR}~yhii{3(yq|^7m}Qasc}C_%g7M)3q~SwIaugz{#<5eAU;6M&i~Mj#R9WST)A5Z z?A)q>bk?CfOsn?}dBu*jDtYCZ4a&*YY}CJT+h>cx|N3+}|3EkuPKnrB)+FVw(}}9L zF&}PjtOWWbh0??X`ttyUP6m|Hj{y$)HVRJr%YkC2Zl?k`%+KBH=DLA{CaTgXSVId% z2*BEt_)IXcX~x5As`5Y8^#3nM*44~Tosf}`LEORKRo=+XjF3S_NK#x_lt#$W%u~h4 z-bK#L)XL3H_5VhQTiKei5OQ+;r?ORsVGy@9vTz|}VJ2h{HFGgBvv(z=V`l&N^j|Vz zVFyn_9cK3bwpa)`*#3=wvmOkC(Ep9(VC5oY5HWI;G_$g>bp7uWRJ|Pk9sl3E|7CNw za&&cYCS;IuHL|rb5wf?iHTy3}#L~!F#mtqEQdw6wEOI->>wA~%E*lpEu83Pg*Zv|b zu#%$EUi#g|v22Qppa1{6n)u&gwvgzd`7qi}t9YLtVd^&W9s(OIM6$*p-yR2fw>gHL zr4VPN2hYl0SSUN{XdMp7~6_Vka zG191&JZ)%1v4*lUr}7$IKY;*`=N!;SWH}HFLaV`51F4mtdC4TP?xFxHLEOHCh~%CbeiDB+W?@8PZZ5a%yeadnwm$N|{AUj(n&s zK;foaW0H8pp_E7Thm*Shvkl$QdUJTv-WQtpD=)37j`J5KB1qrdx2x01m{pyoczVUE z$Ew0so*!Uu-8|L=tUgEIkuV?SSM`&di^di~u~soTspXu|$Y?Vm5Wk4IzGdRBax9&x zff`9n0xi%kry<$6{iyRKS&n>`&y<)%qKGd{tGX~kbYf`QQdrMOCzHiiP#aSA0(-Y4 z{iDqQ@cVyJ1Z=v!Ra)kT4-#R6vMQ!G(S*?)BSru+6JI2xJ*C5wV(cW1qXqt=rm|@7 ztCXrIbzXV)Oa$Y^&;7Z<#S&*K*y2jm$!>)4QIyXK;qEw<74i9v+Y|W$6YXv&A)eXx zqi+ZH0Dg&%Qd<(G(Ejp-{EEy_fd7f0^^9E;$KA-d9ctU4lxQ+#d4q7RgiX2^`J{&CMYQ#5p7UiFc#!N-|Cch zH$+7GF4?Lr*)F#N?VfH+x&pIbA;^BG+(gS*@l*vg-ILRnxYd*=m-yj4 zu6-P;9JNt+H|ivb?cVb$6QsXr)mM7q-&#;msd&?*G3jrW$iw9BB9v(?Na|?`9J7cnwieJPcwnD6mi4|Vz~dxhkmxkM z9I5hFXi%lWV`9TbE5SNI5p$Cy_(GI-Duul#Vu5S-E0e&VAL2xi&Cq_90pO~*uWDp@Vq@eY15@Q!evBvf$hKon7Dl~(N;T7rw3M-qmEisD8aD~O6X z+|1*>A|q#_bsBd|4S&Q~1_0zutWN9n8W;8%KBpp@L;@fR+hO`O z_XolY5gPOSdhyQVy`BM)Vx|}zy7%r}U#|;p>F(U($OhvPn#s0l<#APXB~!ro`D^xh zpy?20tKnDQxV!${O^otLt}kCI3~t-MBMlQL=2CnggN_kdWR-ow&?>dlzI2P+C|9M~ z9#2DzB2Uzpoz?XO`|F7Z^Y}!?Mz5iLrUthw0^E&%vgatTB`qV`L;GO(Uvl+@NJjct z%Ogl~0Ub!vnz9Qk6JGJ^oe6EI)i>4pyvCuQzqS}~VHV%!A4Qv0s{J$Zd@c-6HxW`{ zgty$_*J2<7b0=Gc&V@1lNrbHa4{7fdBv`j?>!xknwr$(CDs9`gZQHi3O53iqou}5_ z>&9Mj;(s_1>wV5PW4^V~NB>&uCCHIjMlJg(~D*WRuxZRJ@#Al{{OV?pG@G3H4TKcl@)Zl&ej1J@ql8BxKJP3@-9L8g=7!P}>IB=)Ig z8q6Z)gop>~-|R;35Z21&tLyxp&eJP}? zh%o8rrew<$kHp}CL`NnQ)g5L3+q<5s8=WR?sXD2^GDQEuG_uVlM0(gxw7INVbHlIw z2IN>`NWR!PIGP)as=C+cK({{sp>DHsFxfLdN1;$@XG_0!v~Aw_i;b0>wQW7^ys`We zF%G-Qya=7Xw@a~O7(sQwn0l`hGEk^MG#S&@qPOX6TIxcH$t*YZizy zXOFUF*8yfD2QRts8FG6^4SPTUevSO?^UECliH~WD?*ouk*i^9Fu)ir486%ag$W69R zA-zUUBvR|EOEM$xoIg!fOg+MsUGBOAN9ehS->*P)X`OIBrZlB{65>StB&zaLT z0%mzu-OX->A?~G?+cw%&K$bnL+0q?o*gdoatXUd(;10>ldH2Z)JFSBbZ%a3|o+O$W zk|_SgU#no5qT&nK@%9I|v+FOc7T!3_q<%5158{wT@6vYr!!A&3pZVIv*}4zz%sCBJ zsyM8oG07&Ymk+1_?r(l*6M2b zr5Z$odSC?x!H+ATV+KpsoT#%{$&pKqE0zaF^*-Htcx%>WNlEJ{XbgG3|DZq3He^Ut z+jljo{nAzhJTK=tr7~hw2K~4l;{A=%lzHQMt5#w(_^`)BJ;&imN9<*^a`F3oKilHf z7`^o}Y|~>rP2?b%h>t^x-9Mc8Rnq4}tMTB{QT+wVQm#~a)DQzMDK;1l)YcUq8@qJm2$u>bP46W zU`o!tdbD6BQ-)Q@W(UqnOh@TBzVj%?Hsss|?9EJh>s34*u5|p%NDp3`X%L@7IJMO{ z9YVw41LU(hHBeVK0^zOW+eI-PIOTG~-5+2okq)b<=#DCVU{)dbdZNTu9NdwH!cViO zt@+v(g#sKseo^{>y(sD8orn{B!Dp~e=|rWa+#eu8|F>vDWDkPq3;7>8rBrKn+#V3q z7gR7t=W_eMJzOP9NmlcSIXI(R?wE^*zOFIag%{Otn z2=TYdh}ZvcjA8rlYPN>zHygmcgr#(UdHo<=yLZ)nEmMZPNPqW$Y~Z++3z|i1Z>X{A zA@Txc@;|T5A-5K+gTEf3S9X2^Ni*&-922$<;Jk`SEyM(>%m-2kYBCn4$Cgir=m+sP zKie-Jc?O*reZ=Z)2y+NS8FvLn{TR0T40Td zufuT6onJ8YD82M0ElUH4n07wV;A;}cNG8DTQ{#RcsR{!U3d^hYDAI1UPPa@m1A`x= zp+x#p<)o6|8{T1F9o+}#?kP$KjP0O4kub=h`!T@z7|ieeJZ26+%|QsVjOOw4Ufjhe zV;rL4CgycTdPZ1iRb0f2p2ge{E4<_S1;jb8Hdf=kF`)dNMT9FreAit^h+k&d(Lx0k zP@p#1&+v8|K{kL)bf`v^r2gi}RMtVse0RdDzonTLRCToXRB`&)A{VND=h?>%S(5#J zFdROtqa8^WD$z8JdjJ%A2tbz_6`&HOjE+T0(3)qyIaP%O9Gyk8XBZlLD&`%uIS%-< zK)vDh%V79uNDPbH!~mkFG)PUwz!J&8c5@A&Iq+oeB4jPYi2$q}wOz8n$E=U>XsK9f zGuk%(r>hU5j018@^-&u4L6cC2L(32`Xr$&j!R48EG#hC98Dtf6 zY-zG0{z@K-{DTuL9;0*}o$(dA6{!QoGFW@;NaITEowS_h&HRGvz&>6NRCNL)pCf>B zraFxdb~fjcJ|HQj{s<1G!OvUj4Hxb;>@=r;*_%czYKc_eN2|x3RJ-*k%>2GRwOAZ%0fU=?rFcbg3I!CngVFnr6eqKjMvj zSW9HOUhsXj-&rT+etu#w=d3oIS(?A&jYOLCnlQC7T#+bMz7WN%Hnk_ONk%p=(O7ON zH)_+X7MIAiOdfI5<65VcjcpCX=+_=PFLI7qt+cr}A?0E%bz;B~4sAkqtm@*?;vLA5 zAtbD>$5cS9n(tIrRF0}Oyuf#z?B+QKuFP3@10GZrZWKaHEI?H*aMgcJVUrfB!4w6t z!=3Yn3l)r+^!Iu(o2JWMG|T6JWm;A%i}P?@?Gte%VJ3T+PQ#aXU{*@zszxe`;Ph>k z!^lFD(qx#K`$tRjfmZll77z{TV5S!r%&) z4}nN|FGQ2kh;B%QEOkFnSDsF%#;INbrqqArDj=AXNQ?7|RJ!st`cN3I)z?_dtfF&z zynM3+=d!kOe9lkNos#}`?4z(ow1zdl2>8As&=kyIzg0-qd>_teZTHiC^yTXy`05Op z(_U!_C7WYYbgv1?;T7cX>g#{lXk^-QkO>S&PaK?V3-(?EV%nIo0~|><%_Xd;=J=58 zQozu4%EthbFDFyI);cGz%^@g_BP;aqjvPk?v$nefugg2b5@N#MNd`Hk-< z2TkPCsXb#;C#H>=Hxm_d>1*yko_sLr3l2;aL!>MImBwz`OjT2N7pOLb$#yS24zQqCNpPc zycAM(wl_-+K5SHvTtMA=S|4@ECjv3gCt6Ztm@>mU&aGGHh_}<xQti7@59TEb;|z1#L!MJhM}t@V65Z?{3)?E}ELt3_?fqm{+I|8>?TKbnh}Q2X|eP!{AgYh+LMl z&(eIB!-;!eQgEBkj-U62u+>w9MkK926^V2`4@0Byn7cSpw^8lkd8pA?o|so@D_1A? zCY~i44PnR8mpL@ zRio((+~@;^)LQ-yVKS-zsU78k{V1pK8lw7h*&{!-%(?jM%!om7_L5}f$(S{uac!3p+o!{QFvU^+_$C?;1vktys z`P5Bb?`1$tg;E%gLN5Wb1N3eUShvK%IpBEl!n>z9Z<$Pzq;AhTTYe~xkGv7+9=Ih{ zw=c24m2IrIseJ5tGmK2M=VQ^R=FlEEmB%yXd}3a0?umtv=qk){Y^)8?Ihh1wG!Q;z zAO>(&9Esy(=ZO)X5x=CM-pihO2^>>2U!_w`YCvn(!!x#AO|fGbnCOxO{ zynW{l?!|7br^5JmuvpXcZZ9K}=(H5-b!A_so{C!HE1S{N7yubqzHa%?s#B{4&~KQ@ zE1Uyuq{B|4P|5SIi}J<|zQwu){!AkggR+U=9+$1D1zVr0BAW%|5xRGo<<(K@+$Rbq z$PH&;r89n4WlI31En>Yvv`Dt9%VlW`6qTt z>^ZnK?T7!|clI`9Ek1plR_u>AUkj_?D{S*9D}vsz0_ka5!E=_jRt z_&{IXI?8T61ZtXK#>R%k#|^YR>0yu&1g}3DD`r3@8B>kcwwe1PjFz#|&J!th<;-mc z^LF3(9_V!#Sc$UMGBx1x6!NLhetIG#;$2zKu#!I3XZi;o)YpV8Z0mbbDXPOuxF|91+; zPVE}18#uR5=d~!NA zqV+DUDajt8!G@A7b0KGu+HWNJ%WpNpsm-@ElT?gt?&4|lfWx1WE0KKUFb|jN^0)Gz zD-@H8{vQlBhbB`GTB#saNqVhatlhqr+F@zc{Q*Qb z;a4iNqVvx){LF#lgRAj=xd<5<;t94@HP zTI*{qoO<1UD+wnO-bF4>yd0i{a*)pJzn+ZA1B8Az>?U%n^e)p1YNc}PN!&_MjvQg+ zH2j5P{B>iVu%GBb0|ntxcZx}e{V{V-vrxJ}Y63YBhzk1Y;SwTGdDf|$7k$=}YSy|q z$^4f50y(+A&1BCu3emA5%LzTRaO}}bxA<nW>ZK?-C3#GdZaEz!4nurdHj7%LPHU`vMhs4W%wKF~!}C3MxHZ;en=(UY?we~-Fy zL6k(a47+i5l->}k%~yoMnx$bUe?dv^SbPZ;A0NAK&F2V|h0G)cYA>!nm_v|!jyb|z z1glJoWAiTCeJ2>_d`Rh#SUw&7js{VwcUI%7PS%>C4zgwC_LmT+ffDE^W-)8nN?T@% zz$g;1H%50ByJp^XUV?T_2dDHcy%a`&IfUktpS8LZt2D;DG8XmWY1C47tjWW-BZSaA4c&z#~%@)kEEs^GTN=^B zyKC>Kg2Kv9tWNS+)lik)L!AX~vput;P~FZ5nS-_g?pGA4YxlmuWCQFb4GN6ESEhoi6&EG?t{>MKM^NSmJ@lcA2nWK#_IQW06&E*+2OAPIKW zGkB)h;ccoXDZ1uO6oJ~2RlAo-xjF&&s=e4VL4yK@@-ESTs$x2oCcTe6XIQL3Z$bLd z{{nv!ATjUG|63#Vv8r~9rf-*X6A>fDuuUrO0LMTvFKt{{;t}Mx=591aKv=AM1N3Kq zn~0S)j7n#^?Z9mO`jqR0l7c3WH@P9kJ&H2OFKKftRZtue7K6p)0b&j{wWQ1Y_GTwS zJC3tVEaQGg!d5+(#xC@`t4nK03)}Ro%Z`Vv87H;l?)|0x@gvULubd0;(kVa+4lS0R z(qbvmAY5~F9_Esy*vQBUty)0K@KJ0NN04#?zb@QdgYv=8ORoaD^^$FV6i`WVv>C!P z)MCnE?8`)v9X+XoeM}!?-bC0D2Yj(~DwtTR$ZUky^1P=$EZ{)@-Y75c54{8dme_hA zmfo*6EyyqRm-o?Qc(?(>)u`bzy&*dfbvpQ&B^gqF9BmRtT0A!E-&}nHP*#$a3 z+RVyfucEK@e$UMBoJAZElp9IFOJ}+TQ!C!BgXYN%+K-vS!{Aw37Y&dy-xRPoZCXIg zht1I{BYdMXEHpi$sstfsxhrP}Aqmgr<*kB+Q+|L%N?^Eg_+kl-WC0Nq;|<*Vm<-`Q zA(BMKoS%Iw3m45TZhG|ZLP}L5n@H2Vlc%|%(mze)j-j^S5zny%I12x;$I!)z!zqGSN=WV8ePV4D8RXk-jD;MjqQ9H(EerTkf^F z@Iblu(bhXVEMMP>VyPss^c$ZNkUP8di{-xS7S>h20n<#Qu-=9*dF%seXP6lsC%?r2 zWle~s9joyED7jplEB^eli-8d1%Q840>AAVG>5M~^EXl4F+f(@PMo z1W8LljDOFA6EF5lm$Watb4j49{Cd)g2!Ha^NGg^g^)6bg#CHEA0L%{Vean%m0cVpO z)9rpW|8rJ!e}^R{dLD+40#xd`Tj-+;B|6&qSgVQQ<)C!iC+|S(>aei!N5d29&{BVA zdS5T~DB3duF1d{%%ANH{6vv37BL~88a{d+!mSjGamHs@NTl*{Fsu=tl@-0ygd(R;` zsbAq|58^P#%ZA3{s@spTPxvH;1kzcT^zBbF>_RTVkIlsR#4I^mnjB5x%g0p33nKnY z$<}9Y7&K3P1Z`YRqQ*8lbi1A7QTi#=#Oy;P1!n47gfW}2+ zNttjpRR#_WPu+naCY~_v{H8U@rQP(gFmtr9uqBN=%8!8wc&i>jBXO-Q1DD=?D}z** zp&CJH!t}%H8m^KN^X();!v@V2JeKpC75vQ>$(jT?0wgNJW1qlz2)VhkIl9027N@5L zjo8EO0ul|VDmw@oeHE01jjkz#Zc@?jNS%F)auV_l?|zU0U!(_1XMlyplG*q0?nTee1pQQew}d_R zG;7UhtbH^GSyE;~&K`qLVtD=&EL2|vm?#@A`1e5~&uD-csI}Yz5sWBLW34*4UhrZB zoHPA-s;>j2^y+?Frdwt9GcdxpxysZyyYza6!-r-RAob7miG)smQ{xl|_HB-4tUX>X zv-5Uk8HZgNc($(S1i%0`K#7^4*=8fR$6;CxTmtIF3>Bl$LinL_)yVY*|AU4$T7Q!e z6eDew<6LM`dt|?AAwm1J>J5Y(GP~66Fuq#fU2A`|!+!d;QDi2>KRQZiPsOg=rgyY% zeRNCiMaHP1+U8p#b7M#v$+ljb1&88_3Dt>Zf#JMsScFLxDRLr0{K+ix<4#Ozcp<7q zL)rRKz}($T*5|zDz?Z3(zepx;xh0l66kuEGMxNkX{oeGQK5n)BVi=Ds4;bEd7uIuO z(huRj&?I4pwgtEonadnLb|rZbquSs6>Q3sULd==ym;Ffi926Cqng9tWt#R6b^;Q7E zC(x^i(>ZPhzr|HKJZ#QEpiOCjwK0JpWBbqnMBF_MK$R-4Ww;RJfdjxrF<(B+I>*|$+5x#e@cn-6HXLOt=d7AAL`Vh{skUkEnacUDe95{| z_u#Vki$Y@U){~@_9 z>2zy!sY~n8Y(a@^GPeK=j_YLQ=U&jNC!V-O_s=KLW9VBy&AGJ5B* z2&oJ_z>FTFq2}soU%LeF4CgTXbT+F(wS`cHE}b_ zD$V<@-_2uYJrDVzeSY)hNBnMMeJg+Sow&Q--AeXJ#8m##&Rsqr3KmRRxH6 zcwP1nFe=D#7gqx(1^gVWvK7%X9}j4pLfxk%SKW|k@}#B8y?b@FHHQys@UF#t14{yy z+mW51F2574*FZj4<%*v##YgF>F&S^X6H-(gU6pCgq9lomAj|`K zFN1C>)+Bk^`O$+WzEp1K80#-uy}-E#8WqX(t8*)# z4k~&SO~|V)|5#`d^LTB@Ke+~a*ARvE)0`$Gi-(;~TMBZf4r9?n*#gkMAx_CSYYsSX zwtp`Lfq_)B8Qv;8`KBhLEmladrARSG*#2faw0Tj2J>1*f{h_|%jB5A|{1YYSoi0D2 z(E#uHLi$lv4xkuswz4d^c9U=*VOw+wc$7a{2xCLLwC&d^tPnN9sjz)EVvCkV_myTF z!lJ-x1yWSZo+NoQ zG=_FRJDVg3hWvidp%l`kA++ziZxuTpr7f`~vhm26uBv4x3>)fWyXq-uTFxvV?rZX= zZv^(UdH^!v5t<}8>+4A;T#~+a8;&2ook4cZ`V~#>(Pc|z!k%6DW)406X8ipZ2nf&nfSpkm4#kHK$V)o>Ilb%*T z$cGK=3B+T>?c%U2fs32hx?b+Sxd6e-r=ip^2|}=rJLgnR==-JFwwMCO_UEsB9Yvd? zF#s?N0QE_`=UM>GGcX@zzo&`|Tq-xaiFH^>SEP7_dg zkifq*;E!uGUS{dy5#k(;Y8YtRx!)8eKe^rlUvXElXqLkqh@D*-h*YV)-*5qIMl-Zk zJW_UOjWK3JQr+U~s&V|q9!gz%4RV3i*DTPlAczASD|q8(vEX3&9`&n_WWuANCHjDa zef4sGZ5|kiggK5kog7WZE`=A^>hbL^7&Mhk@G;Sh`<5VzK+Ym(f?Iuf&Al~UdK}Xw zZ%0+Bt`#O<=WNQ7zcGQ&r2^@+8uy49q-yKrHshH$8hz@!*D9^`@!uT;aO`U>z9= z>Z0g8&rDKjrN}gbTCcP276G*|R-(P?R|}{yiPEpW!e^iGFUq?kgf*yH@X!Jh7nUlC zCf-4cs7f(od_upF@HTO$z%~en~-|?0j0>eg9uwH_=a1|1{51?FZpT5 zuZnGT;;s(6WHyFusjdk*ypjwWJ)^`^){|RA=>FwC-jC`G|7iZgRWe$9 z>|wCr$F9X0R7-DZe9YGXdWQYi$8%R%P+p|`@rxPyX3P^+m}{XpNRUxreC_J_KM&(T zOXwOVKbCWd^bm$MCvzu3yvaq)`MY+|=*uTx&2EHWNz;4>4NB0UBOSS6?%&dF9Z;6U z{m5KB4r#~Hf_XtfIc~MLCr7L$gu4o)pS*{B6)t%tbEr#=5YXa7ED;FLl=3R zn6Gypf`-4L4sFXM<9ufm{aT13g66{*iLDg49mJl4x1lO|i64&NwBx-L1`U!x`7-V7 zot|ma0PujsqX3UtgNqQ<)T@1L&THPh;I>iDw*Fl`r%l&@ zpL3JGct1iMYM;MUVY=$!aHX3<=a>36zt+gd_WJube##FQzKRhz%{@J1I+z0yFITZ) zqcw>w6$<<`%WEr?vX@pE`OyB4kL{ZE`lY8an4v&v)N)j%mFBqULF3RLJk7F?A288d zw=VYWafTVy?%LHfZoIgn?+LC;gd3ZP?^24gf^0Xpbt%}L=t(EWIQ~<%UK?u*>hZ8V z0?XgLonqWlFMI+{E`(H+z7an}A1dn-?i|DBTysGQMD_DlWg`%e>jd}KTJ^zsx^k1= zx7)VrITEFcj+S{VvCTm%_TdaIFzA4(-g_{l#UYsZ^HDvSLywkc<7H0)k5l{($)eW7 z0UeE1np8dQd3}Fc(Jn@`Q1xY-c^IIm@31)A`)dWYVf>Viy2JrbvTRLYTC}Qhb(<6q z2jvZd2;Hk!uHtQYG=u|~9E0H{I_(W~6**!Ek9o~5w$q#&$`~o!Ci$zbPX;@!%Q&iY zZL;{053SX}b~30FDCi#O0taWiLB7y>yy}Kq0SzD2p#=!ftL$mkU$Bo|T;2j=$bt`- zH{WV)`ruBtT@s);LAkjBd$fp83|(_+TPPT2y$g=>#NP;H!AEcYu7FH|u7l*!ecLhC zK9}(0YFMhiMu=82l2=V`3$$#lWK6zjDC% zp@JF?q~Iw0Lg((&Ym?pj9hTilb$*oR-$B|>6RTw_+Z@>ls@mX_Wl{DUf@l+p9v;@f zoIndBhO4T{8RK1K?D*r;J=;2M@rqY=9<=lHJ~Pkk5m{^SroQC{LBy!o#cwsbTjJCx z(FRDJbY{(d==THiYaX)||2}$|>Jz?tAWV9Ls1G$=?EA*WlaOQtl|jl%V{?{{FG7$> zWjF=%o`Uoqet!5O_Z(V+9ikL<6oCV!pu3}3c9L54F%#UyKY99p0RaCB{o&!Em-%ID z=KQzQgM)yN@85|)KZRd(?>7Z_W*+b1-XpkkvWJKLX10CK{Th?h|D^UG0ssG2V`l$f zH404yb?|uduVo>O;#NVEDQvkY%KptQ~dwWiW%BiSbGpqU_76--96_~{s&^g#>7rQukml*g5~e)|4+ol z|3VaO{~Zf%fKoRxF5V<1NYia#2s;_*o=FE#Ug_A4Po(`bi%eTwep+9Z@@y@$wWC`j znlAarN<;x2u-W+}%w@)_@rU-5Nf)28LiRHZ{Ph7q%C+Y_X(EuT^JBUDt>w$FvI;%q zp>6@#(M8cKEmpEcN6WC@2o+3bwN4J`L74np9l!Nh zgfH)e^Bhm8>~edyNOZOiYV;+5AUHNl@$mxN^IZVj$&s`Xsu--8@Y5Fvi#Al!18Y5k(Al z4L?C0zSY!eU<DPm9RKNI4OS%tO;eO6fB*GAYv;N`ii1B(NSh2b> zJ<}pGTp=tpOJzt}@Zjdl@XO8LgD<9IvcBKLbx@qC#BbFzUE~=7$A)`4Bf5*9@=2@a ztiQvoA^Vc9*iF#nb*ur%p|b+PbXSl8LRJeatE#mH1dLjBz$fa(v#~#J8p&N7U(YSV zt`;6L$0W0eYN!?oLMZOF*o8G%poT@CNBVGe8N(}gwW(2JBj6l^P!#^q(wG%Vf6Q-{ zc5nE7t-0P3@_7+n(Qjz6GEw=dB-mhwl=Ca0BWl;g3T{+`G$i_<5$yfIWhebi-!ZLGS- z#xlleyl@jBA_M`4IRHO@$j_=y77Z$4XF6E|i#>gqz8wD&>_Np>^Njxv^K_9mDy4Cxxc7i)1a_VVSPCx5x&dQE;B1}&SeLj=t@>s zI1}$#q(PJiSnFo;5=~3}*{E)FLOn1yV6H|1TrxsT7wb)?MR92V-PNp_r_uDGHf-32 zTn~>aC;7L&)$A*_+$}bVA~UtVG^Qzh#bjFNEQ5Ug5c{J^>Wm@|w4dkH^e{X}lEsa> zKN4x0INmL>q5ZJq#h5j8T0CMrtZiS@>sR8K(QC{nf}bg;9L`WjwLB8^fARMEB6{^w}oA;hDV zDV^?q_l&YNRKvRodv7M6F()`en8Ew~weLjgh%C7T5%%MI0GV{yR>+dc359-z<+9)P zC0x3S>GFfwb-Tn~;#pj)aeiLk{qQh0aGsL(`OHquUf{SO zi3pcDn#ftWcBxaGKovwvYh^+|ryVMvvh--er&7I<$t2GYrkx!t`nW_gs$#XNJ`~#b zcoY#7h2R^1(^W%k@9Qy6w;hy-R%z}GZaZIgmc+_mV+4l|UWLPgrln9qiN=L0s)oK>?)**kW z$-KBlQ$|B*Oo0FcnHd7BrubaPD?Qj~Ycwk@9Es`}&+H1zr%%fqP+5&R-2&yx8Ah#i zHpQhuO$@oEwLrCO00JtU1X^SEt~e#$~>W|Ll6vg4{43pP7_cv zH=Wn{Msx!6kQb$mF7yc920lgfZ(q$R&%DDdmP&}*GGu+~QRw|)n*8w|^`g(Y4O!Bs0*nAh*3Y0>ZyRLLnODEkso=~zM< z>Ln<9XPpr2Ytz}IK_Pt%FCll(D(12hQ2Ycv{Ny1$D#6EUf34F(Pz6TXA#Fg7nLL~J zxZy2JqhQ z@jUS}0Lhj9q4th{_X^1&{53g>9c#_GL0g+)3T~M|mT^dIH{2kCOJd|xB;~-`8KG-! zf>t}#8IVVfZy=K?S#h5$TFwSrIsrBkIYd5^4r;&FOIriVu$3gdLt}9cL5qEzrF3~q zZ5V~Jg3Fnp3NlC`C8n(hDr_k?2lkaUsOdr)uto;$RLaU^xZe@BPKXw1us!ga@cDu- zZIzvKZ}0gL_e93Q%1K&W>-1=afpFU8Vll`yH$(S#%VY{cTV7A84g%4H!Fca0<<}MO zh96gEthDnnkC=z$QBOVPA*c*=StvR;5j${`x%C({7ysxynHZ@Svulo}Dy`v+X*7Sk zOlaL+bVR?>#hw7tVZN9yzL`Nga=!(Z2$yik#`%}9fF5Uf^yx{cWO_*qT}xqpyhvf71$-3H68E z2gginOt=Rle`3xG_v<|$tMK>?rDe`&|Bk<;^sRsWY1@QFq7*xYju|Ur?Z|#0jB5ck zNG95etd>P$$2l_ewPs1MBe(yi)V8Euz$$P!5*)U^8n#$x8F(nEi~4tUx<$vx#o4 zVRfcEuh>bBl~r3F@-(kSfk4lReHWzZle(m465srIc-@qy=JoKR1qC5XL|~iG$G^N( z58c<58B)MAi$PpVOHX39PX&#m*>X6(#ck=!BmN@L)PE`z$%6!NJJ%c{eV6LXai(P7 z$tfX~FE9Y#m^ESEboyp`vGLhjRC(#PA>7@OQ&m}WZV6sDPr({%NXtJ4Vv4Hdoc3%(Ii;UN%#J>rk^GSSwSqVm%M z&BY%IBGhZFFYs=jLnvj!FdM^415S-j&i+-!^pY_qV9dizd(8OX8oN=Oul7J3q;k|% zhM+{=Yn>83w3_2%e|w9`Qw3+-P zW)D>#$O2dsg@5Vwa{}>eP%<9zmwC){Mli#gAESMO^!I{Gmly%L7WhIc!ymDpRg=Y7 zKl6P41x~((Y@DwEd|+d=*SwE!16aO5G6A;X@3b9Fa9{rafJN zLswAoAq}$6sKK8pA7J>bTDnK$6L0Yz!K3z@=|U=Cua?Ii($5lT?A&`E{w?4)m2CBE z|A+c>6O(QkaW1ChtV>O8NzKn8dI6-7MbnPk*uNVcrd1bmAD?ouxhp60vu(@`&i98L zrvaYj!kqK!8Jf0WA6wBXry~Kc_&vf=vYIDx!kFK?+#1OlGnaNgIqIlzs@5k zYJ;JnH^Yq}nUx%c=rCy|K8-0qVM-^G&~Y_IH1EXd#g{4@5L@kB$JwQKtR@Q-Mo0mlE1?e5|~A!itfE5Q7uIl)qWAgnE5*` z2!Ox0)2+>3fBID9jkrRg;V=i0_E!u$5C&zapvQB&n7wX~jXyh$;A)Xj!LcE9cg?>Y zbv_p60-Q|V~XcyZ0msn2W;dnaK{^B%9m&gvQu_LHi}lqHAkAT-&P zo86#)swZ~jIij%G%(P~#>m#>f8y3P#`M6p()$N2xUbqFreE#fJgYpNi^d3Vs=ye9U z?{i;F(cuDYhdXfdi3MCkZdD5fM2$se%5V{sMwH9V7MJsy%9N8(_7sj>j8$ev;jBx_7w%W>hy)wS8w17!!K^f8 zp}t7ZmG?923|Y+T$p10@a`yb=*&`ir>BCE@0Mk20=D#xWy$*nrKlb%OXDA#zGsG>p z@J9YoiBa#|7OcimOMIzRZ z*wvI(_G~etZFY=O*|gb~(>?;GqYb4HxL$p~&u_e=w9KN{r_=SjoOyoGHVh0P0n_oK zL^5P~4=HELH!QN}(&Qrt=`M6q43Snha<97xi7obp65`d5lwG9iuK(1yz4n8q zH~L92W4^M)*>S1=k1Y&ZZHe&{|&gxH7rU{_I2MMKD zbC%hCBOJujaZZ*l|0UJ8o~vD1`bqhsD>#sB_#*`~6qIExPU|ef){2jYXsd&dJwftt z2Gdq_S`&_AI;aKAO5!T1zyj#Fv_Q$wP!UFqcFixBN* z_j6h2_G9JxJ~)?*(imoefnd}Ok5+Zs&&R<{N|O>logi?BCSgfIeTebT+VAmYr+noe za}|fm3nk}}r+6Xzbn&jJPMypsbS|rKFH7z}7lY=vfn$z(m>FDMC%~t&M?KE|5Vi^A z*n278KN}VM;>3flABJ9EdyBvj(ShJFx#yRE1?=;qmYP4szuNV>nUSU362XKMU zNlobEy<&c3`3;|SdosItk<68NG3oxMaIj7FV<1l|F|M=?d3F{l_z)4Il*WUkA#4VM zjWcs_!+m%!3c&yoIz;$Q$vlX%afimSdHBL+iWC!pkmo`lE2y=RDb% zMs@SlWg{js4YBC_c=RWW_;}!+JjKNQU>LL}_6}4V!$({xmRp6N)%jsXT2%nM*}GfQ z*#`zeN5EN&Q$hw~6_i*z%ky4zEwU+PfZnD0=Je}k|)i8UI4DwsaDdD!qzW*$sL@LAfUPWOPVg|XZ+k9ZIe>ahE$?aLA1 z*MSi@GeaD9zcyT&lzRQHPi55xiowcKa2eq7a*1+e?FR zmImbBhjBQh@1$^iL~^-BoZk_NnMl(zu~)j8UN1kNc<~CJT!^HvHL1i~fFN3GNi?U{ zqOjSUcL+%@9)Gi9$0X%ZM3a8?T9>x$L#wx@(H?p!XhcN2Y3E8Ib{$HoVkg?8!zgq? zyNE2%*83KZbrqBQ&)Jlil9<8{Ki949Kp_lvF6;RyS!Uwe&mJn@)){$qvVMm3ubbIQ(Ivy} z1Wwd$=-!?FJI&_Lv|)XC%(n?JHJ-=U5H~;t1#wlH+gOYJ*98LswIbJ!JjPh6&U{a8 zcHQ=OLgOH?l(CJbdBT)SB#;@y2lSR1;m^=hn6}2qG_W$Mn{QW;%0N3UWDnYF=qY4k z=~y+CU)ay=X=U!$<-2U3Uf=O^J$W3bYVaqDm7~-db(&ZRO(S|B&_$O~Pnl zmu1?vZQHhOziHdHZQHhO+qP|I-ROw!uE95|`VVKYPCR?<-S%K+p8#&e;)`yzD1Bz4 z#V!Evx5Y5mDDN?L38a#ccB4UGqV`~?cL%X@wd^e>}k_3loFnX;p);e_b5 z?R~K6r$lkxswsW}z^=&qL-R_wB+4bAXnlDt(O;MH&Nl1?UKIc7$)G`xAsl6**w~-C z6t~|5Q0_HjH;%iqBBBkO8ohxs789ss>&P)TPxn(-R#<`84m;X^N~cXVQo@Xq4#nqx1)(Hg7<_U0b&Pi zpi6>&I>@C|ZqgSRWjcEPk}-$ZyO{m?q2#V@Jsom~CrqBVLP~1$za#7Hh=KVecd&$B z2V3{;=-&xP7n0x9cUcb|yW^gMHW@$~PL{mZj(_O6mO)*Oz)K2#FsoNsE=s0C?;2HY zGUZnW(An%2x`@XVyI~M7fvk?s%Rd%8th!RB2dV1C=Ky+;!gozp?jc2sVOZ8UYjxs?YE2{kyD?;>b}h!`%t92sfm zI=dMOfyYcZu<5fHpc8Q=TDYyYl84LpYofC&Q_lM4X@Bn7Wl3w( zGMzWx(O)ubkf#GbJRJ&;sjg*QKt?+jr;8z!AB>s!Bgm9TBYhbyi?1=0`ACSHPcHnh z7I(k5Ccn32p5S@Yw~@`@N6X;>Jtnn0GyBHxv+{y)Zkv)X?Xg|qDjHmoc+5DXNY|$~&!p zHO!?{Oqa-)F6DH9AifTP)Nu1e?d4@|2jEf=kCo?0=Xf%n?-{WK#=cH=;9EflR$ zbw?~Hbcx#x5^C~fy)+eO_SEE?nG*r43$gv1^Diy!=~MxYQQQH!UVa!?1!u>4>4t-{fJzs8c^Fi~YGTN_5ah4wg@x8(l zFo5^%qRiJK9W=>SsGAs_Vt{~^>sX2Cz#RQdg6`Hv^^YFdXm=|pH-WYB7koQ6y+m2QAFOo~fTqkaAd zb&PuaMmDx`wJnG;Wz6cC#2-E6F7;h~XCgp!Ag(Kpiky;M412Z`V_fHeXOR5zzliSL zkT{!^)rGLkh$#}Zj7*5|-I}F)rlQM{=U7|U9q?J}Ct2ZN7zY}c>=@4S$%xqOoCR;ppKRn_w3cLeC9;rmp*A)iZFZ0d>VA=X?Q#^_H(Aq zshY9yTC>P0NG?#^e0&Bd1KEHpROioz%N%~3tAS8)Wu6W705}M$noPHu`>xNTJ-?9m%Bg37pwU>)qQH3HBH0%!XG<$H<-wxQ-dRPin%R`Z%Cde9Ypver+s57eaEg^%=CMXJwL<;9590^v=oi(e{gPx37`{F%B zDD+D|jbK&G=SM>O$AJPYYEy)+morqrOFo@sA^qU3;kQC--9ww#u z&qn~V-@S5U&CX(yBtmobbS4>qgZn*5i%|tJPk*b$R&&@Bu=@V#mJOn&EoUZ)+~8b} zcXtsZ^ha`vO`?~68ybEYg_yQdHiOo}ZWfNtj&zlwv?aPSU26!bz$3j6jIgHnkEBj` z=N2}bh2;MO0gsx+Ak3l*_1~l@x7(43|6TRKwVnB@YL#sN-T$RV=JZD#eUsrqkBm9Jr|77^Zs@qi6lC8h{E)Kz)2lb)-j z|1B$Iim=GzS|SV{#$OsrbmN%kn36BvKZq2-&83=|&0lVAZwR?huU%ew(w_m-u{{Ck z_2Br4woxKA(rRm2Wro*d@OsyNbeJ1qQBKqM%(>nNIFWblG#%~a^ZB%oRI^d zeub`E39F2WWe~B^J6&Y|md(iykW!pP*AQ!D3H++5D$~cLXztbM;v;K_8FR^6#}D4e zP@?=-bPAO+*L@4dzgB7rk1624B|hw49-=vdx_iK9V>x2HMNu?^UX{;L9g#`3Ry)rf zx=ihR;~{K{|0iP@82&+s$6UM#(s7&xqY zSX-e!)^}3Je*rF9G7*O5aZVLqL)mym0k)`u`)1n;L1n|Dw<2b6G}B;Q#nX1(KAf|u z(fX6(Xu>)@bU(xs-R_so$60m^=CQQWRSt4kr%lm6KwY+DDnsYPr&VOngH;A4jJDXU z4+k2!qo$Ia(1EyjeuC3ZF?fE9ujdIA>2#~`-S}^s<%lyC-(k)*KcTpgasWx!z#T${ z1PH{77Z&2UdZbNb4PrYb=mqbNr7Y_!WPmGO@-`wC+xJq8TTAUf5|7f;Yw@pybmc37 zq*oop4N#>pQQ(vB1SU5#^pxQPwVGP5drw$U?UV=e@{j4w7jF>+D`#U66m8nq=+iDz z5%9rnLr&*Dp^`*4s`1F3t`uD+WAqIl0Uu=TKR+z8FVE1Hhny?E44(!&F29(qT6#$6 z&M3i;W4Pooa)N9{gE8TOAUXlvtJIBA?+*@;k3*+@D_jz_1~SpD9ar-qZ^{R~J?5XM z`ca)VxZFP$T2hPfDhmMkRj@Z$(0AL2&`PLLW@o(65&(m*K%k0RA=ZB?48Q? zPlo=Sz1g}-5w;G>@5q~-bK9oa1AsiH@dZjMSSf!5?o}|y`a&622W9r3KWP>2zsHSw z4UAjua`tncSEWHqJ1>y^aHzN%L&+g9;xo@;`ZY`0koRn-%bgaq>3l$yX& z>won}%m4VY^Ur(KE9a>p_UIpTEp7qCUP#B(9w{mykC{D8{{?x%`UqPugVgh~;fT?}n7Q34M?bg&9N$WjABMA&%pKu1bmd!DR zxJswgH9Lf)wo%uk?a7yL1vrW!h4b!v>NfG+!am~X3n&p%P|g=VK~LsN3FDY$=yD&?+~YWV?OD7WyUYDSK-s#Q+B{BoT!CmL5Bpc5I^k(m z+7g9hnv%sUs{wuLy0q6*&cbc^NaI}UGbU-71Td1(+X($vHPVpBw>|x&{xMsWjK<3c zU`$Ew$;lI4k*dGDGg0~&ZWxY<;Aq5c0C$avMQxxx9w?X5;-hdw`WN#3K3-yyL}Gur zw@dFwzMVyfOq@8S7yh=PDX@VUnZbmtrayW@=G_S2XqweTSR2XbMs6LeOR^aRD(0t( zs?`%zS(5qS=pIPCtTuN_Er)CX{lXa`IoXzD^Lh*SAQ^b5EI{Qds&}iYJjTc(#rO)a zl?)OoTn`+uTL@Wcz^wcdKI3>T0tA{W!%`ywBZu3l@#%vre^g+%*rt8Vdh``S(`s(@ zSY0I%9jCF{XNeC1P>KIa612G~3kB10jswLh&`B zso7QEVkNGU^PHgc*_t>(TFgOy4eDr+*dP-y#9@fD#*3I&dXCE<@yvja_8gBGImQ7t ztY+Z?hH(6|g(EiJIt;#6FP@$<^I340W>xSd^Os3Rea8{51)-nF}TP^SuzI!cuv3_@UkI8zYUue6+3@aA|MMS6qy z!J2p=HquC5p91bcMm#H@cTU)m63FWK%(t}Mm?)xa^c~|0K3P|vlB6y9Z)poeK+8cC zkHW>hx6|Gi0xjh@=#C#^hMK|_+r~nRYxMm2Wo5NLzucY&-aik$hEmp%M(Mh=nX$&C zv-!kS*@4S_8sS3;#y;LqS-z!bjba>S59Tbi>C4WIW6>Mz_!f{9n;yD{vVNF z!{O<)LGDmYanK_|v8b?PF?6@3mSWJv^r5T{DAIQ*uo@r!F?z~Bon@tK$9N*(?1DoV z0~H9n-;eguenuJUSf@;EC`--icb&?G8A=X_GWm>*aS~U{7~HpEzYL>Ze$8&@n_Ul- zQ>n$F$meD2ZTFqzzaG#`_YZjdc+GYu@!J zm0TDyT|(@AgKDRmEwf<6Dsi;~xDoM}UFuf0i0uzU!3q)QR*$mpbxy+VgJkO_$naX{ z<>LqHY!sq0^G`t|yU~!xu2-@r&Rjp&Oy)5i=cF{Y+P3=C3Ou9;E$egQky&KbYWbIq zz9OQC7_DHoTSc6SG_`sK?l6@TVzo?<34JjtA}V_U`$}|!`PDT`U?VWSQ+Esbj^#Oo zAH!xL!;RUpAXgAzf#{O98q^OOjK&L@^Y(h48#VwlkzDA8OgLY%iB>Mk�B>4j3H))&(%wvYvZZzk>68gXC3EYAE{^tLN!kx|PN`#WbA zxQXe8&+03}VS_@m%{BgLt4O@XPnw_7jt`5)nr~TLPD<&Y=@lBa;M2L9-lSF@x3U@C`wpCs6KM6Sh2BV2@k8b7W1OVap z`HyXJa8=5)^a9X&*OMCzU;@<7b`Cm)8^=HNl--#Rl!fyC_%vxR#X=gJ85*Kk$J$ZrHO$a0|W!&rxgUG^NNq`|O#Rfo`t@?o%_ zGTJ(Fau%ZTNTgqrbvhh%gXQ%@$Uz<^w*KTFFtd~#fMCHu@!f8}8A8Qi&oEFnbV?!9 zg)KVl2Q3}*+G{`%Tc&(?!#JocOMi6e&DIbw%-WI~nInOQD)r8-OxyVr9Sw7uXd2zv zMSFZHT_kyGDjE!yxwdHTfCLw`&VH(gzT^ctkHtvY`0HqGeBe zv7kI~TR>13Kh__@Clv}o%+hwP{~T~(Y2Bjo=wfpGPfbkE4Aww{roowA)oHd68emZy zc!Q`(uO`D$7g#IQm?qZk&J}iX8Rl`hzwXUnxu~n-%xQKZieaaL?AF!^+h&p@g(wd{ zeNz__&w#N4b01H7JoU(5IeH|56J3 z1H@O-Su+!he7(u8?8&mju|jdN8;vM}>21R|>oZRMr@ zxU-LSEH#spN-H@s@E;9F@FL!Q@TJ;_D1>|D*4(GT9;trQ;o%BG>H=6d)rFuh%7|N- zUX9--wH1e9WuYE0A8ZZ1t}>rV{~9lGy6!kXBG~V<)@yo`LBA#IkHb2o7R*SIP>TtY zxQTy!FkTo&9~d+L?1mwAWYPOqy5~w^S={zU5KY0%8c3ZnZnm^m&fydO(Qjy1frOTi zP61q-Z<_5P7RkXm70x%`_igpQ(JM^&hECty7S)~%`c_J`0fZlxlMPAC+B4Cn+jG=? zhS=DFU6&hgR35NlqGq`=ojQ4GJ5hk}9&nBv3%xSX95lE);BCpUx=OtnV4^6goVki=kZ` z@A|a@>0R1X*YnoWeV`Y1y8rCoemHs%2}$!^D;l_17{qLKuN+nbdAAP%4yDT_<;tBk zkm*H`i<>TO8PCA*sv2a)77oq&NPl7LdwF^WOv$9om@y6ndODc2F)_v1SRVeF8%SG` zh-z$;|4&T+f9G366rM;V@#PII4ZK#d%(o$@Qqof=ND9Bj?3XvEr5#M4L^4q%a3bcn z3B}OXjL`I+U9*V8F#`uI=CXi-@EhBK1d=T!nm(*DR$U7O@%59EXoAwmImVLO+i(~$ zJg(vc_$$w`kUQ*89{4DP#<@5~k6n4 zJ}uv7t%h2lCuN}LYOgz4q0M09-?2gW`LvSl^xF@zSQx7j?gabm7>DCqGTCosA)blc zaOm-96z`ARQ|igM=wHosi>vq~aFIv9;tRy2f1Dc+>w_5yUJAp%sv?pNx{rVng;XdF zDyFgzZ+;b_T!nWw0uY#rRFPA=5Yv)d={66=DbTjLE<=&|Nrfoj5Xp{rO*z~u7&hYj z9~~D3JHQ)?kZ^63H)w)`ETyl$c4j52|4FD2VEFEXXYyt_clqC_0B=1(FcMD$@Mat& z4{+w4&8M8;jpqfKv2X>X6fP9l%6~Mijr!x9nj-`=$iKj?6M9CqqXD=5C#D*A>Tv>@ zqOCLYVG5s4zW(zmwPYA1m%>8xV_&RO$#p`JVR94`8J{AAZ=t`lgh-9Ri3Q*q;n%73 zq`pn9d0&;$)3YsLqmKwgaukOd-H5MQ?ljQE(kz(mRKQjjR!QhXofR92h}~YHJi*EA&igCI4`}S=-ilyySki$)iBpPS zT2pDm-W!zC6v&vZ;u<)Q{>;_9>4X!WxZYYic(q)-{Z!}tm+A;;EJ*#6{Y(5vp8WuP z;}dK9=cnO86B}2bi|qNwz4>?uLGpG?RwbTJ9VK_uN6t^ukEgy3P#P1%40gkw{lBtimX=y$P=iVN2sH=Neb;G749bFE;zhlNlvuYm2xBfld*3=a)yYDB~H-o14Y zRHv|~&@9Kl0qZ4-LqUaoDgncEbo$k}t>_93rpLE3!qzc)Ggm;K$J=pp$U}k)fQ)EL zoj~)~k)AdUPy%&`_?(Bupm7aK*n$0|OmBCa6BiKx%K!QhUwgUrorJ+~Je7a%L;nXD za!1La2z}d?twM2Uh;`mbNvRv5w95Bi&~P(VF;qO$jDoZ5d4j(>zjq9uK|)V0B#YSC z-*C0~joW*xLc%|&0VWT(wwxa70f35urb)w*|GA4fl?04gEZlszw!0mhdywa4R?i<= zp!E4A_$ge!obrpz+I&b%UgEQjX46YDO3ONl3cm!e0qx*c;+Fa5<{J7i;|P=7uP-ws z#Qcx`TKcN_uMac~mlphCv5it{%#+(wB4Ac!jSf9FLS%d$Yk6^$UNZRv)aRl1TIhCS$O zD>)hmt~jJ$R;^dd%Dn58=c49DV@Rh|wbmt5Tb<}sm1^$HD0#s?-q2>T1cFJ9lZN$E zV#L6XdEYtMvHl(MxESkE?-GmG+D`1wAoKzKk`xm_#T&d~T&omPF~qFOH-HEzZ{XG; zWQ518@W2nTF2}sqXl=KW`&%48_#MenWqp9Y~ zx{Y}&@hs6jw?*7Lk!_r!DRp9Sq&{e-&q)X9gD6p&{qWeZzz|w3;dIYkpZ3wxBc0r! zns1u61^X0~+0#Q#?^#5**yCCq*E&$3SO6uVY-yY#*MZ8R109~iatIhh>X4rbQe2?3 znI1(}_{KE+&GaM{tiC=xiGATV>JM!^G)uZ3V^SaAb+EA}Z(L3z>_$j9iJp4quS5yZ zy*m6gEmEP9<%{8$?{g9-H7Zl@OXbj;j;)orrLPhGWOqE!{g#zci_nhnGl z=bmRv`ptmpqu39MBReDcmG%)qU?JShJ(Y83r;8^Gbv|x)5FUOqL-m=K#3!b@G$@1; zPE*C8GL3YCN|N?m|3ulAw19%7WEhdl*S6O$^W_E~ohzp>4DC6m8kzY~KKxbbiB@^A za5xiDtVR8w!{0|CS{b#`R$8yI{kM3ZbbbDSbh%V@TiJZFR3=a`2>S2)C-c9Qt|ppD z2Yeqt+6xal*1w^h&x*L7tUsx>2)HeU>daON)2=^vs_mFZq7jqamFX^pyCWHYjeev| zu_9Z(T#&q8lMY?d%vdK!Fc2Oc#)Rf*(QT(f5O{s!wK9N!Eu9Q$VE`0$XO3>q3I=er z=Iip<_su2udeXSOyd|+OUz4zA#T)i2bRnYiY-vQ*9)>e^3;Sc39x!%-<;`w+l7sK_ zbhz8%TZP6^>Osxu0}|Qi?{s()gXx zDS;}{K`Y_=2Tz7^kvM*Q=9^wnk7b}*_|=0u_In1_Dk7gl*b{w2saLekCU-p$W6YRYTHavzO4gICzXXCIAu2rY4|+5CE9f4vT7LhaEyszH&UFdml6x z(tl0G)ij%==LF%sIyZLhRh)lOnFUzYjIT`dF4_PC1&+Y-lU;b^=$tg-u`@>7m78qw zxp>3XvTGc32@sUH&J6kPCeU?w&;yZ;V}X|0>}ri|2XTvQXDhM!=03|d;5F;7#QQ)b zT~iNVX%ek_4-REPvSCj1jPzJ2`S*=|YOYIgf3VR}P=QUVKXxU`BCR>lO;*42XM#vh zdi+vOXVU4&2T}X@eF3=?2F70^Sk_pj7C@uh(_rR>R9h#>NlQt&Y(-i|ucu!W;`KO| z#ovt!w|n2=afy|yepOZ5!uZv?+XDYminMkssEr`{Dp5e+#{uJDG^;0iQ$lu+UE&ah z6*0$9?)}>rt%gZIZ^xZN%l)fTOCi+Kf>DCaMO>+%QJcqBZ4Cbow5Y2SbGc%YMaM5| zx)|6?2{LT!%;P|R*QdV4@J@|nCx{&vJ zP&DXsw*dyHoxn2uB}+7B`ts~JSws9~OhdE<=rn~_syM=@vwQFq5r1>TiGl!FPz3)A zK>Y6&aVO0YmqhOuCm;=AP%^Bt;WA#T_@2w-3}0AK0qg^J&W^El=)>{Sj{Qs4!e_L~ zDAT8GBPYK?9>z!^w9K@AA-yOG17B}g^20MV1~qDPmMGGx%Y00tF`2k#wvT<_*-T6; zVT5D&!q6yuS!~2_rdRPY%!Y!V(4(ltHBHI#mhyCRMlVQ)9!K;*G~?hLUnQ4`U4t4| z0Yd_Vup86|Oy^bsN^6i}p2M9-65jFj${1Sp^A}c6EdiBm7qJa;P1-1sw^s|suC-{j z!7uIUHUj20J``fy1@<7Ke|yp0WoeO307<_=YYWKu=e?)#DyD}85S^89Z^ND`#2rQ?#n*w# z6MJJJIKD&HIfFZgBnqy|!;G4NV4_-?c$Q+r^r0;~l~<5S)-NT9jAR?GSPqM3q_6BU z6uO+q&xsr+?Xltbiw>*pppTtTWxD#gTlEVo#=eT?uXNI*e=u8h)*iD;`Vtuq;>gVt zfDK6d5$Td6(4xRq%CeInZwr){#nUXNQn|s9Y_}BkR6}V4iF|DH-f{UEvQTxm$g~lg zO3ZTzLqDdTEvl`mD(ll`8iGFm+42SRTqjrPeW?YD{o{qb4j#N)r^-L zyO_kfALnA1U1QqWvs@Q5FDdg@Z!ijVNdth^%4);X`a(u^J?@SLL3$_^g3 zh(R8O?sG4YA?%=r@~}7{!H&(yK%^KDXbv<0LFzroT)5XCJ|p*qmpJE3@^(;AFh{}W zVxf9zm}+n^1Z7g}V|o2+YY#cRc6x2{afK4jzdepX3qVFiROgdY#Fa*kst@7pNL>@(ye_wJAh8_1*G;E&SHQ6|=Kn z)rxG5`oC_lTcxvg$m6X_NyLD~YBDgKIRYA7gsch-?r)z!uA3&kT_W4%!<@( zJSLK%caPT{7`PiS1kR5aY+iHfYC2a0CBeN%%A28@+1LlI9nJi)^4wuhK2W= zatbX&*r$x>>}P+WP7zRzn$ltU&7s@pODibdIr7pOGkbG`-Fd;f)QlQ4aVdU6Gc_%d zK-?c>+{XIrG{&DOqCypZQ@{j{>63KsmW75w&GdlfKH-4b51se?_G)t;yj0TTZ=VYc$LSg#(oUCS?g$sY)(!zf)r5O`{Q4r4AvlOv#`mVNFOS ze@y%f|K)MMt6Qe5aQ_8=h5Az!baBPwtn?51uK=+O2zosW)6!1NKy;IUp_L#>1EgpI zwjJzMHwH#>qm`GR!JVd2QDP%-H)A1Dj z0NXY?Pty{*)@bL$+^2%W`_L#~PJemhOrhiC7+qYg&o(L%YJhF+y6W z(ijD6CyVvijZoS0o9)B7F&O$JzS9|hQ>&bueUg-P`-W2_?=n~s+ZxVVw$dVCW`jQ6 zac-chXsRX!6yaBa2)*6H3!wmJiNZh+ria6LD`n@U;1v|nC1I|AODjRy@u(NngvLu; z#Z0vh#_n?6Yh#cDb(Cb{vzew$SSZ(;d0l!gCa)aYW2_zsXTKTYkT7&}bx}v~PxgJ6 zSI!`yY4E!R2YA_7yg&-Fw?nXpYHZ0 z%L`eEO8Wqyh(UzE4UH58NAQ6OfE%jn#?z#iO!K1tI~HZOr2v!@d!KN$vpGuNRAA@6 zi_1-32)PE5W1Z2F5Q5yFV>^)|ztW|GV>|;NtMnP|Dakt}7>gwz{fr#F{DmDL>KFuS0??qgEPfIZ6cAco#ZuymNz+R2oSmLH#)T0vMlYlF+`i+PGK zb&l9i<(YE6>O@IXY(GkGW0^y^f3Fa?WIN8ws~104_4x>Xq#O!?8;ZS&%pPehX$22j z@<%3#{IC?WaCF(T-o4etEhTznTaiY?;0zqynAO-W)_rMH6oP^Bq+}%n+dh+ooZ#c? z=vTU|UP|Z#m%0c~i`bkV2ZZxKG5!D6dh~z5^q4*1>+sc*hTz%1W>?)XDUo+&+)`h@ zkGud2^A79W!r2=N!~Su*1c4>jdAIk=su|ge`>NYQwD1FiI9S$x|A|ZWx(=d*(}#y1DsymK zAJ?z*0?=5!#rXv5h)pwF25IyRoGy)}qO&hqx4n%L2P{ztHR6MBFBeyFI@rTsZqL%e zKX4;j&aspK?*0C%AW@-);Rx@u;DDJ3)N>C>&GSP#xvU@!b3oS}#i{aWbc<0|GOgcf7r`bpT0=gYoGRUMgu(vST4s2l4hvPF(79t zA%bWQJj?Yp+wj?^l zdbog~KQ3{9p(@^Mp&f~eI)r5soNrgVG+-6B@=?761{@v*Mjz)2Md|RW@yh1B#=j2; z?;FviZy`<;(t&g)DF#(;BTRUJW-d}#?Ub!;xu+Jd$`%Dvmzg_i^X671>Bpx<|Z-)w-IrK;K`Qs#|s@F14Q2*$Di+2dbUX^CJ9i94?Q($hCS)a#| zoSIWRF<0ur0Y%%U&Uj&T8%se-<;sqRRgTnFjSWAM!RF+*(lbLJUF`Gb6?pOMAap3N zR)ne&E5-Atx8%RV2r^ULNMUvc~P5;Z>7`o@UVi-Ig>%>1n4S^KoA61!ww&G8D`P zEqzM*ViX#l&!c&l(jU)O^r_SEIx<~Qn30x~(T-2uu51vuSx~~{erT9&h&Qn_r#$T? zxr5KZ*;O?2)@Py$6y*$X*-XJWT@n602HkMcO;_BX(mG071l<`j5lAA#JaBba30VJi`gE{L!X>}v4Hywf1TY8}wlcz?|&H3!nVRs@5 z(D6X=S7NSJTk!SMmCTAv!gojuIaG=!Dsh_^WZ5cy?Dg344`8C1Du%*ir`Imy1eU!~ zsP4Y&g?Cz;4+Z)4L;9q^d&X`&rWdKuyi5O+o9#icf>Q3FaCQS zTf$cGB+bG)!^H!m$!8IJtdg&vVQ=Js)-z+qJ`O=h-H`ah@pO)Jb7>oR9%TPqFp?lI z2Y}$0G%+jlFN;h?_JwH`Qs`rU=d$Lv5qQ^ps~}*Grk25=ky>q44LT<61JFh%>;acu zUSjEh0dM2xmz_{gHKBeBe{v9JVaRj*>$-T`9gijGRY%aP2qZP>mpOM?Cst721&?g? zQ1wo`3*BqLnsCP~_1Us0K-Wb-0q9*X!guouDCB7+eWJG@)h-yKrvnzq-voz5Kx-H1 zb|(HteL_maJA9-9*jaF5H(W!bUUP2&aaN`VM2GyJ_=221jed#YA&qj?{`~@kbf^_C z=ajDyytP&YfV`;YEuq^Ij-C z1N}Z!9&5U;w56|B0b_l8@brVm%q}PVFzL$9xT3Jo%@x=yJ$5`sfjF?^jO=Z^cS%)2 z2n^!h$!W6O_R<<5$ExWe7o+yCQu-(({$ATrB4t(mp_;EHdy@C?nXhV9AP7lPRlG8~ zJ?t=`keW{!K3s;Lfc8891V+!szH1QDD-YhWR^IR+Ro(e4>S!GX;P27F%f2OZ3s1)y`W(L$C6r}5A}$xd$#fGN1^ zt?|?XrpB`-MNzF%&!6#xCR?Kp57Ez4``km615rgP1#gS7d61%h8djjKMRXe2Q=WT8 zD%spIT?A2+EO=o>`Q_yY=zA6C^iipU*Gb!H5-r<`0}NnY;*AyVOY#ExJjesPN;fts z1`{kT=`~fs^8wetrE=qcQVF+KZx|A#l(ndW#N}=oJ&LxJJIu==uza|Z5)DHkyyd)` zzhM&4HoO#DbRdB8PFzch@|Xse{u2U{|c z7AndfYNTA~u$r4~YL&W{kPhq}My>O$fXdE^{tk=pp5=KDS3#Qjck+swo=k%rCH7(3 z+5{jCN7Eun9EPa9gJ4<_nQdjNpNKO^Qg$2NROiJDl2=|YZL23)m`nKKc#7Q31yIMw`O|K&pZlZC}$P$Sz*()+RQ)C$Tgx1Z=+ zlFuX6RnMB%+||LjAC*POM;CT}+~A^x|Jc2jrYSr1{s>y~gJhkhvdtISfAHg|z*ar7a_nEB2-q-X})S{TUn`2!XD$>C@ zk9=BQm&ap1)3{qfma1V3b(Da!VJA36X=tDgx()2G$IYflnb{CoS#YDsVp(_9M=d|c z6>{|qPiiJTfdZI(|0kyZ-zrD{512kF$#k+)dyo^fej3*J|%4WJ4;5ced))1kvfXw8+uiSgNZe99Qnl$2v?sPHz<_^*CrT11Q* zLNNXn=|iML%hBt!FS1t{1Yp%$M?xKo^G|kcJYiKb=w6`0Z&lCJ9y%OHnY^b zXvqL>&iE#LI`!uor3in+#wBWsm)R3QbPS1m-9f&iNB%Ba18AS6mF93%Ot=|W+bdjj zR4zrsWx=q!KYB;q$?&)I4*vDlTlZo)Q%-)XQre68*F1Ek<1_{x4*1EN)Pi_hv7gWp zBszDpFR|@yHjZ?)dRx@H0Ng-bH}Supz3;Bv54TuJSE?}m+Q}6ZMPI{v3`wj{qK3U$ z0=7aCKy6DHWjFK|Ub>TDlJR#zsGEPbKQyN)t9%jvV2Y8K3N*$Pu1zH3Nf@^;0>Xqa zOEC5+2g<}eS3)D>Lv}8!!`*HBTF5p%{uYU)HR8v;4mP(zeCpr|94V@ZJ)R92F`uEW zGHURHu#yt(&D?BZ7&y8e4o32&i&)C-iUrq9QgVBWNNL1Tm^5%@43Pnkwt}$ahL+Z8 z(XrnLh;T}uU!#r!)37e)`hONH7js#F;XPDbd;2p zt}lUm$P`iM&iW>N^_{(DPPVd0NczikbrU{I+i1XRIrHs~C!vL~0fdoU|NB&Eso#!BhjJ)OWD3S9~J5!B*&`1)R) zK_k4FO7P_<>cxl}LNd)Qo!T;wByH;Wl*7^-lRs^O);M}=@7?z-GVIB3Ni}$!v&PqU zMtjhuxS`3Z?C2XKKR5z1e(V+j2xkxHU|q?9<10~2xWLw8y^pO$5y1Npmr*%h%QKtM zYb@08p9=|XbSO)qt9ygmE92tPm}xQ#IofS%bDxeg?b+4p1?Tl85_Efaf>1Eo*I?kL zc+`q50ZJaaFr#63e;@UhsFFd)w67X9ICA@8)i7@|yr5f>)r}sMkpFld9a@hW1R=ht z+1a>2&ArN2lE91z{El9gagLc`NWS~Us@xWt!dD=9;JSgD-upx>1osolN zrIDun5{xjsnBw0di76xMrpfNX2(!@6kd$LM)0#M)9^G=)rQ)=21E@3^%n9AJ^dso8cIXkc8r?t; z_{P|NkC3=~#VxEvs-T4ZDT(}90YnMl4GLS`-RH+1@$d%=UBiCe2-mkML^S1fE0xc4=}x1BL`SKbAyLiIi{m4MlOPq;a9c;i33hx6#}S-<@9xS`S|vT!&t9>Q~{ zg1ZVvpyhhSm)!iv@!|V`Nj|7~k5qdXZEprge{zK*O}@G$FefTrN3X&*%{;pY4Dn)e zrMW5jkC4!@_sQwI9l`e-e(%S=iM%NZNoi60CUExB`LDh!02B$+5Q)7hJlj3MM#C`y zIBt_$qEc#i17$dxj34yk?XyT@hxOA)c^vpD2#ez4T*vkIMS`W&z#>|{l!dQ> z-yX6SUy}Ufp;uORpPbt`7tR)hWm>MHGD}P06DLP%t#CuTC_eX^X zH4ihq;oSP$oJeX$Fy+fF;lXx70^M54+0-#k+_;VRrROp{YD)Ry2(kb(HYdqwd9JO@ z0DR1A^B|g*`Z*Fjt}kKdHuXK2G@j%MnKiM*UG2H0{h!yxZYEyF0kUL&%ys;C%0{$n zkV#-Q=~>iAu~vrPrZlHxgf5fxY&39loqK|;!A&%1zdEw7B+RE%YfTLU&(otfc=O-P z1V3Iq&hR?_gSoT{AxCnLud6DS8#;`%nuEG}U)EzDA|!nJlnJ2WXnPsWc`f{MC0G%6 zEh*z2-Eb~g83ta?9y!?Q-}&BXdNQ6bGi@3)15l+dj zxDe1qyzUW-cTtPmBmd{Wbo5^GNcy8H;4zWYmLbdKAK2!bn^m5k-Wkbne9_;WliDIg z*C8{@L(}5a5So24$i@bu&CIqdiz)?*+f@ku?IZj@G}Hud-F1*?9Vmrlt|P@%qVIEX zscC$B8>7ymXYBc%tT*zv{6h~w@H7^2Uc7G2W&wnwipLG=O0$tcxDqEKQSW_F+W?**Q@MvZv{1B74KQ!fnd19o+)M- zmnQfG9HD_(t&=#guX81RJ8l-!fu^_5jUY6MM>F{7=JlS>Ed73Q;_>qPSFO4XVV72- zIzYSA$l=Td5S7a5Qvpv3N5O;FMB3SXFa>UE_%MfaQ@+Kv6AHUc)+NxKBcA{rs>BEE z{CDe7KM=e~8>63P+CAa6nNv=lldHselSt1ePAO^KZte`Y)uD#khTU*Ba89`o&FSU! z)IH&{K^vUTYrdWZPx*Aa1U=?ddzL@+kSMe=G_u~wFw9y2UE=)X`u4R6e8l{T0#8x} z;x3yG2hanyVP#9jH%lctn^)A;$z<~=dpJHvu_f7M`yYw!dB2NiEAUC*bEbHDyF~< zb0oEt&1V6fa-KWQ8xEBV0IGwo}X3>WDNI0&*CCg(u5TKUFo>_-d0G!3ZFhYim z4Z3Yvc`JNLSDxtE$`mr_Q6yI^Ce_+#NG1zx+s8W~D-acgBp#z(No%Ygy4Nesd}|kb z%u)pSk>W}5C2EtU=l1<;%ZCTZAw#hPn+lf**F*l~vP1Y%EaQR4p!&LsOEJ4}eg4$< znY_sQZC#Z(h@LoK(fLZjFClPZS)SqH9k7M{FjqhS16CEvSooR$QZ3n-GA`+}eI3RV zrJBV)QfY9O6T@xV@&;gdZ8+^WSSuDQ2DSo;+_o(&xQn5Tk7^B2nj<6%D-oCeDF3b( z4-eyaUe9L=)forOo)DW`g74k$Bxp!U%TmY=GJ{9F^WBdApQ zxh;*Y!g~4hU;r2B3Zr2AUoOobq;i|9g&<@a8;*98X<2e?5thj)?crxM&wu5YM1j~J zlm(?LNHZ}b=V?BDRC@(XMQ6_r(HA3B6S zy~gc30y(bCEy*EjarEVz1^Oj81=-}=K~kex#XOZ|2j9*;gNu>e=^1oFrk5Zn6`LrC zu&-&h^a?|TPd54kv1A73VOLK)d$!=g0H1A=nLQ-ISS@)o&+Kk72s7}G%b-I2;5-5E zzty;l#oSCgxagEj9u7fPGXoKw;sX?ztK8d7lscCcVp`hn%d5l@NQmI7bRrj`*TB%e z`^m4r^JoS0>Un{ibMNlH{l$Ki*`$*FiLZKl!DUX+9EH@$qit{`9sSd1qsx==@25ZT z3@AycuN|6?o>h=MwFbX<5lN`z%1Eqvq+`%Md&g_9=SA`;g+8-09jOu=71YJJ|KCe z$QUuQO0$uPy6fh198nTo-jOw}g+Hqq&H%v~I%`*a7tY>Wp92d%hw{3?Jd2%Uxaf;( zWXzP;m_WB<<7QUQbKe^&LJ+7T-Hg{uTJ^~wz$RRblqrN9RFdg*bL3?(nwve{Ex&mq zh@TOiFD$E<*D-Y~dj3oq)kgWj#_$Kld|~}sJ+J#5`|YtA`Hr0GX-Rbj zoQOiH5gHpj^k5iFWLe%NDsw#b4UJwY!Wa3p$JZWK0~#LzH$zm{>r&gXDNYFyOag58 zVOdN%o3K^@uE`JFC{2R4yu->o)P~kG;x298K?ZT>iEGEl(*=Nd%SF9X*(;^L6`*+X zn?N8)omy*)Y1rdt@VIk;^S7U3zM?0_V&E;q@GeIvDhz-?gAfZJZ7;RM=?PF8EOi4S z;2*|vIWZv;F))}g5fb^Buf`BABL7=N=CMi8V`vE^q~b_rdkW1#w3Jy0f^HSJOp^o@ zIrZ9^Pr@t>24HVxb#>$y?iNwa(h`&|G_&Kzeb`8xCDLVJGcw5VENu&+(4XNyKD< zz4RBY9~tPP1*1R`Q5Cbbf%alYOpMU`udPU0MbU~R`JbxP?kI2>x$VGle*gm{SLYm( zSc@Q2mO|0dwQ)Q&?XNQ+yF#u$wdjIHSU|2Y?b!Yi7e27^l^?XqzrPZdW*4fE+Yi9; z4cf>>7Rvx_P#NWY_%V~GCIw13{4t6=R##Ezx9~rzKO-i)-ChEYiBOea9ORB1j1{rs zw}M^cSZanzx(E2o#%M&QzD)wqbL_LVWA&y_mOZG#1bV2z$bpw@SjU^v5rLR}2smu! ziTR*oQlMYNgCDDLkIA{n=$JBDa(ez5;2iF8(4ZuU9hNJ)9`HP%x6bvOcf73hY_768 za~*8>V2@Ku;nXmVj@<}{=@N-o_OMZ6T^k;lNrGG=yK$&|T3O1y;PJg&d6k$3o0L({ zJ1MDruA+pAZpI*`xVi@?MGF|ra>~RTT$I)E19;4*N93}H0PH@;qTt|kaUaS8$oI9~vxeBiCX$fNbQZj{d2g!O@)a@vR(Y1__hH-}S1C%38bs9ls z_`drSb0MA>HBje^dX!lR2X?R|xc)So`8iYE4F7xQSS@b@a|9PEQvU>kJ4`)^KFhi+ z?+qjeU@CfM&sUXABMg4_PMIqJ9#Vy((ryd z%QI5yheLap<HtE8r30$|U{(gQ9Z#=pwOI&& z#NU>^F0;87&Z7%}%(9-;-&&H-$>~BFDTHU=|Y@rreD#yes8avEi(9{ zs-RY~tr{o@yyXbmXul;KwA+{Hk9X}|hCbeb!qJ~1EnEcmWWCJVJZa$ddJ-7_`j#lC zMgxdu@OsoQL<;PxY7uTcWVqqi-_*5Gyb=D&^8Pt|2k}eo&G$>6mpN)PVc-JLGu;iR zwDU1$!3w`rq<*BCvgTge-LksM?)BQO@#O9lCih|YmTo%IfIjS07iKtN{PU3vQ#nkn zbQGht@!SSgz6>#;yLLMU*r zAbACK;QWHL?NphTE#_p=slgVf^9Ei_d4`-sfP&@&xenf7`tU#r#vAjrT@$FF{}=dL z0ii7rUC&}BiGXjxnslB?npJoz;Xoo9*NRH5_{t}RlN$x`_hUWpCIzNgs56~Scv%Qx zz^3o5aU72wYIxNTR%3p!)e!TU)IITw`b2=VO zjH1f6(_b7d?0A=pR{6j*Jl)i&uXoRlgRxBz_rTvSs!-f5NNqp2qRkO{TzlwjuvZC} z_s?~uCKc(xp+e`&w7N16cvW(jA-&-n?9q=V=Y7@V2~*aT*Pf!rv<-xxApfJ zwEvARVrzF<&CENtReY_@+JA4i_6H^7+yN~J#-+D-)TsEzNb?T7D8{8+v z)Q}0Jd_uhXoSf*p9@S6}n_?(c+iV;6;U#mElA*RP$Fu8T zEZt~dM9;puRnjtvYa>=7p)$1JOR*kws*gGu8!4dF|LB4?+{+_Qtf=LV;{EWhyUkXL z%b(@z(7BL{Ax1q;1V) zv3J%uvWxV5?tI@9%rJyW3H8T)YbxGZx?7G0152-$3Q##hg+L`Q4{-+Lvx&1q`V+>@ z_xsMc2m%S&DIuOke~BqCCXSa-QoQ}%dbdJQcg|=iQ7gEAAXcj5HMJVqt<5}kW9_#% zj9h`OcO+o2)5Er|chTg4pagsX{Gej)c3)9K{9NXTj*=tfyB5S|Ojr7aBQfr2cjk;g+JNZf$7rklTAN=K2xD~Yq1iV-@Sy}{=n40p<{=6f8&wHa^K zR8P+Y(u@^3e>Q%;a}#L4&(#KrMHQCbE*#2&UN+OR`z>_%hX4c@@Y}#5<2f> zt}~BuvomtMT)x1ctnR9%8QlL8m-)zEvJQoVtH%>g9$&{wh#LTied#xo3mu#n8LWAumoP0l>wmyE1l?xP#zsU5lw`gl z49vT53DC1kB5I`sG)KnwrN536J0#pMC|nEZM1{4{g&*J5{l?;#QfG1XL!8do13@aY zSkr2G1=q7>Qn0n`lg~PU)8vew-%OJ3x#2&H%T2x-Spd~8r@*8ziXaH$#X37LJW|)5 zavCFuGan|icUz!X{d|td!psn&BQ@Ra$fr%$uds-~O1i7?s7oyzxNg$0YfY;Ph7~T< zj=d05Cesw|>QTR#_S(8FbSqx?cL65Oo{fH5F>o& zlvP}h_a>tZ;yG11eyV8BXUsv#0U8q3BfHi(h(EpXXYemh=NR^&h$=)?r3#8>28Xdl z8aQJD%ZNhr`nonjRtt?I6@}G=m|%EuA_Z*5xS~A-^bzGRy$d0ocuy}hQKw9N9#MAL zYK|{_hTqGIu?aw-I-7g~(?~cdqsIwl=vno~7`nZg?CKi9IZW1-AZ}~Y8qs>3+(?&q zXU=f!nZ=<@H(bL_gfL;xce{XUDELD!dCNd3*YolnfFXqTQlu z>b1u_J);AE`2iuClQ7kgz(v-&VU^nIHH^+{!cFH;jQ=&IV!61_$}gvWfE{t((Q8UQ zC#nWL{fQ`bM0CsbD2-A3YZUaE+#)I?E70WfjEA)ZwpK6Q|I;PCB)ylwobXMKre<8? zJl)VM1j%))os28H<2A6IAYgUEg$>?Tb-ME}vr}$KsEY7MNRd#Qt*?Z}9ePHLk`R!wXtLsF~c)1lVP5nUD-W9?M zeg$~UZ}hHZXg5On#mW9u=7yx!Nrh~H;qP5G}b2 z(S?Ingai)khY~FbdMjvR(-Hrfp_Wg@lZTm#;|UJlcHAE6O&iKoppCpSifOc z03Nl*&6Lk{ENw_rjN~(hZ~;f~KP3t;oC(^(Qo9`zCRh2Qh=ZOB-FtN|?IesS54Nc7 z`x@fhKGV1g6iAh$^RCL0J^)A9-aqhrm$d&jDk6TNocL;?7I>w7C-eH%pnFkpzHz5I zYtXoqX{k6B7B1CMw+q%|o6#hs3n0V%Ot`FT)UOyccth}>!beAucoT-80LKOai3lL% z-h&FukAB@`J<_O116hvNo%q zf-BXzW+oasl>2lKCvl4laDz|oKM#ur0cV-i<@rMsP>Z{}@=7HquC#s-Ow}pWyjw>F z?hPolF~^zLFp#^3wg=?vU<}p#MK1LuQ_v;%~yYAcy2>{!>!MN*Qx-CkxzEO6K2w!mq|Pvd^tM zH6p zK_?V*{o}Lp1ae5=lNuQdTuUyLK8~T#-C#A_9#VlPzNllHvu&Q;^WanBiWc0j4!RPjuEx`>_aS zyy7{VE|V#$%7w2RgA*k353P2aWkT4dd0^G09U*QCP-hiMfBDSNBe(Oc8?J4N;^L?5 z(FNO4DvN%&+8a7?k-q5TFsGl_+&5dVCMtC#@Q%Ts4HBSB#dv{;|8BXe-+|O3E%*Z( z>~HYvU79LRg;M8==lmL~y6|nMf$`0`fqF$kBOrwAv~$XRgzYb!+G39;E)ylnWphy# zFcsk>N#E@T7NpL;#$-Q%l$CZ5E%9k~SJfs)@ySbbd^O+`Af>xm(jdUQcF;443 zhhD61OuPx8in~>1e2-zC-8`MylQ%)kW7aq{As^KJ3FdkAp8+cmC#JjGCv>d;Vd{il zRTpiPG|tnuc~eQ%R0^=BEc4`l!Gfv4je9KjPzoEOS;2!}WNk2#PAF)Bd+J(TU~1EQ z-HuZ(<5CZ<_16ZcRXLFgVS`Ki&^8UhE*PZBRfD~0OIdzFWul5MVD`Uxf~LZXQfoCc zC$8;4J0oUphFl$fG@a+24bAf1t!~@5gpA#hY;{0*^AiGc1uD6?ufhZC*77fV$#?Jv zb++#K0mJ|#x%|JSgvdEV72mRo5$oxDOG+x*Dc+lm;QK!y=)ksw72E|%bc^yaK{SPt zVJY*C$ppd>3(sdeU+AV%Xo!-Qx?SsVC9OAHG6)^y_unan|0;{7ARE=C zbdbpC=$FQNE3QUa7Ld$r)!<9R-_fns+F<%ZKRu)8%vZpoQ5~1+hcp=~Tx$N_G$IsMkC}cMj4WrBz#+ zA#Po5+ucWKGnn`@@gA{}92Jv#cX}NvbpR){ zpLvXJ^yRw+&d3t37<%SKAtQo`^+=LH=VuB&KN=P)`Jk+r4>JQM6zqP9JT|KoKyiVg zqLH*|)-r^`%|d(wE^G;S#lGR&&Qzm;V=I$`ZMgIM2*-(a=(YrHH7gUMi2y^MM&3fV zEKH zemeR*5k1{^j5wQX?NtV_j$eD%6r(b|h(olx)B69tkx+)rzdbDsf z0F0sw)`2hO_KIJYySjQ|ZU7frV@IO5Ty>|m03e1TtY#63=$H&(zv;D@k06o)Iq~xS zp?q%mU;*kMI>&c!7!EOcvd$pVt#gzAtHuYCldWg;0ebG6&MM0P(xh2umL%}Fnx0dH zd=Z*RuO?dBLe{D#p7Ndpf)(Bwd_iaJ2{G6G`<2_qKPzhN5<)$cgZu8mMkkt!zI_TN zp!cVF_S-QvRKykfzGV(9kE033*MEWSd%`TG=qb5>+@USn%)q zRs~GM|t#8#vf$;CEyUR>=bWMByf&O_UD47?*Lx2++M4Xf{7i@#XUo`_*8@9 zQiSDjv&(4EWsP%fVBcNl>Q}P^e&YwF=vjanA1a!>Jw#x|L|4ex%w2V?r40Ti`_{{v zUs@W4==V9HvEFJU^WtpUg~Cu%t1u2ZEIUQ7@mit{7_%Sv64HOR!SP#SRf*z$8rLCS zk*yyYlo;b>t>HCw=5jqI5k>PTui>eHAn&(*>$k=%m2IroPqnw1;wtYP)O z^;z$6FH25T6#sUohPW_x5!{vh^iB+n`E%gsOE_V||>P&;h#rv*U8c`7_FB{Q=egK{=xB}1Figvipcwnb|YS8$88fWdI z-iwSF2ltXte2`hPXIb0W1WycqZcpRttI9XH=5F4KOOrDqr)LXm;N)D|PPh8Z)_riX ziPYjTArwy4aw3hgs}_BO;oBoz`uD;Q0u()yVG*g(5R<@s8W%=3q>7bSGB<$+xGohg zfii|bQ48>st6bC#3YK@+#l8$M+YiSE5Iu~vP0j;R<#j9$FLs9D$uxB~itFFi%rlE# zwZ_|z=Skl6Wp8|9$X2`4)0ILz+*biQ?Hca_mcRXBO_(sELd=J%)V(_^;Uml8+22NHdv?(I_mj>yihid!umIGlh89H45`19?-iCVf{I^#ETs&FF01HQ3Nm=+f970A5|W8i00PIbrm<(6D=|78%M4s1*k>P4^?y&2WlQ?n4~WTs-Rf3$NGnywIpC*q9$wO7EYujqG;+If2EV zHu?aWLNx420l2H+3f3DPEwwddX8}zqz8h}X=2NqYcbpju;>xk8+lBV@JupK zgB+v~Yr+~qr(Mn^jA+KW#GLN^nh}c)Njy=?AHO|CxOp1MARDcn1$m9W^U!>VE-Zg^h7RiNXzFvpc1{louadQJic0(&DXC>|dA{|ly%2wvHr znDy3@I!c*tvjR~pRm2)L1z!$cen(!Q$ZI98(W8VD3{AymrL^$^2{TuUSSEKRc>(+~ z#`>P+5pxOsItxPt@pdh$mh5%n!J)E)m#=n^6mm#H)hiQ9@A<21qGVZT7aI%?P&8vP z9lPe2?pgJ54|I}oN47D5eB$Ejzj|O_Cb8Gb(_`QIbK8TqxdGc7B}!Mlep>tYK`^AH zz|&GDJFxX^DmV>elN92yA@Ip8Yql+cWA^c8MGb|HpVlQi*d|L}0}cNET+S*1VRIc! zKxR!?%@1f~{;^>LCr18o!^~5*$cP+)8K&BQT6m@hy9aDC{Z? zOB+F)rHZq@E{`a2>o#P{V2Y;{(wMyLqTJkIGVV75GkENl#~w^GZzA+3*cLh3yMkLD z>PdI45fZ`=7%VJhkJGJG!~#ItTPmqrtTOgSD5ZI=D>jN3Ps+l$V`y+6%APgd*0k&8 zGKdX_Tw7`X#?G|r01FZj^G@>C7Ya&SLe}`I8O-jDn1W%Cp`CvXS-iO^>xwff4>=jp zew8hy@uW=PK&h|z4&@-;1gHGi;*Y%_E3W*jE3Vgm3cH?GY8Lkc?u$Xkz}rj@^zCla zW}qIPzG(dN0Q)B)XnO^aTYEh|PU47BHv?Ooj`1HHc}wl-J3{?uJRalYEoVNju$Hp| zyz214GZzrfTZ7e1Kvt(Z07Ebe$lZCEdf@d3!&rHJp8SZjwzaN~_xLks_J7SH@T>{* z&B}mM+*o(4wIuw+gC2N|+;v6iU;-1w^S7TRZ)ZX@mwwOLV+6&o zCeZzW16xR!kqHJmpcts|C_ljmjl;d1MG?ne|9#(ZJuy73i^u2BRgb2-Q^t8Px?8v; zXjHa4-LjwJ+5bgXB$vZ4=5|(-wB<2sJzZMU4EP-bfXF8$(pXtEeAG$F>vU4;KR^t5 zQqJYf6<%gWx>>2T|CFkHZ>J-W0iA;VdD0i9;DI&Kdp(d!pW@cxd1p_Q)gO(M^&feO z8HqceSgqTCm)j!JQhF2!i%Nmgf7D_clh(uaCy#Kyz~n~k2Q+BL8f1v zLe?Nw!7{*A0(g6lyN#4S`!5Xk@OE z^g6>t=1g3VynoZxkAm`Q&H&PyN+Qp%jGu5xf<5_E_Z@)=O znv|L>JN>ER@g6Y+;qEI)-I1Ew_ye3sPu=S+G<4@Ds^@*1Rq`BC=X;9*{IG0drHIlU zvwQ=`n*GFYENsqhgcCTMPcW@CYM?y@!2ojfmEUU$Pq||CFQm0cT`l9O{#5$@$IL_L z#ssGz(P1&(D9q5|1 z?h{AHF)mp57<`Nd*60(!z|6D4xwd>>O|}={BWn4osIUE;nq2*{<4j-})M zb|tgJyU}}dqRhB(ZypkI`$E=M0VKNn*w(2m!qw&qvg|jZM}~+w`H`evl@ER_KXuly zOwjX;u|n{P!!Xdqy}u;$_8DWEtNp5 z)S~1+lG0Y%RKldvu~85$a;Fi$ONWW$LWN^4l;pT^S?-#0G=M)$N~zXRw>&lrb=nrm zI~pbkR>oNLRBgO9!)2hMYb=san%CdZt$N^SV^+S717SK5&;W79@wj{*s7JEj$_65T z_FrdxcXj{%j6Dqrxz@SN1S3>g@E1>E5pi5IFBEhlHz>DFXbM?~sGXMwuw#C_H;C2> zBha|J#h%Fwy>AJ!h8l9%luq^(tA1z4njPe&7I@wpXxF&J$ZwV2PkZGt-|>8VSr;8i zgM+w0+ic{+A-BXw)n9fpe@B0&qypE=M$!;S%z6y5O~V~^s^Ey#-{8tK(7!GimqxCB zvBYpxMhN)kxd&rKpDHif&G2BiPT|#O;CIX#bFQiF!bp&vZ&8w1rExy4SS!}-lvM^@{)6_TM3R`(eK5`~D>Qy*Et zrp+V&w{Y|1|MS7s8@k6tQf|1dZLQ)HD!L>4rpZ^yWuUBV>`kULjTNKCyv6#4(NZPv z#S*BYKv@o^sZ8w!wawGGV^BQU+Y-`#MhMF9-BeP)<$eJMwF=bU`VRX+;cReApv`Nj zKUEZd1`ltlBQ~)>U*X|sl))?0q7k4gf{?%E31EcxTGGFCY{9D+-vw^9^MhENq_PaT zrjuz2g#`rlhrgy#dwx*?WatjJ&WkWK&H&sXY_)Cy!0C2@UdA8+%HdOg_DwvPZdxoy ziLkpd48cq50!t}WTM#>y(H3o~`bnyz7SfK=^htk-0TAEgAxV(NxC;|ZoU+o~po}Wf zw?8WSHd9}CNnP=Nrc+F9Ky^ZtExwzb9VzVyoFZn==Ry}Yh-;DJB?+7p(@3Fta5b0? z6(!p#^cl9lT{1DYpf%zP8MT5R{xsO0Rc33MItJiva=JcLuFCP3B3LmEudN6{NM5II zp_iDkrqC1*w@GY=f|$;wJL%VV?kc!KE?W^O&+H)^MFZDZ0lfj8^V%6n_*VxC8{epF!36iiOx^npkfjKcan9$ZDJMP};8RnAWdSSNB$dO&yVXD;X}IPq z17K?3np3ZM@z&73__3f56OoOqvJ_kO;!g}72;Qix#+%bpR_8QuyTM)gYRZ^yX$2h@ zf_u38DE}&xQ=6kg*vRI*^xR9-UsID0&Iq3s@{++@wO*&85ciD()qjyBn-+|*AQ9Sg zCz?Ah1VX%x66-M@8kyDcWF-53Qau?uB}wQDB9;Ha^c??h9$D%%B2m#sN_=ZTEzZV? z1jRHYEI=FE8wUF5M6L3v0$GWI$1crzAN{{JOES;?wI}2Rg{PkdyiT{jJq1N3M~vav z`HDqsm;*fBST8C&c3DtC^T~q2zk+Z-X^OqIfn8e$u@AB*dTyCb7dU42ZU!2L)!;5a zMj+~I?{-Uxz9^&avfA$~933V*DGHVPZn}l8RcZ$n#Hi*WMxOZOn~h7ese zeU*YKWZDH%3LmkG+aIqLE{ESvCw%%PttuI_Jr#*PJOz9ALy{Qj23a`AUNW2`K(iQK zFBq26_jz$fJKzLfSmtrKf$KIQpac{JiRHCW5>h^NcjJ%~f4p%|l$g}lfjE3n{!UHa zACbxi8_y1x+oMpFp2p5|%AfT@7?vq3yb}v`pEP}u$R}d(C?PfMbI|G!LG@w)eV~jJ zZPm3(n;{*0!m1c&oulGwxDu@8>Aap;0k)&xhzuN4UTz19sb_Di^hPYYEEM)q^Nn}Vo!*HX;13A~#JylG>lw6*2|;HVUV6a;IB zDh6#1xWxyd_Glp39r3g%R~P&8n0#e?iB$>W4d&E6xsV+D#62?p6kPJrldlHjHVpmp zIX=1~Yot&K2)jwa_`zx#Jqin?RZabV2E2KUvOUmwH=KUUH^5$`@wW&pITXM$_bRvj zK_a{ATTNt+w^jv5J7$+hWg4tJ|A}Q?Vmn?I5jp7*e>^W89uplFOrPdlXEYjKtHPJJ zk3WDDNXe}+hk#qbb;t7q3i)104kP_ut7%W}kj(Koe$SY7;gd60X0`%8+i}KrWZSFo zns!m~E6|gG`2jwZRfO$RWo;E7UbXZVVd9+r{dpE>Qqk|PDe)B&R7}BpT}2RUS-6M{ zcQ(*i)80RY0}7-o$Rv+0sQoB*&rTlyA`}ZzLBS4%$+^q=`saL?J(grSBgV{R8ur z;RiddxNu~~bDx&z%oyp{mwPAU|5#90^9FqReewte;Y~P#YtBK6m{@JRKM@VpcSwjX z3m~G(IJQ7=SZ5xcD{?&4H9J5*_3EO8=W}l^vh08V#Z%s2wb7A{lgG9lD|3mJa{bcA z%=}pu=XadoV9vgpm!^Fe>ClOJi#344mEjv5me;G?E)ZFqqq!_q%4CHvY4auB%>gPd zc(sFdi75B7R{5!hMH#VKb*Z~sQ0rk|dF|>3`kI#nNdi!k0zSd7yBP|T||Am>Ahorxsyh=6| zbLb?cHQQQk{~-s32;XGyOe$qDO}#|Lwn0NtEz>ZKJi{jF;X6>UT3#?A?)B$?JS?+6 zS1DjdEX8L7UtgV(|9rLMhL}7Iz-jeqfytgGHG$Bk{;Z!vYZPOhvneLdrdiqf>srG^ zBsf5;`MeEK034Cgae|VNewrvp#vK)6J>tmcz{VC?Zd$&R?DBb-bfLj5#LGFv$XC|g zyg|h%fH)Xj<(F}g} zkEx5AId0JU_Y1HalJ(+2-gXf?R(|ZNBe_#UQ>lLAM4Qe3>vWRdG|%TvmGg0Z;t&TS zv>iBf=8W8a3i`92eDwgp#lqF0qMn)**WKr-Cs> zmj{SN3@d27$Rpt=`>TQ4)}}<|66Jc!!Lfm70&nOk?QwzbGDb`gI3yY$E>RyK)Wa3N zhqrKHb1yw-JX~mYn8>P771!bApCD8T4dmWE-M2k)$MyY9BXI*0fL|*guj-+ZAqt9h zH`Pzh9HR(9&her6hoM0eTbD`u;LXZr0G+EVEc|CrX^5mvfF*)uwl78`0z4G1F*mn! zl_OH%xp>=}t_7Hm`4^?Gq&eXi8AEuo&=BFdrelJWGZBwtuvmv$UKc42)EzyqS4qlsZe62YW}`m|J$|=UjQH|E)U2C zPy>1s@>Ws=Mc9%*+M!tXB9=vWg~~Tr zr^;=B*NFSNC=~*>N}YCEGN~#~P}y_Rdk}b% z*P5%Jw2{}%C{Yx>-Hn8v$k-}CNy8eG&Fx~3tcli4=kvyx3_!GIE0@yYxDe$`+Wj>E z68yI6G#m0JuZh~B?01_wO=8@Z#MO4H-s&&0Pchd@L{T4Qc~+)NgKHOXYwEIWps&#? zMnW)a8Dgi&U?JO*g@%g=2`xM`48Q$R+xJBSX%(7YJI~44*~RRge5YzKmoZCg1eI6} zb~G{?4^4!q{`kxoGFB!(vZ2U5={t&m-45H~M>LKsCb&eZOwHHXdQr`6swzV-Qo^;{ zhwC8nyraxByV0TX2LE2A^t@SuEI|m`KTQ}XQB%2flE&PjPSTTIw_ar*iaCZSu!a9*Wy!%bW+sPSx zpTvptjdf(6l|eI(Sf?s{ST{MCM8EG`UZ^EZrG}S9tXWM*)=|=ltI)7aHNChMxkm_V zecb!z)@e5Pa#JPW9qp=%@nv90Ca_-D{agMv$XqdhQ(pODedHGGIw-Ln?)C!U5mwl6 zk;7#;;T(>v17X;{xdZeeF9T=0B8hmE^Hy{^qLie;U%y3gyVq&S>V6iV`30&fVaG&; zdu3>|1#)^a5@J0Tk11WO7(g@p_~Va)i(&0@(LTyy_^rPmYD>|Pt@kNf@3*I8V{Tn5 z_w}*->uud$GcK2U@TXjkrMJ3~BIt@%86(;fb$o6cUlx6B9Q!L~fVNYNUtK6jloC-n@R1$a%>+Fa5Q(%NhgRxT$D8>e(fB|)F*`sPW z4kjax~N0_+gYf4LOd6=Uky)QLz9nj|M4m& zL9hf^@%*h94fzI$teN12hEp(f{O57w;13PFuY>rb#U?1QIk3E<1=c0R_{%$wtBKjg8^ zAe5$XQu2pwAf?v{=onvxJF%HOvQE2fv{Gq!xa(ud>Q1X?_147K9(-z)Dq00Hg7&Q+ zk;so4YSOE;rQTe%vTr@X053brd+woDAaDiJ+LUNy+oebG_BHU{l?&Sqa0Tcsl(NJX z160-xHy$Jh-Jk`2Jun{3v$!sVyA~%lrt=;)5JH&m9f;c3F<5{}nc4 z4A3k7TWK zprSq>CvCGaal-A!N7}6@)gIOVN7^}UXQFLEIJVWXZJU2=+qP}ncG6MDwr$(CopgNq z0ruT_gE`j48a3yrs?QZs;_kSC+5S;{9zJ>^kxM3m_qjKSS(j=*nFO$!O%#+;#$>@4 zJAam_-?{rP<-EoKiZX{)ars}&TT$z3A>?&DzMays*l_PrX%TaxG4bcv*`)a#YEv zD+j)yx{8W>61&~y&!RsEl+|gQ(U!D+%R9qYX1DxCTj>#Et=9&?e-9uF@ku(c@-k0U zlgz%;a0;8?2zYYrvbPlY3Cko(3qnwqw&U{>wkdn1H&6@+Ujtr4`VFKLk9Cc|L-vzT zUNl6EQ<;xbK8Z+6?)H3N% zPIw3~*o>X(iW;ucxpUfGv(M;oS=p5NNWCi)@mZ|>s#S{{SUUdMC(txF)Cj5-JCU%$ z-(;%E5W7(;l|C=Iq7cE+MGG#UfTmW=gVOlY-(;V94nfNk^$M;nADbXsic*23`aI@% z^Zg=YKi58Oi6a9sU3u80zA>qZfKy$Ywm+aP&SIp{x3@#G+^^Qd!RFo0lv8Z~?_{mS z!8n~p;~A-SS*2@Z6EC#9QOp$-h}rv~vfMAzh)qufeWk^7xuNsW_tO}N^+WDYCO`4G z5hXoaKU+d$eqmxV0A4<`K!h)-&*BCqEcN1W6Eg8z{o4^0yDLe3+M zi-KJRaT%ufjox5A%_vD$I_|sf*kkJVk8pEe3##)Q*#Yq&#f(iCpP-4m;}JHajA})S z&@Af8JBqnO;wB3Zi?w+_nuA)z^`RW-r%{i(Zbokw`C|x#QBv9L1s4dSdUx=)&c}Ot zA?l>U+*`{rfr4Y-1OLkU{)VVOKKjk?Y<;+kVC&1=ND!e3Oe!dlo!mB+&X}owq!_7c z92SU22|nWc?k~HY?rrei-t){%SdC~6QPk8R+AWJKp#-KjGZkA9lSi!8^s`81r-A;T zdd#TlzjkKVQ4s@_#_n8!7+16Ea%C3344qO3`gY)AYAW;_!F#2Pfr*>+7O4^9z88cL z$3!dD*_Dgpz|%=&3X7+he;F^Az5r*~W+2s^*e#nSZ`#VD1u)B$!af(k2DoiFa$g^FSUhCm%vLZVls&7S>uYfso5?@E~u+!WW^?q~Lp^;64S-bc%ebiFQF`#_a& zSa&?2_jB~+HZ>S9rl5mzc0-5_^J`9YI z?IdGcw0D&B#0msG^L;irZ4cipXlT19?s{*CSzc27FkjzO5dsXHa;@vw+p>THL^u2T zFdTL6r8j#0RU7he{4PYvXI_3|bSgFk4{5vHW`p$;zrwCB`Ehbv0z!sDE&>wYk=Z0g4-^UFbC)MF3H=rLXwxyD+2a0BMm`h>zG z=>6RY2*f8F8>uVCx>dKo26gjVU8-z#BZ^xqkOc6w_UR?ko0C>M)STBp8R=zs#jL4-(5^a!4{L>w}L^Ux51_S#dF#Klu0PX2yWPk z96zO~^;P2(pPZg)NPpSfj3~Qet7D!+!Q%stFz6oEKnnO%zGw<9fFvUOloq2g5lo(* zfkOO)vRUp)4ZD|((lD3RldM4G*ONb{^TEITaobAqPx*;&xuXMevl(+BDzMbdox%qo zSE?Rvu+3zSBF@jewCU$Ta+7RhP}u!1OsN}!OGnp-)ofl(SI3-b_6PiYba8mWnb}fY zL=?}pLI(AadN23cuisjtKrgy%i+M#7tS#FQlL#&do}SloR8)@d7}Zf&XgxY>y5U;y zQ#bg}n_1bBiY0jF)w`kyG`9L#@;s6gIp-95s{5Y`ky(Y%@(J@s8J(|AWy(mndo&!K5?|A91wg;ovM2j@*|FGK1vFRzsjh1eWbH*lT7O8J+<#@v7G1ohzS z_!jryYYeUQ!PP?&V4=bG!0hnw(^IwF)lx)XL!)VI(h&evj*QZzK@1on%fefV?M|y+n1DebhD>XTR&0`eg4nsnpZIINn@>SRm zb6VFfoc}LP|Nn7h(<)Gi)Vya@bhZ+%vyeM!Hd|>mq=~>2=+fEpsWCb>m~`&4z8cGd zpqDSuIZ2c&%Wel`Qi&fG3)fL!1>X2zRNZABCSiFD-t^fsK~mt!unhvP0w(y@vwCQMur~?Hd6J_K zUp2#fk+>AvJiZ%2?sC^<@CVl=6V-<%9zP9s^)&@eN}RP3q`ki0poEr!LX4RSr9)Dh zbkT_xlAIW55P}eYcS%Q8OLbSror=Oc#S~EMP?Rl3&_&)m-24LDo&U~0C()%bc;M8+ zemi?({`N7;F(J(jl=iS+bDaIH4?<$P4PRM%fRKtyyyT#H3%G@EKj|SzU*?{e16M~9 zzofZJ7q@Z}J;p6Z-)lBA47?%^%mPQj^EP5dOp^im#D5-HmwSgx^J#{B5it4*ECLK*acSPvGyDRB!9XoHoY&aqI=3wT1do+#;S=DFw&=F zbJKzL?vu*;y+k|_&Mil1v5+ZicLgZo4md{x%kvE^bsJ=>O+;*UHT?C}(f^4)3aNM+ zOD2t}V_$r#iG<~8mq)pyA#E-P-6^*E9^0@yxv6O_c=;1}P7I1rPW9dIN~9@gY#o*tINvdoz5=H3St zJ;|OHTUcZ|r6^n4-eG=b@aYhpNlGCtR+fhRx9dNT-+}(biPrd}v*6KbS|UN%#H?sW zK_=zos7$b_&KN{}jdy;Ar&AaCW#70q`jT%TRWbv@zLI(K41UwXdIA;d-L?m#CNfYZP`CNsj_$Ysg2XW_ zES>b-`52@Q&9eT6G(dlE;6UvH70(o1+G6YEPf7Zt0IjFS4`oxq8D*sNKF3Iy)NYT5 zS%5%@McbZX%jEQxq6~j7`;9d=xjsxmR9(`%5_AZ|4}zVCOZ`P6W8ye&%8LPH1Pu&P zc_Y&Uz131rL`n;r+W!8MZA@t1Zxm3gG4ut*LO3zy0d@ zPTUoHes~#T_`EZT_fFefFa zPk8b z-1AAe5$OIhr<)^J0P0I-@dWi9^81q@w7;or4VEZHe-g|8ANJMvr! z;;iqME3|t|pgN9W{*m-Vh{Niggc{W*#|h0^P=TCGz@t7Is^k`D&p26kkI$+J^z@&f zND(2C7jcFEAT<@L6O&~kh6m6sd*8@K5eq5A8?IS}C~C2U(?(O$L83co*}rS0DT}KQ zx89xQJQL;d>E_PLKSzYLwJIgSuY;xW#dftG6U}6wlwiS(D>xpA$5PaPRB-(nI0Mve zNb0ALSnL+a0GqU$Spr)(9{WqYhAcb(%czCJeip^zGGVu8<{&4=PoZMoUybU8&iC5% z(h^k4MvqJ`26=^JpHxm`VYp#}Fhpkna7NET&`PaOzoFhy^}(r#ikPqzEe?p5C2Z5@ zj}v;Sf{=f~3a=HK5E??eX{=%9?C92(!Df0fTO_mEoARz(3CNC)Cq5A{F9F<8iRcF;+OLO03rD37sMc5Fl81qsCk!FLaC z8G)=?EF8$jmq1Lq5LzP^48(i5c}0bTAc3`R;L%_>vR`>)P!TwfciM?m+R}0A#MTp` zNn0~pDWKedA?K|TV6TE$tW_D#>=_JV)+Fk z;=Y-y?1M;2n!Zzo>okg;MsWISMad~%idYJ}aDv!9@b>rad|GLuGoV>Gf7iW2o>-{g zrn40OHpG43$A!*rLu{*! zWcu!v{6*CsMTq-jFjM`unVqga)P+V~2TtLjlcc|jca02d*gP7&Yg)}2T89%&aR++Y z(i>vT+NF1PR5qeF4!24kI-d}w*;Jgni4-rlrx)dbBQiB*xcWHNy4QD;lh5!%)*E55 z%o1mCN+L-4r?QUMW|#nD`eepC&639-8)>!XKEyAfALU!A8O>r+QazS@2@WC{eT26V zriiy?DU~P#DQv2+)%7i8*0#^UhIG(659C1jvcwB%za2v;pVP!L(NlTwjhW17FkR&k zP;-&|#H~fF#~T8!3UZV7F+WsB4odE%mYyPQGqy(%w15h{^FnR=ir`n!`0Y<=ioZI2 z9qykZ8Op#iX~KVPXc_l*SXAb2M0Zt;Er?ko`yZ+k;vjuy<09if89by;@0s5eXnOv)TCN~PZGi%|78ONKRx@O~yu=$3oS zp^AxV8OdT*YAWKWGkP36ey+Zfq16;eroM)HB=oIGw+0DuqeOh`IeXD15kt}?gAp)H zcy2oSmyLamt)yd9Y(GB+a~t&~jX%P1*`C!V#3(|2y*1=k9$E!4T~C+uAu9NouaF@?23 zQb15y6M>r|(jgiDvK|v9Px-F;LAvj^T(p!fVxRltwS`tnc4R1qKdIuH*ekSgPuD%t zdzgLS?AmuGOma`=0*?$EMW4#D3)F8^y|M}EC?*;y59I~ zKK|YFuYLhgGH#6}mAQWnJ$Iz8iU&58XUKXs?G&~e#^tRfw=H_K1mgUfI5y%-@ME#h zpM!ayrifC6$gSZ-FgaryX(~|0PH(768y%u)ZM372j+*XxM6D;86u-B*&My?$0_$kk z0!hwsW?Wtz76DAz`@rO24zGp2e#dn%3^EUfbp#1sm(hVeT}bGl#nbqA=WQvh%oHVp z8g!QO#bv&gyn2l;0J(172^b4Tq@Z^Wz5Rz{FRU)Jd!OAfvaH6C74MnE*>dl|oN~ zm(8HfFd7iD9-ZDJs!)XKIx%aLA()9ly zM|N4r;3$a!jZ+_w0?vK}42O*KCFGNv>=`U2LE=y+ERw<$5PVPmsTMYmBB5jL&En<% zn;7T8%-{pQT101PTvVuvCACmJNJYb9zSUhq-U9!2YN>(WJI%R4+7R5U_xJmdG{o1CXjLiLWr)+l6CX^%NU#JS%>1=_L;5?Gy_Ha7Pr?trdKqJtqsxNVog zP>j(BSE$p;=ocrBg!R4bFAdvb38f%0lhZkgQYZBs1zC_YSv%%VhUoym;*EG@N?E@t zUl!oHz~CkKcI7JY0*6A-ijgU&mAR-HEa zLqw!svsj*EK~)CDC-DzZ8~ZZHpI}6mWcfKbmf}o?5YxMZ5;UoHM46s@z#7KzJT;WD ztHV%Xm8}4j9%v$ZbvL=bvBlYC1grz^)xt8J;pmlkh`QoDQ`73H<#Ugji2d;BQK;}! zGPq9t{8QA7m%6mhQKmU*8mkbJbW^A}wW+E0$rxnMenEXklG|0S74Z{E1HH+x22qh{ zG08qw5a~`w6%UMt%R*5~W(2W^EUp}CFxhSgiM9)s&!-O(5+=|d0lz7HG)9K<*&|3I zfonFevS%HfoXWx|XZV=BZgeS>Qb6rQ#qDv zvS3p?K+Q}yg4!OzrqX`lmnIlF_BC~CqxwMa2>grD$O#GPFACAFsENv|UPr+4egIo# zVd=__5&=!H`4X!Ddt+S`61pZd#FVQh7&~;EvGP7eEoQqP>PvV#Z-?t1#Ro#A?#Gz{ zJJ?m=4sqU9P>J2J7iRt{6ZfO7gqCT%Jfl7iXT)k7_d7#LOY+0>*`}HnGp0|yaSdq( zsz9#)@ev!UwD6sXp_?@K9{wG8z)JC;b7@AczjzGI97IxzDTZSP6&T6Edx`&JH z45IvsOAnVjq)cC1*NPf-#kj<8q`%m13r+O+9E%kG{MeyFo2i_FF1YwnL4(&T3WhcY z5vAz13=S4nisv+wjW$=~moFp>fh;QL#WyolX2XL*^Q0OMWijtg8aeUQZ6S?pI%zn) zVosx`4ws^RdY+26Wob#J1J1h$1pZMS#-w%pE0tc-a#53MOVo^sFEn5M{ z+KBLMvD~N1J$qsRW%zgj->~xaFqp^sJ(Hx1!aLlNqpN$cG}t&UX{(^p=%7M^v1R}bMQgJ!D9 zT3yXP=Q$T#_#9hN0Bb~X&AV}U1&yOa#7|V%ZyUdMA!61PV^rkr46gvt7)jEUgC7E( zPU+lb{2f$ttiB+nFnpJwi*)L9!>c+P019m@eD750T06SX8pc@ZsM+4OQm9Fw0o?-^ z8}>oC@={L2mH3kHC`kWzpNZk$DEUa9sVPx^BkzJV@S1C@p3bb?RP+%h8Z$XW zQ*Q)r>xNGEu@c|a7YLIs=OV9>Ne;4i^Hwfs*yUUIz;o)KehfJRo9B71go2dv<$-D1 ze@@IM5Aq&s%KJm^ZBNikGSZ?G7Oau-$GfX0z?T?UY&sn>P7bS7D62w1jXJh?s&hG2 zIlK{Iq#$t2eRF%)6k@dAeJ{6wgl%Y0?vlTu>yO>QZT27$DfFoDbb(Z8|xX>u(T zOGD&DeW*rRfB6>T@H@(#9!5L=Jw`TVkJ_mgK+@2WOFU}sqPiB+D>f) z`}apH(E4p?;`NvJFjaU}07mg;?7&nN%wpe+yQEOTFs(z_<9S$~iPf zK)m<0uS>(Upu-N5Anup$TmtFpSMo_L&-!K}SgkI}QIL1Y?1|?+=bf`X z*Q4-s=Ll|f{rdSFCJ3BQvH`6!Zt@C(^0V=3QD)pOtO($w(kv+(XM_Bmrbm;$K4)en zCDC0{PZi2Z*1*LWhZvXQGUaWh<~j1u5dGSN=daC~AnFgaNh~*=cf7t5Z`eA{^^-(P61$s3t=K1TDm%NrD6-X?oK)lp}!P?L@-v90GDm5 zvd(X>Y4xqQI8Kn)?^kx-m~VBq?!N3ED4k}^HLSk;0&+aOa9u3;0M|O+IF+hF>m~rG zM-u;$UQVC^u89W`5HW--{>2%PJ4xwn>jS4D1b*87ls?B4i-&80(uYkP?+<*7Hg34o zR5}I_Y~7f)vSt7kBzJA{v&~&h6@t=rlnjtL9*le? `JYBi+)Z2pkousd6E&Pe0 z$tmeC0+B!S)a#ACP)j*9BbE+Sl*;vhJgO3$a3lKNIxuyXG>(AnOz3CG0peO3N^h|no!``BaJg49{;sy@rqn7sZvy^)PTKIW-Rjt z;M=#>Uf9E1zit_&L!k!`zXjC#HrVSY$Erv_k_W#Kr)^gsM3E|YC@_Pn7{Q^FWgtSM zL|!rY3i(OrFL<~V;j2_ReO7ZoGt+I^>eS|0*Y&bx$o@xyty+sRN@%&m5UII3*B1xxd$QKI4PrN$PVfBQ#%Q4kn zr7C84Y2D<2%l+)=`kdQDqCoKY(}|=yue+pBA+P4Z$Gg=OzduBOZmC%&p2Gg~?okLB zU|!9B#NY_N!d{rwmP6i~s=ik*5n+;@Vc&*$AK;1F??vE?w=Zof;$H1K*^OVRF>btf zaa%!Lfj<5rLOo7b?RgNo1*DFLfKZ3YvH-6){iIHarOjTTjR)KPiW-rCCuFom&2N~* zL8a*Io4ZwtuZGw7W{~2Qu~#2tQ#FE)+fFwK&MQ)RJPZFkDBgCbNKRNzA(y*?G{K}S zuw@WM3B*SqE^Z!1D~RdNqqYOkq`#a6HAt-$ttK`jBhE+8{V$Fz=l{o%?U3TDF*WDV z?=k;EuhGLp+wc;7)oP}VJw5?946Ec-`=uV3@WYHtXz%5iJp2>M0A)?4$X=bsqP4&R zhBmLSD;ZT4%iH12*Wrgj1T7XYKa(eoFy0dJ5wR3ELVTFyDh2I7yU|0M;Wyk$DffAbnYY+|{cOg_2V z#=j9CSv?VryotDEJwe4p)8A$mp|Qto0lLOOqvw#lA4e#H?uQ09OzS>%$eDI{P3Q#k zQ#99K6npV(bC%#Il#-0HIBb+#34YY;rbCjT&x_g0x$8$BF)p8-+rh5sJ3Tl&X8b+- zo#N*;=>9ES9{LDIWS%?HPL52d9cA;{t;qxF;{dT19Gx4d1twM(w>L{y5OL<<<>aMY z&TVdhvXLYQP-7ZFm8 zqAYEH?ZRsS??ipJv&OJ9MudwoMTLndq7~-e58Q1^Uv9wb3AhDsgW>V0qrRLSc*?m` z_Y@&u4%mx!;_)ysv|z!Yc7P4ykiKi}|Bai% z>IV8~^uZW+S*Dxxy>$xCiUxS7^;sw&RdZ$U4F`zXV$@?L{3>(Z@;cxqili<*q~H4; z$}m(BGZ$u=25W*N@R}A=XTRxLC=UCMz%e(ObP&ZLhqNK=?50;GdpZ|lx>0cyoGwl2_p#J#pd1a;ixe^Fb zMJ^8_fcLf4i$iQLdV-+Qj0&=n=R$OjsT<&-N&*h~#kpp&;r6mM;E=l9laVkXD`weH z%pq>zvFmV9^8U^Hqnt9~nDR>z7-pmRXeRKOE`A?4t^c+dJmBHlocr{nju57*^&zYl zIt2QOL~2*a%R0wpc}x@Om?O9EI`bv`)6U!ySMos#6D9c53MnV@+x3dz6CDIp{l5M>P1 z7uWz;3wTfgUi4ziShnJF_g=%IVZw$eGi8U6uKzfO{z3XsFha3gC1b|V% zPQZ|k0RL{6YV>46a|%i6nVcvOcq|Fh=&AV7@mFAf8&CS7HCK77jo;}i5I4qnqA__{ ztvT`hWa?5_{8;7^^d7Att2e#Q#3&@1j>PXPkw;AEoYBa9G?YzOb;vQjRL zCg4Qx&|}POfX>dcI&>Ny%&IbEY>hCYoWK%bG|Cwty_uuL8e959vQiytA5L61QhR!} z?ZjJDKjO%L1WtolS?$^ADfDthWN=PNZOaXtC3MXf+5u`nn^B_(KI25xod=DvIPX*P zx#uwV$9qMrwui-1=B~-Tc@DGSb_}i%Z14BkEtBfBwMCH6aDLyomdrT4Gb2z&5hH-c z!*rI$chI^oMh2uHrkoH4(ti60LPR(V{V^Zj12so68pbXS!ROOd_uqyqD|<_4Uh=6V z4fk_is-oSN0jF4{$hz%4QVk(5L)_V6z{6G|#}3S0sQ8)>>!quI9Dkr6V`6(rcXloO z$UbP^>Xq`2ye;^gm!EO6`k&VU&pz^-(evrn_0s zjUdX82eFyy4GHTS8cs5!TAumaR}p<4nA=Qbt^!GDM*q37&zAI4`gU;Me|c~VKn*jQ z$U{-}R;~jZ*d-5fxmgvJ#r1(-*1FJ4jWPw%+f)}A_4%Xv+4iFAL}(%uBr5sfnR;y4 z9=O)EXmGOQT(e=ncvKb=Szf3>ia~$KRBBnxm=V}W>$w}SrpIp@IJ(2yxaAnPnV0^@ zdzi-CG{gD{UG&M>@N^JMrZ;tF_^;?#-S1Ti7|U!pQDle7^zSTdQZ!BM6iH$Zcf+X( z4i)ZQ4m223j0X^BIoi%kSi1?3#XcE{n;}h;Hv|yr-95nIk05sN>A~#BM`3gtUN2Qp z^4`D@$}0w8b}0G8eN^h+!Dg1+KsTD?^sQ@7oDyPw(VDEuWliiSbO%FYrq9IFmJ9>a8=DqT4?sf>3Xy9o|2zg{A{J_eUkq2? z=a;$cxXv3xqMte01CydcEH0S=qhe3PDaykuI=KQ{a6mMfMC}TXeIIBGq^Q~sZe)`^ znQRO(9UjSCG}8x<;Nw9`*%XDgIh-JYls~@2+3-^@m~ylI9u_O*v%4H~o(s9?e}wu^%VcHvRrh2ul5n@eFa|BkJ^8ZIX5D_Y5VQw@qa zhO6Pnq3lM!Yr!*bBWzo2GlSB-)@nNLQBVtf*AiVyBZ^fkXb87_bG{ zR`xE{rVY~4slQW*5vev0|NUXu9`f%#E2U>+0nY7V%$F|0oG2Jv7sjqa69|T3A%7=M zOM58cdYN2hMgqiyR$(6a*GNxWEan9`*MEjYk8sM0mPR*6=YnqHJ7I`3u*et{zV@^} z$=+OjjbQmT$_WR66Bi4p(l1IJ?FsM`FW&tK?@3ozY*uWyW z*tnqx%Um{=Q?xm$@{j|NdGnI>cxiv4SYRpt>rVgwab)+`Z=E*kk!Bnxzg#!aV*2x9 zd#JPmlQDbcgzpk1Lo~9o5RtH~fD#yjuMBBwx9QdV?7?9dD8EhkLgmazSWIC{R6WFfN;bTgMDqc+qZ{? zMvU6JDZL)Di}`sC*V=`+LjH+LS4cT!ObTu(6GFD$WJtlX(+EDW9~Ek%7L8` z1jM}MH)$Um7WZuf8Jo$AG$M`>rHQ`^@W;M!w2KtKNG^HLh^lgW!a7kNwhV|JkH)!r%Z;HsT zYAdI zg(HYCh5(uR!8(i^u`UsBdlI%=<`I}>gPxWYvGtG^iD*FCJ8ZFA5-4$dEvq^|ZoBEIn%gb~ zh69xbY0#}0N!IC2;57Mo3jE3mfZ0|>S-7udg!lud@ygxmLQ+;RGG2g+M^#%nttG9% z)$FE*q;qYo43M>U-@7DAL^s~oa9Q0tecs40P|DHXAU%KX$Lp8W+r}<>h&~wnF!@LC zo|EnpL<@nomm8+tvJ5`?RVIj+e9CYCZpgQ{)$yuG2g;+2IycEPQkgo7K1Kas-~G7K zTVPD)LX9GG?BN{wWrM%0S{Lpan37Hpz<F$3h(b0y)&Btta7Pg1 z*TFdJ_rE86>`=@8WNn7ItsPl^Gt$MFkr&w~azhfKD{et3Nhve4F01r^D?U6#*E!*~ zk6^_%{gBP522DDB$246&0n5)(qS6*#Y$cLrT&6AddPVMMkoR(IIA92}>uNII45|c1 z4dv2P!Xb0GuXFD9`HJyj8+uk)#(w89v)`(IUDk&dHSZ@fy=!A3BnjKj<$) z4s*-sPh!UPvQ!}7~$XYKU>N`YI_(Veo>vzuj_g(G8X@>X;!gku}^b&A-nS+40^JGnmkNkQdeU!_r=w zQ8jSEZza7>Q+gQAqOPoS6mEIJNVsBZIX}3z_&$n@`lBeIv3Q`-b1Vps6RZ=((h7Y0}CDXbV$#H%5z z=Px_Q$BdZy#eTu0hyDX*9WUmKK$&;b=8EZ^{BpgOd*9sWZSUvRs_F%G zwwm_y$J&)AZ?XgZJVl${5^%+0Qs@1sTa#V{3qcTTv?;pPC(g=QP) zAH{_R#!a`|mE1$Q%iZ6ezGq+bwd--zd(v%sV|zB@);o8+un3b}T`I>O;>cX1WEEXm zT7^v|BwyJ|{AiRr7`B3J(zeygQyI@XrXOa@Fr}-DVa}iifbY&O-T89K(5w!|X(P+v z5@Nl>E}BHCboOq&V%W?}CW%He?H|Wrv$mpO4;c@i41Vsib#NDRtoVMYc8_G^$uwW3~TE)A>#~4ZvZg%90b|rgk#%{z?Nj_f2VPk|?2j71wGh(MFNKL+7o*Vks5^qe;3 z4c|hoMSrXMvVilkwNXkmNnvp%Tq9b%&4d=@Nil5T_uwSJEC^r7d&uYwr4;T?%EhpA%5ZIt09`LotSI zbCPyD`_EN4q(gx$iz~}QqsRnG6u41Y{~`Fpa(dpJUPSshXd`7Z>NX`ROUBlFM%xSp zF)39q^Q8XPc|kZo7m1eed?e}=a#mt;;Eqa~U&k-9pohCga!UEeD;wRd;3PapVZ>no ze*M%9^7+xCoe`mJLBg7O<$t}mKJ^H`Aie?Cw8aar8n-Q z&holx3>IfNyqK015nG1f0?abWtTCWx5{`~tsHyA+mXgMif_3}!S3(G@jPyG%(j%Hr zr~e%}@SiLZMX)wuT3k_l%F z!os;yD5x=X3-y;k67&8RW8$k5==MuVz#oqB1E=iQmY0`kW>K!0TAy}M{75?Xu@vd* z)G$1wSIb5B&z6xb3c|C1V#zs#w$gb(d?>$XWUD~?n1I%tJQ#PLhF74CX87f!|1=mP zmY}?0m4qq=44f<0?(H2O%Y9gkHXBNuPs5J0o1Nq(3CfT_tSj}4?7VVTwwOG6)Xfcl zA5jSIK@e7z-ipdWd%`M))~)=($`GXx?Bwr`z>HKSr%Af-8dIJ~aEuT3U#57PCBq>M z1>z;k-g4UuVK9Gjb5x!$E?Sn4!twq!I|0kOK*v`WgQ_1?RJr;>5)-EXGe_JXhTj<4 zeo^~Mwu8KF@P?Ydd&@WTZ4&W@M6CHUj)dTI=iX^fFYc8+j7B9#YM0WPJ>yPVSU-~u z<|y_r$GCr161O5f>|ynCYyVe2J?H<&k+q^19KQ0oUxcfWeeb1R>EB^s-$?i^<6YV_ zbvH6IVAY!|n!d(Cv+QX0i**Z%uGn}hLF+H*#*5a?zf@8CDi1o)L)VLk zW?b4Li_bb&8y!E60X#L*Qvi9E`QT>)ec0#eSE$UXW&u+mxPS5Jk`Sbrp$t9m&aAox zdw~8qKG=bjCrVa(zKF zMd-XP4i1PoG3vDY*=h>$!p|=^A_{YZzb7nI7>>?pG|VG@HH^o&u8Jh@-xM!vu^Iwn zUlvFPEgU^B@=T@19l%#tX2B=TP4dfQ32DVk?Xo4178EsnP_DnT^PABS*!um9!1{ty z;m4oP<2nBVqRA(2EzyFhbvE}iZyz-7jk>An-Xt;bmDDhBCLEngg{wNP?c7fOC^v`x zx*sJkKTBgxM4A+vvaZ0ao18o7_tzm?Tn7O#`TLD1vcr~Pezi0Am?O(eV>=(T^?vF$ z6-%LM%ruS&?GT}T`EmrRVT!elj~^hcG7;)2XOwxT8qNvc z-zmz)#6zmlaF2daV)rPaO1*ZU0X7}_;f>COC^eG3I$jpxWl;On`q9HqeWMJy%42{B21v;m3N@$?#s6zr02ss5{@-WY&$Mj<*S=xp)E2A{AiYgoyf z)tDvJp_^9}5V}FxHlpJg@6FRmD;W)Ui|b-?I5`?K(^mr`zO7l=%#1?kzkd?M@O7P> zGY_dRc2k=QD^{O|)--UW0`g1JOj*3ocI7d=O8FOYC51Z9ce=?%3MvCKr2Qgz3|?PA z10l`tu*;nB6beFmJ zbe9ST1RoKewiYC2RA*Eaapkt)=Dk@%T7TO`xiSOuHRpRlK!-_>WSbTTW9WJ-X|${M zEz}RdyEjpZR{DOLm{GGka`4L}j?qy@>m&y8JU$|)wjVi(M4SRy+x=yFdg9ql!S*1> zI?Dp8jekjb&4$Gs$?fE4qr>KJkjYR%1jGrG=XsKZO28N?_Xwmm!_!zo`Izbr*EQ7v zq3mznp5`y~HYG--cLKw@C?6nso#&H~OTAr=>676k@Hb=`&nE9PW+V>v_iU_T*C?_jC78_%;J{ zu*hoU)2yInBdCI}IV?@y^I~6s5~CFGZ+0%LEp9~h$A|kR{aFo3O-6dpZqC7Dr%p8C zNpR#p`~M!r$XXDY)Y3HA{@VdKMYZp$K3sMrhCO-2c5eA7@PV$7`9n$o_<+2()VN-qv977n`8VNvV)K?avwH|h# z(EovLM5B@yYWYn;^<#yri0P(lwvMpxq}VeKOZIN0IA+NvU5!LzXZ|z%Y5b0l#5&F1 z$@urL{}&{SyTDBcz-Ah!<^vDrN#Ate$kH>6y?XWYg&xZ9-q&7GD#0&RCk6I+Gxjd0 zAM^uj^+)bbx# zSRgQVPt1ghvFD@3@U)61arhyVlL&|_cJwt=hy6s#AVZpeWtE%hJPiZ8E+i3f-NdMW zLgINx&T=+QyGm(4-M@y#wRGh@0c{qMF1LR=&NC!7lUc_q(bfMb4+J)Y;=7&f0XNU0 z4m}uK@pIFF>W3%zpUT~9DG`=oLU2GY$y^a&o%uA&UU}wWi*Hkb&_rY8zlzi* z@fUcx$yZe|c=X@%Kf0Q;3bZuPXgF;8=3;?Uru}K+{o_yZ*e^mP;g3D4q24m(zpPK} z6~tZhfkcHDRTBci26q@Xz(=U9CuBlB?bBBCjNCadJnz82HpgWccB#U3{e_}8mYr1o zN|amYIDTC97(Y^ryj;zS{fAA|j8(Sdf27^xVkXL>1kl*FZQHhO+xTMJPA2BW$;7s8 z+qUi8{Q&3ReT(Yu>RM`NtNHaab#C4PLL|eG{OGlGHIm?J9!4)xw;?Y7I?veW+YX^g z7d-N_i~zTN{9&v8<~l1FAqRz;_%ms}mY!lc`*b3)d5{Oi^NP^QXhfjZ6#+!uR8%il zES3yR*!yV&9rB1JkbAc4)LFqeCM8lC2Z+YYNdQCwSA6kmt%(zrPyB+58+NUQ2bMVg zG|0gHy7UKnju)AcQ<}JIHjCnL5YtAP7SgQzB+4I6EvV9cKGm1gz-mtJPNa1E9vm=! zoSbX%JvHcJ`z6PUV>#;hD!;P7#}Hr_ql)q?c28U+wKFjI&p@ET0r5cI=eaL>o&-#6 z*dQVZf#H;kAOFBMq>tr2kiQT*D6T}N<^jjygu4T5NI^b*pO#lv1G1wFqfEX%*+V1B zU(jen}dji zM5J_6&uOf_RD?xq?!K}&5lirZ2p`$ZdY7|aJj~@TnlZ;G=Pk^?PE-Y#;=`hrr8mnX zcH5_83Juyp$DYVmg1qF61$}jBcZsA{ssB+|-M~8lc*5AMPGEXQjemId!oxS~u-j^v zI-k7_<56 zIG1qd8lSc5ux(vp72PcjjptC~OST2AnfqD-p!klWX-;L1E1W@!8+pp4pRf56*Bp&^ z6U+ibtO|`f$kX$)@6lQ}`!7os>5IQJH+tCqLqq94&}kWcGFBLZ1%8e!@z3Rm-(*WJ zzq%i_+fZs2blwe=QQ8~ALR)^?@|Q}YAF^Fpy!^EnHAuArMur*Qfvz}5r>WQlGsEE8M))EjxvoEKnt z|JObJ|Id-_QY6!5mhY=j?OdZomU1OIP4em-i=@krjE1`eRL(-?qMHA0NXAaq;g@Or zLsPW21~X!2Q#`#a^SkkoO72+zy%uo8n#WXcowU?dMP|cK@oE&RYO>LeI|NY+%|YLL|25IME)D z1X&^+R7y@&`eoEos9^z0BoaTdKVbLW07ISwd&f5H9xq+rEt<_cjza-wWguk*&=lUf z5iW{xdBm2yeJ|EgL0LBV&mz)+fWYfG9$w*1_+4jVUbNe`*;%SqAPJcylN2*49bGHF z6aytiXZP*?+0O(eHadv4@MLi_WaVi1Q9sP*q$x~P?cKrIV6pQ@Uq^x|MF)8PA?fpU zYQM2E{w~m0MU^qkVn1A#&wXC{7k5kxiS2y#lWyY`DIulzfL~JW%&MJn+~pA7^t<;l zj$c(>3=&nd`@}I(q(5A~3YZCu`;>I)fpP?T&O2`!};4>d<$!Jb+%Kr3Gk)-#`qRQDo3M z#T&*tSkcWxtQ~a?_`1`WF-~cVZw(IPBv>#pmIW#5WW`R)V$eu56DL^0U9$1OR;QqZ z0ZR3Zhig!yUQ==>)+&7xIhu&4W{4ol@iN8{%fRywa^&AS~L0vaPYl zK5SZ+f1{}_#u=tr<-hmBg)j(Uwm+jMugqCGD#R9JVhV-`1^<@5aj9nA&o(G6zR5|) zX900|t^>1uSNy@$R^Lz#FZMw>r%8X5p2`YI{wuWfU|XrnPsqK$jCYiw*L`+q<<{+M9$qX3#K?=!a}+KssEyN#`%=OFx`NH=xPF=()dDk(p84+Sc5Fh6fr5VyTYF?S z!4BNfQUjbDgtYX}b+#3={x{urVv~9#Ktl4z;3^pW=xU0w`FxLpw+-$EXW}ix_GlqEL zGa&OhveI(X4&fVwho-rU8UlO~1zB5+dKHB}W2E$?CEtyiWsR5z?e<&h=4NZ6CTL@c zce6iKV;`{GBjzPx`Nd{fW$1!N@aneBnHXI^CE^MAMFcOKsr(7SI>^vGERpE4(KwwMWf{r0P(K5mKPSIcCoB7cYH%bbo4IgMo<;SX^$%u20eH)Y z_X{T1*W;+Iad3r=XZ(-C(w@~2?c~jmhV-bUADP5^Q>m%3PH8RG1tunu*ukWI4$Sg) zP!O?1_2R>r%*v0?YY7ty)>mRe82=2%nzJ~%CEw#h194K)JpNSw`ohRE&vt^)rDXR^ z?Agu_*E#Q{E^YW_lq_RGdV{_Fn-&?8_|>+lUt0ONv&X`xL>KUrN3M(B;Sf_xTy$}! z6h-72dXJsFucpP{w12%L@cHERLtfuYbB3LlCS+zfY67`wPsJO!ExI%~^Raj`qAR>F zux2N<;}`g+CHim=G$S<39+nE8rf#i;JisxDzaForrP#unYBzyy3(z$#Fx7B2frZcE zN@$c4rMCQQiHt86W+~bO>SOiTk%YxPA}+#hRN;!NGiO_e|kGQsu) zN87b%z^+aCwy-|g$^MBMH1v=`^Y605Ei34EI$K~OAFKQ6zyn9H`UdK1b&jKb?G}ll zMu(06J8_k$jU50>)dQgP6HxAQ9(LQ=DjpnY$U~cU{@-@t*S#Hib zRJG)`mI2Z7?k79~k${B{tH`QEFZbriI7hA+nv!fmo)mmFzo*LD~<436ciMu(*PynY5(IZkSr`d50nY8td+SP!q|W@X3v3n>|A*7 zk_Ej;M#Q6F)8{~Ks@C{uoeY79!^urslf~eESn;~Op_vEd#T9#xm>3BA!U}&@7W9-( zNl9w-_3$=xOiJ$1!gz)=GV0*H^9^{NY|eOe&v^oC2r;|zFHPSq*_n^llZgYl;i|9n zYe@?%sI>ACb7K|Z8=9z9(BxrDJ-XJJNcU?{st%;*P;Ht@xKt{-+TF3}qf6|j+lS6U z17y^Fc9S+mJ*WvM;aof^w@*i55niVGJBxi7_}Q%Eb0y0Wpn)Nv1sywyK&tgk&n^OJ za0(6$2Kx(!h*-slXYBQaoLywpYe%rIcIn3rVNQZ2H;#j$J5L_?5pWv5*<9c?=G8Oe z!_8YW$Gdh2nuf%P$lELYhYw{*{2+&N|4u|CW#xrW<+UYebSYiYIW2zgOfs&_(mA9b zF+oxRna_g1+zvZ%4{f%z+Ha}Q>jM*nxe+&R^lSM_N(NfUme$`(=Kxi150DT$zVpI? zpoQ^PGKvu^XYkyju<&6#+OP49B-8 zwyw*LP9rNDgK#IfZRfXNQ~mqmGo9+|vB=Sh&mCTvHFFKTUPHN0+!i&fQ&n%Zx(MI& zd-$A-^&azP?&(C<{hT{&z(2uV@_c-ke14=L>AG{|TM@`(!=3fSco}Pb&v0^5dz+9z z<8%@+^-Q?u?n#ZBw)z zXB0qAJ*aqTZF3O5-I_HmM(Jhzbrp~uzI@&{FA@Z$`fGpz@^K1Iz!Dm3Yq_hBa#P74 zRu|o4vTbotm(06;R~rA(51|K=<+YnyLm{DaWAVg@fjL``#wED?U3;20MqCfFYn_P* z+>kEH(BE)jH@A3R-+g0&aCt25Xe6>`BkM>7oqu-oI7|^+wtq$Gvp2QpcmbP%5~0s= zJzMhC9G;jQ#^+z1#Iwc+BnK$xS8&haUw#6KG1IV3X#LWgV}mr5v|F|Mw{dnE(~iFf zkJ1+Jhv(8e!OqhLAQ))SFCP|FK~g<;yui98C0lZOt}N7~IVc}~LD-g7lAaM)*ZQaLUPz*2oG+!MGc07Z62g0@LHR_rgD zC%tH3p>s@*uw4C?F6hGWZV;Z!Uw&f6Xc1eK^Uxu=h8(W!~xwa<-Bo%tiX~8FOg4cnbF%X$KtDHK< zg)|zC{4NFjt+kJ6K|DHHr~e(IgFIB4vm3>Wj z7LWVsQ@o~y=(INsJ>x7bk(&Y6I`bEr#M|D9+n}L3XW{)c2soikrgjtR-jKa>0b*f; z@C8K->OmB6ue3PuO+6Gz-FV)G%!Fx^khR^Y*?`4GUOT#l%xIl77by1Dd;*k-))Isb zcgZBd5tF#+ZB}@l&M6Cw&_rAWf80*T=E{uAXQ_Q2#Sa?_In>$-<9I?k>ea+~?;~HO zr(o-vJ*O;bHeB1agL5uBHuzTps)V)Vu-g~%V%YJA=f_z`S&XbMOGbcC;i!Cv2GYQm zr3E?@R#>}Tg1sMbmog~b-$)INMtk34&(hz^3Z1Q2DV}D~Ah)kfACVj%SEMdc1W!B1 z&WDNDa{@(Mop#=Vbr5efK0uc^Ow^|P^|1cTzyMQ@c=py_yRw|fp5bM0%hwY1BrW)x zu``KA?gzL_J3s#uMoZ9z=g+lqPTZ%`-^A}m&1aL zN_4>jJ2ll9uCD5|3lJl)Hczfo2@~e9_b1oA%{}%YTv8GCn}EduTJhpHp|(j4_s`gs#kv{% z*{Sk+H`#-;$e2xF@AAT-55YTN0CV>H7l}V%zfl1uUK_>Fps{Ad$z!qudAsOd2p}vB zU`+Aqo#|dL24TWTKp13!&0BfVD^(G%`~ zZ=dx18PotZZXD?gv4oib^9z23bEd!|>Ab|e{JnO;Ogc^bQLF9-HHHve0OnMNRiO?2 z<1=kvbZ>_7-~`;d55nT}nC9~?pSC6Io`0b1PE%QW=Nm@Zs&XDNr9CES|1Y6e-7*^pMD;DRe|j$o*m>_y*==zOxv)TC zAoccNs?2I-ryGXX`tW3{JI4ci2R)E7b#CA+X1|L{2{UI7$wJbaGPJuVmDN&{Y<56h z$f=RAL2T+cbm@i|R^?B-rNt>l!iGG{f#0HFx-`U#UZS=H%B%8@@}%7! zd3v{bClZvH+C~5-OUl^|KH}o8(8S|$!e^5IN1_KW*MF@-H1C8EJQtc0zU}wUU3cN{ zuvz8v6WO>}?pT_hl1)IE&P>TWJP-ATnzL^%=2ZKz>|fvSt#elPwmcQrgM*c9=zC!v z*}Pb;aa-&h7Xm87B?`{gT4F9|fc!@HppV=g+5HSUoc#)pBEnoEtcPg;sh&8><5)f( z?>2Gqongx92-JEmkX$V82+h`mc}UQRo*46-XzXhBRNPB7^mHL{tk!ux{P7t#3F#^D zkxfTRP9;42u>;fwO9T?+f z`5;%}kzs45jtFl8z2arV1YZDgli&i9XzF4Pt;KPE>Omk&*D)EoT@!lpz%f^3$X1wK zhQmMg5M3n5uWS=PBM69^ffDYzfBrjb*9tYLz;moRD2Zj@1R%f?KB21?bimmsiys>`DffAvUISS2XHp2#9^edOzur?V-Q&wM`2@1!r;M zs}>_ImQw0p!jjwh7BpDOsk;ZuG$`QX-bMUee=O=9)AS~=u6g07Iiq88J)L(!hWm0)!uPGthLX;ikqMgx&0G9 zvC8-R7P22FJ~ks&q@IWJjaBN64&K+|crt8$uO;N~gxP{HN&g&Hmzu-J|7Le zu?#mwwrp5mGJ}Yrziwt2$T7+J8_krXd01SMvIOh_OUr|1XyBc_e^rrqh9qe?h7(`c zWkR|cw>p}VS!{`${-b4S#h>)dx47BbftZ}FB5c>}1Y5bEy@nh~{|NN1{**bJSdPw& zr@-4VyiAbMkgeQ_FR}K*o45@)p{H*%Ik!Fx=>`$@mbau6D$N_vzd3h+0{m6`s}Sy< zl$Q4Mw9kH!Vqv0x7pcD=t>@+KK@jbB!Ko*e z?HM3^X9g;gfpQ7fpXV0bito$ehA;rmsaTj}(3w^5Z)7%97Q~x!rNV&*ID~#T8_yv& zIy?p4(7n%h2gCiGl1@Hv`vfrE-I-6fpFSI47%r?X)nScW+zPF`7e;=Q|7J+?B8T7q zvEX~+PTs*33pFZ{)I2Ud<+{u@0G>BJJlmf$a67wQkI%s8D#J2wW92#bOb*eaBJaJH z`rY&C1zlrn5pJb(YAo6Je%G z+e0Q1YxuFh++hb{clVX(=!cT*jsP(Zq@lt=0XKKhVxr5U*=_W>*Y{S&Bx zbwi>isuP@i^KSh)@^n`ho9n7Wb}4Z$()YK9bjoc8*(HubX1w$JDs0;Yqq89_6(Ov$xPD121PHUXkBrbSfJ)Y199QIY4p-LF~MnG zrCG0Z&l^k2)*G|zK9El>2vZO}c}alod=co`$W^88nz^Vmqqe2PGx3D|6{iE<_=W43 zrt?UppK-*0)g%%T?$WurT3JCONSe4S&>TYzT2t%HTq|nAgl(&{F)Mr?J4J`{JiOdDhyF^!~QTWpqWQ~b>f{qiVrTu{lk|T3D}GWA@hjc$ zxL;tSxxzLK91={ERyX4Zj|k74R;Aj+@w3VbD9i~4PC3r%7GN{d>$mF46y?h4dcunk zX{c9B$6%Yir&nHeLZe(>nx3o*oI%i`%Ao`L)^Z<)$I$#>$9r69R?v;n{-)}*+QR{E zXcwC0{t~7MzCY%m^rGu!%)!Y!0LuH~!`mSC6*{S{S+YcR{%TSXG7V^SP({v#wn9{} zA0Dbt`4aZ9>l(DbiAPy}FuR}BI?~mG`e*l_yrvq1Jha&AMaCOXuq{7j_wVAC2uNJ% zJZxmnXG7Yxon?^$oyEjxwL1#TNeMM$3?d}!oAE%B@?!~61Ti)f$W|0Q*?;gc%2Xo3 zCC70rN%jMA9z;Yc^|0RTr@7k>_c_$?6$-yNu->u=ih_B3HTJ%he4y&iE^mJ&F}>&d zwk5YhX|i)vUYp_UE-(t(p_6P*m|)JDln7um!7HR?Y9`)&1S$Cs8{&kb3~FOs?trBq zQbp_N!)N-Pk+8-atwFXrOA+xhr+o}&z-mWHg`uuF5l+5gB5QSXF26+Vu6&ukJ8d1- zJ}A5Dty)6EPXBnXfG05*awzFln@yZu`VK^*I;0W;ps+oH}?(XO|wi_jmCv8>ZQUrzY-3EvlNVPCRZQ(5$P zU8p37967BuWNrT@Jlf8KBVD^YBR)+^*w{ubTNrw3xx+;A`1)HR?=9pYv&RnY1pZXR zz#t}g!~U0MD-(O4J~(ziW*y<^`lj{Mbx!vBfj$)DnYb0y&8J)vBa6w4Zarf+DMd&j z+9ZZierH1Hdt?J`rd-5_3XTeFEDU_fodE1Uc$t^p5%a!_T^@upO@QbFFbN72QWZT* zLS;#G<%M|d+6o7Cg-`3NaO}3#qgr1Di%>VdmV++S6oGIiTB9pH8%e$*rihU(qDeov zf0JcuA*36TpahP2(!>29>1oH zE`Ihgz1AelaI3iDe9A^7OgeswuFh=6hVS7{S?sG}2CHRAS2@KXCu^;6v{g(kZ(SJQ zwLT8!cKN1WS@Ttn#K`uahjPeP5bmV1f0`Pz3sI{9LCxH8OQ59cW9eH$<~F&t?Bj>0 z<7p|EZMv{{);iO@_Pfu^nbI1kG-S?fq3#wU@94V80&8ry8Qhjzg6$apx>m@m>Ni;0 z83GS|aqwN;x5%Z=h276bLUYjCkVI?s4JRm<435`LS$r_wI1jzGMt~E;(lTY*H!ja7 zxV*&{cn_)eml;_85fsm>TDg#0hOB14m`V05h25HkkQs?hB;JkE``#rRDdfvc^?uU| z zgSeq5k#kNUjFJGuauE{~j)+5Uo=W7-gpqW=Vl_fHaGog$7!roAfVv6)W8HC1Ib#9+lQq~ybSHA(s_nAFRQ$Juz{m0|q}P@O^-G7!!z zfL$GJRsQHM+%Rod2SQJS`I;v2lkua1fw@UBGO2|@Q zr@>2oIGodBf~GLTqUW>c=*&VZ_F=Rp!q0pgXUDaFKb;5vogv;8DCvfc1g!3YVvKTc z^q^W&6@O(oX_^5GDZ@IxEU&2CY-tHB%0z?G=FTyV7ie{-C1zbZr8dTBzE2AaYtkQU zD&hpi?E%h5dnk3CReCiK*ot)GwR6__uh_cF?Kj_qDKcJcfLkh5hjT<{k}>4TsO1AN zn*m}MH!J7epuWcVzE?^av2cxzXL=sN#J<~-|A5mJJi61U_XeZSg-qV1xWpfS9DsVIVp?*&mYTT{Qmf%%$SDO1$p8BmT*t`JkRo{ zj_pN_(wDETBeb)QcyeV_cru@|z(H0!V0q?;|Lgjv zVV5}-GM0DK-5Z}{cTpt%EswiNu}a(vh^RY*qQ_dAO$Ix+Uo(Qt*h@}zBjp@TIQVXj zBL|x`<&}u4BlF`}6 zv~N53?kJd)+Ra$NZ0y~TR`g%V5)-KrS%V_&Zs39^g~Ue}k$w$Z9iP0Xs(m`xKhuxp zT`geR@x6kLRm|EY9t-;S3!k!UwM$ocX6mw`~6KEeP-P3+0hq` zgp9Bp#*Hyi=yAG(m(I*hr*0jr`fJ;b!XV0lWE;w9_22mDVmyvU6<(_Ln;l_PG419q zjRymZo4{=pck9ut9z!u*J~F};BEADNy57y!peFQXA z$do&rQ%qF27wMno2z9Eg9tRU^Zl`yC-oM^9OuNw`qdS4%#UecC#jktsYVeBuG44F6?T19T?oUd`U%0PKaU|B6zs zOma*LR!VQ=sF;#WuviaR`=(4aH%>u;Q$5y2Al%;M8dNnv#3iAVk zE`g>&f+T^J*fHd!a|mna=i>-Bh>omV#~0dMuI#cLuENSbEuY=bu1#OkoP4L2Q5uPi zgNm9_w=>j>bAE4a+w+``AP4cHU!c6F49#)Go^KXxNRX7h{5U4`YA>TK0pyW=4r)Da z>md?ET`O5319;DZ;rot!drF@f*coQ}!_H>g-B!UB_655kuB-AGlyH( zc6p8;GbId;OGWqlgBkB=C-OCHZGXA>3Gl5L`2%YuYQbh$&B84kXNA$>iaaUi521di zW87GSumah8VA;;)c_Id5vW-D5rYM-S!j${hLrgLY-alL7C1yZtcDYZ3>@j;a=njn# zzklie&{;puZ`!T$?qf#fetd+1Iy78iqOCfcC!|5~rwM6+;>GCkGm?7R3_nG`;2WY!o&DB zMQ*|ZFi`#0i#fZU%*U||5_wWA@7{3A_7~;qTT-A?wIAdI+Q?WLka5Th=Yq~F7%wQ+ z&VV7u1cp;|d(znT^scf&s)O~d`05IJb)`#p!&P>!#~m!ftiFI@6~bW*uUQWqq|EyY zHyXEtLY;9j?1Q;3@Uaru_*e_}jsE+pC%n#mYvE|4;OS;wu?@HHFnH5#UI`)N%)1=d zrqrKWkY0cS!#6cPy}lzRO+a_jD5k-9-+4^%`dc+0U8JKs7^xIFn~3#~$W#o`Ja_lP zo|m@HWjV1O_X@t4V(sCl#5kvc-a2sY0C2?zl9u5x$eeuiio$j^Dl9BDP|Lobg)xa0 zxxWJUqRpxv2GX8gR+u#BuV1}o@}AyzIJL2w@rp)u^2n+6KrrUx%bNB{W4VU*lTtL| zvmGL6^Q zxe4viAagrQ$jdxQufnq=5^Rdl4x>I#roQBpqcl?(32~)7C}hk*Gp^K=3|TMYYnV?T5DKryfx84Ctk{*=Cr{?iv#Nioxk=_ z6sUYO|NSOSL-!hrX9EI4`ZM)Y8!WbK@_O_SK{6k=>~b6nlKa0pF*BIXXpJfYtq#vs zOJCNICqV>TYcgT`$Ujrj#Krtwo1}%uBm8qLO;~`%`L2|6i^T<`Cl6Bj`)Q zFUI|Efp#W%kV+lA+RxGa`>1TSNtR+Vg%UPgVK@H!crOFA=T;}CYQ;ua*cu)#J7 z9$2!b`8E4d0#cnl235~kv$uv9tIl>JcYb~ijp9} zBD;!K=Q8rNNYss@m;k7m9Lrb@(?cEyOJPr!zDQOv1Z2|h$D88vE8rEwU6a)QH|&8m z5a!bb{_US&?m=`aDK|s}H>3+BCgi|RKF&uCUT8SS@ZdWC8jn&3ArZLUQYx|2{@A6S z+;Wcn0k$=ZX4*kCvchYBhWQ%{28^deif?iGRs=rcZBtY05c6lKN{5K7AS}r!PWYix zbN#-jG~V)ytxA2ojV0fIm%*|V^t!KqDzyFJ_3x8}|DE+R82>!V>B)Dw(sl8Nspq`g z@l-N2(3JG(H|z}_R#;OB*YsO(;hQ+VG<1B6Js`M!C(MAP(+X0O0b6%ep^6Q6XVuQt ztP;h+_Mp9Ai@0@ZWoP6WF;(oE3*Ufw6IU>V3E|n$2A!4+O5ioq|LSdDC9Huc`Y)Ed zf#dw5UhP7e@tc&A7;D~2u)qjKaMvO51u*k%U+kOFu=gK35ZmJd##S_jPFw5Y@0m=F zc}6jB%srRx#6i`@t9)D*;A_VCVo@gFSJH@4j3xIZYF7+Z_Haah)CSP6XKW5`bX76c z{Ezqxh%W}z4K+9?0Bvk@$7OpviU)nqFF z)x@<;B%_stfhIf-)rHrUXR-RdzXx@(dfJSuQ|U-+nC z<)zxyz(O)Z=+PN^?yg(3Z58`F6xaU~+u~XQwS<`x|JLFHwm4pemi94Wy1UGyRqKIK zcObTQOhjNoWq+DAu<{xT^eq*-jYhyd5Lu>O*0}e)fpl90QH$sntjSw%PyO1aJU%I_ zFsUP{O`Vu(%^S+;5=XOCH8{}aCi@(%XTF&iTN{^6D+OHTqpQz$#%){vbJsaBeqr(( zlf}gh*M&ZAsL{clfF$F~IcGm$_&Z)nXj?bGF+J;5@+MMm{Y!g573CJOdhbFs9mK{+ z45$vA$22Gk(5oU%;eK<+;eSXW_^eU_cLm9-q+uN9rS)(B>I90D*Uy9w>SG7nRo=)A z*j~f*8)K>6NVgPUw)X|mxpc`x@o#KlAPAn-Hn^t*tVp>>G@@v9pkXA-Ss2R$48AXGiTc=GR-Z<~)6{SX)ld?e z{uBZZjAko7y_*k39GmcF-%>=UDkI^9NeUL zgrhi2AaxCF|*_D$Z)E`XgEo;i>vQ{%pK1EINolfH49IqH>s=TceJD zi_;x1v?({<4f)bTZU#$Y)w!-C{HGUWC;FFs49&qZw>W9aodR^|^o=yE5veiu&qflNwsY--@e!xU+M=1aDQ_MuLAN+<#c zhM4W1KjD~Tx_q5?vW6 z!t;+*o0ny$`Q^U$(GlkKL2Jy6vT?3ux~tk5gc&a zEaxG9V#oWH#`!ZwN_ z6q*BV2KA};b9CmKQ!`Adk92CnXYO!l9;)QS06%hb`q!pgBW;NFPP@aoot)M@5<4jD zu-3+d*)v(rs%DZS^3;hZChWNzr81`H)>`5em1&(PKTM|FTlCBEMmd57(N+P=wN2CL zX>1tZZ#+!+VUPEB+HO}KB4;BZ3I6-_npAwuzIv;Jn`erpQq|c-{!FNseiBLlKoj97iczKIOwD{$^Q$Gl#ROU%+ z`)&=ySi0{qeiowYpf;1X$2M>(CTS#M0<$Bec|S++Q);`L4XIzGB@=FYy3)9B2^c)2 zn>xxp;rJdSMRvtjKI`PEMa>~>cj@QDBHonxnl|d)$$Mc#W}jTy@%j=>n>{4@8sbNZ z;RxJx%X+6Srq_azXT85tmg%XXlPpJnvbkKMXb`$5Ur~3<#RKP27TjBJnu2=VXs9QR zgXuLvju%`~Nln6EgZaX$*(H;8lw_S~C`j>IK@l_ctQuA3>x7$3K zkiY59=J&7a2IAYBxO&6{`NK!7RnNMoAnrU558oi|bhxv6S1++C=BoD#r)Gg3z=NM| z7;3iQJz4u7UhLOl8R$K>u?mB4#4wpoH*V=o#&8fuadc!$x+Txa@LZl)@{QCkTR;E_ zFAuty619V}V-CQcTBJOeR0V>F+An9W4aJ)2WJR%17Gj+n8qwSNEiRt@2N1vAccJjX zD3?-gl&1mf~4gC3q)14QJ_FjYV^?{xTu{)gU{xPMwdKC&m zZM%iOV>PiLyO%)9b40)fwk@0W&X}|U*OlWfiM2z5?ue=f{QUw-pJl@;pzy31TL4xT zz%)Jx4Z*-c(p8vrtAS>3t`o9xAPAW0V5Ed$0#nmU^Uwbjz zNM}T^44FpoI{9D~2*%v!2BlSXi9XOWn13Lbs29O&`<=)AT#s%?)28x^PM<#XNkl$8-g2n?D8NRVXbrkf$Cj(pErN znN-$*gh5szn%~Zie<-YbZV?o}dv7L(-V-%assHQsuI27g7!g)8BZoS@^$X|`Ov0L2 zZES|N{N5^98q5>i+_ZjLnlc*T3GQUw-kUoNl=}K_gp2`%1*_T_B$bpa_wIdkqV-dB zjsbON5kMVuu7^n`5LLWN9_VNY*Xbwv>`kvVG1&#f{7Sal-Sa|B!CnGuH!c8<4Ly3it~=F|n5i$ehFWkbARu zSj`M}X5GTwD^UNNd(POa?sW`x2bxF=xD|d%vC zM1h#MM9lvp(+h7oTHQ^F{;;{uwvZR=_Irg1SNMHc z@^F}p9s79w_YS-fo%4F(y;tq;*CQGG+|Hh9q9|hM=xCpl8*Udz-M)W9GQ(I4A3{y- zY_)hz9YYL%qRM~)h0|wI5_MCDDg*KjB{1P#I)$Y18Jip^ z5v#ezQRZYcbG>v}XJw7Cwr$8mk2?|YnZ>Y20!}!-B@nB37=-B#mMN-g`}3my|40+g z!q2Yts_DV9kfI}ESJRw6E4AiQSc=ON3Bk25wRDh%jatVH>EM+Sa^Hk=em<#)?M}^A zoc_7-QXgt${jp>2JiAeN4a!?H{?hZndh=bSW@@b3QJx3oU-*EjBkImi=k(DvKnI#A z{spQ5PdJe9Y3@HY@b=QIl-bkXJv8~*jgW*=_sg*E+L@aZIy%Cl((>}9DP!<1w%C!} z$abtNO13)^y3#S|Z!AdDZa^>w5WfufF@G5pw$0uTjX&R)fp>3#DnYn^bfNY*)2fxO z7+teZS|S34IUB>T@O^S(fP+;5y9?_*78zsh-c+z$NXN?$O^jQTBfO_#x<~STDWp$) z>l04lwYlHz+m@A+PK6%723#}U$PH@i&W>tbISzm~OMgNtx`EZ}dc2o*1OXQg#HUsn z`uc11%Y*m$L6G=7fOO5Yf3kt!->;A(D6tw%H6-|cz4IS@%oE8)H}7VnI{K{T$pET9 z;3vERW-Z6MLkr*Um;pVNy|R*ZUC;>i)9wj%p)w<67eV%|F1PULs-bAVoe9&tVo?Pt zdh#Kjn26a!{5p0EsbfxKIaSVAB;=t~oQHAQFe&@H2itPwom{9Ru<;`T#&Yu4G2faLo;#q`_q!t|&_{Xjm)cm%L} z_s)?@281z*Nww?=*3_6Z5^4?U`$|E>?S-DYDVu*jBP^yNcWH8iqBeCF$^#@E!f zRZmGq$`4DBpd|7=DDjP?SJQezMZm{kmcq%ee}ya*2-n7Y+6;O)BH4N6#~*OK29Z&Y zE2Plzfz-dZ1ypFTq^ycON)QwmLW`Su>qS#Qfy8z-QZ?elaA--?J(XnmvL`=%&>Nz| zO#ZH+Y$ZK#A?&4`M2$ix+gAL3%?T&X?;Ha1WPjY8b9*A1{M;nP&eJ;}ba))J`FJu9 z#7&TJ_$mylAcuzY^?l~RWn6Ol^jt~;eNRcf^UGV`$pkGBM)(XqlfRX<**SElHGMJA@+JGflm!*COc^pR+hi4!AF5HlUi-ktoUb) z_2OKNsSou`jLbs=-}f}c+cvrh8Yv!)Aqb}StRK$=(O(py##L2n%&%$wZRFXwlFWic zauqhc>~~T8U0S&1xu-NRMG8GMVSxV-{lIhEd-FxODNLeaBJ&yS;x+fH5-yYi->3jq ziWpWEy_Wb$`1Cji@Ie}cm;C2xk*g$6=I%!tI@qTRg<7HYV$*c5$Kr$U=$M`cg-5mY zAT@dZp5-ad?yOmJfEo+5Gq9_pYi*=449W>#U&Fpup{fwNAgMjeAIxs!dp!3@$q&xv z@T6RKq0ry35>_(|31@IBY{Ad40uITI@6P{%hSQinx5j^vxuBBNI|xmgn|&}rQSF1K zV2mTsOU;fs*l-MiAWBIEFc052n37)0_t+@NWOTboxxHRNmg?(F(5$)C_B6W%%bH1t zSP(f-l8qyMAmMc%r*|uM`~%y<#Unq?Hf_Q#h4+Ux6`wax$Dqou`%48_6})dk#_#H* z+Q5rL8HS>IBs6}LEV0v!_(P~vvDp<&F zxVx~V7|u~sUvw_vBDXW6u->gqd=_sN<3m5}XOD6X)aS#bL{0;YpELw_U$mvDU`Njlg#IK! zyIsrBQ*d4L)b3eOD!@xTlas;E%tvcyu&m#&EQpShsmI+E56#7V$w`Q)`@RlM;?)nc zY=m8r0{tvRz|JA3iM8bdwtJiB<@+Y&bwP}4=Wwzz243pnZc>u-4@4Cj#D_4wS z+*^Jvz{sobsYKdfmL0yhcaEtFm=20)j&eHHr8u$HCkyYCs2p9JOX&-HgR8pw-!ajY za`%2S$z?MUIP;t?xg&0zt>_V`1S!iFjjAwIBsRx z>3Bh15kdrLl&nCKT3O7V#u+|*%_Q_+kkWC3ESiyQDCe{Kes?~SF-U9;Ku|lj_iJ-B z{qU6UHS!|O9WExS*e+0?-jQC>9ww)2Ak97FHJX`uOP@Y)rV+H}w)IP+Yqo9cXtav9 zHa9JN2NkDZV{B4wi9eH>8*U$9*O`0bJ}*B=aQN%Sfo4?1!$;_4dff`QT&*U4mn3^f z?FCBPM*|5S&qj!#_!g80@o=Ae`91zTpA>Y(;&o#5EPivKV@LVSojlYxx-I%FtT!m7 z7!TjestMJ{5rR-Es(X2zr+$Zvvp4 zcyPabUc?1!dM~AnIqaKyY`U8H4V()EhD>9wJ-k8rW3|h4*O1(kZ5ljmdHCBP{%1P+H;oKuVeN;2P6q_O9ktq|au{-7X-eDtd{047XY20Y> z^VeK1#H}F~>%eQXkvbRtx9Ia{NK_jBN=oXXDFAJTmU>f@*8{XMAHHzK=pB(-i^f28 zzMUt?mP)-z4ZlLg4e0EYYkG2T!TpKgFVj3ckHlIsx`cujbgNwFvD`Z z#1qdt`zk#o(&_aiPf${HEPIg;#b`aH0^GV9k-yq;hVEgQ;E95MvPY#9+cHpK;dPOgLEwDGgEup=P}RkCutW{@w$*30>$4ip zdgt`7pf=2t8Sk=h)S-=F#I1db=|9JmPuJ@`a5iNzNot4%fYQVdXvb`TTO|IhX0^bD zlV02j2GVv)0%sv&BxNok&u<7&Hu!n3unsQ&POEv+xycs%+T#hhToI{Jmd6Pae_!1G zX>k-qc!^jyWEfV8G3}bmS6N0x%!Hv!Ck#c->QqprytoH*zGF$0WG69Pav}1&!7Ou+ zYgkDK3-r$r6Y+ z!fr*cwc^92$t3&Z6-bJ^Twjf?hg|hgr)@*%epoI!Y#;Z!L=y`t1~dqa<~PTl=t6!o zkd+%k>84wSl5+oDtCBhR5O2BpXrq`X3T213-*oto1_MHk+yc&5MQ~2~qXG%TbTznG zY^yJ#POccAU<8ckXkE!VkLmD`FxC;(LOi}hj}ShxSy?)22mB*)2>y^zi+K;%W=F~r z7cjnsp-w8!T`WbE9_#QbP5;C~<+|E&UevTO5>+m6XJf%skWN+EcmCbf5-dsk;EqWz@| ze<$Af7pfk;qeWP&y1DZ!e%DD7_7$7wa%umS>Hj~EEJXk8Jzh;5w4VxG zz)9Al<&NrLPU#a>{|~kLFMZ0#6^~&Arfex)4?6l9m{k=#ypK4|C7@!s~Ri0tHpcJ=T(zZQ#1RihUSLq`7J3x|ws zD|7iqAV(22I+0%828%0o`hsThyk=mv-2dGvvmICTU zReU2sR=U~sj7^5siH$Ju4M3615YhLKb*FJ&2n7vv9GZ4-sJr3uao;I0)y|_fYy&jd zD$;c4A3>tTj#AE^{#6`L(o3s`DqEzckId5B(7($1kcNG4RC}!SH7-CgC^e6_3v!kG zwUVl0f5okYpkZh-N=JT|BT{`T`HmSl#@nHCZn-iM296xepeD>$w^*fW@704 z$6o=Ae6r4Z$tMNvvgP{r^Bli+y>VXJ6ZGzc(ZsVraV5B6vfFA0@9QR^#re4y3SW<3 zM?i0(h*c~YSq`cd;j!7Bph3VH6NVU8HHiZsIcPy6clgOb^EDU(-JqbXkc?`BJ``)( z0F0|<#q}~m2|bO>A{*8-5~fY5BVb4Q>^KhapFoY1c*t{m!e5ebQG0_di6a8 zup-%3AHKNJhhJBsPb>9cdAz#SC4590hWXIgXX|V6o_TQ;>L+*J^IQ6d9WhnY-^i~q ze{KE9nW&{Jx8i`a03b2^a=fIaEx>Yp@vIsp$_ol9~E6xwj&VdSEAUhrb ze);IKt)kkMv%jTzp&3ad36W^oZ;m(Xn|sm2 z#l(=lezWFz*8O%$4GYuw8|9@(FIuTD3Ts>C6>LA7tHq0Hb#J28uGXGiUp+(g{+mP` zIA0HVnO^K{O8-Xb{ZKQ#2rE#*kA|9z^Id$IVBC~=!=d6>#GCQAW0)ZW0&(=Tkat9| ztu3@CR-DREDKlv{S0cA-bRqXlV!&yrKdmySHjB61-u$^u&#f9$;y7)O{IsZ$<||P= z?N99D0jU6S(v*WJ2vr=uTs__|fqjfQ)Yy-YSdRsp8!AqA^kymCzpECkRE#o;klcz- z@{pPA{)wcA-AOfmjk}%cG+q#NAK~b7aG5KLY94~>HM>AVXA5kKlAu$PvlcOj&i0*d zrofy%K0#WrztW=4-OtCac!D9vsVr}wm2jc z=k@1#gD!VJG3P=DWL#n$Qq=m*(EK=ocLK0cLli1_{49;j@VU0Jw(pVuRd`{GJiov| zl9p3OAn&~+jNc+T;!RW2RrdMc5*+Wg)P&Hn zWYv-cYW;jd7}B41U=Rao^?ri#_$#21^V0 zIL!JYfUkW+Kb@QQ2ElyTP3h(%a|y6|aHTZ=X~s4+v+Ko+L)NkT_8(mHD%3Fbk|W-KP?{o>+5_?U zxGU@(=jp$S!QP!jBmjGfs*J@Ck~J`6xww3?Hz*Xu9|scUJ@RydZu=>DuRa^kJ(t&M zn}{OiKolU8PB^SS3fL#sm#chKLw>^ zX4VAn?MBC1^UAv@r)PasJm2_)8a`Jlbz#CbFL*+6&QekUK}q;iOTjHd0S!?mI3i%cVI`+|5c)As zPKS0#kJAy>&>IUzr42-&iOYk%!T|Ac?uh7D$Ujw8OFZ+FF zbSYCkTdCPPE0(AiI})=VfZj=(Jfv+#t;U$2maK}{UW1hJ9%={Da-SE)j|>=i7+O@c z!8_ZTfj94h07oNhf=Xn_UW?ldR~t3nlu64hFq*pa`dzgwgd2L|T=*&hv7`35_xxft zUKp7eu_`}sfj|MS8me)BO@DFcPt?^G>ZD}#+0kd5;ozP6=svVNy1XU} zNH|=btWfnX*?=p=lpkBk)f*fd30&_I;0}si*xI1X)oZ9jmn_S~Ai7ZcFIDi5+YtOViM+1C${dVp z^|IQ_-UKnvjWoo>sfnjsP+1oMr*WkZPmiBel_48fiIk!n8(qxep02Fd+sYqrr}Y4q zhI6nL$|u!)Em~QOOV`?Q(S}94R|~Ro-dfLcDLwt$Gy(RP=o%M|hJV-KYVtqN1VZvY zJ*N$wa5BSbsSZ896`*R0B*qDk8JQXkgjbKTIw=0aucY`?{**t&ijV1TTL`Boa6k(8 z$0%}isL|A5?_1(H;+KRT#Iw&`@G_l}&x2kwGM180>wU5l-HRR!`(|HlHY!IBl-!EX zTy4xFglx#S7jINf$d4hq{i?r*QI2!$f!H|R6Ydnv(40^ckrP@%cmwVE9hCqkk2W&^ zdJ_3SptCP!9Bb|=nF=`ml!kkRuO`}>0!+~~79Xh2M|?{a(Ucyo&Fr5#i>&q_yrwRg zSqNxV!rq6=U3@s!`tU~Eeki1oNgdG^YRhgo2;!*XS z$HhM1ne+7EVa)z6geRg85Z2GfAQdrzvXjf#d)mq6=yL0 z7695E(HGC@qik#U)A_xr6K3P_5w&5>|5v8}|2(pI9#U)qbK;o2oFrrC+8vTP2jgdh zr13AiRaPB1st%6uHfl@z1YbA`ebvxA?tUJ!+UVG>2>}SqYz%2nc)Z9y;--LG6{#;{ zw1PzSI2m?>D;h!c+!J-8#0a;GQOeB=N>fu;C?~Rj+GY+cvP8L;jvTHlPd_`^`s{m= z_Fp&07>(E$R2(^!v{V54X?b3S9f3t{cuZOu)hkE+m|t$_;kEh;B4RG1=idH(8xy1A zp-5xG51Pp`*{&EjQU^~`p$uYsMKLe2U&14J)emZJ-L zCDUUl+)T{akoPa~UVE3FLK^^{;k&3(`ax2Y;8;=;!drBX8Zhnsk-~*gv*#uQNB0yT z)!MLgDd@R#`u#rwLFZ-8A>`>G!=0s9EP#c82)* zM1~}UCD}RE>g8BZA6AUzl;mbg9Nt>4zw^{RS!<${UAXX8@pQ1-JTpeQDV=^>%+%~z zFjO!?HJXA{Xl$-Xem#Nu>UvTn3efk=CC1_mYl$xm_dxAFFzOyXN)!>`$KbZuiXSr3 z+t?dx!K?Y)NkMJVt*z<}>Au3$gV+e@zX{`s0*5>{Kr#^7X|fDH_(@{OvAFXMm7CIj zKX)J+b-TJUDpLdqlBZSa#>8B{RQwt~rFMNH@DBQ-e}*{TEoZhSu?$~xZ&p!W5y{Jm z1B7kgTe9jcZ}0m@1bSpxPy(e7p!rboYNyKR^a=}n=$-2br4}`u)BH0Q#4+}9q5BG& z?-7krk;Y*c)~GyK#|<3_bW%h;;6;mW(EmosOl9I(cYAOqFl)ov3;h!_O|TC4Zc0M! z+@P=M7Q$(WlK4{zdVPHqduO!B5)=zpg~V)i-UlT$y;tg5ca8L~a$&h;6L$=sZe=DE zrmaz%x5$;C{wAzEhtTE~HdECi8v-f)-9NT+j~skHL%-k8 zjOFg(53uC^oa}0h&K)LH%_&oN*n_oT7025dGZiDo>{WL^-&vh|-_}HBIT`;AOXH3t zacjV4WqmmpdjXc!yl!7?$>4NT>|z+WVSs_Dy6M}BtHk!$rJhR-%NZ`7vc_6`wj%#5 z*#N`=iXSDKKI=Ee18d!H+k%gaX6@{3?ARNmjq-c&Lywq#>tzgx^H*O77g{(Hx@YHV zKY2!o_hS@nyNe=>YZbE&#gVlQDApsK7aC3> z4iXtUanbvH_Gcu52dqS+vU^h7LKIiBmWD}b6ts^A&aST*x0KIbRyef^s8Ux!V3K9Da*^9oTGyhn!6Isi zaBzf%e$!{>Oo9%Y=hu2`nn&{JTLrG2)X!VNUoe%Y-cf|ni|3~71j_qDc&hCGbk_RyS&Ao3JAEceUSoDp&& zRW>*UtExCVpwrn<1yb4Ebphxqve`+Lu%J#^(cvwof#4{Gg!;Y6Z8CxIKpB4T_tVse zs1_s(IMK#pIVA2rC)ix)D|84Z#Iz?KxQQ%lAW+AYSv+Eu;(pZ11_8QoifHmvB$T!_ zH2hG!cr9niTk2GpHhemy4s?=Mg@JdErk7SstpRL#rR8tH++Pmm_D}}Ql+Ti0!LpoI zs<|EDxd?Sz$+F!6L(Yj}rSEMnVk1F046MZ&zOr<_^06>IW6G3o1R|Qt+ zOJ_oWe&qrRZp414i$Cpy+ykf`gO^|gkH?-4B*SW;N;V&6V60ovbm< zE?0ZWw?YtzzALsZYg(8k0d6^e78Th{PFz{(_m{}Q;Th6o_ugv zA5;TPk7N8Dk#kS2`^eiK#cO)kb}%7jRR4|yX}OyGZ{rK*km24x^Da7VDmv(ycg!sL;_=7?ZIbW)cDD*%x7L0H!;pS=MoROmTb*)1ARa{yT zvq7B6cX2aOj$fys`!x1-qcEFPt|&a0~CYq zN!5XKIeqYLIoHXu6=o^eS0^nb0diWeGi>eTbkj)^PYEAPQA^FPGkUsWV&7cA9?zje zXN}O|Pi*D4UYJsT8eURIL`2yUy<^q&qDiho&u~#;I!7o4jFR88-b<5LP4_c>btX~K zAtp`~7X-2OLoSp+pE@5yxO7NWEWi<&9)B9WMWBn!su1v%vnyl2Q^>D59%Sx4s+~b2e{wL&NEDSStqTeIMLdut}SBdQrquh!w^Jp5V9OP|bAcxRql7>V0cH643ni5?Pi03_qX$_w`f-RdX!CL9 z+8a^R%E{w|9wY?g;9Bnm4a)wvvv~iHfE!}vEFtrTQ?}fzejksifjuGU7-Mv0p0&nd z_8QkVhEj-nrBUVrb(`L0%^;!}lDM;MES|0C^-_c6avw#vlN$S5rK_viYq*&%3R{lS zIYDPLdabK&hU&ELXIA{EE#^(^+vjzCXWQ2$gwbeRyF>;+g$DQC4c#Cr=adw1WwTLY$Q|6t~SW%~cmBP-3DF0Rb`uDB$Iz{E3=jv2~+5O}-jO;?xYhA=Y2 z`yEQ3TDYv-#@8$EfY;{Gj!?tg&OjX(z!a?RgwCMcAnhQ>%aRF|`X{n*m7+(-nBhTX zo;38(|7pDw7x*B_K3Gl0@o)N}X8H}zg(GD5zeW`udA4=v%3RipxsQw96nE(5En%V0 zD!@X-08$)LYa9X$T1z3&SfEg4WdQp>3baKmZN~~z+Vv6~z{J}v%jK-aaRj{6=g$cG zAltK^A@eNiQf3XqRlh=f#W^jy$$l{ueU1yCii<4YdeR1pBK8x=!&)3%(6wUk#7N+u zC@U7+gxtrrp}%;XGYl!%Pw}14$D%J&Dv&G1uKAm-%TmO`!WPsz!)c3##5z*i%h&}1 zR#fR!wzRZKW}63HKde&31cF6Qi^w^OyM;%hPMPncv}c6Z+qScE%}|~wYg7V0lLFEJ zzGc`uSI=9`yz+EnIkx|vn=^HZ8}@FMMbvPH4MD^90ZJ)WtL(dl%Xro`LF_52O`OHQ zSpn5K-Al^Bl#(!sRFQB?DZNJyabEE0tk#Z?(5nvD-;UE*tA-GmK)9quO69gBU(MIu#Bc(#&Fn3nK%d{IWBVQh*%g^<~X%o zPpxEcybPLc%)t3YxV`BW#c$GQZ+sq}3w-ACy$a=M&q)I50cTM{t1sX`rnOWvz2C_)YlWtaaIoHZlMUv$YxVlw3TR>SDhw01 zzMp3V$6f|nGOz;+zBZV8B)LzyW-e9)8U#*OW#a(s9 z78`Z2YewNN4}GYsT(Fh0%*L3gM^l!5_@b$5Ir%+~aF-?6=qG{(Ku1Ydrl~I25(OSP zXX`rkBJ{`H?sg&zIhUmX&Kvq5`U&sT*sAtwDj`je-~zV>ba#wTCC)hTEX*q?&EnTP zVMBMxUD=0MLVt{X$afeRuW|YOB9*XnNuGr%g#eU(wJdE9h9~;)8Ell8te|9abAFL^ zm%@i~G}KZ;n(8rrE0U(8X$aZtFFhUM_@<}$F2!!PN)@YwM_2TvDw-tTR_N&y$UP5; zw6$wzI-#iLewwiWXwVm>8F6=Y7*d}ie_T<`^H+mp9A-6ev;=2J$*Zuz4l>WBiybGv zO+Cy8BcEFjVQSl;|K?|-%7Q$G`Yx%`z3C>vzO^dPG@i8g{>Vss3#eQa(lFwQW79a1HP)Sg;1jM?|| z2^7&zt12)Y)4TuP8v8e;G?0eDVtOHk6FWyJf;7J9vDE8`v-O`gTR&HG^?QO zx?(urtPX=X=n~u93P^K#uCNGLMyvw?4up zL%P8yoLcTX0(46U4pih{EY8>x;TP^%=J03kPu(S~+hTa#k>!!Bh;x_qGFc{(z5t6K zIK%B~cd|HFtWV%a{roZ`C`MOsJ;Y)*)S2Hc=)K!a@iYpOwOhsvfEv)>L2nT9ewN=J z*{aiWCYnvX2NV?d%@ZM@mz`-5O&bVPD<(X=0wa8J8Gq%wwG-{Dd|EuB?>g<1YV87n zHPd%uK%YrG_duDoHN@ZJEZdgjcZQ0DFkxH^;`<}JeyCQqoY9tW0oB^QOqi_HVcQ1;NzZNEZP{nN&Lw2DvQm?nQ|O{1by&m=jm3?f&m zH7e$Xor>3C=@}A%iWe%;!asDkJgmELivsrp(VDj5-SsHkH7xLe#y&a2I-hJ$#S8X; zBcnpycFyu>w|RLL5S)T#^d(RG7L|NLd&hn{en%XfB|v`;I>zklF(GtZ~(qV|yhLXmImXbdd69%NcXlhe8n>4IidwEsL zySW_MCU59GdZ;T9b_!OwlKZBhO?ekZR%VdU%KauhXz1jlDC zU}{lQ3orZZo68HHA=oW&Ay}Du!rHC$%m5>e_$Z{aY9VaGi9d0 z4$`Qad91xyw7iDQ0}MCId-?LY@M|&O*~+NVv-8fJ1u@YeRd{mrdY)}34Z7+XqCPqQ z{nEkDb})`aI{$;`SZixH@lR*uK0NYAq4PjTQTy9?K3Z`jR4_8J!IGUXh;unfvlBqA@h9a?CZSBT zpfnapdu5J`w@Yl44qIZ8=G|`jxIi#^7q#40wd2YZ%Bk67{1&Gm0_mVzADbMP!`b5i zt%9jNs`9>CA6fFLId6u?-Z59rG5SR$KFPYIskm?rrCfn-xff8fGHdOGHB4+4+cQ4P z2Xfp^yfL)CHbY2qm`{V36e;iD=}Gy2dw5|A;0MMMcNx`wchS;$s5i>k@O@S zh=_L!)@ugJ(x^4jrYzI&ZMiqE8kQzI;Z~x4IVrKFAIgjCsNIDPtjQgc+PYh=0x9Lx zh@RkwfgwiP*;Y+Nh?)Qiv`Hi$#?|!MHBN-Vz8U)7UwD&LvQ^BwsM08J4q#WxxK_-C zytw;FA#5K0AwV+#1)>o5wed+0+vb5nb~D|zNPc?_l)pJP+u`qDvOp8$bn1Tr zI*Dk&O#7#D5Wjf*!?m~_#usHMR)4>k*u~WJy9!ZLE=6!h333TX-a_IiUWF#b)bySw z&2)B?oESA-ZlzB03MAOCBl>`r!$qeJnjXkv2%Yq+%1;}u2dlvx6MEc6~P;uyt@8eCbB9$l!;o>L&kR_BKCa4{c$cX_v&1l4@I?u%^8ZaS_ zw->aW6I4T8*gNnfbWlb;8RsXR#PNK^T~+RF&t)s^&Fb3&F51RiyoLHgXoYs(8S64} zu&r2pwqEAj02Y>(&G92pjVSCO)^IN75=pXIlgA`&j>77+P-{cz4tiMkuW4irhRNW2 z9h#?KVL?kYP}*K1V118ptn8V;SvkX~D}i#=M1vHKb?s`*dVZ0Z>P{0`7-!*exrq}P9C`v1=(D?QSASL$s6WjSAZZ~^8+Sc@VH7efq=u!T{FogdB^S8ZILX{w4Jm*K2~5G zq8>bq8mKQOk(a!Mid%J=2&FA?M)vg?e|1KnZR|lX7==9e#juAIz(i&qqpAktT{;oV zu(fhDdk=Z_ulbG&Vn_5VIWWH2R`8QmoVH}V$0_R(I$ojR2TBTltI2pi0QJIt_kd^& zHZ%@#lqL2nh#GgKY6lrqi_Ku0v5tkMD4HOI{53&Ve9X@}y!;k=-{FbJSXcO4$xH=p zc%JpH`FhW~TMjFL#H+HfiYY<{FF=?SSZCLu!M`Ia%}%!i5zkv%E-D+^ConP*ql zH^&hbzVxhg>LTMJuzT#e%(QLO`G{ zc2<1H!ReaaJ2>v&`zn68i{qZ>U{0iAgUX7lGi{b_2>*1&gQMsm&i3%7#L@F&9Mcvt9gW|wUIJDH{;E7B`LO<0mj9f4y3p@TygH*K% z^(%LPVdUnqqv691CYV?^x2gDn{A(^+IxGL0d59-=9oy3KKUD#>+&U+mtgZt}cA9|r zU}qBQ$1S}uP^*A`@;MhZ{i#7Z3(f)ALe1~NamoO4NcTtdgt2vqbuL*>j|vPyC6fe@ z5*W?j;Q1ot3>vkL%$k(|Qt#IhcD@w~e>+7G{vbpzZrimA#D?pqr*B&^h;$yrAB;QB zeTxy!hM^5S3(~A|^1ozgxSgF}+LKS!k<8`%mgTN(N@EW0R;<-F6DHWQsfOS0JPFUq zv^485cLaTU>ul5O61qNjWI@g6p{;_LOzl_TWppoE$jCqn`KV&@^M3bPj?(Eu;nAsN zQ%(joNevwsZpA-BZWgnN0K|Xhg>h9oonC9)p3)$6sN-n^6sgRGz0n4@qtcb@M#q_>6|jC4~rT zTvZX`)$F3B@%Kg1rZ#qKmI7o*L3Uz5pI=nGs2!rb@#vZ#FNarK%GNY9x0!|WJ2*$q zZA<^wrfPjozN50Sb+Q5yOkw+6EPfLD1Y!!n)aU*=Gz8a`={D>g?p3Tn?ZKy#G}slC zJu0!THTAVoaFZcHk_h6Xh>z|SkT<>4GC6pbbKVU?zU;vf%G69P0GOsdr8y%{jj2pA zo%?KSn>htzIhDP2>xY3Y2+q9xFnhHpS`FUUjUL%VJ_bdboDMiQ8V=Hwc+x~O2>l}| z?;onI)%8yI@Z(8(&1{vWvpqPe+t&i=PIaS3pO`1k(J1C5!@=j%!el3gwmr3(ST642 z4~L=1W{(2$au@i;h!oTGT91OIpw(GW2NHdGVQ_*V2Q)tsfsFvK%bctZ;^mA=Kc6W< z)s_tKiiVNk{;bR#60R9?rcA{=3!*EXP4tj+=o->DW?Q5;#L;Sw>oY@$DCs<@_kC`?|O;!AX18~ z!dhmHKCC>WfcW$g(@c8A2^{~ep3*G?b_AIijD0vsL?@Cc0Nh~$VMYTZj`G_Jc;=p6 zFzk9B{pWmw@HoWcXEG}3h4Ku!^JdC~F(rdr5J9ot_2J&6O8}g1R-8gr$U6~re+R3| zq6Nr7Oz~2Vh;okwuv3J}C;F>eC8kpfLDJeEV0(`}s>`e?7;yrHL%$4*E%cTtEN@ZG zx`J8vJ1gYY)h9cRO2rIf_b5Q&G+C}?Kz_6<@W#L$gbG3Br=`M?Mvd--k3i|Y6~{Fq zK7+WaKT>?ORB-J@SrQ=->ZgBkjGRM8EvAfUKzG?t|Au$>rGd-{L7Vk^h+eC|w=?X# z&O@-VqKk@kt3tnHxA8(8R*A=l2jnyl$0&Wdy>FhSKH5!eLK#;)H_(`KiK)v`LyyFU zE&S>LR)04GHx-l|tO6|bLTJF4rxZ^nIcNnAt9yIbNhpDeSK-Tsn5E6-^&7gWG>5wS zPoDo;dD$8JTY%^ES{}ys$Vii)J|z2HT;0_Uh!TNg-RMOp@^grG9^R4Ag<@No5Ckb%!|JwsTCs60@?Af4UddS9)&ModxhmBnyMH+N9;EYM1=!9H^d&W^F&w$P|qNu@g`5E8o|7@j?DW?un`pB0 zVN-?E0-=W6_kbip1Y%K|irz+PEg%ei!>%7EWrjaTNFPpZ7AoIerg}ki@YwMu+VdhH zHVVt6M>tOo6;uh3vGxodhv+}nD>W8&e~hxt-i2lFmRHHQN~mTKmj?});BHgy4%q`- zF$T4friLHtdasiykZ<-YkG8!bn*tw9DWgr;MiJa;Hus6isY&vV{7K+OaJsej)wPGL zTmvh`K8p#W_ZopJ@Tk;sr?ezuz8eJxTg$RI$*|uNT2=q<^{NcAmS2N52$u)nmyPK> zyK;cL7uKS8Yk5PXF6lOmGC#e~Lm;E5gm)zvhV=VD@X$elqqD{i$F4I_nladq{#j>c zl|Yxf$s+3Ur62Z+E&FO+l&5Tw$ro4%!8A%MrjpLs3Q|M0%Q7}71MRjauxi= z@M&p#RZRfR*VlG-?XXHg2mx?m+m1UrMM=|ZV|L1+MfU(lB>G6INfztA<)x`DW2-qn zn>oU`0h-oTLr_@qvi5f^yK%U3c3yl10u`qnSGqX$v!W)V?j=R(U;g&8nc|*D^-NXi zp8K!+GV@fQe`2=YXzS4>(-FI0O5@oWO*BTxlSbLf+~xD&9W9bTlZ2q`BiMsL{N;>G zhFa{-d8d)@D%iWn6|Kr%WM|6Qh#xX>%0A;lAVMM}YMkc`-lqUBMaK8E*&C+$8mPvP zXJ(p)z^u9SF%O_A7%%{8W#emgQE1QOGt&!Ifl*j%sO&=hB15?iVJfiH5max-sH7r% z$HWVN`kMmr?*j*eH=vi?z+j{W2nk)`ojnsdIHh(=vE_x-OVe5)f86SjU%&*uNvUw5 z6s&2QseU^?RvZ$Ol*L&mYc z=nX%c&S4>G=`~WTI|gAN+n8p$M!%b?~K~+Mz(Sl^YZt2&2b!uVNckNn*tciE^(l-$UNgixPCd^I{trBi= z&+f$6=ghj2A<}_;H#X>dA$pQ-NK8#+5~g=uTHD6Ib{!BUKq-jdg#d_Af8B_T?|G^< zHV)2ye-@Y3%n+s@#*f6u%R*?{(a?XY)F zH!~bc=Q~I#sV>{_xfPFV53!r9RWu%6SjQh&si>#7_Un5{U@QV}O5H@ zripb3qyQt$$d=#pa077a)OrOu=`qF==+sa9i3}2WI!pL~0IGX4c10>KX)R@R02k$F zRDwNTMX1W+1U>-8-dwpi{^0ZY4Z0SONq3j{NSGz?m-tlaoEU~_GQ4U{)Nb;T-o@W` zD0Yq)A1Pm*NHC;!&;i7-@%Q58dA+q5dawwB49)UC$@18h&ljhu1WivxeW_WpVyQso zw`APh2e*nr&MXCTGeg7ib0rZe2bXa1tVVdzc9$33dryen2&sU=6$h$b&j&5p4M;H~(aiKB`h=$GBJ@TH z4AMSF2O=x}Eq&%s7V>f-6P5u0cIdxl<>BRRWb_l6n6J$I6kI(f=FT*!Wvb|k4grDT z&B5ps6QoTAbnW$DSjKUvjsL9th7zRtATh;>H6(?o#1BQq3S4InDCE<9jc>hz9iMf3 zvsVP$z-qP09|=OM@dch0Rmce+{jfY25nOe}w;f4|FuU%pl=B{4PZ3o}8?@bq5GBZk z3ij?2t>BL?D>W5tf!g`cjOu_r;~bhi29yyRPdF}n zd_tZt{m#h(P{JnUWe~$Sh@w+4>L(Fi00AD}zKr^|Bpb$SEZ#bYwMfinjj=IbYbt z5ZtCgZRn9{f@2w8#H)Z zck1WHLXR;^?EX2c)v@fZ=`=46a{{aiE124kDR48`C-dtKyO6dOgkU5wTMDU~gEpeX z*+#gp0MK>D*Y-jopM<8=IXe9C(Me+>EBxz2UaO`$yga z_CytLcXd&q^FW}caE}hdI)fk>=E^C~e?cRh+D&uSXN{qAN%aPH|1>mVwoBz z(=eZVUD8FL=yl=A6{z@R7l!7Hl3JcWz9UPv^MtZXk&%6vB6a&UiOav<`&VRG=f>)O z71qnVobKH>^)nnpP`tJ?D4$vJonlAu6~^U(z5gA}4AvX15d)4>rbvW@hmmJ?t}A$m z!%HPg=vc@GTOhOwqGH$p$j%#Qf$in#>E3(cZqAoxxb>x?^*#waj?C2}5YGhCYn*iC zM3h%U>AYz;DgZsQa9_udyr{Snrl27e{X;G9Ck;qExAdp2D@A zjO&BI(gtM$$>Z>o?myYgSkZiZ){c2P4E&tpS{4ZzNE>(!+_^N~9eY_3!vbzzhpTBV zO%2Ewzq~d9(OA~Ky(TK$A6jSMo)OZtpGg3Kb)LUF@NY8+>;ilK@p+)cD{o7B{xLRM zG>hwH&{XUIc8C$LY4bzl3CxU(YEmw_Fy%o3?dR(3$si#d4R03}AWeB|-89e2E~4#h zQD4-%CR*DC)eYv$k4l2>w-bMxXd`J0r`3r6SEm1O9@%`j#au0Ujvnk$K~gtQ(PV97 zij1fvcR%r%3tf^Yn5kxGjv`4fF6$yOVX`Ad)lF?YocGGAwk|8V`Nx>FQKM9_F8oUj zyQiFCqppDzQxwikYUxHjFt59kQ)WFO#mxMIiCMX)l~w`&9NPeZwwM$B2C9BmhlB*y6W8+7gibezew{rSax12^U8U@>> zvk(!#Xj|r`@ zB2)!eW5pOLMh+PqvMlcI?T37qXf&Y#R?3zkQ5&^R+Gu0&l9cr(ilP*>vLg(KdSTX` z2Ae*_K9DR7D6s`aU1>!|pzLFac`P15o;PEW0}3uxb%Ltof>JS=hjA9MXCucV*_1{c zS}=Z67OXv8Cugc=1%F`9%@1J#kEaP`LWqeBJGoNZJkK<`UOjYM;MAhQakR5X&Pkj8@N@p)oN2m(<}F$z6SR@8CC zc#Fk!x;oyf2UaUMIwx>p4GW!j{Lsn5fju#D|DMkuS4a6;M8Cg)Q7M9{R;BiXx%fD- znyUG=8H6tG-#o_~luImx61MjA8U!{(y`3UhjUCA&?)~O%s5y|RzMO>zpt?k+kKWFl8?47yh9$wyB~IMntX8PuiJKxT`_m5V zm@4c^fMyTM!Lj+LC~@ER-y#5jKVD%YWQz z<0^en_X<7(GaiA|Joz>Bls6ZgI4xpAuBlxaXZWTRps3@}vyiV+!VS>Xp|~;M>|>w@ z&rgc5mPlbJ&Z!ze@jthKN6u0CNo_{_lBXP8CspPQ2uIA}S}1BBcyKBt9aRH(s$rJ? z5~MR$28uI7N@^c?OHc0{j7%DLR_xVX{QW1N#ahH=h%!t3eH+0L`rvpDCbMbF7Di54V2H>>N9UqR$zT2p?e42+lv)+rD2Ih3IH-#k*Acq$^ zBujciTQoY#;|VwzNd5KE+DQk#UV6>qU3^A>(;6IH(;HwkiPQ9=73w@c<$s3vbg}Tw zjsUF#u4<9^9YDz;b&G@=vvM!nN1AiQG*YIM_4uPtZoQvj$Iw82pU$IX?9M#h-(o41 zRfy5^cZqL|h2_OR%0rQq|gCUy<=9%PYYzO7tLxWe=`+b|KaXz~^QXfk}0 z3f>9tm!P8@e$vhOo{#8ZF8f2qk9U_aHX(@VLCy9){D+F61ye`>eZKi-GaoD}=O)_$Nb zT_l}?5W1#NRM8lLBc{IgVE>5o7c!Ym+ChtfPzTUXO<;2E+OPl!bpzi)l)KEWWdZ=; z7$amWhFpAAcv9Q1(5buIvCTdYA8A=n;oq+QV&vGr-Yf~8RMDGTuDmlx%VbYIlL4qZ z24bn`M`yn2Iw?nTr*HCH4?5>6*T&sS$0fa)GOWdmhy<*zF_>|y?)joUl*piAJ*_AIBo63ua5UA*W*F@w*RkUDT&UR7tJk==WJU~Y> zhSXUiJlG<5?D--R5loSS>qfjDstYDw=1)R|2qW7qAx881;Fw`YOrd9I+Os_kx=a<^ zup)7tV+&I_y z<_+Qt-aroKrU$?V+{WY^w$xbv%0Ku@?kIc(si>9yZrj1Z-zzLxcXdP`GBROPvoN6n zM!u712vP0o7E`mGS|px{u(*Wm@e?y1@QP(W_iIz3dI@^2(<9E74~LQVJJSWSQn!iX z1^l4Knf!ln(DUn_Fp5v|(#LkW9^ek%q}w8|1f-6Vnky_@=UY}#{Yx;aHRZT)lnkf8 z#*P7QyQ_%9o@kKCT&z)V_%;Z=3WQ&tXv4KNg^gu&qty?Wja54kYx;~h4$@U#%z178 zy}+wYR|X8FwPz+=J8|d?QkQ-TCrEK>goidW^yQ|=>Z*Zv$77438OR+yVQ6luOtz6z z+we}mAyGHKfr=cauj(!X>zz5x`RB?udXoXx@eXG(PE>6858%+&rxj-s*n>C^OC$9P z;|cf^Hx+6w4g;(~&YAMD>?*(WTQZRrKMs8lCcg8fUQOn8ykkMDuj&cjNk1@|!^edj zDLhayM(Ww0(3TydXm81Qb+@i#qd5Ly9l!ccf!pUv#0uwrlkq&~$=rSx^P_A8V}_qSBQ1e+LwvD9(3JA)F1Ew-JKk%i7cA51 zM`jLC;Q1M<;M(=Dx5f}AQwNL$%}*F zGmk*Bl}3<`i1kyq+$%a;2Pe$QMwVmZiyMxsPa#+`j3q`6|{%n;J} zdQjd5jj0`X_LmxPt>7}>8xb{2CyYrPC}OYW#E;#JC=SlQgb8y1u~D)!Gh@|INFc9F zH(hIc$MU`<{5MLX1FB#Za4*Lsq^`F>C;&3E+<}f2_>GXTrjW1oa-UY21=!c#G&I>f z`&r$L1evII)^RTJBGQ0g&s12WEyHN_WQ|xuV$Iq{5aAQE@PnL2saKDqR+;DFijtB%&O$_ z49AtrlpGDY%QA4IiC9oCQi?O@s1OvDx^<8EQ~Sr4L@4ZDA;`_cz-R5LGPgGFw&5fw z6#PLXM!at?k2RFs%$|95VE73h~ ztpf}^SOb7r0`z1Y1njUjRa1HuS3zCL=}oNkG?*Co*=-f^WSIDqQ&XQ&=E)DH1A`sZ zzkERLs(^v6c6$<7TdVYGyOLN3Ms~q!Vgv9&=2X1MnoJTxMn8*X5wG=c+>68{vTYDn z3gDd8fLIuEb+gc$5-#u?Qn+9jTyN)|Yn|N-sLUn%>(E;g4lG_O{}m>V=wCZ5eUfN( zy1Z0Fsy*2*R1ZV04Ty6q+>-y5>HnKYmb9QNlHkIrm}_~1`2l;FMQ7c!&F=!ulzZ63 ztfdFCDmj(Qid6)etZUd6l=$uN_=owzcZV<>WXShlm(vbyb`(EA)tm4HQM}ut7c*El zBDnOn5s=5z3#~kt&)c^c;40RQr9;wjHgTaD)OdbJDV0XXB%cOqTioh^rna*9))b2E z6w1<06C-XgL05~hXaLp}CLgFzT8Rd`LW+F{hvCCXyqh!(yRGYJgsX<(go(mqXOv9G zh#VMjlMuTKJC3#YES$q;OC}}jaKf1Znx_T;mPxn4I&!s(xYWPIc>pRQmnX#T4Ks4u zqkIy+H+%-=OQ_R9X^#)r6U;Pj!P(7ds#P8p;+{1fBj9>TfMFfgOoRSfI&>*6D`&Hv zXKap$K@V@^HlR(*6A-2yvYb)|Hpr|w`%Bq|&=g*I8ZB`Hs7v<5Y7` zA~ghKd9@Cn6FjK9h*n^=oEEh?^#@AwrmG*F&Rs%k8iS5&_=coR*lAA8?q*>A*2=0Q zmC_|xG#$C~clQuraW7?SdH#Fs74UM<=38wjU|}6YaP&y$90qFTWnigKwE!czvNO+N zwWdn<#C@m7u^;9Mhc%H)ix#z#fbXvMK1tWhoU~~l#|2K}OY6%lbpqs+BXJohG~_gN zByx48KsFt{-6m${qa^{HT#a+i-zuhV(eQrD5$zP2$>C<>a(hnclA%GCfQ``SgDQlj zrJAyOL&^!z9)HT3XK8eG#Zhu%bJ{$nlRX*zTh+TGF=Mpj4zb7*5&K&4HwyKBbf_2z z(%?)j^zKicA~%nKJ3gNgf4EE$6xSKHZw7-ftThnB8;YA_d1-kSenucpH%>`CGU4}n zsH_6F))pNVxDV(wnZ2>$xiI4Ln&6&4#=N$u8o9Q5o-AUE;H>)Ns~nlQ7LNzI&2Qds zo3L>fxNtzQrYkqYhRJ>a9St=^eLvQ{hthS$K*p70yOc*b;6=uWW3B0SPMqT;OGFZQ zbax{pUB#>7#f)M1Q_@KYau?|ePDj?jMRA3FP6-pt@}ei;M>&V2ol06BVkLTx*=7`` z@aUPZ&j;2K%-*$w*vdabqeyCJyV`IIDXW9dr94A@6N^Q-FB>E-Csnup$|4>o6OnT+b;8GW3adtS& z6&i7Ig@*#)y+dF+(?LeTo-F=9sbAFfEuV+rRK39vStn4BXmlkoZM8E^AFkfc(VtkS z$cQ3@JANSjPKBN+^7N=yE6U>OkGzs>S4V0K)9U1UDsMapy}u6-rW-i!Jtwp6J~_}x zSe7R#m`==q{^Yci(}C{BS4knSPT-@$&tx0kGBOfrHI0iW3|!MyOlWKaJ2CIbS>4b{ zC5K6@_WT4{^(m&5?W^9ZQHmU1JC>jD-mxYR_RQGcF^BkUL9@1X9o&zM+Yl%Dij3Bz z@@>mg0X-ZEw28lS6$qtHqp*+b~a%!~ptovyLPi0Y0Ym({Twtg#66**F`igk( zD|-@<2nye1IkZ!_&G`h8 zd^jkMIgrWwHe3EozZ*I>=tQV&&cMgL0Bq}1N7=L&$>V7JcC^+&x*j4k;ZI=ryY7Se zo;q`Nm_6R(aJ!0_o=MY33?3!|t=hY6r4PgA*mVx*)I`5yYtKuq$>Uc0`C z-{BbQ;o78;k*cCMf9 z5RB+JfV!*cU4>++u=A*Ja0CzCA?K=}%{TDypsmfcp|7uQidCMN6)!A}L))gGAWdr1 zM3s$~md2ZvAe?O!t(<9=+aN>Tg1h(=c)qL*X=PBT*UfzTaNA z38!pqemzUzNFRZ$vG9$64CR)d9UPCM+Y@>7aJ3hlNF#oT+Qi);UHg0HU06lolCGT~ z0a}<#c0fA}tP2I;_A+l&RzC^gmVZ!mX3#+UCDC(LU1h$;+~oRAnT`5DVYc;{_(Pwq z8P02yQv}#0k33SJOd%tCn;AODEtd36&p8l~zeMl)bMThFeW75vsYaHKYY zs5QgDfKMk@5cDTnEe;mX5`xR=VzyN(E z>SUXfVgb7UZaT?r;h+4~)9!}|T3C#ImHznSJERO`8qEM|@gorEWGrnRn@?pn z;5W5pF`s=1Ivf=-U!&z!BU5xq-yWz*pT0a}CY3wx0~@t@*wSdidt5DOyNqKQB^{*Y zM#3bZ@7e3zluHigtXBZg)Qq}=y;`y=#!wp`nLy@zH=|Y8?-|Mf-62ZwpfMW07Ji`Y zvgSME!j!s}AZ&_ZMm78rZclZPlWGGCtkm9xflDY0PE5NRb2c&OPH=H}{_P4la4w_J zeKjh5xB!&L%%`^1W!Ehn(S;HkoYKD;VK=kmdOmx;a&_O7N4&85q~X?TKNZjT$Z$RP&nQg9`_+z;;^ix$=f zl%)U6t-{}YX0k4@1xPlx8(10RJ4l+wu0f3v-8<&e2jgel2$M9%G`56vT|6C3)`}}D zow>DvbMb=s59BZkMh|47e#0z+rSSBw^Qmk)3z&Gpjls-$YMezH(ejm+7zoHXv=;X` znPgfcjhh?mL;&ju_O*h!ANdOVt2^cPENes|1#c@p0fn-t2;_PP7BKHSZ*4gzOZp_M z%+iI*me+jLv4~3$YJz{&j&@5+`RW8S?EDb_qgd7Y9T?}BA=T@XS=9pKSiyJ2to+pZ zF~?OQNMPMeIV}NGctJZtgjH_Z?muS9lc_7)a8R5M5(>gBVc33Xf}dyFq(XgyJ##a) z{S67Xsb?3Ax9pUr*i2onmDSr1SNgKkjhXcPD)W($Z;f=y4wZ8B6w2UqND z6CtD%86uqzg?JDdE%l2HW~>S8I&(~#|J;|IXa^ojX3mRK=l;Mvg8ckcaC_XlFe%~^ z6e!^Gp@e#RxAo0U{&iySxC5&P7z&=>v0j)Qx!A0MoG^1*s2n;?NBN%tL;BoXv!;-Dz|6!T#MZk=2XoS$|GZ$6 zfe6(WFEUzc|3A}nF#I=F7zaFYh6WVV#%LV!mYNR+7ZQ(}x9GqM7xD`@~QT z_+{Xw)v67tw$Hwi6L`mzp0LP2+0$hJ8}XNtL-J*B0VhR=#LMb;E7D!0bp7aJ?>uAA z=h4Aiyc1mpshjBzoeI43S#jDp#J?YbZl=Ygljf@PP ztC8WG7>~j!8+uTfzyj+InI|4s9fxjr8l!PyoP+4-c?Q1|X3a8_^4qDIaJ7IRV5?fh zidc*44+>l*EF865;PCoT`?%qsFsY7S9zYAT{rOpe=C*N=)n1Grs95WV7WvOC1CyPF zZ0^GOo4>c;Yz|K-mRZF3giV-m_cXK_jqLp~%|gNE!pY^LGX1YdRsMBBK|bEatS$=8 zFopL=1pOn0;$kE0W=={DADZUGfhfU zRb&*be~L#JmQ_jLSiU@|`4GB{1_z%=-5F5ba9NShX*qkCSVU1>YT>jyok@VmvIJ(r zqoWsFCb`T}u^g3kglWK`0)&N5|E@ysh6tJ>w_<_YvIdOUO?UEh)89Y1VuurW4d1bM zfEaoLZXQOm4mGwUHPhfGn}6fKA{IQoYgbiq+`7`=#V4n|nC0mKr4pTdxIVXfdI+)4 z2T(J}+tGyoCiTjq$e0cz7P&GJ!;x~;hK~!?dd4S|4!`Ik{ z_?tKZ*K6vI+@`$`=etsid&&1ryI@FzhHm4O@-eA&%Z85a$9adu9$IFoN8eyywJT*; z!#;O0#?-;>Fp@Jbf`HjIC^qB1rqMR@nsgq^3pFD#q+qnF_LK0mS*yRzP)5SXlNwk& zT?3t}$GmOv$`C&}BSu*HTF*WO*G<7CPha zjpN}e=$z&AxUfQHyvC0h{@n>jqz;dEYlzE)4DFbpF&p(zoQUXo$%Dz}P{ookZq(e8 zQeM;EG z@H4FI3m-A&&n>e)Ndjwv$!3-Z~+CHAK%a9V~~9G@fZ^1^bHveS(8X>x^n%DDZ0F3ET)3=P?1foU9qo zh>}nqgyqFbTr*;%$G)m)9?x#9j#IZ#=XmgAwo)oZh+m-IeK3=}j#^7F9BG7CCJLc; zlNU(cY`cB!Y#+x_%skjB1@;ysQ;H3)2=>4p%N2wzPgV(4 zjf>q!4^MD81qCElOir@gJw)@8yN;-E64nk|+0|bSaL!{P)TBhw}KM)3VRt^#BR{rUPCKaRUO<76%0HE-(J9oG5|#xV6`UGf@abB=DTW-AcV? z+0l4I(*{Gq)}z}JY5hU0r^qRqF?^u`u~97sNd_`fZgV;P_i3hnK>AqGzQ!I)|I3WU z!}eIC#kOhH*UQQJ%ulriFfEk*=;gXnwJ1ZKo9rFlk!(-VuGm#Y=IXN2Bu}_WASSzF zVlM3-cY$KA3s0h49br=tc()aww0lQ^{RM__15(ejG)=1PbM#SyJ*A1nk?W-cwezq1 zKk<(i&E^WaMbPYJuDR+mH$kgVhcN--u7oKY%b4Vs5a0 zDNF;+c{PZ3yRe}r2e%c0bf<*_*9)a`wbP}aQrky%1Xj?&%*Ng;MOqzfF4?~K#Gvgf zLS(}P`ts7ObsYjd`DjA6)FaJ`T=pHi12&TuQYJ=%FCXex&;Cs^gMwV*r+iV>*SPHv zVBAV{`N{TT1PwY7WaL#*4$+7dj}X#coJhA$uNy*DjE-X)Bb;7{ZU1zN)i>_szgbXj zLAu?mP#AlDqmvbPxmz$E90^!-8~89T)mD?``4UK4Ee)_dvz+RZTiCmXikx}NCBGZ1 zY;z^loiisEqn9;{(?({YHf=UB_LeW%=l8e5#A6$ccjF&VmwSl`-3{@%P()Q9R`)J?dF=3+y zSGO{wYC_96ba}jsUw*En8xu?Q=H)rDqPV8@0}}aw+OS%`ejmNHXT9br>*V;K)5>sF zO}ly~@XOIpVLU+xF=0L?t=C^6&vL57;YOv>e}3iTu?l~>sJN_I{>;dt;=*j8&Eb78 z7uldp>YU8j@T*DBp-s4YA94sVV}r6$#x-v|r4E2L0t^lil%LuJ$X7|t$LP@a{i)n4 zDl9M>3RC`6LQ`F1Hv-N1rE(REBz=Wf3BL0o*sMnP!T{pC@*n+Ms}p zo*tO2radsG@)`)eAPGmM2TI-_lUhXMKQ#wRqeok1tUJ$4TZnZtr7>F#ld|5##}9&) zK|!k`RCU42PML2v75YU>eXh!>p$N7Dc$nh*kbGgYxfK(#!pF$kUx?3H*@VD(R3K^2 z`u&wutnGe zs0Vs%nHBsihS-yaU1>(oQo4MXDMLjw+MMgw#3j+`IzdS_xX1{=&kWiY(PD$dYL#}G z-(q0k+2iOlaRP<$3cJk_$Xr)sO)5fHj5uk1$rGsz44m%5i&q1`2OTmiYH7kVafm(Ey~ zXHs66k!bpf-(1f}4?iVIKs+1t)S9at@dgc>rDVA6!1MrjD~9+X}@Lh3bY8oxIVHTC#gj3(A^j( zIz{Jx?7rM5z?lQ*QqkDlF|O(1uzO$;ea6v2KT?KO>S;fhyGj(2r9^@IO9!@?Bf4qU z8CBj4hZMP%RQ2pW6IqeP*tyBf&f#(T&wAiYa>h10j47ZKo^;DV2TiAoC(&`#)ApYA{mrk0iQBu^u>k$~Y}0AH^6^?TBvtG+ri zkGC#1`Z0c#rn+&dcl^^z(w9P2SZlIF3&s-h z4mKNQRir1Is(BV>lv1$cs?sAor|h09gLC}(J2d18gcxnWj^5*`&sG8>`fnCL;WxO`sM~9 z8Z5GT;A5=Tx0hFV{lr_Rv5ZN!a3V~WutJAnsx40=PU2Jh=mQ2Q%4sI1$q=J+!4h|q z_h2nz%A&oJ6`p$_Mwr&1t>f_|EIPVX!2F{g8KsFj?PqVgsCNj{k)Yt$wif2BX_aDh zD+J$w9bF~9EhxE3A}p4|#3#`gL5&lw3ajr9Ak3n06@prRV9F=bw@4zfXVqHH0#9Zl zXEHpAz>juvro8I6=**3)qQ@Bo5YWpT1)`(LjEO73@Mi*VWT`kxUu#@6@?|-WWU!py z;{#b1GD1>v;pki*01OddNvx)%>a_gGUfldTM~yT6UK0S7lRh+<2|b1yK}|<;8YC}6 z-vE~;vfsrK-3^3bxF(h*wX4I=$E+@M*x}foqP+^Opa*JYVoA9X5!YRIw8aoM$EEJN zaGz{`7%P>l0BJDQ2{PAA#NsZ z(^P<4?ouP|imqk+&BXW(S~|$u^*z8Fzjq8Frt&sF?;kRL9o52d__t2r>{l)Ajs%9L z^5-7w3|ztvxA>0Ubg!Npw&mq8712L`yfbq2Q0)?_dDT)8maF!-smb3h2lMkwSIT8-#KAj5Y*>H?zorIcD`b@H=djn@(GiZ;@)nqjTn; zg?Eqjl$||7;?JGeqjk|8tEl05+ME$97X}}qFta-$;VWurm)o8=U&I?>R*|U^qIy%& zN&R^OYcfj0G-;XsoQds+k!d@o*fbyd#C2)F(?6pPet4 z#|I!E{6Ko~e5@Du6wI^kxcH26^10nXfpwk7cY=N|`%DYi{fS(FkHJI!U3vinOcFw! z&+)}+E;bl649g_SIM!H!ZSCINql#ZFD;oy!OnZurh-eN|?WKCR$4*WQ<}8H8Uqtl@ z0lu1`AQbNz9_wbpOg3I%fru?wtl9b{f?)pcDe=7n2$Bdq$xgn!P{=>^-8t6Bi9Y`A zMUs|)?{(ZOKf+V|ae%HX!DHf2DVT$xw#!NkxxxXmWRil$I5`G~3^*C(I2#W>dY_Xc zx$IIFVxLL}6{xeF{u^9o_F~JPIMN%l>}`rLFWpKXOTK^Dnku}Q#GvJzgRf5eZ!Nem zYxNf~>f2vOTxz~)5qPUk1YlvOHy=W2-nfi92X9&5@VcA#jU>{NIr&I~@8u$PVZ8=9j??A6*Hgmn_=gxAM? zRMQGJHgYsAs9z8FQNhtU%FUG=)l9+|@BP&rr0QzGFIR#86(Rzhnmh(@> zAA2A(ppu2vTGE$#UHPn7KxU5CP;v@ufs8TOdWZLM2%ePt|KM+2U4bHhh2!KK^J(~1 zU`E$abfbqeOpqZw--+jgC)v)T^0eArjTHI4f1i8u-BOhWlj8rm9Ic31gZk*zGad0U z86xJ$G07?chO5h+gEEM!*kwN*{%1e+uujq zvQJSFPc`P-jDT(X)&oW`+^FN9ChmO&wH}+!zui!yzU_aW*8xjVvne6n{spIGOV9LS zz{UKGYgs2Qj>1IwN}LBA{( z|6YNPeCrYd%3kl^^^JGI1q7@Lbo+>P(|^D-cB}?DU*3IoAGYO3n@3p<`EMcIM;m5I z8lUZ;sLF)3#uP42tWa7OL59cN&;vR${5$%BV?f#pGuD)Izsr>nW>v`!#szB--V^4n z+M2azBohj`*;#{&SLYc&Qj$Y&*1Zjdo)196TzkN^w_FCskUN8f-Jz5Ac^w`%Mr{pU z>;2*_;Sd#V$at|RA@wa&m_1CBsL$yre*N8`eR}YQF)FW_jG>8otkK}S3F7x)4K=~~ zXmqd4jz^l`OLf$7ODdqd6I=gYXaaA}pb*;wsIj9&JD=apmNRD9_{;u#yCq-}(O_Im zo4?KKqBAZ~;|1JozC!0j-!LV91uH`!TYBd}x|lu~@60Uz=8e>+=jH63h={faTU0K_ zo$lilJf!e6vvbPtQ|YT)m$}$w6R3FIttuKwtAgV?Na-bSjQg~EkWw30unzFrhss*E z?-^b)KFP_x3N^)V^E%w8Ml7}7fB;UG!#!eS0F2_%|8*j}RRg|agKNCg5MLIW8~gI2 zV(Acg!qG^pmFI2f<8HE{)u7IKLl(k1t#l5YP>3Nt6Tsuwg$!B{kMb5I>Y(dD z_ewH1Q|`ti&Xi`=3hLN~92htn-rb_`#VVooAQAYen!nVhtWdMI_Ppc~<2&|BW@*(( zyjkS`tK@0nFO??1dIstD%7_wcv1Y3ELZ= z6K|udkbCqL?6u$r5#{bVV|%j)_0th~13k2V2dol%RhbMy$b`kUf^EZXedNnksYy^} zXtAESK(Xjw9DpeTYZY;6B8qkI6D$8xPaRT$pn2B%+e>?~n3Dp`8{M$IS#UUn1PR)5 z|HQ)pM_Ys*D0Fy-aKx!Vi>fWJoKG$A=eHm^p!W+pMH%GuY7|t?#`t|+^6IuRN&@>9 zVm(h#EGNfel&cLO<2wHa)Rd`1{7!^1aqLHETfo3?r(}=%yL_kHC7QhQ%iI(hI}CUx zC)Zkx$2W_3F8{lmKl!{;X`(6PE$i3NMdFk#cZ3pyo2PL5njc>asqOkcPXS91(HWRZ z^}?U|JUYE*sT*sLepejUFv1L$>RO)krZ5IG4PY&B-#lPA9R^hCLStC*?%jli7x~5- zAk^j``m<}+CNFBdl!OagwnX0=5XQ(y-C9=Qn(=;8_@C4%_SOhs~dU-S4sMVUzkXuDkTG3Tmi{u)7*4K+ z&F*ZnmG<;;PG=z_R)k+kj;C$E`9>?dE&@n`~l^CqI9!z#AEPC zDkN-Yx5j*dwDH-03M~2*6*G9#>mkppC6jTw5Q9T^nwDA;sS(s118JobK9+=Kr7>8w zZ3h!Kl%^9o$l+F-!LZ+c#ul}`a~syE_QN$V^fz6~bc+avf-cGyxe zA8@UCQklBG49ZrU2ZVOgs3NW`KhUgey7`v+p_`6y>89wdEH=rtFrhFe?A~iA9m{BQ zaqrRj*ffJ<9h50RGU)iL2zFzsC5AjGlb-;a1Y0lyg{j8l2^;>(*%-q7#v1i@^6ULb zOoP6))F@B)q3_r<3&}|Wh+yrRi42%a`m;!AP6+VOw-v%yk-YvCD;y$L9Y@+ovH?D> zl+xuV>QGaNy!!9UMS87le~G_d~va^#E^Rrw7`Gv|rHY z1{?-YpX-f&8ARl)mAEd+CTy0gTHOtWDb08uM5ddD4xkG6iqesvV{v00uUS3$dW(|% z*#dFY!TC#RB26@94nCJ0MGDw1=pY$XqP*28T3X&&3SX0-8Jj<(9W#%D_%Pk2+w-1v zEY-W1DjWln$NIaDYTcLToPb9A{S-B$))$Dy&n_o`7jH<(20Eo-a0&0;f-Y|CBnZ82 z!9U?(2MtY85~Q7&^4}a8EPeBD2?4_gaccm4pns4Wruxc0EbtREmy0~^4*GdbYU_93 zTgsAW{RPVV%RwA^O<1>3hC8n&PSyGzP^H~L$u3=1g7%Y00|Kg|{-6N9JYa*t-IFfO z1LfsPH6wC$O7%YJtKqu6N~givTo7c#f9liT&}gQHQ`dlMwYyc?}8ux*Z*~ zm^1KawK$Y4s!Rq|8UTQ`^ikQh4tTpMrF0Y=W>MTS6HLhJ%oLV=w%PKae|&N+xr)q< z*|OM-z$rg~u~Ca;&uCTf|9z6D3e$nEkr&Vi^flM<)pNl_g>hNPwsNd0(^jq&ah5kx+K0F3IgnqtEK6rvp{ty4(-@ry1`_E0h}z%+ZU zD#XoBEqEONsH=a?OI%GunIu>{Owg09t9M%SnCZenT4;?*z6$*N%G)o51z(Lob^bUn z*^_*r)?x9-;gyx0&`GnN=q4fg+e`Dp&BBWQBUDqB&Xd0uesKIw25tp{?f7^~!e~Vv ze`>?8R$!x;xls=3?2xTrXAz$Jc6}_d`8QYe`Zn;xBkzC~<_{s_0}vqeuM4{OUzL5R z#&v{+RYY|cv6XNqe)>m_i@4-Qe31?a(pHfXX`P5F6cD1CBE1SIa<;TX-7re5M;Wiu z7M$m2Ct{@y*v`5~4Bx>%v{8~o2?JSd7V>FPf{&1XK)J7yvScV0z;&x^JOgL2PQl65 zp4ToqIAl#n5;<}pp~qfS7m*V^Bm>rVVz??Tq+?(6G|Z6R6x1AIB9k-v0Yep3expt; zz8`XUjAqQCQ)3jXUP%>_Xue#wBwjqJ z2)dwB1+MMq$C-ClcFY8CU2DBjhf?Y1mJ$i}j#cVdCJRI{SX38|^L+aYf68eq!6tMR zxk@TpdOKIwSAtsy+K}sepbD}42(sy~ZigZXW~c>q;&^XAZ{FS{I1Yo*9xp~+;+n6L zOu(E50+$2gO~H`(ZYUjp>un`mkx8N$aZwj&gzT5{)wIaHl|+@tJTQ6KPqDjlt$vVT zZlchx+>fuw%L8EI5p?~jhDj9iTo+VlVZr+(5pC7N4wKP2+y_AE<{$c$mHL4) z3ZdO;9OiWibIF~6Y8?zD_M(EIp-K# ze!XByLn{?J@Z)Pdcl_E;-=;>SZXU$+YuTj{tn3jt%YaYKza%Y8d1^3Dz^5HvMdE6OQY7Uw8vv#foO;)mF!itigii?jvQ3EJ8c3UoUTvqTp0x+6zhW! zvN-mSf2e}L$3h>1R&)Ld*NDQXSx(mn zF1Px13e0c^uXO5G#ikhY{h|XB;3{^qBj2y8O_@*5h8*&fhR-rvz(*0Ibx#%$pfQrj zN4&n>IWisXdR9MO^ycu{usWxRvc*mFHPCA1r5nSM+MImSVan9+Wy{^kqClKFB^oQ2 z(SWTZ6!iHv-&JB&Ka(tq3hLQ21fv4WYOlm2M!zN03*ze4#H2>~>3XP^*j%Rc(x>SU zTWhB`bHNiz1KN=+`DmQKxq|LGgczhSsWa=sSVm|*a0LHXrvGmqS+NfLvxm=`PKVHx z*rij&yu)M+95!oho`R%oWKlXBVsFyn_r=xh59f&uP==-OM|NNn^3>dsNKicNfQH>C)+xtdz*vDjxeFxFGR2 z)66aPTHcHc`IOj>H#dg!>pwG(f^KTaN_b%UB4QtNKPBu8b3(OrtTO7?tWkckqpl&O z2Jlq^xP-4@_e1l@E%u-#CnQp?b`QexDR`fG_ZJyi57U~i)ko7%Hu7&-Qv*f@U0SW9AeH;g^KlNWxras4DR9!w4oqJ zDq9mO(Fu=~dO{B0JMIX=2qaO{6MTxmYDGyE3LCG#@|JSz4@0qIZblpH8hS4EMWV#&R$qATQtvi+Is$lIKRa6+41-2S9ozTW?5=BT#}x>XOxguUZs_tx zJ^A^Jp9)0E>Iu&%1TzHdpQcDCpNg09to_1#$s~r!$C|iV8Y|u)e*#fwYJ#W^57RjUOp2D`p&EwC;tM#b zUKVk|OAZDeXzm_`zL2Dms>y4(QvGtjR1yFl5>KCmjyZ?A^Wb1P6I2)!?_z5*T7r~2 z*l8;K@kySnv3*QOu->wt$OE?31rf5{&n}`McWSA~-H|v$)`^b2%v)KQeG^YH&4WLg zm`-M{DcdaHlnwxn#Ir-cKSt(LaV@<7mtbRE;B)D_6%LPOMwOewo76iWr#&UJA(x7s zms>G}VGT>u|N$i}+kVY}iK;n0-doY^cIrjq(zja*ODvHz_iW}g2}U1dg^fP?Nh zdS(xWYeVx8Ni-v~(xw@XiVPqObt*&z0Zq2={P7mvl zDzqrC$s2lkLJV?O8}Q%*r|e$?85-u-=9P&&zPitC3jT{3p2aB{15nyM(Q0hL#h8GY zJn+Pe$gGahFAzO|>8kqUcst6Q^g;o)2D@Ds6z7ZKEdC(7)_KB-rtc&CYRZUzP+)-D zUrgt%0TlAhv-^sjFDB=C#Bfg2y#lMb>w(z~g?dpxQ_O!pQj8%H8%L``BvxtL{v*pQ zF4?618)1{L15Al>tLS)sjQQ$9JAS`D7T9087$>j?Nc)Oe6WqtO ztn7o<`UWzdE_(NgFUQP`AR~+710_m*?dO-ddLauA53QxTt_4~v!%D&OH@?9eVoOt8 z2Gx|Ql%Y-|=Y(Kh97v3es^n2O!|P$FafJP^I&K_ga!d{P5lw!i7}<8~~?imI02d z)aU;r?VOfGQ5GcHwr$(CZQHhO+qP}nHh0^$?d~}raNp)1Dxy|YW#$Ulu=RBIJp9y0 zfSk^)mA55S#x@TAYoNZvSh0p=9Po*)J$%nM48W+{O-KWAl&XHV!QeyH>c2F8cJ&NB z$Wi1M42$IYO6npySqCUxh&EzIDMi<{R&%tTWG+oFMYE7Js34z`GzzaZnEXR@AKx>L z_)#a0-PZ6)a?;wd2dk72p>Di6oJRF|T|U)!M9gmlOs;xc#=A7jR;B?vIW&5LW8*pt z{Dxu!R4|$g!eO}LLir`_e#dy+5K7DcCb`~zm`AIQfsEkeT7L)?q zdJU5*)?Ar@PFcjz7euOJrhzAbe8Whd1)#{^)7wP?j9cJ_TL$pd=M8U=Y3S);IrF&; z(o-iLkOH0iOrue|lvjN%a?_i_$s>ozWmlOQY;m6jbeMTycT=zaEqR@53oExIS;4d_ zri|JVjLbRZRsByqvSR5`oK6O9u&Y96y$WSRNXyX0BSuKcF{|_L(ck9cf!S6W4796V zG>#8v+QkIRwVXX>9l*4HiV5SEvr4!eoi2$AMJ;GW?@RxLS|y)Xf( z3z656)vUOJJ3r7TaK}A6>H!HET2qPXF3D`)dSuAnUX$&WYFSm)0#iJ6fR4NVgDc0q zYNw)bz~sMVueRCf)(`q~)}k1QPxB~MF~rHV92Qf$31%0(O%VowfD+JOYt#c-u8)K+ zv2q)^HOZ~fXu9EAm*Gl!-KQPn9#!!E22<(B%w2h}uG04uY#fs^w1_M14Ro5x@W01& zcK?I~C)a1x!62|iRxgUA7yhk)c(Y%59c=sC#?}?YB{kpj8mtq(B0zzA!d%X_?Y4>u zR1MaMA{O4U2%Tj-8l&*iPDvi<>(%aRx-B#Cv4AAo;)tS42xFR4`e_0J`F3s+7cjU!tD16U?fo`f?Fa-iaZ1RsUdGpaoIt_l% zNxgW4hUcKF%SC<<%ch`9fY0pF@q?I7@5la;U0{HL_2@z7?6I$q+~YqA9n<37JLC=y zhtnX{d@#?|87taG&BJmueZyF!>~+cdh&T7M1&mFv*4I`}NTN6_XVC+%74kuona)4| z>3N>t*q2^SXWgAg0qRJdrH1}NRv^5pA`4fUqU)YBY!z{(d_^?@ESeE`)=RLt#t!(6 z*5&#)1PWOJ!=&jqwZJ|_n+hz~V_a?jE%TyM&_q41wXI7X=3)~EBBj9wAS$V#mLgsj z@JJ3ra(qGsl`2*+Ny$drA%3ifQ**xfx6?iETxtDwNVE)X>hG-*0o8PP=}mb+(3mexAFcYb=0P6phDvmUNyEWvVw)1dOO zDDug60TbZ;U(r}9@Ij@(a?4tE;iV8lRhp4xc+|z{SJXXEr%?efHx)-9|TyYYW9{r}G+8!lVtuomq;xh03Y)c>?Y3q)(-CsMOcTnZ81Q$Ou8 zZaoKUL#L`O$M{`mM0d%NTgkkwM!Ez+)zrLQMt6&oD#t}sJ_!-x|5Ol z^4JnO-ycR$aR+so#ff9(B2m>h3N9&b0X>admyv47ibX zaueNV>*}ZVd)J^CCz8Qpsc-HQaK5;NXip25>0t z>kfxYyfU&6Sn;xhk)ueyD%U(4K9&yXo?Zx!<0Iz5!Tls6ZWtv6a!&B`axO(abh(mc zeOA3n-nxn_Wq9pXj;rv|W)6B?oxV?#tJdDDXv%-TWZAx)ek01i)>PdO6>C z0g^Y{#-5hx4o&lJHorjvp zg97xalvzhWw`^I*`cVBWxUDnQ>8}6A*Yva|CSKz5$Cth9CsIjhKQ?6YKqu8EPTMo@ zWabSL5U4J44G54bmJ~6;9Aa>yreSLxk2=4Bb26msv*s_(R+D7*5vM52nllPgU*=aA zg9&4Eq2kR<`)sSS$8OT3Odlj}y(qokqfWypZQ^o$I1hgQU}*oIqC>9vyaq>jL>Iv| z*nfM4#-r7e4<|LVM$WQbR<-zojD3+L};Ul8(f| zHaYS1-^j~cW8?-O?>Oxs;)#kKeK>7v7c!T)PzI6h4!&B~J;>RoyAsI8eIwaxJKL7t2<5wS4Et3R(VkjCiKghkQBlw7})8u;^6){ zD<5}&>Y_3`Oj>+wmLFSL}w*f zkPT?!5Yx3=i}tL+_yw0i?j|A}R>$W*#UdWK`AFIvd@zNOM}%}YCx}I^>X^O@-nR?( zcO-7(h{rAAE@dSwd5crQ0=(U61w&oNWgxrl5ni~QwY|M%EGTuGDP6iPx&4y;Y`@rr zxit0Vi4Os96EEw@mtPU?NT<6EfwrsI_GWQPbZ%I0hxUlY{Yi%oVU0N3*O(KC2fr}C z77$p|HW>vSMkiVM=9C=Yb&plM6sd6_J9A6htMVBC0i0pSEEYD-AYrY6{8f`k80EKF zCwc}cqmZHuN*Rg-`4Kzdk+Z8*3kN>P#w13Vz51OZS!7CLusdZj*4w))Hjt9|kltxR z#6&P?`)N-ZDU_|*Wle-Kz$+LZWCP$BEp2e|d+=7s9Bn#{fkcLxDTeVUGb=t|wQ)jv z?#^f1*vWY@==m@OED)@OkkvIIaGTek;O*ep7laLz&A7nVs<&z~Dv5~Y5~;GbC* zxgh<>=SM@g^=6|Ms`QS1;7=TnRj0EV6ScM0ZG<0~Wq`8L++Gtf>KOp=zWvfHIVLTH z1WB#~WNT>)8Elfdv`2w_Q{e1rtkZ2mWHhV8mrHmb0&^5Yy&9*fY#(Hc;9=vwr}Hq# z;9y@x`x4S*>U%Jcs5(YNrgtbWOSI2G%+LK1GnMNjRH)g3OH%Z0GAcexU|n_ zN8CMz!KKx@J88(KQYK$~ok22Ej=v*75|?!vpi_gK7ICg}kDAm=Jj%|?zJm0{LbQA3_?*b<*{9afqB@&lb39`wQVu$@ z(xrjU{JqsLvYq3_iz{E|>}s-W(l+*#nkRcCI9W3cV!U7=O@Xk(sYkFeqa&itf=)qq z@U|JKUbTI1PsjPkw!nkD2*!A!393OoV+u?A_bCnTPz@w^6!;VgQH?cP4ATH>`aR4& zG$v?=_{#A=VBVpL6lB5E@4pUkx6+z}^I9nzcIARqEehrw$!E9wQIn26k7GIH#RwGZ zMmSzX6%aFj)62s2%kZjfT{q+j0Nf)OGqT2<_Wp%=T>T`RND%_kDi|3s`*a}K6?m*i z6Ea+Z_t?rhZpU+p!@DIl6BAM)$x{GXpap7m;TuB#!r2KBS|-FuRmAJpDqJM8=!>dq zw`vqLcRi)J8fMh>L}6ewKwE>-XHDM5zmi&f1ac201ftEr9_(%nKc(q)(I^j zGyL1@TQ}yHa}ytaHEg+IKc%YwR>W?d8-K{Zub4$I`t!2)`=iu*V6o7EWiU>qwcG-I z?R)CI6)r#!c|zz}*jCw~*}{U=?fIdgCGg*)6Wbhay3}32BPgV;RxaRucj$TgHUH$L zQrQn53SkVbbk?{^hut%B2k7Mp4`K^?VV(|gj50cmMIoB|v6!T%Y>XPF5RX^ILfv6c zcdV;4DDC-Pq_}v>1urP*P82n59X_D;QEp~Ut{B2PeTGws(2Qnx%_I{^v>6un7ye6-<`*j3VK=x8nk_kPt_a^Pg z?t?pi;*C?7T8@jZa%*S>je+T)1i#`6tiLQCj1IfCRl5s0giJZoXm80rjcOCXg}5~+ zH93$w*U<1Q7zL#bo?Kv#AXx-(Miit^Bqcxfz@!w5&)1ycRRdv zH(~tKWsjDhUoU!u-Z!M}V8ZA; zt0tzA4T!pVg*S$7*8MA~dw?iw+gYMgOy*@MuSHQ>uUH9QRyI=b&Uh#`^v#Lyw3XhN zH@6Q~tGX8HNGl1T2r?ylEN9#8LN%GgHAsrYTlqM_Rr#O;EZms`-VGKELax`ZTbn_x z!AqsBoHi5k(E;3E28?~X)>fF0@9-wzMrs!-hVS%Q7VKvApRkMs_?-avkI-bWUFZ%|Z*Sa5a5!{S$GR zbDYiiUrhi1^TS1Mu!E#PxcU^MNX~>ixS8?_OexK1c;_Rqn;26Fic zdT!eJXZig1J=YlwO{*y1)d2ZlZ^FU_M6$MqtQ?z~Z7Y;MG!WVq25d6(Px!nCY#&<$ zlhYODsei8Pf&dtR^2i%>`5WB2 zhkbu3#ms>$JUjpD_Ey>RD`8+t&4`pfAM8iK#EgVI=cmvx3S{PHsMxGq4PtD{C{q7q z%m;0r-1BbsfC1+q#+p8XXM;y*F{M%jh128^X(mQKfq4s5Y zTx%Imy$v2etdH6QV)wbxL;QBE(i1i(*+k07rY%zHCbE1){_W7thBQWlLDs$w<72*- zBDuHuBf*ek2O26^vP)d#(XwROo5Q|7kq${8hl@|q-!2@FnOsQe6y%aKI&}5qJ{Q(} z_y=m>1v>Px^S7n64q)Svl0P!J^P)5@YZkcn!^~`~aNc1MTzl209{5K0n`oK}Zz&22 zKdj#6C=UB~VIdUR8+_}jKYxCvub|2AqEJ;P8CvKu4F=z2S@-uizMu#V$~k(==E+!1 z0v0BhITcWY@6>v21ghca0Cv;+8mN#p6a=}p;z%?N8h)=q(h3G(e{JJszHwtPi`vOz z1h?IOmIpSpIQX7;QI)^_cs>Q+uOg^iHcGD_%9x@5$5CJ6_(%Rto=r4%AXuYWW1Bzz zL{2zOV)6KWXkh+AwrftI1-vA@UnnqRHoHx1^*B=MDAVj8G|b!@plOIF#f0wyZcLqc zd(1Zr7wpdyp0dW~;)1CqOvB1`NyV1X^dcP<*lqD5WQzh4@JT8qpiBu9yu0jRkKXhg}F9l(lR96ykV z13c5&APw5dyw|3N5MW}aD-Ix9khy3Wx#lu}v0k+}O?f6wEBJwljsG-#B2lL`6DqK7 zF)E8!EWs(&G^?sqtZGMw-w{!jaJ5~AQlP4+Ayy-dvjVns34TtY5a!;XVgPD3g{`d- zLd#@)Dv6|hb4+_E`vjOV{5Xz=yLrNa;{6J9;eY5^A9HjVpjkWpkFS7R(!kwkwuk>J zR(<%{RwC!RVl!_+j3a)G~lTlg(_L_??j5AAr9 zcCBb1{>``Q;;la?$yVaGU#R*fV0rJhh;Han!k=)_l z=(e_De!OVC+9vFW$?!YGA278;Y_`!VsML-#8)B(&Ci@ixNluU*EE>W{pUP(3Y>3C7 zyq}(3Nx~G=tKFBNAGwu(2^Rm0{0KfYeDh0dxJ}+BPf9BM_3CEsNKc3a(}LILGgW|^ zF~Ztk7xC<=O#p7>0KpJzNKNoeB>u5MO0qW-uDnx2qjH*z*aN)0>?TRC`rLfRCzjl7a*!tE+5=^_{_C1}pLy^+#CNq17w3OK8)n6Zcwd z3lOYfpN0z(*|y`K#E8qM|)Xf+W*vEqKqeFif>|tt2JuZ(kS_R zpAY7UIs%Peryo^_*LZaW;@zsSUPdfQEq)u3J~Yt5yJNbN+9GC>le%RzR4tBZ7%P(uG>3qOFS`(mfKr(Ek#l_jbcSi6GLQL12zMPfNL zSUZ@wI-Hx&=Uh?3Y%*8}{-G{Lr+0TEyg2Q0&SlBvxrgO@amwC8rec_4Mrvw%&hn7$ z?rZ|tpZ3ZesseTn;cuY+rMTW;ANB)>r;u8h@onNj|DLL=ciyI^=93sx9Nti5c>)8S zK}+G5)9LZ+GLV&2jnegAqCc|pm5zJ#@t|zpl0Mfr=c;mYnZ_Y)(%ofo46MrF2A0@h zo}KFcxYZ`&r069RBUxkltAWx=v;)2#-B*0Q;7_J}D@_@PDz=y@X<*z~v3Edtkoi-2TQjgmGEHv_)S=KFfIwF)^)k zMY2&Hq7Cbi#7p%Z!(&N9`T}KS(Gn|;;Wg=#o35-WjOh{#>uxfTOD#Lk9JTMPhDqLm z4QG?An|r_73Tn5qtFN{a-=qYoNVLcgLrY}Or3GTvAd2bKjIEX0;y2n2-k3nVYNzei zw!Su}+flP&C2?)ez?{9WAdY~eV^n74`Ph!}S42dYr&|-h*LZ@?b#j6l(O;su$R?ur zKn`y!0kXQ@57K<7HkUffeUaqWjU|;6NA;3P2oFyZlUuZH&(^t^f*07o4dSf5%;8J>W@3>vvSHw;RTrfxLs$61M*VCT zNSt5omoA&>=ny;bu_M_L76vX=8_WjUo&+Vy>Fd3BeYoaiqsmz=pun+nbHq`K2F-l; zPMt=+q%=ZlPA2naPi44}0((_3$n+K$|HabnsPB1790eu=NM&~W4q_98HLnXirPSnR zXiSpy2)LRa2j!|qsW>U0^~A(vq7fiUK}^?g(tIOO9Fw8+HkCmtM1UzQ)fW_KYxAy$ z%xM8l4N#c?9spWej1$K&57bfeJg5jpT<#PgxInXQXDc{NaT_TgBdYM=Jz0lkwf?5l zRpoLwFG%-L{l&iqCT&!Y9^?=9W^ z^lVz5zXl0Mujy@LhakU*WnGYSyqRdg2PNX84B*|>rWiQIq$7**Z}p=H-Tr?u{r}G+ z8^Il7j}?0>458s3FKr$jdw+4?F1I*3+3-*A4`s+Bdv{B=KneE*LZA@AkQeg z-fU<}6H=xiy_tpF0bJv@R+$pJKptaOntvYc5>^nJuz^z69xC`yy&PIb(&ARVcdG@M zi{9?;0``kQ-%|D#GIgwk{!i`I<&qgVh)rcHatqjGO3tXvOBpd~7i$ZW$m#{$m|?Zl zmQI&lb*b+Y?vq(mJNrB*fjD$^{Kr$>EQFgOPH~z%55^x8OZUb-Ac+WtYts9K>N)Zz zb$H-)vlUH|Fsq!DqP4SB4Gg$AU{Lbs=?UL#=AarNOf70ByJ75h%dauX3>50B_pxzx zgLK7xn*)M#M1e!`uR%I?8g=b$X$SqXH#=fVmf)*5f{WxItGc#8Y2M8FH=RfPCvC94 zb$CX-V>OnIu>=qzKm`H|d27R{CTH_v1y!Vlt!N+UKXc0XH*s?Q(j}kh$EXUruv1df zGMdb~+fA89cpoth(ia@X!!Ui4F(7A^5vehlbr26Vv-HhYkTh#_=3uBDa^8#N)e*kL z3s`zcpjuG;k{gUiJPmRjSP|aUr0XgP@k6(juIMgnj3VwEh;&^1X;lR(E(VYTY7!eJ=Z@x6oR5C_EioG zKrkr+QyT%`@q5xq3XK(}nbJ#ywxfN5sw@Z7{1@dW5}yL4B3&l2_efVJ|E|#<%H7*u z?XcGVG`>xXKfG-u^Q2#s^jh`xX;h!Lfk{S+m6a0a24eZG(Xbqiz)U80dIqWW&pwo&l&F$&j$8AUvP`4CS;T3;mzr9 z$sQ_n?Rhe^tQW7r#$Q9v3M3exinYhHuV4u8B%vZ{#~zmo6hf>3-}`KEH|)6fZk0jo zitZVK+kK`12v8n#e)+%Ih>h7@3QM*~NER4|uRB)-b{2c;$p16>Abtp$FRGH`{#EtC zaY}p&9OJ$!`_I`rbk_TRh$TX5QqXk=;H55dO)fsC~jlyx}b$|a%!VGDZW{8 z9jM@a0U#HY?ZRGNNzUBw*OmnT)6lt!;qVToo~PfFaFR0PN1k0>da-+^K37h(L+&ru ze?AQ^kTZ*FQ61z9z;5ueb`V8f_iZu;z%2_yPCx$5#F%u0$5~Jfvl(FmZfe%%vyX`o z`Ld~2+~mr)-&Pvvc8}%#C!n`m7)+WahQmiSq$Z2*7NQ%YHbgA3=^+I`87OQcq+@%o zRl~kn4E*!Tr#Zk5>4j|QI!w1bcW|A9a2(2Z$!C)y=qPjh;xrP<%_>D{*}9B3r#cZR zZMq&FMSc1ZN<6pgL@gq8AdiCnhQne|`cK^$8hmw=hYq$oGVb>!M%hogrE_`ThYGG@ z!1yw|2JjPBTfdcU;OHMI191uz0Eo_5riBFEVp7L`BpLyl6(2>mlSgh((0sFAkaA6=k*Qp%H~A;28TDXaDnz1chrQ95ysC`@h{j7l2(5%v^BZ6 zNM7_)MIw&KQ4M69m3?7~pKFW%%hNjg=aNGT$(E3I*PzES&M~l01?p@JLS$_L@TI~K z%l1c6ZG4vdNvud`6B;E}&BVs{Vxd-yWGGGpFmcDC)e49=KXemjb&a5P zeY@z>5ai5m!IWM-1XgnNa$1}Sw$1~1`UHKcUgy0S(&;L?zE&04Uv05stR^aNz|lE?em^p{ z4#|aBE4}_7lf{WGjsMiGQQ(+56KP$+x}sa4bs(!c?*;&>%=H??f3RpB1a4Eee>@)) zgzcW;0R(q%XbHQnPm^wLN#(c^(D4=MUD&6Ljj}84b~Ta#y;rv z6rg#p?g5LUXinv}1v75kFe~g;hM7D9kt~3I?;S8Opfu7T1Cmv2G8LV4kC#?sOtHio zGSpHFrB8f}YN#Y){n@zOfif$6w+L=Bkf5oAgQ_-2n)O(9*uHZ5{p+{8X7Po4r~}+W z&%bB{z<3Hbc7YzqP`9Y+L31LG9%Kb%k^fSKGbMHxke3c!&dC(8)!df8xhU?xJ(}BQ zpU`sLUdtxa7$KavCq;T$l+ZEej~5traSI)(z*QF(&-^t621-!J?-jx!q-VWt!65*{ zHXeXu83v_Ia_K_^432OOng&skzKKv0;V$=Bd#p%TGcV0gp2iW)ty!}_E2fX=e#dhK z<*T!YU9sV2CS2%f0-HrnE`#*SiRz!jfztuWq=s!^-*UPRnq>I)FD3IZYE==(xQ1}# zHi%+;1dcNNe*$`JWdW9fBgV(7m-cPJD+=r)#B0?s&LuIsEc^{8w{*+%zU;!+rXpY0 zBdCHfdoJGq&)O{muRfSWD{~>83RxcxtC7V~evt|WsFdhqi3dlMt0ir_a)*KT-LWw^l3<5F-42`>vgb+omN+nI~$G zzu|q2N`|PTa_0!qyomA)=b}hv>ZhSYaKqfgMqv$|MMH+@QZ*M0rqcj0J=w+k3x%Lj zj5_67JhQ+GHZLjE8|%5I^3lgXg;B0kRq}>~VxgC5$)cD(yPl)wD}iPe;boo#dMW5` z^fD#`ga)Yj=a@erfAq#TL6v&NbI_NzKs0@!;H-gJ?@Sk$!d-WUl5{aHB^yeWtI60s zcYrPRD*6R2fgePI8sh*26#C+b0}5fzuIY8rW?V=KdMqPX;L`jcSiKy0u(RPBlVH%_ z)repNVB(34U%A_v<<0c{RIu5hzj+-nF?kzh`3j1x5=O@=TT6{{`;)4)9g56<3YmxE zEriKsL7cQR*2L-_`6D~P_X||l7k{9d~*LYwn4jyxXdFwgz)lmpKGaKJ2j(&uF-08;gFH3{gLa)MGRvxrNRsj{{$+zSiS`hIn z(=6tir$8+td(HGiTy20JUvrPu^8pk?uwh8ltC{yzE(Hqop{D3yzC)U_mqnNUnj9wj z7k7MDr11^agYn^AJPos}(xZ(?Yp#=3;(*&f-vTya(Gi+f{V%5f|9NEpJ6u$5(~V66 zDcR7;g)Z7s+X0PhqPXy%z6J`XTQ}@)4dpG7-BXV=E$Ul^^iTrI~cH~~R*#=3sdcdN6DJgk$ zONrSpgG_efoa4+H6Jyup$AacT64I_^14eR8c{Hbr=*eTV7;(L>YVZy#CH=^S;POq6 zgF*`Kvw=s1X3!*H4H2~;jq#k}bEy4n zcy1f;;+{5Sac!P@yGa=C`!X7YVu)4>kHqC6?=4q9>k5@f(5jWrwGiOd4#N4us<5X@ zl6v(@!+qeHL>wA!alSP5Xv`-tksoPrYzaeoK1trfBqDbkc?VELqxj9WRS zrky?II|y34`%jn`h;ub?u$`sx!`cY%Z91V6559f6YP>r3&c_oVTymqUrJuwwz*hqz zj^9(^SpCepvdt$m5g{uNRDGjub5IPy2n9>1kvGP=jXLuJd`tOvy6Z}^Njh7y32UwR z$s;3QZl82`Z27a(L4lWK^>tm%ES z8@*DE=AnzE5P-_m!uS~AcDT;vGO)`AvG;|^YTTS=yXlB#~%Oz9ZEkNbt| z3Ia0m_TKCn5op`RtN#n)I@Fp~0h^hP#~6J!t492AZNh1#`j#MZ9cx&4KrMjS!L5>) z^&k9;ZnE=JZSpnE&~t0YT_YELY4K2_kL-||tH9RK)yzS*vdr5EYjl*>JQw=7P_dDU zTLSGS&U|WFImz01RaQ5ybK9KLyaEcjse+e0uoE#QIZR) z#$D1o(^*mXeGkz;18LcFH)-IR_VK#WwH0T0(7bGB~izJ&j%>g9#Drj zrOj`!RE%9r=@&04Nn|NLmzQ02he=9JiND$2t%J`C(k`N%C$BDl6y3&In7KOKS5^`_ z6G&UCveiivhXT>w`eZO?9%qT0t^$6^y*je?>Op88e8SJGFnQ3pU^%;nC zAaDDKu6$q>W>8aIXR^<30}(2ed9^!kLuFnic2lR5Pd2s8uxfEh(@K9!r+%w2flbMM z<9a_C#ixB4M!`KTy96FrAySTNF1_Rwy09>(u-_SjeSMxT-hLHV6 z@)8Eq0s{cnIFnni2=fjx`AsH*ZVxn#+v!fi^pg!4MHbDJL&B*7Bd%9Fz)+XZ_2nfu z>C!2vY;RCEDYPq81u$tCh;=){kGD2pB*N-?Sh%9EFC&QfM;CURkJx`YGH${V(g)LB zvqhB6;7bJqMONEP;}8oy?>9!$dxvYK;Mo+JqTM77Kh&@CnzKtZg)19Aw=tVgo%g%Z za2AdYh}zL)`)`n?^=j?$aIt=Y(J-XKBHReShak}Ju+lPh%~Sh@p)ZbJ03*q7WAnAW zc7-^sbtMB%p2Nilc06V*K(ys%-qBN{GvTL6fXMZ`JiA%RD(_AWZ@@H2Snl zvN!t61-q$3Y?*e|t|nd6zsLAWW%uLg9vHKFO@12CXF--OA~kJ}ct5bTrf`36XsIaZ z**|&5UD>0DGfF&O!K?_Ow{giYarjj?8l|Yh_>7`23S%sBkh8>C*HSNoQPBy-bS%MY zY^QiwSZZ9%ph6m7Pqc)-)9gJ41q`H{OePNNp8`#sbb4!ko@H~c7ZATy4cybVO zaJCU!w}~c{IU3^RPXF$GkO5xw)qkhn6NLGWKNo5~o~=G>_{R>FZhnIJ(>+!dAjFfu z%;Q*8hd0YjgVXohEYZG=4;ct)p31z!rhCI=wY>Jk?pXYjiOv|C^oFz4Bj??#?!(6m z;Cpu>kJm%m6b0)?g#@s4{<|XK^?+}X`>*8N)3C3blz28~=$=f|lew)qO9?gbTj6Ef zhR=8TdFb9c`fSpEFVl^vH5|#eycu)SEC}dPY;7!- z6w4eyHo@z*gI!>aH)p0?Adn^LEX^4flzgTHp_CBtMX5i#GT#HB+!>qj;~1Lh#rts( z&fT@RI&)V$*HWZ@qETq5YM*p62O{W@MXlbG^$u4I0@w-$RhV^I3$J2;bEY(r`qmK#qM%u zKU%0Uba#AUtmz2J(*3#>TMyE1yh;5fPG{DNgU9#$RfL-9<+60~s8_C$64_okCg7G@SIzCn%ZO=tuAtaceW-*S@1!EmpM-MULmYLgeVs*LzC zDF4AdDYLcI<58I2k?1z=NC*F02+OB*Vza#!H4n?dmS3mJ(dLysk!_J!vOvXorlRtzzjkJ_npSnpMg8rwlDm&pfhV4-_ z;|Te*t=rwWt{4-y*=iM7j>wg`s9Vn4Q>a4>i}S3V|MiDo-s7^b*J#h~-MBXdMOM(jpG=8HP8Vggv66?yR*;1_OlNU)N^Z$*y@XKR%};{an0O>B>+ zhU>cn)Q!$eTpBYH4a@p7-w%QY@T>PwL=BcQvtZnu2i1E2>*7x3t|G)@6p{@7@aJw{ zzioS_rfgl8Yb^gn5U^mD76F3mxW^~;tt9i2(_z){IO*dV$CM1~Aqgf6<+x%WCgK_{ z&g$?SsZt6{I^V{uWGXxMGl11^{cj=S37Xrc;lDhrzRE`>(OP(8?r#pg(1GY)&|Zbu z?FJs^4UDHv_K21aJ*S$5Q9J z*T|rvO3x0TDaWQYL9z7{TrT1Tr3!7?s4T5X*};SjOstkfqgQf4RMeOQqKC}+4|$Vo zYM+5{7u;9o6HNytNMBkdMmkbQ*416tNOux|3m9a>F-o6V$yVIdKZ`c^d-$Pf3#tV5sV-bwtbbXDEBz`d;trLiSCcX1|?+=k>KDy56RQS}KX z6{c&CwTf;>3Yf!Iy0^7DV?kz=2pV2j=F}d5psZKq62qke{Hp{#p8lYUN4w-%HY7Ar zWOz&}#(82Qm~?x}T%@tp)bM<4Fc277ro`&eRQw(?7s1Gu35yOVR6pdWK{y9U>_v6I zc?=I*v3sN$s^Oe+%w40|*wca5pW6Gx3Pmje2q!=nkh^~%9C?k%LkRJqQ^qSy|FA#{ zOK2rfJ|9@y=2gAxl2^hB5nr1S?mYn*c3D^BdyJ-JKAY!r!CMM$3De@fgquxcOpq!KTBX!hC-2ma1tI zB(7B1HOMy&ujmK0b@(k&>@S3slG>V-9>%!@lJX}dW;>XJ`W^Rwq@TD&PKvrKpQX5F+ zd#>BEMUj>(a~l-QB`ZKXv>_9h9Pi#*VNp@K zR(WO5Z09-U6;NIS028@%Y;>Nt4185!VA4*R;|V07mU<-} z0|p{}cKILzT3uaUZZQ~37_Pn&+))#&wnr4cQn!)?Xk~fV!yp0+i7L^dU_C;&xbN1H z$C9OMRxl+XHjeqzsU27%PoQt#xw3KJDeXF4{{=Pt)^tj7WGPiP5MXJ%>US=B5tOFw%uYy3Z zz&Kq>{%O+%w@ZBS5DD>TNd~CCLr41IT#Mf$!sS76l>}(vum=|~el4Y)7h@=<+fo+} zTQ|8=Urw|hyWi8Q*b=JVtlzNa%^-o49gEd>4@T8V`R#6`ZI34gq(Zttlk(lE3FuW@ z1S)Z9r+50UrT@iHamDiTW)xLR^TnPjCQz2woB6Kpc(^(e<{f>E73ktj4*#MvnZ7Rg z!r#6#=Y%Gtn8)s86ukq(kd{9}5k;3xIF7iO0!5oJIKPLMea4aLhm)B4Tj7>-dNN8Z zHWH_wb{G#)_oT3JW8HXina=Z0w=S%bRy$NaL}ZZ2ArC@Zf>O)d~|wxGC~1Y!7ZJ;{Iy`pE`rC&;4S1T2UyHqj#?sgOBtLdx5PDDWicA z_kJIN&0YETE{5u7?`;FrdtH7(uy{?%7)k8)%j3Yf4+KkHewIO~4Eamf=0@Nh?J^PC zE*ZvMz_&@XG@$-B{iVdyKiQy^2g1!yW)S44UDg$dr{Pm7>X}|IeYRsi7Rta41!O#= z-6rEsA}*Ilxd~J?g7LXjlZY0q4Hd$#g<1n0nCXk4x61!2L0YG*MH^AMOS4O%TX+XR zdEn#aU-ty&8T_jZ9}g-YY93xP53wMhRMlK$d(s{ulJcXlg~@=s{76w%6l9u+Fpy!c z2dCZDzY+lZ8HX`a$pGWc5Vs7-YZc0;cP$x&sHEFBB|IW$=a#mrGjUF)Bg1pzGu|%4 zT`4UTBY4yCxx$B07gOpLF?lZ-msAy!DBfJ}5$i=U1od4`?=t~y?Ml?=D0b_cGLY!X z?mZ9JJ0?H5w0)$Cn6u#j2zZQy*a)?BcKNUoBH-g%N%)`nKn{}+Gh@(n5w#QIdN4SGk07AAScOg^1 z9STDj3`^q{|7Da|5TOCll>@EHoa0uE&6_FNhVm&-j=Pe9y!XAq#e8vk?s^tRcgY#C>&-Ja zC7_a3X2dg3E|@>b)Lr3tupC`!gC13{P^iGhCf;!2DrJh_ixze6LN^^N4EC=iObP{a zyeqDF#~u~XqTCT8O-(si#LNGYn4$}!sTlGPQpq&miC=0s%gp*bbY-F=y-G~m#RKn< zTfB4y0_Vu127pQ|XT3HB7t){cCfrKwBd9NKmGdfj?HL#6)wk|H zRLnxqzr*58C`4ZDbU))|y8p%W|38mxw}h+f;G7J=x)RS47)|V;Nx0Q)Zg*n)ucn5( zd@E&A_UtRU8C=J?Q-m=vOyNR@@|~qQh%PK0ZJvpqIhzm}3bz~;@I}ZJmG_na@vR(7 z25drqtUAaw%xI9+qP}nwr$(C zZQJSK^ALM{RkhcebEnr+Yxr@=34^3VjUHtfK%MrFL+>MU;5rARmS22C26{ZP zUo+@F+0#30l|3T@Jo?fvX(N`E$$=9L+KbrGIkA(VC;&!^B}aQ1%zy zu0?dOd?nf~nBf8Ne_q=O-o&SN-F*HLitr7BP$Ta#7m$hl5${_J661Y5S{AB4J zHv&}g+eMUO89YG_bs1cjeA`*(7fE#oU5_R_Uiw2j@QA1p)P?*s`qKcJ`WoHls zR1E$^%%ZXZr|fq)JwTv$ph(F%Q|C=0>Oln}2zfINm7t$0nhLm0Z&s+fBmO{U1H=2!c_cRf}__Tw&H;hGkf2}y7482dLHO5DH1M{wH?yb+5)vOwN+^D_H1O^n~fz0*+ojIgfvad&esn7su z=orf#OS{ChYmb6F%(X`>EAcr^UM`C!&~6XVvk4Uaco6O(KvE6B#trESB0#V%z~y2c z9n16rPi|7BDwQW`dW(RHjY?nK)|uDf%dn|w#4pATQ%jU<;<@lA>5m&;dXMHKrmw#| zrmgq~0Q`V##4lJTZ`LX1dJ)4z`CjdrRjyATFhmEwp$8910%fAA(pl zd_8J7Sf>*VHn_XP3h&JFla21JqU0>pB?ml59dO?iNKmC$ICuC+6vG2btMleE&bTJp zXUVPU#2mFrnTVKA;ah8^A_ZjuQK9b|?=BYtyR7 zUs!6mLO4;+k5hCZ7)B=-YdvOQGOv1BegNl+gl64lJQTaT68rp$&XA$u51?=u{(5PTCF3hLx)XBxN1DQxIjswt5S8hjhE#q))`JfLV+S;J`Be zJh^Fy)0C2jd>FYMTTM+QT&c8{fOx_~GxOt`0iVXEBsc8($Z}}*td;Nn=H(1s}0c-{hv4V<`OJ3jNb|iiDDW2 zi->?!F%@jYIhb|b^_-ZbbUh3dE`3RPlm#jI+`EsGw3Ox}PIc&kDS#Z8^;-ZfzI3f}B+KwjNH&7OxYpF_7NMKb3{~d2 zjDE&`jHlYNe@HI`2A3aIeokSdSW38ERMnsV+4u%!-R%D1Vr=w6dmr<0&?CK4H}01i zzR7wBGP4vs^U$~B+ijEeAjH7C4!9z(k9VNOYMBfThkbU$5-5(>;yXmHqjHC8mdI&4 zkSeL@5PTo=?sTddpu+~PoU2?-;E@L^W7~g26__sK&dRX#8XgOqVR@A#^kRHWDJ#xV zV)~e)W7v&)`sYSY&S~8JIM~@N@Q)R|;^oAy)@A<3^Tp5mIl$Mr@itA&=Qe)0#3=He zE+CYwt7(Z|m!O#nd%0)N3X;PHK8Fl<07^kNS#G+EUSpYB{E|Ii6ks${jLG}-CP+FsCb~q2i@j16Tm9$Uh^|&7}sQ39J346m!{wFn* z3i+xVXx-VIUR0IE3NlkKXNhyCA+05hM=<~3*~uUI=eu?crXk@!?X?Cc*kD?ps+C>x zRgD%l(@oTb^6sEt?hnzD9X4riREJk@9MyG#w4e1{6G=$qZl_P*36!&fxIXv+7bi@Qpz6RdpRC*` zeY?&Fj%}WIBn;tXD!p7qEjVqdS%xulJHH+eRUY@EYxtEHXl#gy+x|hZP-WXr7?t`QuepD5 zr{2#Y3FDA(IBs}{+W4Hh`{_oj@^8|ClV)@Sd{o3IQ;5?< zhquJ`T7S7S7;G}^I|yUI;X@7rz@V~s;;9Z(fDI?QodbzUCWx{VY6X*&%LXzq61oRL zpcji~oO*r|c~iDsQ{=AI@(#z7O1I_CDs}hXB5lbnNI+3NQfMWz3Qo`GwqYnWhy&Djq*AmtaTjHQ3sL@K zrf2y7JhE;lcZMF8(CLmz3%k+csK9eJVVl89J$P4#$yZHA-}>AWJ|sOw|McL_rQba3 zr#w5=f~Ha@BUNcJK zB?l{;R@7gWgvsahi@`ejMvkY3xkEr)`DH!h=N5q?ZUECQygG{Yk;G zKL@0iKT-Tz&ZihfQEeK}o#x7PO2=P-6|OylZbP>b^kDY({)1h!dg0qpB~OIB_s z-g%5KOi_DN%{??GS1MCU2sc*AlU8AMzBbR(A6&P9mnCv5#-9ap=m((HfhrD*cmQv5 zqw_Na8G*h_8Xd37C3u`#$#72C@U>6j-dG~Oy$b8l!mqy}Qn~&K6zIQ4zWACxh=qz* zUl-gvO6_C_VxK=P{({6t19*(nTzq861w6~lj~u4BfvC)&AH#&>C^sd&{9C0?V_X-#co#pTJ?U?t z${$lh!Eu{m0&@WA+PB5`=(S)nhmjj$YT*p{=khX_N=D!XYnA(&@m`@w0Qq=_l2rsn zma8VrF$7ZH??f`n)r?rt`9~2=Lml-|rs71ta}w6P#3d9A|JQLuWpjF1!H5B0Aj&9d z(;W`<0O25E7(V)B6-&pQ#jaMw7CV52HF}0)5=Ffpbnw0eru!ZySfWPmqQ>8lEGiBp z$XD|0;G66a}zNQawZwN~TrZp0mZEA2C<k+IE;6T?I)`@Q=k25DV+mWJQaDiOlS8Tu2g+#Ke^Py}!a^T=I zFTpsX$HwTx{|2i!@n*+ZI# z@_CSUhrx7m6`+dLwr*ySVoDl^DDJ+6%)nfJckN?0TYNRM7iatj#_Q9>cScrsP6iXq ze*o&a_`Q4Ov>Be?8RLD4mWnF{-eUK%`a6v=eQvG=^YP4MpoWPu=tTH6Cv>+Gg4~*B z6NiFMuRmD?r2o=&+q)so93+xU*F*|_2tUU}^0MR`W|Sk_|J{MLmPKhsT*?<5U=O^gXgr&xgDRoSN+fSYiYG253o$+f`ZPTP zSZ!`Oap|q(T>^$d%Aq~m!B7Z4AC9##P6c%_>6MfPZlV|k%H|>t72np9KLkX0FD)0U zqcHceCbvK&#b~GN`3qndCZCIW*SHJwr2JCO-28@jP;&g-9M@UzzHmb}C;K&jBlRMq z#o>||gu1=Df1xq3IOSgoFtB%SJAy*>P!2=*PsoQLT(XclnQHHKw@J*mk)jDl9cJAv zHaxeN33x%=jRZPE68apK1XOqCg6}+xK!@B;vLXMvklQMJ zl{e2E1siJNQO3|3@j;P*N(5u};tO8$4hY?g{qT#|uuFU_lg2w_-Eyn=OlC83=M1*E z5OsvG^npnfJrIr6bEW?>>85C&BB3T07cWhIkOBEV=@&4XybT5_=Yy?-P(an@yMV%q z?(W6#aj^P*(3NH`3Y*>L*~oGm3HyW1v(BJ%>ZWC=3NCsbBxV~iby+{0LzKn6+^Bmi zg^AAQNZ4^pCnBxso{A+Y!p)I()KL4H_K=aaT|woy1!~mW@O*WxsFbmR6#PD>QkF}& zs@+8KF%|zXkng&7FkdrCU{`F!T_;_7dnl^K; zYGJx^>XAbCIC~OfGwv{)QWq*ug}L(Mcd6j~{Sa-EN$t3r_Go?o_cjU3oXV{f1m7@z zT6{10A-{L*I569>s;Vw4pCW3rL6s&e=}Z_EOyLgIm98o}hnQa05`94Iue%MTZ z6iL($<3Jn6k)us&s!o92 zrzzAb*41gdn4VoFMA%ayrhQnGafR+#3-fc0@B#u?=(ikc?Y`Mj#F4f^t+7Si^lT8r z;PF=i&=Q&_+LzCgrXVNGdVAp!oc+~@L=5kQ$jx-hopSobdhpXK`RUy+ze*GIMwgq^ zFkp2V@1M(Zkp;U+DLx_WCy6yk1)mpJ?IBc63jbDSMJ&SMi+nt`WDqL1Ymhw^d5MRk z>>zNKE3UtyL_SSUn|YOFb0dwZ(Wf=ukEt7iA`)Bo*K3bX+U2lT6FwT!ia~wB<#Yz; zh1*oga)uJ?Yk8jwCh1c6ibZi!qFt}Rvb&A+Lf2{dWBW3#uzeaWc?P>KL&<1gnsf2Z z(w=&3|8K7D5yv2+A(RFXTjnZZa^LHo5a}*H@6@oG!V`$D_a3-og|S@c!@Iy<`tTvm z7In$Xv5RfiD!|KdUtkJ*JrBz_?Ufte$6{9BuFIw9G5bklHpIE@PFL(j!hdN|)oBVK z;LOcdG-yN5F)mG?Q!TPjV)e{;fWumLq)%a3qPKs<;&7Q;lO|D}#2#o99-o*vDDg$!+&W;SOX)$!VX*A)zD-$TMyNX-P zIe?mEzjRzvRfWI}P*QfhVXVa@oM?d`aJsZq_~r_n3t1x2iFGW$5`rzRT0ivY@8P8h zpXPYO)0`W;6JX+bEmDw$?G?n*Gg#h8QY1>o`5lu!T{9|ruu88ST8MvAdp$QoU10!F zXcTPvt);wKT+=bj*P84i5n!`a(@J{ycev}=dKGb+4_&1$OLFMd?#TSZ*emfh--OFu02E_nDs(}q^vHTY|2zufUaLw3=1fxVlu?>mZTIbm_D(Op z|In#6iK=cJ_jN2%K#+(HO~!KsSZFDI_M=WM;HJO88PJ_Zdrvpvi}!!c^#7knmeHNd zxiOG8eSH}a<1tj;%N=xKI$(y@gC=q$`CLRc`jaYcC8)#_yJ};spi-QWI4%1_(m;r; zjLx?63yY^rZe56~4X}0Xeq~>sZ{z1&Os_yzVT0Ai2?bE%>kvmrqaPYBi2@;}zgUdX zJo-j7$_n6{%rCry6yf10^6_sUljU%8LyC^;-@OnNOpy0>ODKM$)UfB%&!& zbq!S9fV8VF{l}vG-AhKIPQ3RFj}_B$3aiP##k#;_ey??(J+pz2s&LOF5iU=DCr7`h zmCeZlh)qR^G-K!j&4lREunX!;Y&E>Xef-Y!6WymhLWZm2gvz=(O}bC_H#BN^4wd3B z_&C{G?18{tF@G(u9x)lm(T`0uots+QctM4;l#`gWDhM_M3l;rb$zCMP%eQ1Um{sHA z4HIpqF#Ue!7y>l{|GQ_}{w*7s6CNCFb+kIu9p<7K_zL+v*h+}!!KtWX!Ct>>Y6&b@i^#Jz6D2;mJ>d=~`vkV%>#QO$6t|wm!#p zcT_3%>ajX&tK#3NUfyQh@rUxm;gyuLNmqqP8xzGf0aFNzTGUd^pPg`k`)d-{ISzKmL(S73%X zUp$BV7bv%Ci`z+*Ok}BQ08chLP|80EVfhE;krWrqfi+By5 z8nSZTqr)`Z?m6AEM>*o*XSi}%H}rJ!EK)luq0l&Mt9LWBOXX?f6QxxinBgsP9CQ$? z50%=<_pEyjwRXtZjQZeOp%EF9B&fl-E>G<92od*<6xJUyRezfa`Fbf;A*<@lAPCO>IveRn+i z=}Eh+sI7!GY~L0lOaZqCr`f82Wy@hg&Mk@Gz^1v%4MPjsqp!8)c?Jm-PXOY zSzxGdKKR7H(P6xaYbb=mls`Zj;PH^$Wyy6*U*#mK#}_|t9# zsU8hLREgn9uT$s34NXPr=r~y?7*nj9yE?S}7;oPAN{?xU6!~*~YL|q+-EQk|MUC~3 zoJMFoX$~|PkA#7if2FN#En4&dnbh(GJV!fTTUtdFEENsfUuS{}bP7a;GP6oJxn(5g zorEJN@ROJ-GXs~xk!hz!;GW^kijmRZOSsqKQ)yXDC?k%e-xUV@lF8gn(knNy$o#G( z>j@Y&uQ;v4M!~(M%=vHKaj!|rDP7l3es4x@^d(ZPHRgR)%f3V;@(*yJ_peaQV=_{J zt>YWVox5Tf+w>Ry7CpmKqaV9+JHLSlG%(9GJZy1>%dW=iQv&^Khd!vGl|{Ea#27y)o`_B@yWT>f#E% zZXw^@BSactak2Q$5)85gdg711tO~aX)Xcz33dMa`+Vohxk)GEAb&E@Ehw0$zKGG}W zD{vQ3HKS3V*td{!1JBov;`#PCSS0`tpx!7-6|MT%i>D0EGW2V3C}B5--=)Y=^yqWU zvK=*2*nT!vTAd6b@O19DAPLL;DRCT&S~6euzo*vvLnUKBpM|ac70v$dHjHv@YYtv8 z*@Wu2q9$TLC;Xk~2l|;NB~*Hb9$_J-3cTMFRhAKm$`#R3!v;B-PL);M>B3M|=Oi-j z9cck{AJu$+T`XsT!SmMoYBQro2L_bQKVGPa>Lrs_mX1GtR}m@jnr(zsU}bP@DmU}# zuQO>Tl(z9T)Ud5Z-p{ZZa&7X$VaZoVE3Z`N14O`(r{wVM%5Ki<=pm!m5A7Mu9$m`V zcpE);*0~S3@R(@lnMO!T1Idu?FpqiWVLqn_hrSn@@-B>QSy1s6%=K*Co(4i^6hk2UlexWWn=UjPt zpm)t;P07=?3ioK{$>L4==Ax6NN^lnVER7NSq|yC582t~Q&bS%7zXWwz)oTKq~`s*ZXCBT^X{S)^omh@n~wawK1myvzZ(FfQeR0xmbG|sti@U`L;=)(bT{j2 z3U19i*zz%de1V`QwALM{qZ#3v%-OR#23&p`2v}LFX8+z#)Vb(pG;aFQ3Tn)6HN-WQ zYWub1&}x60X~@Juc`9Q9@C*Pt@MoDunferwdL81l*EV#m;8hRAfw;0Xejsp=@bSZ$ z#)$swHQK-BqLi2VXZ_)vtR)u&7&oW?1BQJ?@5XsKyYoq<*RNVI5t-I;YliRp(9AaH zLupL`!cTX5A=DuvV7iNi^fNsKFxakD5Tr@??6)&BRgO;GI2C0=YH3KggjWcmrht;3 znx-O5vFsh}tX2nVPM|~M)vXWiIT4M&7EgdnkgIq4Tb|YdOvYrX~=k-^1m!iE` zVsP2B?3w*9*Pbzt9nOXQ_b(0>nM@}I4Z(!cy`@@Ikbs)so%19osM1((li;n!3MU?w z3&Q)CEgVs$QV_rVT=E_z>@0f$^N{4$c};$P=##`H?yBD`a&oicF+%BgjcF%YQJ@=; zGfT>>P3vew-dpdMU_ITOL->=@69v!vOvRKB2w-I9I{Jvsu8&4_N~@6@dsdv^pUM}f z3F^J-ZZE`Vh;3UPDcj&ggJpK|v6QNDC9}Ogz%#Skc5F^&U=0xu(qU3?8gjT2yWDOn z3dMJmMVvKe?dwtonPj08+9>HR<_uGP)0vMC4EjMida~Un+}@h4?XMIO zP=_$k?fTe%H1?Gxn}d=I5d?v(xkDw!R7`U>^rkpWLEJ>giqtK|J#&=~!$=AAvLbQg zbP8({`;F|lwu9yli0FA*{t?_gtTcOzvGTDpMMQu%(CZFwJ@Xo-?}jjSvp97tp3Q6+ zM&{?JVZ57NrIMBnooP8;)7tiB|F+>goH(ScOKuYCivDY+|NlI)iMevt)_9^)rzeTz zE8($0>hkYik|k=aO(#(5V7NLIIYXcqXOSLruSBUi?b`wfInWw&-NWvw!#JZ)3@U%b z(tjScG}@d^VYzIYGN%?|0Gm5=8j36(=Nwkt*SU5B-R)9<_1~e2!D_$k1EoLl-K){M zQ-0f#w@uDhEida3&t56CW2ZRi{9n>-nfE@vuqi^^4+}q_pe2?&+F0EvE;h=*_enEr&_~wNj2={Zh@>Gz#T3aK{&8=Gb+;sqjFE^* z(9fz8k!J-@E7S5LmV?VRbH;=lq>4fq)VwA7pLJ~LLYRt}S^|mTS*=ZWh8s0(qSw<# zq;!7=BO(M~1vhu6Bjw;{=WZpsoVUOk?k-mS5Y7pct17ReCzA34<6 zM0}gpcE+qc3mhGcA}tQb7Eg$h-61<`Iau~_gj9;+M%$XCum`aF|5Z{4%wiV0WKo}G zE+-J4;o72bL&B0dyTAen9y{ukByTko_X-Pmw?ze;!)6}&u?ufFlvy%8gpqhYwdx~d zwAyZeuB3 zs~{O#iz-t|)yRo!VadTu$k`gr3Z`MEb4#9(>|ZB{=elK+b+5*9=^%oz4H(nOL}q<4o_B|UHwB2P)ACzJd<6jn@0UH=|K#wx~4krT%<39L$A;( z3}$ydUUlig-C}l_TlEob7}O^e3fjxMqJQTfo0E*1FLZY!E9hOsUBpgW$?Kq1rHuU! zYd3~n(wwm&z=`oB9%Jsg!EK(bo+CR{Y@gk)!p>wvnzoo(3K>UD2r;H;cz~()8-dL2 z;vG5y0d@3_kQ~=GG^7Jv?b2MX*B@7bKF~3DavytsT#E_p9 zRhS2$HfpDluJv=M6%rO-c+EucIqc$&l(4E|uFNrN>{*m2gNNk+U z!~!VZ)`hWSbb>dc#`oySdA^VV{8RPzoBmOh-z#i$ywJNxy2GIHR5r+B7>~V=gbgxdKiMaBOSM>2}rWaZPNI{{kGDC|mG{`r7x+RBF9 zZvnF^l5)`66ujOGMs3xF1<0NCPDiv(FVSw5)>g8Jc52td2)8JL6U>62gZbbQ%->D@e)+A)@Pl{dy(FCfx%lYvDm6H`|jI{b4XP+6LYl>5UWTpd6gn%gJ0DAwVofg&m`xCEgLJz6Syp@=my9m+BZ z9l8QF%eU)!^MW2Nk>tcrd^)TBv8&rJy9QH%T9%3f8NUPeCK=m%930^RB(eTXyW534 z#A5ET!PCi>KCkt}DopA|p&)B;ljNj!dHJw4zGS!GU@fO%HIy@%GZiD@W%%ja7qr^U z^|6JsbTEX708anflW)18;`0Kg6jj9=g-77+)O#cpln0h94t~XJXt4nAJwk7?x?UR6 zp{-)(`6g)O<~!421i_(JWVJV=?{~QqY|z6Tvvb+)X=8i>*NPSGh4h@<``zyL#EARu z0!uG$KwYe@6$_rG)zeH|yYF6~&Tj53H$p!Y)G$}iK`0EY%wZejZb+l=_Z?=}VjpM5 zSZ1KO=rbbC{lFC`Y!ThkwUP%y1o++DXmyE}&3xK0#8W#~vhE7c2i z4IEidnxn|F`4#G+OV5cKy#1qUd_XFy^}@Zw)_`T6jRhR zVWYteu>8#hA>=>ZD%(vaN3a^n@ik1_V5b$)I2xAdrXnv`pl%7QY+$*tG^1@k-Kh>H z4^wV+QWGpt-$$^>bs~y{2~KKgMV^Zbkv8Ixj_R4?1GefAm#%V`n1~Jwk<6IGJNJy1 z)Y!`S<62&bj7(BO3ZFr`uy1V_7IWYIWb{ef;IAe7Gh&c(yI%+%#4`zivq6-IHmzd| zWHDN%%s_N$h((xz-KSXGmPVJ*4YZYvhD-EX*v(A#mA#T75Dx2$5Qb=VTGM%~x8vS~ z;#*Z0lXxC4XB?EJe6p;qLPmkZptAlXKsPddz{8$e_5;CajDYF76K)j(z2|~>1-ahT zu~|*$B69yHamnbLReOkcVIib-Skxou%z3ZVQ(}!(%qXfnsh~<{HSZ{_ETCP3M>>G@q-Ve^`n=qLxtZNt>i6qXKP=-OpX&_kS=D42A`*P^YrybO z(Xe))8@fKz1^o19StQxV^n-Shk8%Ah1~82Qc}m#XjQBHzDg|4Z1L9)D{}bb5Hznx& zwl6e5tQeMoYu{KAUPequXqiwzUfQ2yB_ZX!lXy31$@_xYg*dH^x$KP%qUt(}t_*4T zXb7wBF$@(Tvm3qr&N)mL`Z7rhY0WHYNu%l;u)3+9bV!TR2Ztl!W;WqyFyuFfH}dfp z29UP`P8{CS9f}27mN$=Vo?Xc_;FzI#mx z)SaCO9mKW03)m_5b|$q1H^A+OG?ccAiaishDxI4K6p67*Ln?X*Pf2utdUR(*-dckA zNa7P0#8_cVZt?*#qBaj+_wAf&U-Zb_#>4J(9!5YgG3_6<{y>hWcYsjQcL3^3kUL)d z+0S|Uq6W?mlYy-VbPjHww4)uL@=7wiVUU6Yl*@$sUjr2l10yx=*iwax3v2t~92Ad$ zsHWahpSHVK6ZlF->CGg+WC zi_ui8!&SV@CQOaMg_iUA@^X+Ri<)Bfw1bNB?!4(-R*`_U4Cl-xxf2qXT4HHepc{}y zR_~Z%4ou+;0-Js~Wgw0~<`daHU(o`c%IVUM%N;af7nay(hJnsZ_D4g3Z`QaI9x%kN z8_3}86_7(O183bD(?^n!f~%5VT>{=?QD40CukH^dFgYKl(2AyRcdj-G6TU5})F_}j zh-Fx0YmDh-cnH?|Ut~}@{$$TvKhNp>tqO+JaLrzjK4a#QQu=JV`c(DvrFc$VdPTx~ z`$=+JZF~%GjZ;~m*R!}FltLDtisWkjubKY;^T?JN_2?r|7#*3QW|>b9Fx!O;{_zpZ zW&+I3!fO;DoWkTlm?5F0a45(FK>v8B|JG3C^U3fI)&Y6X?Or3f1|v2Kkg<6wJ+_J{ zX#N+FRtsv1!B^m@zE_Ccw&nKOCu=m;9!MqZhnXdOZ)E_eHBEa!>*ifsTqSRlJU)_A z#Iq3TN`fbhl7nUiOD|Jq&#%?h#%rqpHA+NIPvD*L|2D8bm z6roe1azNQr|E73)SZNTb?y2~mV=QK1%)c9T1PFBhDy^m3F!)o`rJdbr^`NlzP2Wqt zM_;jUQ-IseYp1I14o~(Uj4SMDMXLd3UIV6|8~!NNo{mZERSHwq3>A!@V)Gz|q)S*s zc_<%cpI!Ss&Qa$(y}5O5qDeuwttKJiW!cQl8fZyI;Tjk$_F|LQCotedSaWBRE+^dq zyuu3Y-eDlIaCXO}-?-LX*>R!HRhe%7!WI01G7OKH4S?Ed!Jb+se#cWOF3L@(pNoig zF`+Odg@0Pz#z0)|?0ndX3`s(VOav51QAs0A%04Lj@*^G&D=bfIFz3QC8inhoj?}v2 zth?JHbScdykYex=RIxGRJeb5R?_>UZBg@|mR>mLtc=t_Tkc=f{f=T+CzI7X~g+UTc zItIm^x}v2l=PbaNUi}Y(-J@O~JlIn^Kr|K+M!scd-6^vo&k!4itJf`mKV@Rt-^c?x z_=j5-m$a~ceKk$6lJGdYI~r8*k)+v8(ITM{(M6l&*vS{hvvHnOn$&0Bp5 z^1*AhQ8U1;VDEu)aS%h3&BBryA-U=X5X;6h0Ie*(rsM0`nEzr2UQaDQ>Pk5fqIak2 zcZ*Z)Mz4RUsVdd2nDE#l{*rJ+f&duO5nTsR zDzpjaoyJ8G^$R!nPy*Ap4gh|oAZKoq8CY~Js5^9$eL1iOmfa*+(d63h!`n+&PK0w> zKP3Q_D5GJ_il)l5ZA&wC$d?bH(YA{oHO`Bp6p}PMjUHJW(B_=gaywY4sTQ^B<>Ng9 ziT#2gN<^np5Scd%Hd7p?i=!k0KGc$Die$;e(_>ShOu=_LITw+K5=omD1!I%#>@fp( zWRQnXg3PS47gXcgFd(7%35OaB@&(XC#*Sy)GeDtXXH5=EUW)hdt}YGkg;-+^hn>Je zR$^dQkxXk;JQHtSp7$aSsGvmJhFUkcCQUYG{xIjR^4M zN^GI~oE1q0h_WuS5&_flz_SO(GBSNV`m@NGx0Awe95ss(1Ryq zf#b`GGoHJlf&y^mLI(svXSe!cDk0WkTT8la@Z>+qvPDB2XCwoKL75v@T;P{hiKTkV z24%GB@gB2Pr z8QVoqeN%R52*D;$q-n1SuFZ+|b4xc<;73U29}rIUIxj0Y8YGe56YzgESQwLyNz0m{ zqR;^jeOO2%*{dku6RQngy^InRaaA!6?+U&N;*6p;2S_Fn0*1qsy?-!g+*W_ zP4ZH2x0UIGA&fRc#Y|fV-di%CrYRfT$N*}DzSKFnm#y)?ZyTb2eeLl)5c9sBp+kUL za;Yyl{f*QT0tn=592~(vc1wd)Hxz-vhw;f%K)xIKJ5n8cXtJ7l=aN(Ql3pR^zC#t+ z-5G*pW7Ct(^Y@X24vb`2K4w7Rvu&ijGeTi-_$8?SgUwOBXfs&8HnpATr*@+Rwrnhm zQ;xvH)r$-jUTr9!?*3DcnyqdFFr9QJ;+Y!arX0>O;IN7miD`|(9Ad#5EJ?4$Nre+& zloEZOwx)<6=TIDN+{=qCd?7-tFP$Bl>wr*A8P2@z{JVE4G22fU4wx=~u>GHjAZbU; zbuP7qSe54kd*cf?``f`aJ<8GffD&qWXbfWKy8zrTc{v)I_6rLvyLT||JOa4D&7^7w z;;tlZMjR%?=wlIS<#2`Nyk^(VWF|*nY5e2V9;bpT@RLrDN&W2HyfR=a*;b`zkKxdi zO>dDyTalzQ#$1XKLum;1s)MAhi0u8wq^fwh;OLLv77F^-8U_(b=-!T2^GRA(n+IvYQCpA)k(DhWF}4p@S)>&8*-Hu_LLo z40mQPcxWoC!Qp`xF$V75(17gj1a9mS{79C!C3ZjmOn0FVp?ZpdT4E++p&C~jlXuZKh>xGwuftAjs=HJ`3?o~#()9&YhIbQlGmEfQC9~w; zvO2I7kB{TdDAbN41myyILOlb`+)*A(j=;?ZR>k=oqXXY(XpENI!u6xB1U0z0TXaeO zE|m#INl#QpXTom?>5?&^L0iiAlbm7+pgdc3K2wlPK=k{``qP+ zJ944SQ1V|*dOYz06q#P;d*NI!OcK)L5@ENYL8(5|=kHssBR zMfu_Damea$Em=@UqI@5|>Rze+Wxh*z7Nbzo_I5dzknSoO)To5%-e$+|$f_r6#fzJJ z8EoXoxsrXgQ62yyY@k<%xw9_b4^w^eeGGwX>#m@XkQC_*Z(q6jrKd^dm^r%|pv`6Q zrhn{pn13L2f_TBG6xSo6#R68=m?`- zdthh8c1;z3q1lXM?eGL^%d&!390+w zc!bOC0*}PZn#B%JWn;F<+_Ue}*|8$Nwc|Wbf}3#w$`PFq<=1jwbLsNOh{w4A*u2si zUMq5O^NSTj)OWcqhiT`v((%1xfxfNX3<}Kx)&Oki%Q2~vy`7SQv-td6rD3(u^PWcE z&$TXT{Pcq1D7^w$PLivL!^gtI2V2dRe)0^ye|C@GPI;f#Gp37gyw>K%Q(mGJ2R*Zk z9805Kc1?NHJXVuDEsgP#yz-Oe0qxkBj_(B=J#tcutKneCdH9gE z`ngZoA%?7@QQF(OP325q!n8s#W`vxPKUUrYe{r^qtps|$DGEvdi9et!IX=BOu^X% zSCxsP+J>lV2W%m*siym{ng0Lt$PN{9iHn*)byK(^2Pu#th4-h*{F`7_?iblO&REKS znw2S~RE>=*Ot23~{3%vGw+v)a|*J}jblQ`c~avnuU(8Sslr}X9< zwDQ3jBO%Dmoi9E>^ZYTxkn$I4rJ@a6qtuxsp3zl~w7?_pTsid)Y1cxR=2yNr{ zT$E(EQ4Ts767DO*m;#w+drwb5mjd3Zb_rsISncjHdbYKblCGX^^ry{kNqRLY7QAEMb@9j)4^E1|p~5clz7$ygY6Z+)f|>Sg$J zzpRSvCDovwHTWk_^}+8B9+GCd*j6k&v~_jzE(NtFCJ2~=A*m9O!C$wkMo#w3vK-m1 zMk_AkXk=w11@p!%DpiDfqQfNn81RgE7^&4K(xzt7@j!&p2I9H|Oo%l0%@Tqk!GefoJT-1=J3=Eq}!&+Gqgo#2R2E9wj~842l*gIK%!NGT}n<$$6_YX@8@GvwPNqrb!nC zhYs8#7|h<1tGtTdp2`=!v~uN%cY3bNZpS7DDC#C59NMo8kZ>8^NA?B^n70MsqNY?z z+f2UHPpErF9{+JQhDY4D_IR>r7H%Al!HOo^oU*_M^m5qB_=r5z2TXA&sk^%aX~1^o z9mRtpxo9+&tiT#}q999IzqL4*%sr)M?{l-IIua3^jIvz_$W)H?-)>6A#VHi0@hAVw zQA=nY-Hhgtui*6x-Cpb3$bHA~x3UoGw^mF-n4G42NenD{cw+yZNt5}(aO$22Ec-A< zV>(FuuO+R%qmWAP+N{?iMR;_*#hK>I6PJS1*W?F<&w;+XfRyPyJTN>WIg{TqN@L(m`NM-qS&_atG57was#oIcdAC0DGJlfp|`AeVkd(--M zX*LT2T;(4jLiadObrjskUlL-pmQ~Kq@wH|Xj6orYTw|e?^wD%Q28{Xs+3Pvz_mGJ! z07?5m)xEz7@X6IGb8`?Why3Z#S6{&UKl%E4OK%7<8nKzxI&h(+9x+)(j`T!Fymavi z^iOgoTF3G#j9H;DTb(a%UjZ{t01V--l=R2QJZf03xi&v+43t^^B?6pY;&YX)DJQxSZpvN=#P!(vhK6z=a$fyMjn#Oi-@E|Qi)^aRI6jfCE-82Pzxab0w3Q`Z7K_LMuJ zT9kHo{10hbE9Y8Nn6{qd-ad|tNqul;-W7_$KeN5DRRp|ZjjYD6;b_HDAv?h5RXSmn0%HaJDd){B9y0mqY z2GoFLxUpQ01Z(P-Qf`S8`y@ULniuE@PDZP$lm&VvJ@BH*Vdgnz?!6w^ysr!MAxt+) zQZ8E7sW|cq;hgcL&42u9jvrl3zL*nKb+;z|$Y&j_>dZZ% zej6k2r`emw>aCb-#B+gu?GIs;vQ7C{nCwo9h2A=ki4RbjpD(XBcDKfQ(PVnQUklH0)Rp?Twu2H)Ax_&Qb)&;>9?WwsItJ6yqE^3$@ z^$k~doP|So%+q+NAa<)fd7qp7dG3z&AaQtsGge zf&C8Ec*@G}6MX`l&-Y)F!UMKe`tGa#M3}@BuYJfZ1tv4)ZVm6E<9}2804})5(-eew zBhvc}w5^L<4G`Q^oe#3?rCYoyxa_)B?Wdu`uS&q6QwG#3g0e|VZRWUzYzpqjsMJ+@ zh$M$n?347nm|!PAp5hP@d6lM{kVp|Zqarz-!Tvp zP%$(Gy{EGMQ-p1ZgB3#1QR#Rw@99qtA5f(s_=DQ8DhM|Qyd5rIft?U)KnV)2tYz?X z`_AtVj0l<$f(oy)|LTtG&ST&;Du9SCQPop|{b)$$&ag$rSxAE%wv2yJvr&hHUvg4C z2>84^Iot^8;u!A?0i?xFD2%Tt--A2;HF068gLw1kHG!C3tQ00Lp0w~Bd_WBm2v>A; zhQyD*JJ(oc?GhCHp+oprWYF|Vz!q{l9e_#~=mpv0FIMRMnFwKnp+x7{;;;EVakdL$ zrJUEZUqBKQqUjkRszRC(`iU-3%^5AU3Hs7q6v7~Iw9z67fxRJ|hw)>LGZCTjQ=`ni zs5z759=#XlxYo>@MVGd|hf3%fG0@Jr^EwmCtB~CS`ZHHwW%Z?Ti?5_!`P&47QO;s> z6RCaROo_wE;^@;5w+{5Jq*Z2FMFL=yAAH~nf+_?Uc8$`tEcJ}o|o@UCeE8r zM2`Ns<2T9xhd?1EZ7MfJtC8Oc*RH3b*U#FW5~5v|T<5D%B#`!;!ZV5f5UKBq*NL&@l2=px6dVfCL-f?g5ZOz-bZm!yef7r}CWQrsr5yN8e=F zHTix>;{{Krq}3^ZyOHO2w8NmI*GdPQSUz!R6s)7L(hC(rZ3DR-%1rD6PQr3?8YjU$ zIzMt6@(ht%vo_6e6nof}dy-QTDM{cxJEoqUF8TnryRc00-+NMoRdIf}g+lQYca6Tf zfZ7LscBpN+-CzC8CoyM!-^W`D^`1dp1uZx{Y_Y2t^`{^ebT0+&`3bm7`Q3-wc&^qH zty_lHZ*GQQTh(Zo|4qzU79e7g&xYj8u}`UA>KWh{apF_xQCXN|C`L9g> zzd5phtlfB|J%Pd=k1Q%kxo>9P!t;ppHY{yDXt^epCsQ=<2GkAEfxGQjUGomcNmvUI zX08i#C*JuZ3>zgW!5!$PeiLn_R7}0DjWqfdkxXq+c>0_O_L0zj$_5bkews}tT|_>F zdLCWV_Hht?CClLYC|y`x56~XBBIjO-*^-;kM3+zR z612Ki!;ISqOz??NaRNo!a+Z`L1~+R*k^&sClnWvtrXeBXb;#45#j6zEGtjHkS3fSW zVI6Z;3;a9%c1sc_4p))A94nSV^6`*KDZEMXiLdb12h;7_BQnU6D^RN9=e89K;Ye=|UdyX*#(yW(g~0@AWfI6)o@)NC#KMRxs|NXb z@<=B{l=mALLK!o{-`A;Me5C+@c-rR>eikjZ3uWXT%{NA)D(f5uRuKu6eTv-r<2xFv z$Pt^_FP?Q_ym^{RO{rKbbweJh_uLB5xPNkDHKdCK6g8$BnX?HFAFZj$f;9h<9{+Al1{S!3f`KEEcIGE{oD(RV;_ zPjq}S(vRX3kq5l%eJmk$u*mjihkY9=zx;!LaR~qsIGlf;$SMc`+*ox=GbXNeMjzW8 z524rz$FPo#g*s;`+#?D^#_A|5xT_fmo)qsQ!|!m* zz&DHfC4($YqF$D*USCAYx72TLU1O4z?Zgs71`%u(CSEnK=wL=QT{a#fHo;Ml#RNCQ zm?^YY0nr*ZDX42-QVDNTT41Kj5T@u)A(cLyF9vA{kVdEk^t8_J<=sL@o>6$sVQUQ) zp5i)*wGd4o%34|HxdJ!ET61Fu3m1`$^ifkqlxvcg5jM z`Lt|9M64#1OZ=+C%3pn|nxh!v78Q*1k?tz{Sa0en9CIA9s(3u&Ulj5{HhiI7=g*ZD z!+oTng{FxtjUN*vbTz26VQE~FiZ18rXgdQ(xW}~2gQ%i@FptV;fy2ymj}8kJI%=NP zI>bdiEv;xjzo*Ll2Lm@sq~Jn-UOb#NNc-$+teAFtIX(eavxY#{S{K*Jmv`x1wr2HB z6bK9kRH|Wp;hJs1u5TKo)?QS>I|vT(ga^%?Rb349sp;TrebZUVY}2^H=55cKoG4c^ z&wg`oj(0`&4e6A0Y;+XNlDG%-YXrmz`e6OJ1-dwSDvbfp;3Bg_J$_%l=$EhuGzq3n zAYc7w-n&)n=9w#0Vt|;HbL?s7p))y2lOkg;zd+-qvc&!|AruGp6+h*(Dc8b}M^p)e z6D+EHk)hD>qeoPDl@Z1Qv8DnQYi-YTt~eNf(u90HkFt5P(?#uox&a^3wUVs#Ol2h1 z@37CZjK^2Y9`UTWFpDl>4JALEiGdLt=K64N3uL+qvkM?!!$U^xil^etnEZsohUBSqvCQpOm)rl^UVGejP*V@~+s8s7(uLgow?)uIBnNlc*rDW2xz-{*iyh-!d zm%{H;T763;aUI5Og>83{Oi+3$O}gs_Gn-wWX~D(muTWMl2kfm9OwsnHE{ArxpvK;u zSU&WbqL#H2-`w3KJb{HR6h0rWi#B@E*TM2{vfPg8^ceeO5P6g3F+%3s6JvwlegN!1 z=s#T(3^GQ2wZg5`<4B<`dS*;jreK|39@SIQP)psb1IwLji8FhJ@<%WmB49uzJ#t9t zQ|mSIHnGLAXvxluZq3BR$7oDmYa_1sDJ&PtBQv9;H~}JZ$dV42WeH(~>X8#=8ph>! zFsrt|W3YkVZUht52-IeblxLY+i#ASHB{34a53$om$dFpM8lem#&?V`2*1WZ4x9F#$ zoIxl-cqJR0v)B;UXjLl}8+KGZE*> zUj87$YabYCVb{bRu0Z-?!WuBwqlW5RKVki$2FiQ5dB_}LxUchQWjBZ5VI#QuYTYD!_9yj4t^v6itc^6`s0le@~YEjk9XyB z3Ng~Fw&cEw?!ps?iL4$4ztYyPhTh~~eh70cx+XoWl#|{&bc-s88 z>E(ieE1S*Oi7*gmSA_23vHDC)$!pK`iR2oH>^}yN42W*|ayJvcW zZFXYpP;#-E!Rq??nLkm!gA|3m)3s2};b%JPL7Is_SxS$=Ol;u+D~#fe(I%ik2yAt# z#qr6|hUBCrPf4Icy2ohOc@(m`V~p7Y$l7Nn@SM1c(e7qoZ??iYV27t|=o48vrD(ip z7%{%*TaqS}AE7Hn!VHf8Na{J~yoM{-rd5P?s@2~jBS-tyNasN8NwitO(3b6PUctQw z`T9H47&-Y7B$Sfa5~%jFeTGD?RLISx?fp-S)*R`nxW-pq46J>nXvM>~7%ov1>Yv1$ zbC$A?mp~akase^_1U7-+@=O~(!DfO0c2-)d^=0r&W?9=yx$2<|e^PwUV7s_yX71T) zqtyDT-*4oSgD!6jd%%|`QvqF>iM~008Brkp4X9S`1^!+II%`YIH$SjD{_+sRo#HyZ zB(4Q>j0)V|*M464mpH=Xqbke&l}HaM+33r(96`;?-Jl^jeSK4-tX52G(GT5Q=IFNqPb)Y*P7mWnH6&D(+@xk zrWS$pN5r&banui{c7X34XW@?Gh`A$8Zv16wDP(Czy!6X>;4k=Q{elUzBa6FEOJT3( z3UXlH*pQ{2pRwvwFyH_@|KWx`m$lHs+}i8&s(#{cnS7>=My`$6F$9b3gSHlg#vP5* zsUT|@f+G|USP$?W07Z6P^L@mSPZ6hxSP)mPN|eIJQ~sH51Svrz&#NhQpA);IO)gCipMQ$LUwu{ z$XHjxJR$J3uWq;U) zyh1?yB@H>Bg<-N&a5tPfG1hD&3&!Za|HneN3Q+)ZMF`N;U73Ef;U_jw2#Fqn?S*;x zpcuN>+OLYsIz+gBCNY(lOQB6`F_6->}RPz@V)rI5}0=wT98}>D;Wg2^1v9E)`&*3 z8JvQIy3i$k9*=oi4vJER zee3qbyz7b4rnv#bZE9ZFTvv38@lH9-05wJ%$tHr+;n9=i2!<*apNQ8IiO_^yZsizVvX8I~ zyO|@0p7j8wCkM0FdW$B=)TelE`7wbLlue zzw#u`17b*mEnIp6Cj;LD|M*DmqZiaaD>@OW8MU4>{1Mu6+H+1QE{n-)pS-M5%V_Nm z=t#AiIbFRDh%m)GcX$mZh#A%sqv+ISpT?!Foa0yymdy&Q&)teSc>WFK9?}?ionD)0 zB4}^-fkEov`<|nw2fuzncO{8Q*RDyVHPMdhRrG32{p%a8#>}+nJqsHYr?~QAP_Lz@ z!eZ9^X$j=}CK`j_XINF8P)TG09!z@bUVx zslZpi4vi0nGWUpUiNfrz?BWz4kg|cyNutmHMeEQ{$U>XzpXQ-Abmy9ir8TNaFPp0#m!DC<{vqF5}^;V|*Fv+$$13n6N@9 zWX+&ua1dEKGRo*vCZ^}LCYb4@oJl2l-zS%{rOh;^%#@B1NgMIaDQo%k!6i?Um~Dt< zk@Aqu_{}0RY*=E!xC+^%890w24@I2FR6E^35bhbVik4#)ij>bZtDhkN5#3H;oj{(yuknL_o02uWX$BZN@YN8RX-Woau0z0b@^xiG?s~5(OTJq7mcQr?qoi4A((zvC0@b-+px~2dc*J{?(EaG zx4vPlZo{A%t9xUdK&t|qK50KXj^Fzv5~l*^XgjkZxdL5O6ZJa~5&ormF0_5nEnUX zH2W!lg3PAnzAwf3+-VOS-$Tu}^1gXR^xMZJwISl`gbw>k!_t_9?J@jgSZvfpgXady z72jWF;sqzJK;u83zhB~aO#wCqe7ueI1K7*)z)LGjv^ges zKynjvN9q!xcHtc-VRS5j7C z=rs&dus#(81nmW4z*KS=b2Vs^M?OfTjWXG@z@ysGc{mY!l<;cS*TJ z_agMdVOg5u`oOMVt8Xe!_`3W8erGtlfe5Lei<}PFBI^bD4{HZ>lIW=O$Yw^FW`OJo z%e&V^5`Z_`B~+U8QiRl_zEY=~J*5xh^#-Av!IH+TCNmCkSjR*9>rQCDQ?EDLJg0LA zcfsd!V7m@B#`n#`@9y6%WK;OJ7N)QoZP9(C#h-Di>ISjqa24CIIXXmA0M+b$x6!|U z<7=iA3pLi@h}VqJG?F(KALn&~Ks6ybEgricVIr~XT=R$}qA0c~XeHxSq8b3KYIxo{ zn<8+`Z-lFOyO%gC$D)#yV39Z^xo_ryFS6@;VCtql>zDFHs={?VG~#y0sl+LV2(RVH z_gmku%_83T!~eXv_e_w_(}M{sN6M*a(M&!aEMdkWbxGDL915?9gJ_w(S`@iZu|MAL z!BgbG`z0BcHBN`hivZyr#vfIp>I&o=hF{756?+4qeVon~+s3RKpKZTc7G4Mx0NHZK zWYUJRo+hSB+odWE%jc2BnK~K#pi{QDGB~BM|6AY6LiRNeIj#|)&15@)PMrUbb7z2< zY`KUWq7Gq^KIigDdP$(<`V>!Z7{MK~aA^k$DC7aa-5>%L5*+12^$}Pk_&3F^iVs#@ zs%xH>K=)VoATxq`Uc!K9F8~1BW#0TY=E9gRbBV-lQhNQW`z1^+3b?pq;Zsbs+CzLe z(D#&{$^EoSpV&wu;9KHMhbrS;c=1a^SZ8k}&_(zZ{V@xcy2;_d^PP;RO#%Jahbd3A z<3~ve_ioCo0iVtoCdhD(!V+yGRZ3TBb=ETxDKrzC=X2=-L(Elnnwb{8`K{HxXf*$& z+*c%DxL#;u;oM2`-^>(N{;%v(d_wkFD+2s}pNFEl@VAMIdm^x8`X3 z5v)21{nQ-q$k)hu@4|O;Hc`=HOq%iO*(Nu7W+u79;WXxREbN{(`ELs)&S){kr0`GP zk#eTRXvW9G`2>yKvc~if^BkCUMf!t^SAica$-Jug;r#-ev_1GJL$KKGTv{L@A=w}Y zWvk@+TWFTLwpn0=!5`@Xj)v6mZhjHh5rE1TPr=vH-HyYGFH4k*HTX(No-q zg-4FbcMsn132rzOFaiOV=>>n3}IB zcXe3)E(}S5SZThQgW2!2`k~2yS_qg|JIou=GV^f~BgX6${^5fG0k>>p>Ib`MDM0s# zihN26R8l}{Ykj$j`wGAY1cN49ZR{e<-fN`^8*4V)g9w%@ZmJf#!42x+6dT-#aY@x- z{pqAqx3RKRqz4F5SSZwGu1U_1!VtMcQ&K_fayf51u2OzC0Jhf@Hgzs#Z_WY^u?Ja} zZZO4O3)*LKf zl)oUF*1u3d+9pXYPdBI=-#wj#bigVjAhu?$q_?%X$#i!8oVbo;F_VXVh@n&B<%N$4ES0^(sgU7AS8ozG?eIwN|7G)xK>Jh48-cy-QTH+#G? z6@nmc&%uq-`Jf&%r_99TFYj9@mFJ zR${hqm=@yI7|QdNO9Uh+6IFof(FYPO1M#IYNmZm`H#IA^210iG^r`!4u+6Evc{wU;g{?5F-BS)yrJKxB3GV4 zy`QL%TX1cjl!PQ=7a^2>y+9Xwc`XK6l&)Df&XW!C-XHDVc6MWJ6Asm@e~Xc{$09#0 z$6o@`dk1VS5f;4#Mc9>eH|~Md6|~x^r3U3aY8s>~DT#x*@QS}bKtSsS1ZHEqh+9H4 zct%E|53tcoV=95X-6BkjtBhlRs5^_sWQ?8&GG5Sal&FQ(;aVTY4qF#CD_Zjuhx3s@ zSM;vL^bzGxi&Xh;c?v6xwOgdTKUzVZJPT}$DILMXhP9A7Hr=ZghF?Q2S0MUi*sY9$ z!U3*3RD2LoJ;B921mkCs+~%`ORC>y6AkfN?8}~*_tz~l`eH{+ncAV|49^uU8q}1m{ z&&D2mp^m?JR>US?DX#fY-ZypS{w8wxuS)qM5H(YrEtN+;jFt9I0BT-aGS~u2US!LA zT%e3lxwLC5aEQK1P}|>2iiFHzYq~%I-MV)a`_jOl{XR7rg4W0>ob?AFGlPO;P@j&# zZjM#ZwsZ}wO0Y%KYw*^H9}VE`3-#k-ABKrkF-7aaR4dn!FoB<5nynftUyELT`z(&ZH*!;m1b0NsY2&b8{wA zVaJF%O8BR&_gH9iT~H)B@sB-=J%w6Wd9z3|hoVL3YbT zCA}a_*bN787`hb#dMourgGu^rmLO;U)MYA1Rocy@F7`3cPNDHJLx(nLPL5kRcoMS7 z<=%*hrI=Mn;KtuD$}T77r&j<4(o{QSrrqxh7lR^+w$J_jb|;_bU7p9UV_8s7G}zY( zzmF7}MQo4K((r&I_i6C)GOr*cvXxm7Ia8`Q;W%q{R(rj^xiiY{?Z2aHj~uDO-5R!Z zt031|uYZEXRVb%A>_tHxQZ&iMnPg_#vPNuk)32CN|E_+Uqh4`LXlg^i5_7Ytki4&rc;aXGUtPk(PIJE|-KaU_-6`f`DS z7bFLubK(%*-!xS=v3_C;LTmN03YjlqRr3SW>}rY=SltYrM-Wrqw$K`&Wo6Ral)@*2 zZBh&TKT;B%{?|D5Nd@wF?jQ+}xp{FdqCkMCV)*^=r_SBQ>Hpy3UV$k?Da6ve$M-L~ zobOi~08<_8C&S&~Q$Y6QE{EQFhHddSDCk>X1*R4--F(8pzw%?dlZp=*L&SsFtBw3jZg+IrI1leO+NwjL& zVM`%J-HUxSpoE=)-Wa%<^uT$#kATtJBi21D5+vz7xP3Q}`x^#!ZoNo{;--xrK|Ztn zKs8Y2Jo1KAl2@PLK)+_6-kN1R@wE2aPmGaNr< zH}i6^cMbN}k_5QBOq?>|ov1(DNwT+aD%MbF#Ky;}FjucAvQl=)3WeHheZW`-JHIz5 z0qoUz4$J(eM3=!jhy$k03%bLr3chxet=RkAbw?%yti_l;-its@+v!1BgE@yrS1Gj1MOz$%I~x7Td*lHIX8%|z>(~`K1gwH78;bap13cGGzYf@MCz;38HE$eSOe503*Q`p!Pn66Cq7y0!0 zEmv3??B*B`DrbTeD9%`w(>H>YDu!MXECaai%tEjT{kGBsYrN%c%B*wqc4zB*&GUK> zNWGl_j)bWvp;^zFmR6u~ig1Hx?=M{@@e=YILT^v*ZDSA&YGQFzY1UXqg;Ln)+PJkc zYg9k22u6TuyK?s{DQKtkS>0vG2+Tij=W4mMFUtC|dqa=vu}}LoE|xY3Oi-d&Xo=i@ zu>wQUz;JFtqrCFj$Gd5RN0KsY`;}>&iUsXS!u`%XB)#3t+f@ZQacxH z3rV1;o#_A6-%*gpw$7^)d@L7_%S*}=dGN!>niJqkZ(wj%th;%L<=POm_9W7Qi6m-y z%2bmrm8B>A2=&Vy<(CeQbYhwwk!zJ0dIgEy!tdqri-x{`K&Fo7E}=|eLhvNkcu$Wo z>>kAVkST6yt+(LB7NKRqLXrGhgn9Y&08i7*U4OLYUwOKnPfl2~S;ia$D(SEG7Sf;C z>qCy|0Q@TfLNt@ue>)diC7Se;gq8s(C|EzMsfJSCMnYA}$P4e?G1^mgp3?xYUVlLw zInwwBW zWQNDU&cuR8tMvcRrH!5Rjr5)L@pyO~og9qyts$kIoWW(W5@eJ?Sl9>0xgMbBtx#b+(M9U< zRnM(DxsZz4+1L&&rGiGzf}l8xu8^o(lzBT%k^IIQNuTKXe>lVaqRdJbuhYerc5yC_ z#ixq?YiFJAjGbb`Z>U}rpIs7%Q6)8|QCuvF+cc0=Xlw*^vs_=Pb0g<6?0Y8}cTH;B(d!~0IMw@#5WAZL#%BqBl`J*(rlaf+af2rN z+p0NxGus}%!F8#s>K)%a_V8~>9ZO=42nY+Dl=kz?bKP9r5as=(%iQHGD9mT5p?clC zCy3MsPHSetOYRs4i}N@>nk3AUvSZneCTx>LTi`3UNU;$M;OPy3-AD|SMDpa@(cr5H z9aJ%Q|`l7NDmNxLe2W}Tq2Vj(krC!}8-441oAGZ*u0G&)zLm>q59RR`X`;~e!MEdL zpT+zhB*st~{0i}j7ymvgnA2R{WUd?}!4f89A3UITRP>p@``C+r56q)si51G>ed1*N z-EKn~AYlBs&9mdlMQJ2$$Q=p3&`x~fp0yuEhxn+F(jn37)Cwr0+m~@F&1#%H&!&AZ zAd6SLR1kaA?{UVq*#+?|hmCXJ6ljYu1IP=)Nby2Zf1JAwB^pH~Z$nP_ig+DQHOq2~ z67kswa)*^fyxIWsPpb`=?UPji4*}D##_9v?(%IOCM?QC}By*<8uzrQ8QWNt?a*obi zQ~8Qi2ia6|kNb+3E@1+Dc|C0!@-!c)NnOqP~GvaCD zy?OH^R486(XsvM^p>6>In#JW1E9&)mo5r9|I}$-2K*=0_2pRtDY8NN5>D+oda8P+- z2~9@$KJ&->0D8oj8Q&|iw33?Tl14d9h(Ot0pMfLKeL(F+vo71e9ISOHdp+I!`-U=m z#Ut%!Mc;>I=5@hSbToelrMlVdo*3T_(rd&G&cJW-W{tP$+>$r_JG6`aMIE^1zJUpl zP_Ak1X83#uz&uVDQy!l=&_8~*T?k5e1U!>`rq-mRu#WQcvL3x~FYw+eSIZ0R1i$LI16wMA@CeEWmx;z$a%6!#A-ZigZcXL{H&ahKqn z<3Q;9rS4c2iW;Ogo$K0=om$i9CNHXgnb07s_>Vs#h$+I0;FED#DwsGG}m_*42-)NzdtxjHJRSFbwLV)qo%yU?R z&j1c|GjlMr)m23F3JOB;nz_L4>`fpSt1F)oId7-hGCq9N_nH!@eG|WVV;m18z2n2< zST?VK@QX`kHIljnPH^{d2)17RPGhKqqXJxeMwxd3Q2=&<{pG3-v1@pZZhVr37|ujYsqD#Onwa*ct&j?i1~3rqJ8Dk7;_b zcvm#tYt~o&nDwlJpRUtQX*3VDD)o&4SP)?r(_-u=l@|@4>7`41D(5IzepVsw$0@N! z`8#R>cQ3-0No0vb)=U#)kYm)Hf$i)k26|;aE7#M;!%o+zzqz=)C~1|w!{-oZ(PA_D z5CK3`-cJ)-%oMjlu~j1x3>TVgTFnT(icGD3AJ-hF`Q>Hw0hEe}LRae~Ra#0hPt&|7sS7Y{rii>$^eSbkbz4pEu3D=Qx~h{ymZ=~V_M;y zpop$$^6Q3!9ZlITqYesOkfevE8@AwT>Ffb1A)}8$B}tZ?wo2hj9V))g`#}l0HPZF2 z;t_y#(`ZLiR;Rz#zP0o>gfFGR4pFdouL%)ad7Nht(8x}t4V0Cz&DQeCiXWX&o;knc zE|Lv54c&7Zhs0Z!>v(^QibWJ4VrszS%>OJGAqMKD#{~oyq`Nrb4c)e~!c)g}c2=3^ zo8oZ2^!h`}ihdL|KE5ijt41LZ>n5Y5t6}c1d0x?kA9=)bE$tWd80BWNvdlJqLNtA0 zGhDx8&bt>DhZiOw<^BoO8_=t+|B-1>k&HV)~ zg?%A<*%DxYw%#A!;InU>o2|{3GFk=`=9HZtgiG7adGAE^wh`x&XPu`+WSk@}m}*jX z^2S;Mv&67F*_efN**Ze~wO!!5mhFk_l|XLf_!Lzxl9(T+^h5K#Q*|oY_{~UG=zUco zu%!onXSPzO{>uf$h%oElCg}U&#B?-2A&9x!VQ|VCA;0Nc%S{zpU)@Sngp*q&kW^is;66 zm{(E984xJ+=ya%;j~-y6Simk2)4&U2>7;WWC?YD)%9SF0`K@UVRPk_cWssBRhV z+XjR_WFRz)`9U+&Zfyo^Ny3F=5W$X%f$tk$?+DH0wE0W`M}J;9u9&FrcS9iY?0>23 zouk1@D!6HS=a_n?rvKj@*&4=hef2G89GzCct$>4;PfCt}VM?j6i|IA}lJps$ z!`d2%9JIRA7+oA{>vwYokjOIP>Wdd=!h=qp?BKM(81x9j%1qm!~AHe0g% z7?sfmJAJCZDN}-pcZkn+@&~L9Rc|+5)yu9wawPf2nPqcCQCNwAXGSgN9p3P>Z1X4~ zEK|{dh(c2O*T+?ORx)a3Q0^(0kz&GqB3ucvt`)87P3xR_*%)dIm(WlLNu}37E8pqx z*a`wdAQ8vDaudRd>0=W2_Ct3xPzh(v0^Fgy@1*>uIEr!;H4#%`1Rj^kQ0elfwenyt zwVm}xPBv#7oW8mtxcVp4w+JKqw`9I}!ZcD>s)a54yGv!0!hSmDP`;%3_YI~M^4&-AALwiJeWTDxe)N+h+I$tl`%5FJ zJoG7v=1xF$EJKPTrE}`;K&A5w&?o(AGaIhU8Df3YxaCxT;Ul8pzl0%z2Kq!T%J+xQ z(dsd_+1#jyfH*Dl0G4KWXib^`co$)!RK7AjeuN#W*(I1YO@`-7=W%sq^Q6X`v`G3& zDkw|45)~6s7!O*t|2h*pRb~xF(KQ1Cg$l#*0bXDj5_dN#bM?;1h^>78so)##L|6sv zU~Gz(=zdSu-kB{^R&jogaa6L_lS0tS3A@Tb*rT5D2cpVRyuTrlRmwiC!(R7v>l+ue zTT4kANYw*ifIuw|X$}*;Emq$&n{+F7LAkMHK}om;v8oa`hOZ!LAbJm}*3i!T9wNw4^BF1@|z0+U*O=7;e)}rxG-cBySP65oG zy+h7=Cy*Pg+`XZDWDg*+dRk(suYg1C526y1U&}3-`Ye=b!A+&K(>HdmRYZx z&fbab(p*KqE|cK$mOI!~O%qFix)h57mw9@Y@?ltzs(pSxSt{@oR261uaQMzRbQEH8 z>!d$h?h3{p70Qn5uzFXOu61DP^MStM&s~|RD8s-x!%T~TH4;S)g)S{t>Y%LduLqbt zigB^jgdGg|s)>y1cub#05Jdz74i$8WAuumED6{bJMrWKhMxIk6m;#ae5HexNx%Ac> zL4A+0;M5Xta5w)RuZysScekeeerp`V=cb&N5dmWIk9LM~_-oxa1p|0>M>NFmj0hFP z$!6IRTzsDbzGdDXeb~^#QTTn9;d$p-BS>gvcV1w*vjtCF9d(97} zeYv{k95H4Xph>&2IO3UK$#+5ExT#kMr3|{UQFAP8eMVoSWA>yIV4nciSgyn{=S1vLW;=O^qr~Ngi-Jjt6p^k|u!$&19j3vgQjK1t7Nj!&a_A zG#E2mWg~1;KMEy4BDJiF&P3`i$c$^AvU2R%6&0d-lj$gOY)TdE87%TN?;u`nN=C4#V80VHg;-&@2V=22JVntX>&`A7eJS)Cp$HvJ^!*4e= zqgVv4<;)SVUGhIFGHmb8Rx;L;OPArmaIGf0Ejp2mltV0w#Tb!~N(WlgA^z>M-*rrvp#t*vMHaE%Hwyr3-NzPQ_KJ&z1& zm7(oYy;A>1O+kr=(MWwlx|@x@YOIBrjdvhkM8L4JkOhz8BD}eNjXtw3cLJ;lw3|~q z2||;Mesvk&Pe3 zZ*@#kWU1tdWhcETq&Jwt|HFaoth-BIB4wRD%dFq?o=*mtFy3Zg5`1sNLZR_Ud3x3b zq(KuL4Z-G!N<2E#cV=yIHTOdkje6# zO23@hVj4KpaN{VuapTHBisrDZ+EhRX)(26}Q>fSz0nGQ(T3FO4^-0{;hlV0})WENk zzVN4W+E$1*5k7gW)hjCQk-*1%1P_3(n{%a(i=oz0#A0XigegekU^ej+sQlh?7BBxBuw_)wV6gtKgXrVt^BF5SA^+>RkRqYbZUQrM*m+T0j(A`)%Pq$fsyL6-WVT0OV!?KDPAU>ODk;1A!W8zhBsfjr8AT z_pgLf8_1WH=OYrT(qQt_VL>46R*2Z@Hbf^cP{gJY7igX+)_@ZZQZYW&NIkQe@yv#` zHZ^qi7H|i|;@+ZOnM>MBA&P0P6RD6k!7!ebRVo1L^9;5l>)x%}Hw+UkRZo z86!vGZ#hkeV6b^{gi%4$0__JpWfD$BSQO}75Fb!*4jIw<==@dNE7EQhO{jt^^-vRb zx56@W{eUg)(r?If5zJsrAgj5li}{I35AQ!7-oCjsEQbWP7O*UP*u9$* z2<_W!|>2G#(maraNi3n~Z#Hm-r=>{GKS{+n3O*LU6wKxJ?cwbsUa4!$4ox6fT z9}PRqmSu%c3pS8lOn67O2dfLtc~=G{}M$ z$RB*334sg$Zp(T=*37wLV%JbNY=H4RilzSHQai!MSTEF8O{j)Eb}r@8$$%5sS%F_N z>4}Ps@F>#N`KFBwzj{ugKp;#NfNxhra&YNsr6$)fV-+1yIEd?g#L-FHpJ|A`x;jxb z`~iH4BtQdzFqdwa3I@N|XDSKygm!n#-p1O3?~=#K2;&`#Nt&X1Mm7_YvCHH!VESq_ z8&wbX-c|b0WFbR#6qe2q>&~AVnB{NS>F(p7D_RJ!Z)6T7=VguY*vUE1z~q8C$PcVy zzFTY+(EX20&+xxFvTB?1{wi;y7@4LcGrEuLr7`jIFbs#s6QqS8L-IZ!3-a`iquC39 zXk}T098)zhQ<)Eg#&Pi=*EmHD;vXLuc$JcBLLNF%4a&?!(ah`M)T759@@dHWWjF7j za_7B)F3^``Qo2>F9FvdX+Z4H`{OpF6rtEJd1Sh0Of`6%FqI4osoA_6*W?wpht<^2M z=J>c+_5~iB0DT`HGnAMc_3>6-whH^2Z>J^JPD^c7NL5C_>;x$(ra8aDNjE@(F0!;P z>~`9mX#LcpL#j~iMB!@~3a|69B)XTFH&g&yetlA8k58(uT=S=K_m`)qTMd`7-vm8*}euTyQW#)QK>m+;yboM?KE{S0YI z%TL>^_mGDkqXr)BP>}z9Zw0mTF?QO?Jd51c6?0B2WZvY2q&I<+~ z@BT`1p32P*1J%n-4hDm>O=l~Ps09aA@pt$R=azqc>2vf`NqBMF{AOs`DEhJ?O!wgFz(t11U>R<}nI`KA8D( z`;UchehjW~THXE;Mrww3uUG(ne?oDgW`I^$@&QgIBX>1EpD@?kTX$Ml3i^>;dyy+H zq6SE(X01r9=n>G!VG$z?Kv4RS*LIy;@OI_Kzxm{v-b~cP%EM;^N1EQ2^#wi{2IWzuh5YgmeO>p zRk7+uj!3$D7Z8*pSFR{RtSrP2yML3Dr(Nmz!s{E@L?%fJLg4`zWzLEpxTlTm(G@Qxr{}N()Rm=>Ai~4>&9oSfS?hY6 zb{MFGN_}2m6F|%Kr`ITO(J=BBXYN*S0wU6_n~{W(MMO|VS-SO zBAZ7PsFbz4NYF<>&|`l@=e{Wkygruk)Nx=ysDBw3!M9wvXYnLFlQjF1&N4xYz{ zf3hBSm0HY=LOF?JB#>beheGYBqtP!qxp)-%yHh{;-+y3{)aX<&zSVK&G5J;)^TJ30iKo2)%4Kw}ez{OOB9Kp1=k5T|Wb0YXyg*_+ z$gI7vl}8*pC_kL!)#_j9vug?;ujapIq1sam`0y{|Nz1kVXUIZq$AUT0M+?VnFAQZ( zh^9&`WL9=M(t?ZgAh<-6$avO&l-e&ka`?U21B7(8Y&Wx?;jo23FAt;(l9_&a{LePU zj<|0|IJBzWW7F4ryH}qAwjl0D=qh3$sA`R<*UWHezg%u5njhK-tne4XZ;e*1U@2+9 zCaeBTBNQ3WeOh_n%kdT<#okU!vU*MC#N_I7-rSOGX<9f$ zw&x9$BJ*{BNv6z2Cu*}-v)-}aLjr3u#BK*XNm#63Ws5vnAqg3tBVQlw>|#PUocKi1 z92GxN0;F2i21I06n(NZCEkSTCdIDVd8*cp?3ZyfG7IV*j6di7tHv9vC!i+avCzrIw z!6jP{keY`mZhTSyjTPN;XD#j|DpL=rZ^XwNeFJ8IF)_1Jig|0rU$sOa)Ln%lFTu$N z-tiE+k;G&y`N_5;!O>C!gqFe0!K%il3n0J7oG`B%@TQwPm%dl?a1WuY)h}w;1%06a zPAh4uXpE1YLT_KecBB6;WZ4|K@5;mf)TrQ5UBlu~Xiul1ww`W1Blbe-{HtSA2I!&( zOg>pbZhhzKPtTitVcEplHQ`aHQ%A+Mi+}rTsm(W(Izu6hu|HU`I7(23J+7{5MS{oY zlz8~D65LVu>91_XI^tkmbh>ljfG|e~jPDs)_tRWhWKcDR?*{Cg{r>9;Lm-=Mc!cxC z0$py^m2s6&ryNqHr^<*K<4d7-N_U8sRHF=}bQ=LMf!=1Oyd3C~&pfZ>(LFd93!wE9At^%X8^V);S+cOrFJ+9PAXq!nb(D2C(UFk?Lc({HdMJ zn|9j!RkwjMT*@N67@Gjd;-@(Kqlp+E2uLcuZFH%uqWKwvt_C^9BwGB+U>ZO@(C@|n zKr)w=GGMnq{GvXU87CN3(s0fy$S4P%JjQR1%A@n$?VU>7E(Goik~Dy^oj$H;VRACu zqnM69fmlqR-i%x4#{7eEa}sBA`A>mn)0fvCvwE(PzF$Qt0&p!~>cn<1(_AJ8<KQn@dm3XbbR26_sQs>eJ zDSEPo_W4XQ84Q$}@{>M^AJQeTctTW^3Q-MpDwyZ4pS}`$EDlvQ*{%}}JgQw&FRFp> zK|89QdIJ5Um&+L2&R}X-7UlCEB7>9mO$2t*s*}a1gK;)Qq{A3+4V3N;TgQ=rKO^5@%}ERR&08vG~3!C_3qE{d&#_}-;0E2V52E<@Eb4Tr zq(DxRW^#act142X4GK%1kQmurcpyeNglpr-KVe#DJEp)|mNCzo4B`?Dq-qLH@E_UT zdiW2scb>nYFjMl%vhap!z02)WjJ;0Lgp#Oz%T5X(;UX!GXJz0B{=2Fu? zu<9-oEx55qh{D|r?>8=e=6KGI#_byfN5^O@As#<6<3E0i_|~IRLwA&VcZJ93ttSvu zMJ__SpXb&GQlE0g^pZlM;acbJ14TpzjNi@3@R;BKyaWHP3BFEXF@8;#STbNtVVhpD zkw^!fMNp5$wE+ax$&jKpDI_M^Rz3YKZV>$HA z!M$a`YqL~k+NaeIy+{F<16Ctu*sa1=Kom!C&Vi?Ww@=3d-y;!JYfxO1F5h}<`{9il z69>Q`LnHaPpOjGS!dmG)!9#j$c3gTIUbbamMLYdqJvSUkJhV{s#AAHyp}9eX>7^he`|9nL>gPKr5=p< z-Q{D0#2EsTGt5(MBWsU*c)b)5@D~ALTURP!rP>hPmw{MTUYweqAU>Mg@9kti1HMHD zb*VkS6Ij24mEKXG-pCod{y9L)S)$QWw%$J((^m=SF?pVc$F%!)8`xK=7jB1iB~sPAYG0@=j0qs>0r`Xk+1U~hjdMUgW*hhn>zaE=8zX71W^=A2gb|?-rCldrkD4ipN6zBWc9hzNWAKv-toTFtV$92wJ(dOtIDK3J>$8Oo4np&x)CzR>~Z{faiH zPSrmv2%uQK&^PPVA8U3s=4e+aUT5RMwV3x`cdf3Luc{wJ81{Mnt{GQ9Oplh83kr1@ zzvP?x_`ONk1ZG+wdrEv`cJQRM3c{sTIyteyixzrQlTRZ}Qab{akC$ahXmyeq^yl(+ zxTyodu#oBk2>zX7+*KUm9lH!|rXKU;JIgvQV1*h7xP)GN^M--$Hmi?*;aqk!)xccz z5Jx~X(ry5LWzHHMc=@rn7iH1SO$;?c>|;j1CGl zXxH(W(#wl#AYBaCfDqpSOIUYG_f8V{RhU}-V0wdUds5RpqnFl_4LF?ZT#QA{5f4C% zTFEVWK-3woT{SHiN`vjbw!KO4vB&E6QJ{$|zRxV6q)pPDq@9m~w2|(Uq^#!Q_|91% z_@P&&=?ucE`Bh@W*V2|_B)ZWKHPY(9;|(sfI835;%cIt(?R5hi^8UC6te$OS^*%0# zdpiv50YPggVFkz{w-$p|FwotsXQSR1Fm4<%)9BhhO{gjh+Q=N)aPOAUip5>C4fN!G z%Np|M$v0^-1K0Z;s*Uj!N4pEq?nS=(!ry+@bzRftU9z(X^b&FBzl0vWs`qU{7%~x8 zGGQu?XmomnWfuI$(G&_6-~v`;L$y##>CEDy$3_8Se0)4)ooKf?>4iD2Q;riC%_^xz zW{PQ2nhnL2wj&OTv}1Z^CkJn9WPO?%^h{M5ZP#qpa@0d8HwrrhNhM zjTG@h#crgY3q?cC_)L0ekQ&EYEW`3}M1ioyN&Cax9nXR3nj*wesc#PTO z=y?tv=uD}~uqDyeENX+0Uwu^)i<3wR=vx)S(m<7e(v^IoBxZ+-{n4*3pI;9u>N$rr zP?l|Wk}1JMEs+;3>NO+oaAM$ZOFy6yIkLQiG_vUN7VcmD5$YEAotOGmr1MX7n{mW$%s=@g-af+em|*Hp+Iy15-;7xPgX zwgZODxpRyxiEYodpRrN=_KPv>+(>zivpk8P+wpknV0E_>LGASF{@Ez|KXZq`Wh!z* zOhY&_I802T%L~J3Jz(kMAR{wv86p^5OEJ)by?m#n5(WhR)CHYkmUC+>MfpEB5NwaLA{}DcGS39IIT{ z{ub$^;QFD&+=GDL5eA@>e`GlK!wbDgjPkMh!VU5JyUu#s65#{kF2q)aMs}9_3CbzM zGU#7Zc7K#Bqk-RGmwd7$s94`S;pbK|;a$%0fXEKH+pX)15=q_chVQGB_H#MdrYUWI zVuZGRcGR-J4EYIy^u~?R8{tJW6yu1^NWWCBl~`g!r;$DjAJGgcLXaM!a4f_pf^)N; z$5F=o)8eB-nODv5!L+K>H8JmJRBEV~oDE*fd7S?TwA~@dh2XGIHyMkUtNih^AO%Z@ zyX0-oJ?qeY42@1YGKJiKHTXU?i|Qgg_B%Qpj#-$}ezoEloA0aV0$kT`<2Gt*jB4*<@qP(%V?Crc^-Sg1q@(}B{~h^T%Yy_e=3RS{7NSl;(o&5o@?KH1k&ms zG|FY4b283k_|;+O*!Qj6VXmZHy*a^IP; zl5$?VSTl}QCc+)Z8g8KK1`1NE zp(4961EH0leEKTIU=ZJA;W+x&!kl`tRZMoutlS0{JtV;lGGCe>R23#a#b?ZNa^8MK zVEDROZ2%}jcGQDXzDr6wVX5<`oWsv9=RI-WY51th>>QVfA!tb=zP{iZu@q4YNfIJ* z(!{w~BH2S%a~K$P3-SeKN3Cxw2V^skME|Co`=_2hW@+!Os01EQm5mru%ET3t>b#k9 zu67dr6}j4%Re!%?mMcxM6Gchi=mw#trK7K#Sc=&#=`m4>8QucMv%038IB(ZtWr`x5@)nszuwls~CsTc?uMPrt)gDPX3f*N5$ zUjU^9r1Y0*v9i`VS64}$jja6Ty80m#9^0zS`+R~P#V?Sy^qs+!j)?R}5uv>F^3Z9p z)8~IF;@X$eT!^(BLL5l`F@r#IcRw`cZlXF4;Aq;RnOsxO(Rdw3;Vp4t&o3u;hpgCs zC1c3(=(2gYAD$MR*Jjt$;;xf}V{b6bZT7(YuT1~{^T?_ltX6BH{$USQm$y?#ZOd=5 z%5NAZFj9|sN69h;?iWG@EFA*U$}Cx@GE-)}GVfUDDH^)wPMYaSrWf^s+OtiZxK#;RB!1swWc{>MXdXvV%q?Ly~F;BTYiz7 zET?VNhn=w6)vQjfE2CD5Agw8#c^9z`ny(HpLf>x2(q`P`ViS<-d7Yl`M7I?GWUAtP zc<^jfyO8oH^yf)Pyqc>vHO*9Pg7wx23b7x5aZ?)^4H!;5*GpmwJK89#jXfQZm>*?O zxEB9X=kmZMfSZfYGK0QqZ}4L`_c)s1aslBjyVs$)^g?caL~bujYE2pZ8V+dGE?Id( zR1%9{!P@6e>$#R3%)S%W!BxAurxIX$-n+F?^srXl`MEw{BHV@DGbB~uLF#3Eh@LjS z2>tGWo6Ko@#cJInR-G4<^lmR<_Z62)Ud9QZIPe3u znMI0nV9OmVze+onlIWVVnugy3N{vF`Q8tNFMDCkJ)n&xAHM<{)!l~=k$c>Ijb%@qZ z9HqDX_ZBC3NFW(3(7In@qN#&PVfIt-8!dma%k+kp{43=e2GYOwB8*ERY&w-$CWdMJ zM~Kd0J`bjvt4oJuifFxuG$RKH{3zfQtw4D$1MpsUu;H4qc$O6&{Loxv`RF&ztoOT& z%k7ol*(PJyk+wyrvN?Rnm@tm^kFu||;;@SNxzHn?44^-haw^M8Kg791`LJ2!43HrG zPl;-M3R%(hn%&vU26mEg9m4o*sDDziRdlepp;+`_jhOY2iE;`6f1&A*-u|ArGJ6u)ubHm>iS&6w zmN>W|FM550I=WTQYMIZHM9cqXd5I9AJ%44C!tUlo2S6JK9!uznOhKkxEBXN=y>onK z@=BE#+SEva2DD~eFSUgRQuJ$jjQ4ZqnMmP>ejM7*=B6)&%+C4!6if*LzuFV$dZBXmtRr-0FhQs%oz?G*whj72zWQ^6US@$V)LrI9S z9@T1}?KhjyW>#}o0oPlI{{;_ZVzl{h&@7za z?4!?so|-3gLV@X%NTLWrQmf8g(4g7ysxG3mj<(QQ;i{ZBJeAb7u?AOx_q0XG%}Q=T z1UOnueNBbG!K{W5i)EpToL`}AY#k8e{l@ebwBb)C!r@Z5G}wWA5SS&+A1wr& z2zHC((W3>xp++Z}Uxn|qW9 zoYKYr(!rjMst+W^N13^)`{gzeCv_N>54c@L_+8ku%=0C9bIE9u_$VcSAK~+ZmVRen z!xtM%(ea2nwB_<jrrQKC@2nqwRiiHM3;KhIa&sF<4>A>_KIR2*dx72bK;m#;kuo ztukU%vBW{&lLAoY=s*%xrbrLIfd?WR8DapNvyRH8e^j;o=0qdjOp$*m6BU_nq&sP^ zzbEZ>lL!%|#%OG=j_IKh3dEJ6KlGpnfe8;aw06Urozl!qQI)pX;+s6R*DsKfue-Ca zlL2$z$$A~+Q`-v=n9H*!WseVEgN^lyeb)Sk!eajs$M{QmVQKe8i+%tJuSh;>cwRS= z>;R}W2-$?i*?u?I=OvbbCO+PVp4%M-?iETExtIhC%nf-^fDvJ(D|raoH={7k^;gq7Z7(DJSXS}4TJE)F@1fg|?)ZBIyz4c+9Ws;TT8&))XIGEE00=Rl zi(Y)2k-_snc8fY+^2xgmp$1MIZ-YO=h3we1gJ+|gVyS0Nt>b*L&m1MkA7#ni`UXDNS{d4^u$JBEJYIM8a_xK+ zzyi1yd+;{Y#~oMH#_^&->mA2Luu%VNIn zZM*x?nJ4D8IA3txk=ZPIDnz`Cn(oh@NAb-1CJJA8`zXX}D>2n!Qw+-pKM(6thJ&_j z!{t|BT-j--01^!%#RP;HmN6^pb392`4`WX{L|LDV4TG;sh=AqeJ9+cxuz3+hGE&5QBZ z$}TID>MJLdBYJg?!zuD9&UpZ5i+oGrrC7(?6uUk^?{k_rv{>|@HKLcsBX0b*g^e(S z9e|HsGtt4G@W_Yj1#lpe9nfgWj>vOV5AxpgGuesqq!=#RG4($xb0N!{2E<@S?zm&6 zcm5(h=5dD2sSLJ^6}NeBuW1!JGd%-7V~%wBYKKq>Dk%2~QjgR=TO@Uv~<@B3ew{{QEZ{qAOt+gQ~>0dMJacHgB;BT^!Ao?s0Jde0oz z{nJCrO}O`K2yeTP$>5+#ZKOA^uQt|+ByQQ4--9QwG0NN_C`-b z{$Ny2w->wgg$1R=iyP+rVCrUC^+*F;sV&)cSt2KCg*zyC7RdxdX6ZX$4+#G!bsV3OkhzeJr0Txz_G?FUsl4g<*}IJ3Z!ETvp=5pegjmj(VGtRsOCHU_UZ5 zeH{FWx^{+y;gr)ZI_j=ZPD;%@1u+7jD=MFM(^Tb85h5Sy2(kgCIDL2%i1dk`!T`z* zM*|p;;wqFq9CKfA4JxOT^HW;cfB;!G>cPqv9pOu}HXD+?hBqT^?=4KOwn~>de(hcZ{;LLiIi|;1NP7IqmNKsWvzWCj&3Hpi4LeIBx~k^cM@r{em~X%&SE zjQcD7Wn}wGU5TTC%ona*sJ#?1jf7nn(8UPK#nIk>23>nQrIl;~8?l$3I_EtSY!Pd&_hW9^z6oNgT zYFe<*>Nu6a%s0W{!zWR|V*Ku$!(IqhgbSVxAI(}{y|LIgj%F(tzo~q+RCmiKG?@2L;A87LdE8!U%xa zPK4)W@v8QPlfV4H$B$vhQ(l2@J0F}jiNNEnM9Zw6B{g6r0s{7^*JAP~XbE`wcg|Av zHt4t50q(f22?h~?u>`7v2B2-m#L7pp^XFT;WXdlan8GVk;Tin2l0pq=HA_+RIZk$6 zw4))__?1+_8icrvW_OYO1e{lvd|EXQ(Q8;kD%f<71q;pDhXNzVgpSrtiwmA`7YK~d zM~wg0OESAIy3w{mWvd_g!Q-+efTW!KRY=&npL15T8QcKm!<<8zv76j}hTR@~P#ZR9 znnE9(awJDe@3-&0M}`sG=SzCK-dZI?F<(k+y(u9<>-l5+^}~nOxHgx0D%^cv)THlU zho(!Id-e$y849Kq;|pd7$ab@@#1vm_n;-!}XQ_{6O^kyv7h@~?$W{?-P!+O!rZZj- z9_~fY2(2>;X=I1UYN&Kv=-ncIlKlE~Eb$-zi5}z*)69l(YU_4!`K;0Lc22ze=Lm!Z zAI2c=H~>f%2B3M{f5|o06ZE(>ORkAui8u6v;x-~$j~?YHB!8~WPL9AyZ6UQ2eA+XE zs>no86pYjx2YQp+4Zzq>@RyRfkB0!YpxI1I#;1o83<0oBuQ7LuA~ylUG6UrJ;sjZ+ zr@8pgFpuayDEZMVL6y;Tr>yYuUdZgQBgUij_pf!VK>fJ-_T=_W0RJ)0v%sLRG8%Mw zhRX!FxSzUk{F%e^)9R*id8fZWYw~(maRDI{;dDMvg;+LEVxhUEc*dzT3c}34{4%+* zNP^65RT>%dmbk29FV0Gt*t0g#HlwvMIgGY&u23GyZmsqc0Yuo=4uDCa#P|x14dIxY zbDJ_~Xb7F_=cx$`WD1(=8Ll05BPn)6dN46SOus9vTA1_wF%5qB8HH*4$ERAXd#3E} zcIO;f)>?{bKA5et_^HV<;Go>MIimnPjw(^+)Hh(WnV#1byxi*_LX$D--(jjQ?ISgL zo>Zu`+XZ`f%I`Syi5bFB<^66{2q-E)poUkJbo$e!xHn-Tw>bj-%He<8r`~O95fXpo zWhn3Eu?-|6d_mQH(qyGD5d`HC)tmalQcMXleW;0EOa>FvFeUxPMAV;Su}>JrzWpKb z#;m0Q)>Ft^kj+5u9zL8-Y>E*8aO8ZdqrRhyCc>56c(&7#^F86D*PJ->q1kwBW_WZe zf3RjR(?s$ay}JvO6<)$x_h3f?U$sm-(2`mD==p7qP6xYo-Dq8SF=3eg;4HRx718sh z)hGA22#rfxGRj>m7fu!gd-@aUOH`SRvjWXyt#}>)z z>}VhT(d-E`VfeO0rZA8JGkt{NRl_YRdZ1oD*{4)FXu1fI4g`NF%0;P}spU3lb-nDT zw*fT@@#CmkDz%J|o6aT@F7#HtqH>r{SJLClh~(Rc1IUZ|_&0V$a(@|J^HE|GV}z5q zBV)YwgvM`r^!2Y1GypV-3N{(>{vB1I?&d0|gnW!$Uy=mL&*jA&HOa@)tQ6FKS-^9+ z>m!@R=ds{-Xk?2!CQJ~@Q=J2CEAib~FVOu7Ih~IDbXh>kdG?MGMstxTR1CZ%t0`gJ z*x~p|T`qBM4%XlI+T)s!e}4n_F)O0%zAfN_7S5Es7k7_``@*X7fDbK=6dDDU*K}2r z3BH@XKdr}|^TY2y!2KlvtR7MJo5tg(!a%9zu5S+zTziqMZ)Y69avO)Elx0wJwwyb_ zC;&97oh_#=^dH+fCp+Z{*ke8;LCJ5s7C0xWWm)%3^tyZz{Q#dry5YYQ#)N~U52%3MPVGO%dYeCz`rJg*G)GJAO$>4Z+jsc3esbS8Y6FL`RI5b z1p}Jg6oJ6b@8P|Fmw*H;gwes?IY0F)VMmYgd0L7nchEYhpQ*%JJBnE6I!&L0rZ+Kp zlp5a&8Bx-oA=Wph+!Xq*IS!}4FShQbX$t(^BdT!@TCT?0F8r;>TzJ-Km&AF>XybJw z25M zu%J0+7&dB^I7=f>UF)4uomc3nQMfSg%yAP}ytaiTZ*-ET!S9-uB|Ohwk`i2!fkFhV zu#MnK_7uQ!bhN$31NgEhY|#etnF6|93K~(@_!cet346bWg<=>mZa0*|Xh}oQbndJw z%Eql(c44KzJ96-AHqrqkIWXAT&=2B0Y4Y@4ca2x8>I6sXlT3>yP49zHu4_d*CiBz^A_I2@20ww5#=B~M3Q_IK<5+R<}Q#V-G3K? z4jF6)?qc#SU>V%_f%FG`04H{Z?3)RpAYK5L^tbbAeEX+8iMQb~6j#x(`IRiv5KTX4 z6ujbWg;>3;0^sGf%d)Y^fqVAn;e6m5wK)cSSweD5?aY*U=~yZPVVCRY=EFQ=7czi1 zTbhq4hlzF{9uR<_7;0Y}ml2G2oqhmdm7w;gH~#Uo`Y=_&;Q^wNzza^B^Nl3Mx1+k# z0LcNO+xH(qoFIuQJdKDh2k%So4vy$9bl&)nJc43@NEqg5#>XBvr|=m-cA909dI_*# z^FwKHoC%!&E7Sk~JhC2w;qDS)R5Uyc(IZw~==|Q_C5lMu^7Uvg&{9?dv&Os`_xgZ= zsHah+=o|l1<+|=%M4v4UdE4{fS@H}P*6!FuyOv1GFU%=K#+dNe?lUCJ2SP5yw$|JN z?rxFhu2~S44#pEdt(>*JdwhSelGh#REeIe2o2k(3Pch{a@&3A<0H#KxtL&Dm2Y`Qj zLWdm8JiQa&$+)y>1lwG}2;ORjtO@u?ppyLmx@uSV*Kr&BXcu>zr$L;EOMQg_@~*$i zc!2;N`w7uMrI=(c3%SyaO*u&bCi5Bx>_k0+U+2A)FbwP&mCBhjk|n+vAabC`l%o7U zvpmE1tOPTYf8QDg(pdwY0&`o2EXWSTNMx}3cFwHq!WlHm>QhTw*22^yKw_Zv=P4?A z-tJ5^kxuK^>>{cO#ZJiP(M86Vhmp=Q!DbLkI-h-ElHRk@YwUGO!>o_LQrT|CTQc^a z)u#9LN6Z~YGoLID01}IN?|c$9nZ7hjO)drC``M&%L854gNkD8Y&)Rp)h1@b*Yyd&V zxso;rC8SGr^B#$aLBrwyG@6liYjcC?(A$$9{Q_?Au^AiP&K<{L2~h-~bV9x(hi8m#0F8z+Kyx*S zU$Dly)RhC?Q&C998K}%EJM59;##eeq8BJz-@%W4K_voPoBo6L%<=S7m%(__yoDn)T zw5?{+Xud38igIk5*T}wIg|*S;2auu`u*;nGfTlmaU6{wvd6mI~?kEPW?s8<$xQnf~5I1d0bUNyLW5)oJb)m+% z6`IqI=`Zs^52v~h!is2tG_dFT%hTNe`#VLP6KP>i_U!}uWftUtJ*M}ZtwvGq$@ zcYtf=3p2wtR!vP58FEH7v<)KT<2k79$MD3lpYO3E=2 zTffO-@&xk42hseIam%$iBG(J`bWg_4<985OS4qCzfbYDk?!TItNl@RUebL!IVGemR z-c6wV0!o$7t4soozCkHEtU^t=vLp%ji)3_3j|Zfyfg%WhzBikklbm{5wMt#-rTdH@ zjkE@n5R@jVfe8`2MXg(yoq%A9nlx<1R?@)34(_Ji!=rxDeaC{S7Uje)# zz2^WFeJ$zN`Cub+ViHP;35RPn9|2L5ql;pnHz@k#gV=B`CnO60G$tTGzgC=Y(Y;p?W4a< z>m&4iCjoEg&k0`TVGy(BB1=z_AsA5R6@zkLI999AgN8=d8QA~5<;ua${MI32lhl&! z?Aa$M%J7&HcB&(1!%KET(4v`DQT*c;4YLuRm`OarVcsz~9`1$<2x&y>t})N+`L)t# z)kV=W6icW+-;4%gfM}l;C=|AG2K@}$B_{DZ=HU)%j8M=2>w<%lUXC(cYL65Hd3Id9FLJ6e13C1Ds6Ab zib<52Y|5s+um9nK?p;B}$@XTT)3URrEnPn@n65*W?2$k4xm-fJmh>GK)fH|$$OdWX zu$SJ-h%2=Iv&~FRcWjsVpTy(JK1EY+7#$BhuZLE!!rxsQC^#*bk1WVT>=L-rgh@c0 zdvbQ!XBg7+7id{_C~@1q`{L0`UBX93SX+s_Qt)Ga3<( zazk-Q16=nYssZRzsx`rjT-#~vaf#;0XIQ#q-(o8+0&o>Ny72m12 z&F(AbKy4?XF(VWOpMUW2X3I!2D}B+d*{+UgwI;J%>(*>??E4XXNB2sg?Gk? zE)DW8VNH<0nkc3Pv*#1~!(w*w-?3_TTJ~F2Y44;z9fKx-?TNLd?{!~bIPo`Pn7)o5 zFan#r`F6a~n|xXSj-^1rG`Hi^{);0(>T5{xNQ1bvvep}fd`CsoA5%JyQS)rnmsh08 z-&L>c-wbJC^18xurFjr07M9SkP&pa+Qkc|?NsgSHjJMq@Uf0fBU4_5GRZQWI*_KvJ zk%XDOF2&GqC2+KR?okoefeFb*dMmvVL8o+$4xF?CdjE*CJ9ItBd=OrU9qy3SPWqB0 zbzhka)tQG)IhYSrke^aQRzo_!ohM0~p*bK75f{HtJZ=&UG@B(b&USCga_~IeI4PPW zq=!i~>Ty�#l7~p@_UA zGZDdyH?^XBdsnWWj64I>OaxI8GB9QeJ~-E%)6L-ki($q(hGVaArSlBCONPq&Kl^re zc3?B+qPqPpS!Zp2!MwQS6;Q!3tW`%PptypE2*oY>iuf%|VMXBDqZFw6N-RywiUXwm zOcSSeJ0w@ot-@>@YE8FG-0;r+xJqN(3LK{eSm2 zY`Fm%Sw|`YG4G1qI$bNC@+%VVTr@ATG`Kv|;o(9s>xCps`ptW zdP1T57bFDg+vlGX7guhu#S%Q(ohX*@7GO#DWQS#SE_4n2&1ch6sEcNf2xHMPiokOB zw6+u7&X?jWvKv{qG3eZEMQx%a-)h}q;HFePZy6YvNaYcf8tvqAZlu72ZRv5}**_cM zE;1vKZmi}}ojuQHGabC<2;|Vj8jAH>Ui-j=N2@f}{tRnUzi7PVa-09SlkCm{@T3sR z+TqKmq>lRZRt}@KNs5V_CV%q2hB%0`9 zGb3L_M;w1SBd8n4%#E8#$@0gRvw@9^Zd|LzD!591)72ORNyP_Z` z%Inc9UI@hK_Y3_HfF&I=+5oD47Ob1&X@`_=!At5b%hyVdBrK&Lv-#GOAS_wX@|kO=Uc}WLMdTYG8Bw`8EOX8KrLjCaOvXP&H6hw{ zCi?X{7$M20OGo1U6a;;vHdF+)JBtVHvy7xbL~Qb{7ITNGXGWPNhnv4MnNvwa?55Gk z?|mq(s^DR*16?e*t2!&@1+2SO3iTTer{;Ng0xtrEtWIN8PH4axTUao4l4EVU5b9SV zSFVoV2d|Ey2N>n&cD^OKyKtwNZ|(KPvb_??5OLBs-dLB>t}6Zo^`+X3Eko{tuZ*%c zX!l2C6;Cs!tS45~DxF>7u&(Oe62)n#*+F>iZlw+nr&kns3->TE8evt5I%;nOyHTx7 ziyrq|1R4Pnr?a`mMRdV!;Kl#ocDV+mNVK}?Os!q;Rmss1n+KuoRv0{qxr~a=@ z|NryI#$MNCbyDU_mWbjPoy>y|{ydx4C&KhGPAL}~FXI5PgZK=s!JMUd>`+y+KKhA{ zoO^><8`vHwFTecMz%bE>dm(itVK3jRflU}qHeRX;X06R&tYx$Ob0kG_e;7JH0Yu;c_1_<@8^iN5w)LgiFv zlDKukg$%^8IcO$b&EtX58U3aR8Svo^W&25K__-r`Nd~G-Q69Z7rAmwvp4c5440Y>nr|G%U zQ1dvf&yM#yR(*_AB?vBC%&<$!l5DYY!>+&!Q;=My*=B1PLB*zxCNY;;GregLleEx) zg9{!u{%JX~LvM#$h=DAxDY=Vr*E?tKf-kaI07m^%!_`SvyX1Q^0LMJ%BpAo8BAUjT zHV~0oE)at#Qp5(n?`#T~A$RJU`ua3vL2lm_nQw%U8rA}8Uy8t__!LgH^0=w!k;RGc}s)R^ylPEUPdfa)!}D+`fVv|jkoGj zgG{`d8m^!*;Miyg!Q^;s&!!2ouP6!A%}dzU0g}g^$P{0gk(H$$lOTV`^VjwoiZHsk zWsLD;HYz=Wl~&Wv9Jv9I_-IVqe@T8zN2T2EA0G`}zn`bFa?im(2FXGE#FgBI;cin+ z3^v3U74#<6B(p)^5rZA;ppxO;G7@v35oZWR}iO@sy{T^WTCZJzmA zhKx04Tmug;iv%fMzk+}&^YX36IeXk|zCfsm2Q=0UKP9O_Bf*uC|KguYxqEb1cD64~ zqi;&_UNL0}OigJ(+T`VcKb4IlOUA(4GJG&uG3p*ZBVZ{a^LqGqcvEDtfa#+}5)&i2 z!Vx>->EYuF`Zo78FS_<`yr%|@ zBgShtbZD=?MwM3I-Cy9ZkEPgaYnX7AmP8uWGS~0@r5schPCzb1z=ZxN0x8^bfyOxk zGDED4!VQDL4F4?C@fBuSpAT{;ijyr~x@M(s8c(uFOV~n&tr^oT_T{HxUe{)~V*6qP_h|k&h{7t5ZE) zM5suSGe(;zW<80~%}o}@r~)vK{aiBuFQBw{FXhVK&wuHE8^ zc9r|e;kt;wPc=j=*!csO{ii3{;jV@ObEt6^7U!5DhUvcL1M^ zm)+h>ZcVx^Lv3WkYRv--!Mgv>kPvM$$OlQJaa5O5IBUJdYVGK*v|rfG{3!VyR$2e{ zoW30rI>E${a<~5EkZyJIz}9s+e`8M&;kjj(*6V&~UGNwORGZ4PbF6tt8=vKM1T|R( z_2*2o!rSd+vbx-ZTumORx{qIZLTdTwfh?jdt`P)j%zhNp8Q*w_Rnp7*$5Cp~D`{w+ zY2mUT-t8>*A69gcT43I1MnH9y(11E z5$|DS5An^S{HWy$KYk;h$>s=YmTZD^dd0M{Fl5AFz+@fypaAnHa{%q+*2p*zc-sN> z!`w1fz8y3Q-^I=`_i=U51u|^f4++t-cQnAkS3~0`9`ZA^D@A6P8grp;oRW4Ubt5Zd z#<={k)kqC>qp+dXWiul7EfGB>FPwH62mRK1O!0u6r~%^HVLNAF+}r#~hqb1otKw_9 zR1Q{8=9X#{7KmZ>h_s#5$l5U@fF_e`i)Gj5!cUVtH~M0|NBq$_r9yMfb`KVaY74!f zXL~*ajJy{q^-XFBCFdn>NAU&*I?qo@!qI=gcs7RbRblmaJ4ZvlYkad8uR@Z3p*QXt z>du}yfay{qO23EgFU-w$AYH-we_xX*NDp}TXvr;bi5W)?OpeDgt;0q|3}(6Es3IR39xP3wr$(CZFldsZQHhO+qP|+b3Wj{%^%cDMP$WV zm5I%!3ccV{qRy6i3Gq7Kh`m*a<}Buq$MZf3X+w0pg&1uA7qKr+gMBi#D}i~w##67| z6T!8ojT6Bwc*+(;i<eV>gLk^0I+Ai+4S$29^nT28z}H0Dj)43w1ffFHlL`MZg^_iZ7!Ct5lWicLPM9J8==$jk=*h7*_=f8FcN`PK2+T--2$b@p%#u zh(<2Ec5Yl8F0&ffuVb1Bal;+3%49)4{~K>4uQp=X9#XpT29sqN+eDAEjS|WMKB}Nm zq?q@2ds+%iQtaT#of@$?Y2XM;{yq5dmW+(zw?2Iikk?P)+<;eF9ryAU4K1hKt5m*E zk(z*2irfp?W>QV%Xo92agfKxXJ&d?3<5&lageo%bID&3+ozN(V6vx06d(AlgmwLXq z0Y@#s=#Z^rM;2o0oc8|^h;*)ZJ#TJU7z3cAr6z%Q!r(HG1 zZqCL+9hBaLQK$uganaj{r#&eQHI{PFwi3eW1cTAP3A}Z}Q_$KaE`NO7-xF>Ui?zEL z)HyTAc#t00U0pm-affbQLb{53{*fs~$-S+m&KC8I6SFcN@R z6?KY}mBb{l`Lv(K*p4(yRh9;X(y~;w%-JHh#L$B6$xO;3bZ~B<8d3f-I{NIZL#eP; zUNK(J+qls%tI3uP06}p3%d&3xI;J3U&{|npF3D2v>S3g0=;5+D{{s5^Pv9xJyWKdc{FzAbG zFh;k(287+c%(C5Uf8$H{RjV?7Mn8+?rSaV;UY@WB`+sxpg_7A0X3dnC?dCB6cMW60(G&e#qnH?qofjy5R;}l$?E=R{k3VvY9R zovSEYyKcf07-Sg4;iX=v2=nOwT&PZpsqLp| z4!mB!+(~5M6dGjQA=*f*^x75{MF=j0|8z!FqC^M?PI?VkM9)-*p5-A;0X1~Cujb*p z7F9b%RmLm%k}tX>C$WL|C5^^(wcN}79bn*u`#aix%qxRUU?ImOvMIPp;d|ZOu(AAr zZD8{TlT@^P0aBasm@ExfYm?40{bO0|-zN@EIjI&`Gfa8+odAA+%vj7LW_wl`!$!b1 z?j9+_z_~2yZ~;^du#0Gsyi*`YEOO7=7%Vjbvu5$d>EX#(gc1ko*juLDJ=cl36CBaPJ* zvL78DEBw()`}uMbbor@at3-ciX~(W?$YB@Nt<=if8fR;^UA85_y|<;8v^h1^Z~f#M z>BOd(E8+6w%sM7*_EZQV(JMOR@F1{vD40r-@-DPbw&$%q{xbywQ9^i%)#Rggda+m; z3GKV%aKhU=76gY#ZAc4b$FuQAjfe4ydnglLqK{;3&Xg+Pas{J`tYhucP~Dx~m{Sqf zef#7blUd{QDaEeH3bP@E3fZG{C0J9v7q8X{-~wvM@orI!UM|IRmA@J*250Jo<70^W zIMiWxl9LI)VZA`>K^ih3xmn^XC(sK(^GHYYI$&Qr>8UON&#EH7)l?n|{hdRWk;-&} zR9=9Qa`V6nEjp_pMVIXTzr_ z1TmN`^F8ND?V9$iZM)LL)nfIlQRUJ|4`2^^oxxY$6ILY!OqU~ObdwNMc7`Wj!>t71 zAwSKHN#piQg{o^>2cm9xFAwIzEI7`=A<}pc$m77|g@O^Ow&pqL+XcAz;=Gf_<^~{@ zf!nsc@+--1&gh`vocG3-c@n{^?0R$A(pv4fJzmH{u74G54(phtr~yVCo)S6gjc1^o z@(7C^gnqDv%m6pPAffoj-YZD9keD981fRs(g4TM3ol5;9-J!}SeC4}5;5jMDbRF1K z6?ImOvb=AK9u=FT1NqNpAFS>6j8$$3NB4|U>Zm(?84}{xEP4+6V3a`AHVmoB_JE<; zCNUJqND_cc4AQw4bwJ1FK7Zl*{KNzwe2~P=PUsKX5bfUrHAS#I!TyAbfu}dg=4Vlv zl4H(ZK9ue4e63+#;r~sEFM|?>ocFJ0mdc?G9Z|@5;igT}cWUxrj^VA6?KZJ&bIJEQ-IPm>7A#f&e`Ql5&v7FBJU%UsnkMh7-lIqNZPZ2@(Oe z8}m$e<@49zS=;J+^Oj~;zsC+A=LeT;Om58RefwuVl<)WWmu?U1A#mUG6eX)|4mFoy zcbH5q4XiL&T{{NNL_!n@a&-fB4mYj?RZvl!aLsB$IfYT|?lALveg2}ntJntKj5xPgtfR?!~xy6W`bh}hSpy>GK6L_`lxjz#XmzZh= zdyY+8AA>(nYsi^5wg_FO^Cupy!8P(jr9)b+QSU~T7FysTH0=fYcPU3zywzhgJ=b+g%zCom z$YvCgc3^^1tp6fC=L4bTg(;!<-nm)gawhni1PH%@L%w0RBtZ@_?MW(7RB3X45acJg zJj=6c%StWDb}rrOwepCDfAFW~cTQR(FeMO>NSvt?{2hYcdYh75&m}R5=Y`?;Jb<4^ zp=^3#1Gx8^Vdd%7PykUujUz2?1~;ON2-WS#;MaeJk6K@?ma2Q-OQsmIljm&dplO2* zPH-8Su^iw-RsZ4jNGfq7?94g<|8W1?<0mqkr~=E^+@1|X;+DES!auzbZ>dU_KViP7 zHf2=-`<4>HohcYhlm!Rwp zJT>CvS?ej&Z>XsY_Y5~6a>6#xpGAJ{TMlo(V(ETKE!6R+0b&Xdl?RukmSwTpIku#( zE+awCIHNf|!En|>C@xYn39#=`5>p%y#*m-^{J74FXpKqJ8NnNxJs? z#R6x5c&RXe&@2jO30wjuG>4Ag92RVeF8uM+;G2yXFO`al@WOmUKVxtlw@2iq0#Oc5 zQrn}C*H&@6&7)HRdEG{0#HV7)wYBULoOi_4GAcjfmM@1PXXO=Vk-lc#>oEeIuCOX4 zgtlQ!*ta$TW4~gB5i!yqEhq1b>vprb89KK+0UIi!nMoqXz)F~!73@KQ%3RYfu5U&? z9vMSMuAjC2!~HI~`Z4iO`;H$Gbqf!iQXTmFajpq*F9`5ccD(uj~dT zq4U%o<0W+@lG`dfbl622Fd1|M;Lf_4-M`s7`0fVMm%{bqkeyvW*PQHP z)i8FWSruyHWfdv2&0<6~L5iP+zdo{xI+zd08NGR7pPPp1cahtpD)9dt)&IE(YWL8+ zTW#L$?z0t#FJnjgylbc{^<0k03fV+{LS5I zA)0HuqI{6?YYpIFBbG$7z^`si-x9{PcvX_fJZ;oPw!a2{AK#_azpSjw6>`9nzhdLe zS;mc4h4VwuQ^TQw`ums7XI(A+7t{a$I-RhPaKiotOn)ztRAxXe zkv`6>Q9Md;&!CjT1X+?jM80QbvbCI~l|B%8?c9vo(vj0=?1cq=XA_)qtt@V3^V?R` zGFvC$2i2^k4$t7Ls3avARzMXI9ce7e_ol=X?Yl`Ng6TND^%TJI1au^q!$F{(*60&& zfGTG3W!Bw}nrKs;Y3dhldrr|T8 zcE>0*b4F2vlk11!VdVS!4wH?S^v2XvnRo!I}VJus!ApZqd&O*1R5hRHeRS* zf_~Q5nh0IUR|E=(s@>e0U|$zXcr z^gsn59&NfNzJnUPRYD2uN-1%aQ^ZlHw*Zx~@$+M4+;e>+hvA{gDgsJtDQ>i*te!IF@9>goP>JorIvAr*62Q+LGD{WaP%~ zBAEG+cSJTvG@;T8MIEt)XojD-qlVE`&bsRsaaGTmG^xAzGf} zsYl6jXCA;exW;9%jw!>=rcB<4EUO5CU{`^=Nmf;Y=Vet@ekTHrh{ysnJwW3PtDwQC zh>Kkc(Ld)TU!}`oQh_p;RrNiyQ!jxR6~S4l`(Jo^);B)#TRBt2mt3_!E%8p(&^ZB? zI6aWz^!y#~_>nA@9I0iXJ8G;8DLWWS<<*o9hinb+d!BP3PasTwTmk(Y6_qBRq zZfoE0Gy5yV17Y1Vb)nab8GiiqrB!H&A8gQdj_jZXZ&{_DCrHJu6JcOvtGSBYEPmEv zjyF%ZCCi~gdba!|oORAqdk7EkA6Xv7ejrP?oDT}b>nW_tYRkxY2N!439)DZY@9Ay} zq)uc;91lh8HkX;c#w1MrW%G_T&MV25GTD{|D#fofH}Bw7OSbANhqfd0Kte#nxE{yl zkM=8r=MWe!z}oMg0jc}D=>tdvwSiT7YmVe2IJZHNYx;-V$UyQ>Y_jRUNqc74Ho^Be zS^9LTl|O!lbH{=su$VsZ6Z>G>F{Qqvc2UV|?Z`7GXtP{^QghD_HtQKqxJM3JQI&`8 z?8$fi4~>`}xNbHXm{hv*W@LJ5hd$thW#S%2MO6eS^{uox55yN~^Yv}4;9wS`BBZm| z2nEbL9N7j$V2;Lr&+T7fLBRih9n(>0(HVD^r^fRp;6j}1(D=~2WMaSKJS=vlHn(2suT1?E)}f#XH>_9_UfQ#j*7;eEA>@R)Yy z_uGykzzD1=1g@*Fl!bK@xLg6>-#@Nu38p3%Cl{WXM#L{rZt3yJ#{n)b(?MI5z}bu% zpxi`fC5OQ-#R8+ z{p*H*kx&OwS@C2ogG4;%80pRYnZ@&!lE%?F?;l%nCmG9mOv|N|vIGXXM^b(%KR0SF z0aGx0Nb|#;vjhUH)hiR5BB4KBy4zyOwLYxc*QQJtW(4G~qu?Cw*cP&fiv-#NS$dOO z0vpEaj(7%M=XIq!9ekjsIam1V!wW}uw5&EddecddJ(^#HYH88jcHPHY6I zs^Fi`quODXOUEkVZ=^2++2V{GyhUtHv8C5xux4I29FJ7RxX3X^5Kg=ZTLOwRd%aF`C!ivAj>V1lJNpClL=s608{ER6z@nXSYS4185t^bXiH)5m^N-o{_mLPAEUK8PBc}tWI)FO#WbqVrJ={7LGnIH+^1qayh89e-D&z}Oqhh1K zTV#^0`$LKY4J?@in_J6?*~IG+1)vBm88AoA3%-^kY~Vlent_6IBIn`u0)^Xo7c3ux z_iWFo@RCFU?Q%Q~57DXcip15d-{hkL2}`W_&Y)n{=VO)U(Fkx?RqN-TPnMDg0mq!B zf)6l+=WXEee@Zsl8HI;^^3 z+)+f(xfWom5+f}WU1uAk-PuU}cSt6IP()u>-pCpZ=oO!`&0;%d1WStnwbu$C_~~#k zl3V~H2OF4B$BF;pDu@>_5RQKj&V{YBU0aIytD^2R=F5bZF9|P`jWncvr4rG}mo0*! zETu!t!^+{U|6#d*Xt%!a#ifBRToK7OE@KP=Y4z>`SMsz*YhXN@mb4>SNE6!e8SZ%S z^b!wZbv{&wF8GC^3UCtf^EZ)VTCWkA>Eg@oqb@$*3#Ob->nG<>k>Uq(>bGBcCGbax zB-z-)cKON9v1m?;TJ#zv}RhM-kuYU2Cec96)w*?Z;&}pe$l}H0$*!y-bSa8 z7B)>q*?&6U4*lM)-A0WZ@!^zymNyg*g)};*mScYU+J{->zhuA(w${OSR$1g6_{0+( z8o6$*ZKRFR^>3Cc7WQ4br*Pw#i=ZQ#~1#;*zmrIV6ZC4g@fg3xt=qj@XkqvF!UX;S^ zcd%ty4+s%C^-}0=K4Mxt&shs(_dN5P3RT8*Eh*H@Pnj@cTKH{H+xY!?@hyMAY#}!s zw|;Myp!q^px!(W9^#8w(?C2XZw2FX&Ll5$9&@TBf>Jd``CssK!6Zz_fOzDz_y@@|< z)AI024kVyJGj8u6YhVW9v77}KZ{l2@7J}PEpFU1n*my~7AmBp%ogBfeg4e)`c|KAJ z#Aw#IjIv{{z6~bPsCn3E0YTnlaYRDD|`))T(i z%N)>GrDK0<$<|<9@P-0`)DuFeg}mTLb89o5rfJNfp5`V2vXwA6H+pplpw5R73hv*S zOl5}g`=&I|KCG+%IPii$O)AD12bRBbcKn94T!rfFts^b&;yXLx&F7zsh)bo0x9awuhBUX=j37Wx%O?i@oBt z?%WR|tLKsMQSHLXk=2|eD9^?b(?8sI<(6cKoFYq0#A4i8adNbI=0$o-l|UtlEK5TH z=ti7?1&m04=DZ!O0Ql~OE)sRF@-4eL($auaBCGhHWh*PT7G*CR#9Zg|g7)ED3^B56 zodr};pBm7)YLByG7MUHk!74U6wHGobrr9R^)lSkVZubEFw7pt^+>#r+}L1z$J| z97v&(^AphRlzrK}7bp1nX{XF|N@Ip^45pe1CQ@4qg_=TM9sxv9k_ubN8m3w~1p(2h z8^OBIC#SNQiqZAkT_w$bTYRxO;xPyPH;b7Lo$tvdC*k;eAIfuLL^%hVc^NT%pfaIp zsmaof-jtnpUN#5huHlWX_G`%xxoK8sVhrOfU(PtZdV$-#D1MK6{Oj!`3`z!yy3@8F zvy*`!m?B42Gj^}2mq*oZd{R3W`)N!$CR>m)maB^Ym`VcOKRAI5-zcSz-e``g zdg-(qd&N)+;$AaKxT?WrZl9H`(6DAPO(OVS+q0^&xPC?Ny1}$_>yXCQdB#zAwYC_K zVaY5l?)hG+U>*blqtWG+C3~uW>fOPrVHl$7*tYpdeEDvvim%gcUq~+3LA4OIPzz4=&bP}Fsf-i%Rrz2&r;Gvi~S8@J5OA_P79$Z%PP_l zC|av{=@GNpw5GtK*6&b^b;XI`^U1)k_KxwT+Lu{byVfyyN#9NWVZ+nQ zq8srXX-!8bFvt8ir$mOxIUAdX!~cU$Eyn`w3%zhe?W?6GymigOVp3L_xVC#t;HH+% zraNqtT4}viZptlzUVi%Sy<2d6YO3|G>+UaY{m{#$ILhfI2kRY~-Lctm+R z^TUFe9=6?*d(+@(`h*jeq~OBbCT{l9V(p$i#k$3dtuW=KE3oDv@-JYa+mISYzA-DT!hn0^_g} z1Clg<5qIqL&M%QRHdw;yob-zP`VLLJlWsBUZUA)MxZ##)TsRRLeEb3si+(cv zMo)C9k{g8*OvjJGi$&4N(u!{M(bZTLWn^#Pln?%y+m&VRZ>_m~$Fj5xa9f%w*YrlG z?X!tHjmaNR^3MyVD!LZjjKZoWB5Z;tv;^WPv-hv#=xu#$HO~{*=^k8649nhHE5mA( zcR{Y5ajRvJmZ`(J;LnHW zOe$Rf#Uw!q7K1tZT>#07m};HK#;xp5OdOZ(p-v04*mG^Zd?I?K`onQvCiDo1n17Ie zyEiuEgrs)-I+$~_AaUQxLZvM&d72BJFnh9ZlomjM7n&HhP+~AF2-HuT!EKGQYXiq1 zR109AhQz9)Ha>Ngn!BqdK`F(`3d$cci4M#0B5eWZap%7WKoQsHdF}7H0SUpo58a{; zrx(1!vq_BV&jRq3Qm3>KNp&UddQA_2!O*%Tx=tLnZ)B{aOy&7y8$acwi5%=2W;9^v z6@SU`$sNJrvp1@(EN%Z1$xfGjoE^M8rGXVGB~K84w3b&#hfcG1r|}E$60NP;njh!* zw=4hVn;G18=7cRP0)c93Muf7?S2klT0jhYZI8HxJWpcr4b8B@{1!H-OX-B>BVG-wF z&}uI)C7FCNz_>tg5d}rH5kx1*Noi*j5Bauz5DtdH_M?^D<}q_zkg+9q>Fa7ngX4Z{ zyfgx&{`!|#dBfyBck^yVu4ZC@4$kHW8~UA$ER%^yZA9^FKV36NNFi1oJ zW!87K>Kp+-0}3cS1L#K<3B5|A6MA~B@NE&l`OLd^R4>_c^~LxcS1dmCp|yMzO=mVLhdRz!d_EhGdz~P&K zk|GJ!pb*Z9{Bza_!eLE>Id$m~(uO8!<*tD4uzDJpDaFK$tdCB+!Qqb`0f!@x@ngvK z!BSr)ny{MjyVErVatioQ#(Su@EZUS!ZWkDQ`*mRZkP+_mkL1hjksFw}jR4577l z;JBT&x%NKNxmm}J&@Ql%a5fkp`>vpKHn^@oe_R#&n{$n<;^S_cR=xhXgmDBw>Bqgdbp73>N~BfqQ5Y> z(f?xl|6fPeKWBx9c4L+9>(OkXPsJ6s?aH`LC`<@hw_lqNo{!1 ztYBqF-huJ-wLHZA3EKe;4F4CqCTo-)s7*8d!h}?xh*Xjyqf0VsI9blf2YR_>2wsT^X)qk+^9%`4DmGs9u(Dv*o>2jnkBA6iK%W; z*3_;S#8njNVs|(Po6Q3kBF6SAk=}g7IBNR^&^N4KP8+XR;D$k}FRG<2rQ;u(65}{$ z0;Umnk$+BnV=PVN9!${n-}qk7FE912Y5t6D&5Ft%ZmP7J<||b8gX6U>B#&%Sy`ik{ z3yXHKg*?Z3{?1zKr{kq{n>kiLUVK2pbR`RI zM2Se{+2$E$_)=uU1stKLyTGhg=ZUz(o&KyC@|OQJTzUW>p!axSH%18_l671*6;y{1 z+0dGbjCo6jBR?}$>>tZk2yS}Qk2EDsHfw%yp+)B!uda|sBgy<#`xlE_Ac?(XA1C*@ zN~Md(&CLxSjH5|6TqSJU7g~nv4@C!~{5}o+6&WiL5B^Tyg1pq}saYJ$>G-U{!Kdp& zY!PM(QnedC3+1GC=cT!Z(6g8BVrEsZ5q8v}Gh+Hdi}k|JRqhL@RZ#<9Uc{ED3vWj% z#AYuSSw{@?kopqdk6IhSAb`l3gct`0=6{gK0veuxOyx2AM0OA-fb#>0fFhq0M#bji z-6VZ3@VR^8vLaS|1eCeLJrEb&a_dDVD;SgPZc_$VDlA0jPpp!j*DNyKwXDT);I&^6 z>}mgY?CUtGN9GKZbTgAr%8T>Yo2Lc?Sj`T(fK;KtE6_hcy3 zED!{V&QNx;k=YA;;gS%NXX4y`l-TVXal+>UPkQi!Dyw@%WV}!EyIUN{TS)UQ#S9Ul z*2BFMNH|_cF4avoox9Tqb7BAW3eM5Kd0B|3JrwajJ*oS!-UA+U2$sQLb!o)f=$|N9 zHsa~4F$Oo!jXz5UevoLzNrLwVOAb5e4G~PQbjKd)Q7ECQ*}F;xdi9OVxDgJLrrfE> zmU~F`j9P}y@}#MN2l$Ja{}E_i{%&v`7lP-M8S-U@iyO#EHg}={e`ro(hajt(W^UXF zzEUi<&gib#7Yc^*&FNnOjGX(JN;ebV)1akY#>J z>By6p1bJ4V6 zU*#n?w56>Nmp}nVFNL5j`?G#^q`hRi4>$ib+Xueo>7lWwZsrPP*j+G}xgASN-0b2F z+6ZV9Q-l7PmeT^bagqYjv;cc_6z$A~h;6vO-?v=A^vd=|z~x;R;={`3wUOj?2hZh4 zUrMHkxpf+o5gWm{M|cf{_FH3ap8K!x199$D#IyouJ%t|{k^VHM{$&5@`9{n0eVgts zoyG&R+S#kQ*=X1;#W0_Edl}fdHfKi}^Ao8AAn(7`LR*ty?58YOV6XSpS?E-nT2{E~ zz@j!sXjThmP(T^&4_n+4clCEe)7BfGz?7fWeojUg(E~-=t2{#IiFIqq5aLDY(%A8V zgFkSz?a3#ksLrJudN>eSBdJnl-U`%4)WBU!n6tAr2XOa=;zbA<*A(gW%bG z%z>Mq(G2tEkqR%%Z+7H_*Y}QCV9&e*-Dj?KD9S zk-nNYw}ju|2UCZYTlqt8t=$Sv!b#!X-%5#NtK<~nJ$3k$96GJ~X;kL?T{NB|U<04+ zcf|YTY{KB=T&XN&Taf~x82(&GxcprcBamdp-Y*Nn1CD=v`@%eT>eIpbW|Y1r(*hG%T}zi+6^;iF4Cb!P8|i) z*2s%okWfXi6z@0ZC5n?fpbt6&sqR*-MKyzZJ)3AMXFQ0UAVG5qY0@sS*YZ=B+p$R&7HXC*;x zwqY0n0)x!>rw-Q0)3H;KsD+ZttXXvQLRdpe8CL%zQ7<=C_7|!7OLWye-U5QYH}j8$ zMd>FAm`6`wMMcJ)9b9vl8Xz0#oTe+-jhgWdikKykF5RlIdjtk!yUti(xb$m=6e z`#)nPH}aqp^QCB9xM`1(Ze+6XKEuVRF9D={SWF}){0|Li2Thr{5&UF;!P!pNc zrEOQqudHzxH=#@gffDghBLd)eQBrqfy<7?97s3cq97O^IB&rSju$Ar3v+dD9CK!V7 z=G&1jo(?rQ8Zn|_N8`m()kF=rl3HR$^TH zQ2#nOK;}~bFC0Rkr{ig~Wb*Bal~>ENyRtDke@a|Uw$?%vW4FMeCd?GMWRe$+tGt-n zqK=kUhE;claQ9WQW=>`FL`wnO_O-S|o>UtahamBl=Q={Tm!_cDye0kq2h%hE|2nc= z%AY_>PwS*coU1OG2cEYA_;S@Ph;7vercMhvFZMl+i5cg5QQj;E z>DN#?wrQOsvpzwzstuqfS0xe{@wHwguWfK&;t>W%yM58UK zpBF_A$W`W=RM(1hfPaRv^Za$`VP+ec**&r)`Sp0%`T~VDUg|w%T~H1-(iqxxi@Ug; zeHNPEt%clhlc0QoL%Hv!#k!oZ9>ww3a{%C-P|!{1yr{%J+lo&v2Y_PzrWrvu)4BqV z4=)d8XRShh*U==a&V?Q(&vy)mVGhCtxovlCyE%*seupINsOk*FsFzVP&tJ>d5^cI( zmRG)Lzz(dgD#R?$K(3e*U>Hvchrat2S^nqUJ_sucKv@ZM+=@8_y6>foy594HLPln1 zB^cQgguA9`yM!#PjFLSWZB7=Wqj3vC{UF=!@P43k0w*-Gmo<1skYGyOLRsG0f$jSi zlPZ3da*Z;S-o26o=+3FG1NO-{E6^`u8+@-1`h0Po?B$t>hI91&FOxN_4W74UjS@Nj zb}UDE=0PtrUP;DZ8ai;hs4F{G;U6J`0I&=a z8<6Yn%}YylxWCNl)%3@J(>wSz!irjw&|@tJ!O= zR$h=DgI(6_39JT4^8K(~Z%HKq|dhs5^5CaM;{nZqV z9SG7%P~`nB1nL$Q+8V69ZDP|N*E%h|cz%Jw9q=49tvZBt0C9 z+`A8MKLup#L>m4fw_Bo@^ITC!qo2!D!cL(n+o(kx?9Da z{Y<&1$+^zI=q8O%NFySvN0TWEZJR%hlJ`-R`uh6Zqk$1zD;nbZUd}yX4Yl#H9HB3j z3_1Z0Sba%OQSlws^-_K@VAaWjDs5)~UN@@VA>TH1xTP0z)j%>FFRL}6BN8)%G6*Qn zyoKbx0Egj*1PROBkSat9NG1Tkz0mOxH_u^ha0C^6MHir@j)@I%Y@6VO!;eTYm9TWD zZW&j9Y1klSIycsFGY3h5>@1A<^s)r7qfgJtxLIt2ocPRLVczjBDhABIICC8Ea%lXV z0=m;l#X6{?2^Y{(l&itc#O^G+DAf=&nypJ>4qIw{asZjsi=lOcKKI0C9N7&q8j?x| zed8MQZ8WHd?Ne}E#J9`db2zm^U)r*%8GB`rb~`)N5{5h}N_u<|6I{qUBzMpWnjRc? zZmk&^(s5tlQ4`dsRRK!Edg^P{cl@!0Zt8MJ#iA{w_Jsefd7x`7i>Uv!!aPJE2|cNrK!DH4{3Q(Hc=49W zpb4<876oah&6}5Xk)a~uTrla8w9`ghSXdg?{rDiX4AKcgMvG&rsCc~#i9=Z*KvGE~w#R+ux2yZ7U-}%T%_+q+DM9x} z_CL$Q;ENbmO2-mE65fr&)@%jghi4=`2LIgc`0+U^G)>xjwW(f9rNU0sU242gRqH-$Nqap1m8@)bpSQ_gYnObyc_F-j4J;m79Qr&@Ip5G ziHQZiy3Ls@(`{xulCBoYQeojy`gJU?0HX*RoUsh8Bs#)t&h_0uN0;Tx0d^%1L<5Xi ztIYcPJyF_Lw`|l|3tN&+wj4e5v!5Kzi+!bnmpm#8%AR` zuLA6RugnX#f6 zE$AZ{lIQZ$k9<{SgKH}MV##ZxokZ1QjOK*%bG{2(`CIZI;i@Yh*!J|S< z+Nx=-^+hce+i?Nznc%am6F?I@R$5G2YoOK~obTH-z54bcGYU$DVc#j(5`@`pV(b19AH+`#=hzn3%SEq{6T-N7S%Fb0!L`R>EZ zv%g0n8(%<4WuQktV^-es4z@SHM2+l%sH& ztEwE(7j3~lEH!9Hzpny+QuZ4=85y z%~j%xX)aoJMHu#;zeRo` ziz@Okb7XozE*$^)EC^OB;x_MPOp{i=JZBbChkN9wYa6#GrUb@0!}@Usv-C*H=eeM4OLa97I4{?nf*+L)B!kx)BM{#r4O(h)mIa*k4c{)V!7tRAWyD_dKnQ1un z;}ZDEa3cE!1CXMALeJMAi$0j3J}H-EbfvbKQUBQSO-lV9qxjxlQ`WvZd0g#ksK;Cs zsJ>>$-UMhIZ*Mx##0dycFT%DRYDc5q=;F{z1wZ0$%C_&;RoS|dCXA@{Y;(#mry+2? zocjjj1T=-JfVeOWvWZBm!Sm4mOJb&!1@~8($#oa?Jpq;F*nSYRNd2+rreB9JL2=lu z!PG@kXg#XpaxDp@6_L8vDAJXVg&l#PE)KsQCccUKrWdq;h1g~m2RV*ioupO#6*l3& zeI;|n!+CD{?q?rFDDgV4#JX+1ho}V+16&hJmU`_fH?hHad@ik}+gC#bERVk`9^As{ z-hy;j4|xo)@E*5tlESsE2XUnR$14^(g1z3mrv=;?C z?e|_9@@mS9QadsUw9mewe}WY%L-WY2)~7Cal?o?sSY+JhJ_U#1bVx?FP%*r<_cr~~ zwmcd}1i{@?JD*gRVm%}}x1O_dN#$6;V4v8$IXl$W56pfEo)-7BNu+@P5D!i%k>+nu z%oP<+E2%PhIU4-MBs-F_)y*o0o}a%u>#kE2Y=d-mId!>b-JbpSA_SO8L~u36zEl&l zH&E}PSE&YT!JCF#c1Y(<6soRBuCL+o{Z42E3zl@kA?J^UxZ&Ia8qP$< zf1vlYO(Wd_IGhHN9qEQuA~G_r!LTlfG$%1DUv6S`fC4i!vyr_ZUsLcys?O4)Rq4`yWT(bB@ zkuqXFba~-aIwIdqwvXWyeh;QP7kP2`0=DB~Zz4NZ&c+MJ5tSB*~|17V_lLk*Ik#$C{zCoI9IFYZ85`pB!k2#d+gV| z;7!-SFpTyxtZCE`>KN#F`UuBjEx12yDCBH#mxX;2o0;_>+1313yh-NL&B~t20wK6w;5bGU!x3j!S_-< zG@*OiTa<4QpJ*{nT1nRfd%*I*8S=HDPKwC&%KPrMU8o$l+I`<&(&iaqmykD7pl9U!`H3Ki*TcM z37Sl&j|*-{vx*)!o7LoeG!;PGv?@t_DVE(V#y>$CH2O(lpoaQ;i^X6Y38AuCb9fK(#~8Sc8WsgM@+e8OnUH>%s*oM3l@5LwPa4g=joz}sC4>OgMz5f7rS@Py0zj~j62zd zzdC*+Jz$Z2c}pe&{u$ zM{Kxib>E{~^-(MCt%V{nbG4j-4!d{(49Xv=>i#zNi_4Q+B!H0Ex$i#Bj9VE)Ne@~d zaChPAY|nSHyns;_o5nS}meYPxUoPc@Ip?f@FqiepQSP;9#5}{35hR1Hib1_}V^}B} zj-tEQdcr>ss@q+Y{sqN<@O5&TOi2>-oXi@rD)sNK^c)EbUA+Zs{E9xa7w@AeE?e`b z#piTYV^EmM^ta3k29oT>Mv}8x80f~!Lmq8L%{|~1Mu9Ak?3##I_AeD7iTtV@R}_rB zcB^f|c|tf_IN>lJy~*L#v?#T6-z6UY{23#PPvVS6o*k_U?}lbO_9l6?kW!|3+(L$q za7c!nr&P9NzJ*8@rxW5Oklsqg*GM>VZ_`!C58LHSo4M z^l6!I_nf#+g6#`zc+L^Vxs1iQg{hO(3n_+I#~W=_-`bQ29~RGP@+O5^t+BuM#q<+0 zePhWjo#0f)tmOIH!xvju_MQ@QbB=XjHfK)xlLiGhzrkL#zCBdi=D%ENqofd?Yiw%y z@uSn>A`rG1R3b4OctZnVg{}NEO&#u>rfqkilgq&zQU`x<#+|KbGv_}~@r6=@c7N}B zG3;!#n#lTVVEHBG-ITL0vu{#xX9(w~{`2C3^SeZ&6^b?zR|Y^Mu_0?lf1@A2C}&*6 z`i8so_P0DA7{!H2`L}nTfKrB6z%WuClnMeFA`rdiiC2AkdqmIeJei&}_#6ORH_7oS z`0hOprLZgW4rzj57Fr$ilQo6m)N?r#h~GgAxk#Acg;Y+!-MQTQp?^2g?=Mq+sA6<^ z4!VV%8R>cd#u;eTXN`o46*gTIGZePaG)jX6)f&=*^EPwJ9Pz{{1(sMN>U|R`7?)Vx zHsqnFm(_}xG^rj>f!f|ubI%o2k@+Q?<-37oWA;et5nclpR{+oQk0-gB%%M#9k3pHk zetaJg`Ed;}w29ZH>?AFM_Z16WtgTyAY%`1!h086Ggnz{zqR9 zd{P6v<(!NHUD7af28veLSUwTzzAyopHx!D2hL!02{!KBW_DxiPidv~HpO+)le$2GP zyBXN~T%*fhGALZyCV9Bj<%L}__#g%BX%0Jw(N%dH#a|s4=Y;JWl*4mPY2GI`Znq*5 z%^L)CeeAR!&&X{@72--6ycjs|cDGNEv#10@-bCtVxPdUo(W$vM3#Z{Z8lM5&e>gJ< z%N;dI0;+P}d3TWJ0O}@bIe?--$OtB3R5PTA1@gWzPBJ5risKD34;_*?Sl?TyJi^Kp z#Q@M7&%b|Kb!wpDK9}FUew&fCKX0RCDAs$G=a{^^KoD@IhjLSVDZ+w3*f8(F~Mn{e=lPL3pC z*yxl_9G1b-Mf-r`%#5Y_3w4Sv`Ka1@CB>UITN0#)IEV2`i~)F0ZQDS)?=Lh8JKoN- z%2ROk63tkjI-&c-oA-L}Vg5@c&zlk?tp)mMc-mEJ`_9r&ye2Q4kk6J@YB(dPvd7&k zZ*YY9YD#1!DwTeAy_3Hkd=Xw|vRtG(~Je%Wz0?XUT_4^bk8fsau~rV4W|f%S~<`}M*w@?gQdylrgXx}-O~NPz$1 zt|C&^JsqbRms=b4OHFFw!=DyZ>Csp}*T1_b^v8)-h~^p*9V$e;*$HW4UdlxfoAr~7 ze60T>e8)z}h63v3J|`pGN)&9^&0O_D#v_`lZi!ZkOVd&Om~o$cgT6T9;@ONT^0n#U zh~b35K+zuEcnDnlBv!pdG%OG(H)yAz)k#h**%VgLu6OayEL&M!&mUSH?JK^(@tzVS zA1$?wXs|Mk+>ogtss{{4KBrZH&+*x)Vx9VhCNAXuI11Q8Xn(~DGwcs!EvXJ%=FFD5+hQTxS6fNM)fKmOtO=F-gR^|fc{{C(6(+~ zeg7g|^s5`%b9rU9jF7(czcT&*=aCH$Hsy|SbqX;g_bl@IkesAK@Rc2Z!LC#PvkD{H zldT=wu2r97n1~!fz^`jKAzivYL;noi^N^l&n$~^wO`#qn{a3+op&l)zX4Np#_Y8^j z(03QZ1dZ?tOHi2Ad`;Y6P9p9X495dSMhM5yi7hNt6mmAkV_7-@51DXt5sWUYGg3wJi{vicuMisB1CjDlnV6BPOld^B{?` zdA#pGymp`?@KZlKj24&US(4DME)G?>OTtIS*@S%Tu7qJ`DQx0&S>OZX?x(P8q`}55 zXj+AXYcaXN`ue@#S(QSGO;FiVEQ}#W&HjN_<$CTl0d|3bUa|nGAsM41M ziI_oK{pc2QB`3x}C@Ividn4|uKE>8VI+)}R;xF^K?2%}ByNQksDR=}-vD9q$54E9uN^^dMvPr`RiFV*;wNr`uZ=We24^%0 zo!JZTFgtE-oS{M0;(Mr$$)t#@H3rmbHceg<9C$_QU5Da6YVjmvRZl?AkUnST#y}2` z1TGr7^Z}`tP|B)98Em-69a`bCgxeq!feoHoC^0%G6YK#-0a|vQB6Ks$3R1;_WT#H$ zm<#+KJ%6y+;rovML?J-lpMd>c@4L|YLLdPS57dB1m)J&tXAY;zu z@EA4J)!>i&b^OkfwBq{u@1Qa#h1v`hw}GP1V8@9EPKcS_oJ<%h>U7!sh;=KN*7Amm z!Rip7?9jutWpp(Fm@!$0@Ce*b0#q71B2kWFe@-RW;OrbOy~gJAVu%~~c?dL}a>`yM zf69g8H|xA@=6SH0Z^DJ_TjL z+b}GW!-da$3-f@5DFyo#Wt{1LWk#hnR7mg*W45D%fr=NEZQF1JNY_F$ZQz>I_en!0#Tj0y^ga4@yHi8d!=XN<$H^)I!J70|T49>V)&(-3`jIghE(y_m;_e5b4$2>~h_gVQjMD|8V4@SX|3ySQb=_53h z#Re1}qJ;#Yp~$g@KSlLbd#msZ3r7Y2$?c{QbVq~VSNi6@in%LcbP5csLrGn1Hle`O zkRcb@L_cK#0#HPJShMvBH`RlP-z9LKa0%0O{kmkuciqHm_uh^OZJKm$z0)aJ4I4l> zBXQYsdAh&v*7s`4jy;n#7fsHBi2p!00~KtALWJw`=dk4@&Gwb%yX z$c@>z?jiz+4*@{>Sz#Y1q<{WFu0k)_ftWGo@zY#A!!pY`)u3$}OWC`K89H%vx>=`s z#ipS-1B9T%af#dXHCf~zcQ|6L37tyElA=Arz7+#=rzX7P7Qeof+|*Rp1i>jUkxrMH zD5?oVTu0rVy$2#q2?cv_{^S!3&Wl#b@6|KnbRddmCW;|fR1VJI z1^#_)Wl#Zz4HFC<)LXJ~^A-kOs(DIxU#?W&&AXgg%@wQDfM*K-VkeeS2zg=|=^ZGEhfVhEj^Lo40Esa693XDDYdt98V!7t}3fR%C4p9 zN?cX%%5KuT>5~<3T_xL`P&r)mHvAfm)IOe%E6wZjf*bX}FX6Y#<5FbkfjQ|qjcWA| zPwpZMc4j$0@CBKd?3maK9BPHPw`a8|uk5!g&-@UJO%g)(YJ=bQ?;2TeZRitZVi|Oq zE&$9Xq-v%ez{)dW5{L(QD9mcy25C=y+*x393mE+pyB)W=u{=>a{zmr~pLQdb+W=tq z($Vj!^08qn{P3-8%f|zt;4;tos}|4P%f!W}xe{4v)$oxWfPu#il*Q*_oWSTK&6Jx1 zv>WZ0&Y3%igjFkQSHI8YaOh*eQacLQly|^)5=pn#)ykT*DZQ)0>c?l&%Q83P^0YqWgh9A_ z7h`^P-|aUfrbHz5A4yvDx+-V#)h!1jkDW`g)w+-z?%H^$1pKCmmoKsEW~Jpa+GNjW zV91MkM?cM>xfM!h$}R?rRC2`L!(8QrhNJ-ZKeAR9dNSzqv_%j^ji6Cp>h`chI2Y5H zhZi82F_%073oq!Fkqh8IHY)64;`0HqR~?-UU5r$OPeY;a2#=HAOIdA9t2sOxzLdty z8d7_}CvVs2)Xyq+!p&haClrDG-Pr;V_5Un{`rHC_Ee&>G9Up=IUN5 z>)r_8K4-Jm-TD3IV)xGVReE>IX_=&i89qGXs78=-*f3I*OT)P~KsWlqKo&2q9NdU~ zgV?O_-el{d8zqZMgwWg^S10)U96GtF`pmp3IBYMLGjuv@L|QbHc3cl6&OMn?GCcU* z)RKTcZ57B9uAmKJ5Z}dq6Qe1UmQf{NQ$tkJW#U$;!OVwhd`Ew9myJ?uv0M5u@CRA> zk_l1GSi^L3rgFts92ILzyR%}WCk6q#noYSB|JS>S)B17 zKo!`%&cplNd5%q2K}Jcb>!sSVEb*nj1_2!Vvo%(UWprHmo1n5SA9E?5<#20M&j9}= zHGiJXzo#(a3;E*RIRV}8YM>K6VhhmyT0$%MQxx!>aN6p7te=}&02`S8R7XUi$L9|m zUd!+zCg7n@(=n)bq)X!5u&;XMn){58>1po+*7{FD0bN9$b>mS>p8LgbW5Sg3WR(pi z&g-1Sy{vZ8aH{{bGayF+jOgc^nI1dig>uxVDY0KC`~74cV*sVkT~p8h_2x;+oW&MR=l|i{yUj zz+K;D&lvqyU4u2&50x)`q80Yfx;YF(EbZH{Nyb z8Kc5;@~y*`Cg&Y-GS#|fIw8g1e!6Bs=AfC>Zo29?U|bXiUb!MD5whB;4d;>r3&m=< zrr(-wl>nJ2WPM>z%5Q#*ihQ{rdceDNOwS5d^Bf9><&)@Ajd%B%MFMTDUBh7Jy~I!d zmE5#IN;DxFrL&1TW$a~4@$=ncci}~<46q!Qt=mc4=(Z^jx5QW1*Vzny>4k$5qNrJO zv46A>Hbk!2W*@PRec7hZ?wRBw^t7uhE4K&YR%v#*fb&**=6r@4c%|JK9Qsjj{#JJW zDMFDH@N=Wt5VaQ8Xh(JcL5_r22sB;U9eP-y!BZ;@&+;rm$+~i~ej177eNPs{A@s^6 z>@RPV!vMF)Df!0wjZAgmOFLWO^c(XLAIO9cXhmCRsp5v|mk=Gp1gLRIr%xGz6qeSe z?M$PK*bVBTx$;CbH?j}03fC$A^4aP|Uv@!lU{&gR$&BK_WXMV6^^7>3U!*)=^8U18#)MtcN1ZzQ;DsJyG5i5vR!+%cqkzkRl+Mefc5t z&&_u1y#z+;8U^bM$Z=A{nVp~(%S9fgLtWSwGb2-wKS`XUrzb|ocNQmND2R6a-y5iN z!&KUeFwbF@$XK zJ?z@LHM^IuS~dJxeC48SrKvpOgljyw$Q| zy>BU^=m7Fn*b7F$$pHyPV%>e?5W-2NA;zv*}rT3C@_1TdW^0qqtu#~?F z+Su2=g5%A-21KPH#_63-T4B_kw9!5WxZ=2wv{zCyF9hb1?L?+-Hn*e*ehp}sAw(wM zU>3T&1=*^fJt&!iY=1sJBa8B_E)^^IZpL*}8T^$J-e!o5O)1}vh*C|EK8IgoKm`B( zrbxFOLp;79spQfJ(YaX&g$AAUGG#lhffEGIA}vnzRC9+<~eD(Yez7N=;6O)%a=HR3ANL#neK>UG@!dhanv ze=|-*X=?Om1B~_5MvMI1zq1i*T|5$;a*l;Nuffp{*~f z9uN(^mop~LMm;^jXM*qN4|4LG=)Mu2HMf(TUsMl+J2&Je)BH&6rLf=1u$5&KOH>_2 z_)d$SEJvCLOZ>(ITa<&stydZt2H5jYx~010SpmAzsAAqGrPXC_kT_jgdyqxyKFN(| zGu8-0{f|{M0>qk;n3G19vHJ{ey@8`D%+=xgo*8BIb?%xeV}Cq;?R^~zUAdZTNTv4p zumT1QuAt_=|4{)gMH`DN@ZkT4Q+Vo=HI#imJM(`56I;cf6OK{5B;$O%3guzH^78Ob zK1ISHT&u`1Lx}@dj6+NRD-1jMD+?i9luR$W5ep}8I*0Uh<_gWGWh0`!YC(&&1$~sx z<t7K_`2CQ>s`vw^XDOeDAJM+>}7t%r)}V@7gx$?6K&u!EQJ?s_k)LI|XY z3nnfdtL2|g`kO)4uED)EaQx@2_-WtuBYmoo5-$!7xI8CHP{;g+IyUOXql038HjD9B z5O%^hB>@7hrBw>(k(r81+biOo_dvSeCUP6L3=JZq@QjXqd;!vDi;I0q;N)ZK!UPjy`vkS zD)EXT4(?7zyusU}3C5e!=yft;6en-S)oq~pGSZ@|ClT@3R=g9JtQiAfl+3cB3L)=D zGM=f=LZY++3zx0h&g8k;0otWgaVwR%3Z8n8s48-D^K9l>lH&4g+XH>04ve|fL)AmSa8E5NG1w_!Q z&a>&Hy$K5OOM4WSjf=2Stn@CBySMLuN~titAoi^PJ*n?TMOHTGA(nW4k3f|Hhoc>^ zEtKeNJFhsUtor2ufc@nKNZlvN+xYA!#h0s>N5*j8Zx7*p@J7|)kYU#Cm0~1i1Vuo% z_OE-cbkhjaAd{Map?LJhfE;hGy?fxEa)!{{IHqSzC+ZnZ)znPaG<%m{f`?>#A1h-I ze>SjtnHY_t0a;xfV=)N4%Go4uJ#mv()E+?KQ1eZ|$Um)DGs``A-mO}s<%CU=>S+Sl zO=MT;D98uNvVsoqmgaWv1<^Kq>|15{Y+k@IT2H)#5L}S2oX=cFfsxIJAQz?|Ph}IT zKbPSYF-<;3HetTwb7*2_r+H-3_`EB#=gVoT-x0ElUmPt7%NdfgH>5y>x|Mu0_K#Fo z4ruQ}el4dk7v-Dkzj*IgJR6#r%Nq0gT{a9WfKOFfrpTqhZ*Ky1>nUO?+ujFC{L_K? z3M#!)6fr*Ph0~}ED{UbNn`2P(njD+eUo@4D-GFe%UmtaZjip4J^r|t*qpkuMcm*WC z223Z4lwicqxWK!KpRuY^w~=42FbKQH0;nracass2k{Y}f$f5l=oi|K-HF`12)t^(1 zfNCs4K1m_J1dxhxIFbEiLahlWP6s}2B@&W7K1~04ATjB$m7-TJ_MEJj@WzE_mzY{d z%AI86AgYaqoLNQu5Psf`tCE{#6d8)BeTL5hVa~Rb+!qOd=f~wEv^YRatu2&-ePlkp z-0x5~1J{*A)P$wP?y*^dEiBUWFW`wJ&T{P`%=Re8(9lyQyA`$w(`;O&;Uf`A5Rk}Bt_di+RDho{DAWIP~rsax%+lH-Oby+r7~nD*A||On0KjIU-bFEyu8* z-mG=S#**~K(bO?tay%{!5M5CSyWO1K`>W6n#GaII|=j-5=gR zn*|xScm@OiG0dJ%-;)H7x&RPdpz8q0(-O;QDi5-F#H1l%f02&$MaL5huM&=f!UR?f z2(rI0GBPNuDGysHE6kvB{uSaViogeB_837ABy;&LDcn$DwMjfkS6oQumbaV)#7cf} zH;EsJ6-6v&YwoyV=yS7piNre9SaE;{cIWd$wGNtFl3OO6wb7UejWsJ3DL9M`uULcj zYFS0)!g2%e3zEG z5r7GXjYw&OI(_kzAOW+q=%o*gSI@iI#iU!K@iDy5!wbyjldpc#+2sukRM#)pnc_;X zpksD7FVR*NJ#VP#`8nXLfRHuRNlU*7E>g6ee@J;MZY>C~6_ zVFfEiDtsf$Rm^48m*f-BR&h8a2Ba5>Z5O7u(L&tseIPt%se>8O+I8o5mzLx`phS5A z3%FUctnDGJ=t|yu?B7WWG)ELe)I?;F|KKt6t7=^09m_@m(=RdM7*sH;=MT>{aS+r& zVC5ur;Ibt#RSuOV#{vx?>|ys_&QbQx(dMR_LABu?TwCTra6=XtlT2S%Geq+eHO^Yi zgf1$B?W)JSY2g*p)X9s(>d%mVeXjJ<-A=?5!1Y+ z6Xpw#Ur`y|i};Hpguv^oCZ5;6Z$whdcvDQtAcSEeQ8nym{$}5+Kov{3@lZRMjJ^|# z4M^HGS_1^ij^1#w@#KV>X+Em`p$@lCkK`)XZ0z@4u8PM#Nq)0a^Sl?Q2YJaq1t~!* z9xEKu!n#Mk=28ut1-T8nWfri#Avj$e{yCrn-$^qvgmh-_6@rSD{qVIMQ~0ePeG zLDLe@FTRwD$7JJM+BaYE?TE7*;q-)Sp;~?VaN{KSmV0 z!7pRZr98oyIj*TnoA+a*g6q~x-w~OP7QZEQonNK|7ymfl%!eCeK!XD{>Cs4F>391~ z9K8N>F57-^sfvs!Ybf3BDHNFAX#RozFeqVc+VX$Yc(AsuPUtgJjI6BLoX%^z8W1hC ze^cCR8`LFucJ->=Q(T~jwzl8K`Gj^J!k3!gj@;c3uFbZco7OoXkyGStqrV@Zp`F6= z4r*-Fo|?bERwkxkbs`~JeRS&Qyf|wNZrO`h!qqB1L1U#MFo1zRmrkj}liN#Y1VY1#zUn=h2)>TZI~+?{iqYZW^mI`(I)VFKaon)7KMzGBSvAHfQ7bZ_Mjb z)Dzglpl#7jpQNVG)0-|(bbhj^h~dBQA6?_@_`M{ ziLP22ty)Ti<+&dDmxK~ii9D#~pR^F#G!6oL3BRJ^kx2{yf2z)GE^C+?XYYP7Y4JeJ z>S~&Bo+N$>C$w)r?FtD&E)#VDzRvjT^CMo?UTh@U_(rsPo#67=cfMmDkm{DRfNJrT zlaqY^Co3P)t9IDvc@;_CCPjJ>8p|W(Ud{g(WeNgPH;{!AtW_nA$OhO=?z^iy{U2`t zK5&xXo%eQ|LgnXg_71Won~a6S-@=*uT?VbN2KX zLnA#M5!1=)|C*3V0!8puOdS9A3FhnJw+LX9OfRCYb0^l9Bxg00IS7iVjW312q*#CD ziXyq%XId9{85k(C-M^TB4FVgT%!{z)5HO`&fNRo*oG=cR3QtK`mv4zf^{P95z)2R$z`qe2hbkZ}P(j9Ozs3xiMqp~~BDMbw zD4{O=Wg@KZ9B}#MMQsOvxNu=y=9vxWr?Oz;tC3%RZ6mINns_|x5iZqq_U>?;XVCeC zXSm@t7ia$HG_Z2(pgCWQE%8~I^NtAIW@S(k(CkQ$6^BYd!N5Parok~|`sA~sl8+l+ z*A8X2Lw$REAkNFOuZ*I0dh7nIne zx7i!M+He^VS-`tD&Xr628$GLbVU_1y{_)uSh)p$N zbi$ES_K6Zcmnh4wAz>>K3!kk6?f#}iPKQHq=}2~%(NyhnYhOMTSeqK56UvE4=+;&y zVXL=38C*|*QdC_5+!l&q=wC+}(caIA!}P{@NIFZ13A-J^5Si&iRpF*xT`0}T z*mTxArHfAhyM!;Cr|Xc!h~p+}xqmoIVV}KNF0=~v9y>j?gu1l)c;7&Q zZPP6L0Jvfo!(zmrAcWvAp9qwiGRTeE_v&JlNXu?7cyuxLx z!{V~|T5|Ty`~$Kz;nt=FanV_Q^q!*u@!y)-#K`&#SPJeoIgOI3xgQLKX{nE=63K!g zBo;Kpt4pO1d4jih-0uy;^jP}(wY6_d6cTpQZU=_r8kttrIHr@(L(^5`a7Vx_^wL@v zBr~J5=O}I;LhX9-v_n@<1O-D}1bza7z@{(%U|u^c2KEvgev5{P0i6VsSkKh5I+=+{ z8hI*T*PI~P%&C1g{dkU~`?%74~Y z;wYa7f-&9e&>rQ5Y`crmO=lXKjLoC)nck~hwGw9C0>$VsVYT(nW4B7k_K&6Srqo>@ zP)u|!H>o?6c)M$kO2gG@v6kJg7t>P6m)`K}z05OFYusmTJj)C`to|$0|9>7?&%XD$ zhKZIQX6*^aUwsz9jhCU8CDdn5KLKUJqbJ;{0RIUtN6&SIy3cDFAI17OARC_UHOfG( zAElb7p=qf&viryr*c*_4d*vN`%^B40HXxZ=TFj9l5)%w}BIvXt%52iN>>`O=u#;m_ zE?0WjXzjG5tu$u93+DcvOt(QjuD??}ilU!JxU?CgUZ+0Oz;riwbZyha*oS#Nz2Kmn zqIu&&HNky_HIIU-7;iI6ob}Bl3BK$`q6J=}!b_Af;t%P6Mk z$95?2A0k;+(ba=wzrO;G-jA}4P}!%EIRn}Nc7&f0AjyHSJq^@{S?N;s16c~wb}!&< zGb3QdcH@xlBIc*WHe4njerc)uqwdRl&b&)xQf4M)d`mXH@kyuLy>XAMG;UPXb81Ajg?$y<94-?nMDfA4LA}v$FzcAGg|MI%p=WigJ86yh$dVSEdVW- zgWwazQwJJJGjwZkqYoIDwVw|QJQ3mw^n6ZaEtiI+6x~}z%Tl5R!S&Ejs6B5smu@O4 zLTwIr8abeE+jMov+r31Bf7d4{;fGj(EA(>1x=E0P3hxCRZ~4AE%Z~(Ep_XhtJO^5& zch;PeYi5@l+Kp+pUmNsT)R`#vWHj*NKxw@FwsyQHMF4IMwU(Rhr8t_8%!B<^=tf^h z(8w+XFG$^Jeq3{e*$b2`S?XR_pNX4Q*tQ-9{3+1$`##W>re6*`?3AJ3CYRamLO;df z%r^6%C9z|UqfE-mQh({a3`g3J%orBAR#D_DQwm-Pce$(UQlP-ogqb+|YciJ(deu^?%2>H6mDj$CE$- zCPG$jN1()1TbIA~*+~xq%UgVU^f5f=+{UAN7os^f!uO3w%xU5}vm#x{@!dpBd%=q) zk(X+XNDkUy_~{FiI(7M8p7n2W$v(XOzH4Xdfx=%ks&C%bZ4Q@KNbx3RKb~3pHn1a5 zf(>jj0Z&(tl8(ZoRToV&JnWJ=_8{bgZ1EPVAab7z_;L5jiO{(;Ra@j~hg9$cXK{<% zod}*Y^u&pK;)Crw9IKS=6(oBTq7sGxxQRf%>Z(=BZP>RX^BR$5F8LRCHO1^_{s}g9#d&Uh#e*;5kgGf=R@Lh7Lu(x030K&JWHXvu>@j53mzIAIh)LHGf^Y70a z{ydyHSr5L&dIgJUtO^Py`mIQTDf{~#`Y_C89Gb$cq3Uk}dqV6q^zc0<=t=2~Z5{`K zzaic&7~Haeoz+;!{Ux z+jK3(9CPi6e1q@rxw`LiFxIwPP4gE*X8_p-!5Gj7s@!EC{5H-oOkX8zX2_Pprl@kx z{=-C<-ahUAJiPx`jwK>AvS%S3j&u~$S`HNEMwc1b7$~!WS^;9Xi7U)=oN=siY_C&t zN%J@V#cknIAT~*ZR1nvvGK`#CMQiGjlHBrxsvwQXTAmJyWFN1P+h3BT&>TvZ`PD;7_*0! z&!6PgL%J^^_;N=uvq0T`BBt2yxmOPuta?AlZ%6RCYF!5*-}4SzAge>$omEjDX#5RGq6w3I>G0M3_Np8LVFA2w96mHnCf~FWdGOCV*iv@>o07(}!a{NjPHmf)u5n2&e8CErA zk!yseOrr5V%PR$prc=!<&c5`04mc-Gsbp>g7IAxxL2KYAP6Lz+nN4Q-22h=8L|0Ao zm$`m_mrwbriJ1a(Xc!RxsRVa( z169v9V3j63()S;fQCFO=*I4qm?jnYM0#kN<#R95NYG%l@&``bmo}v%`#sV-gh1RYHmfJXo+zBkQ zEDL!{zuix`**%;$CG1k>%}IUYY^5Y^Nxa#Hh%%;d$uC4mfeA+yPQgXl>*g5rmTjd4 zeL^?mO*&AN^=nym;B|icc*LAySzY~^*kzG}jK;l~;e&cFisN5676`1TG~wJ$lCa6M z3{}d{Gh`b5?BL5a8O$noNxi*_D%1dxOy5iB0YqEVdnsJGvu6q-)^q^=?M8n;E7xJM zWY?@-h#g8H1%?et@nw_yiJnN4D#7jTR+Hn`&C%u{TJP&%BIqRlZB1Xj5@2vYa7G+I zKcSqjaamqeDu)w$vK~5R!PPGjICG}iio7Npd~Y|JAtr)dur<-ts5TrEH zA9tX|zGkFx+pU?>O~1;+P1DE=SL!$4c&W?0gx(RR=H+kWfOTqh+ewbLCJZP| z6}vP>Xt?4bYV9wphnl3}Y^McL%G9Q4-aA|LiT1DaeW! ze7nQky>`GbBug4Trdk({hV}Xbf8e7?i*lWHP65T+PQlvNkDS_O_M$`9H*?~4h)r{W z@>k4=Oy20)p?SN&c};l@fnyNA-=<(ZgN{|6BAmxG$UL&@a%(^t*mw{U_rQ2PzQ#`@ zR_+)>T%7p~Yry;PS;%;fv0UZSY>HZ#&<(bt#(V)2xR5Ds2`2jOn|k+#2j?S76A$6u z4Ozh+cIb@3M4R#BM~$$q7>5*TN9IBwGILzeF>W1eDcLU(zpB(bDI45BfXh;*R{*+h zq0M9RKq>a`v@9{F%3P6(Ov#c=`r(-B4$tx8QKkclshu04>ofPZ1=5NyT9#URzd*W> zMoSENEt!$(LP*g_Vt@QcZl>W6bAR5Q&@71SsoY-aIxf8m|Fi}KJ=@Y;5W)Sm zDKUieK?Zj!K(K1Bw5xKf(r&aCW16IozzIr+{GR5yKVTR?^&N*tn3h)3DWY7QTat=$ePPtr=4VCmn;xpntfZb z)RXtYx}b`$e{7RKl@me*v=gxP2y(gfd63$)h9iFO=#pKAO`I+iIeY@J^emk~3nH=x zcN^IA{BsE8!VjbpjL1h-;zqHZ2$V1!oZ{k*EkGCxY8USQj{6)rf2vtSMHTdNfJ|M-{H%!E;@ud&3GrTY=o^@-n0118J+=suGKWaA@ z?93~kBn4LyX{fZLO5$l%7*EPYNy6QQ+Bd&R%N}rKSbqQ%iC&FDjUSvzj3edB21H z=G4$eth4P(L)8(Zw3&_dMoA)hUo)jRD&!JKU)jgy?R3^Y0k3G@R+Q0yc?fE^>JJ=g zxOYu`%D5FVNLg|cs#87DI0oH}_i*=m2-9#lgR%4Mf}!^ENJNABSdcAJh`4q{^7^9! zV*(`lJPQAs*w;eX1O2A2^d@w&7bYKmto$AUe6V5vNM9p%a}hV8=Rrq0QzG6G*Y^d` zA9!Gc+W1FYPM_My=pw^Ou&BK%1&(23qiik;tRpW?_Nlz)rgiezV?KEDlrwx#HP8^= zCtSQQnaH{lSdL)9ER(K}yH?ppV2x5Vse3QrU^VbOgJ zH!xZc3GF`xlz6goQsKAnAuDUB}uk0RsD*M^(C zqxhj3c$YBA7eLF|KVM{rjVC-52~EKz5O1$9M?pIw9B%W2B&pGCKOYqNJTGIMp;dSt zyILyIqm>|f+AZd~vNmbi??G25gD|^i?-08qqS&7+CrVgUz3rLGL{$Y&HJEMTLD1q* zAJ#kfvIfMUdt%o5?>Ir4!a_XEQUhY-aeJ_(bg6GQ`1-#eFQJ(1ixIEm1~&K;eegMZ zx_I2IM^A{2aP6iD4JR?BJED9pl@5=6{D_Zq$31$W*uk_tM?OD^)`GE= zTz;H!FNrR9HdbyZ1sO<4sqW949#b~;RF5)h4BKxDDy5m~LxYT? z@kb|`$WN;axTHJdu3PU4qoby%N~p5=_^+RSbF1T|&`#Dzl4542-z9!#*!=KirN(Svtm|;PfioKL{8&%v zV?_Xx7&k|2KK)HL2j4Fv*{`b%%K8}Q#bvv7<*C(njH9bIhJ;}z^6p=5H0f#yt76{EG5g&~!v^9!;9Y+7*CPE9s z-)RT(ifqEm2$Yf3Kth>)=H*jI!~Iytv&(o$oq_eiUVMb`e~1T9C}@hQnI52s9U zu7l*ps75*HRI*iVE8T18&lGr1OiPy3pLF+pvt$Km^2*RJ{GK1~I#+=vJ!Io@qd5$v&`0t1hlO#;TVbe;>MPN4x)gL+ISlrv8cxX! zgxw0Pa6yj9abMDcDKaCl5xI^tx0Y0{wCpiRJ3-?0rGf?)83}ZkUer|<0}vjnwX)JL zT7v6ahxpnicM~f?`A1S6j0Ld?dmgro_D+I7l9Pc4Pc6A5RyeTSz>}zGebIw#!d-(iRonMy03It*A-Ttha;IO&kXhVh(3NSl0Z)PspZ4chr94+xWSrtQA zk4{Ubz24bm<6rys`TaE1?lYwx_c@-`d+W)8uq-+r1Rv>GkK9qthKf;TI+6x-HY0cb zs#Yj0D<;U=(8|P-Xj5ZF%c7*iORIfena-QWbI&}lz2?g82KKMSCNczN_piIyxynd| zW>4=6`cKl@)3KjvZy)7T`xD#&fN{+l!L-^!O-G3d69R*Pfoh%$cWgPKa?M2GYV9u! z37y8cp-Z$AEOm2U!d7`kNR~{vxD&uKd0SLpgrwRD|JGf5SnVeZlD>)LMqPvZzA!oI! z=lCF-rFx=C9f)Y5%(u=3i&JGm#LhyAz#G04yIXOjxQC!7TH&eHGo!!1CMs{Dhrk(> zFx3=A79=JJFk#dpXf|v%Ow|~3TqE1qG>F(wxM;QcV)b|rpFH-AL*zCMW)4{o<_|X4CW_S}kb~ zeENl9vNQG??FW9mFq|5HgPYN2&*F@`7mkr|vOo72EFD5yne#n=hZh7QaygP&m$b<4 zsr`?tWristZw>=9ZL)KIld3X_2|Ysc{{RS{2WOJD{_-B;Pg=|%>GN@%H+Hdha*syc)2mZ+ z>511EOZ`YP$bkH>O#lD$$i~p3b{d4$4q-8!YzZ#Xpb!z9{AVILv&)kD#J*>_K5O;Q z$ieNlv@W!0&Pm~&`TV_d;*(7Hpe49*g4bG{puv+@$b zpPhUU4Gw6NiRt2*WqGJ16X=?{_egh0ua3$L(PeZN2);P7GPkMk=~3_RE)*YWM$n2aP@} z*{5@o(duD8SnI@*8}jp}!|%Si037=JF~hVe`Yz~F%-mx%=bfifm7q1p4Y#uV2jnJ>7ee7MU01Y%-1Myu6OCnd(j>+H3o|k}MZR8HZ>k4F z7|p=#H)GRq@iSF)NY>8L>dnGJa}&n1MBa8t`h=85}O>NA+DCg`0P)>F^o*soWxCD-hoFDVIqY!ci3 zO0_p^pbEDtw!|-<5rpK^oUd|!S`qTlTd+;|SduLPB&56`&W~&)C{--;|3!8SL4%n- zJq5z%yPdtz_#7RxS1jPfYsiQkZ}LAM_Cbeg?q1UPtWo7M$+_Tk6lwXZ_hdZMyarlc z0&@lb^sJ!x=)C|w0E7i8`ISQJ6%hgN#cXCr`?dgSGl*GnwihXUr8Hyft~E)VXr|m! z>_&#+89R<(d|nO*L(jBpQSwXm^|(ks4E^BIRf<5W&vwc_eTiQ-h#K4-HApFyE7-Ag zFF19s&$HFE+;?;xFVwsfY1fj*TNFDn394ws$s`kF` z3D+P*;k;vvPcY}AEq|fq2qqS|?Eeev`9bE`Vug5J<_Vtohxc>4xy<=BOk_FwTQ92!C8qAU9vJPUf|rFL)pJ0Jn{ITg4c z5H2URZ{u7ZP+GS<-acS@$HJ4kxKZ-~_^*LWJ?3=$h1}S#p&RA`JQvXBDrR=(y7YDg zXSA8z{r1Y80@Xw7yosCjG=+u}V_V%(*3HP*wCGMJP5+gY3$I7pSSa{E=i;|im^{ci zfx6T?V-kWLIh8+VM?8l#@p0&T`n!eab|LYJWa(P!gsy8%YDW@Z`Jh*`gdS(rrAX`k z+>uYh87VzKLRTQsPa_S774!#`mAf7|^rZQ%B~0trw~UGj4~BPu>$ z;|vRDkvjXbw(Nkz{O5Pwe+)RYPTGr~06*N=pAO!7_JBlafmz3gF+7%e2tH9$C;&Nd zXl`lVA;?$a8ZTFBV*BtQ>77Rvml~b(9h{OTw_9?x&64e81D0}}4b{o)WeG2{2X-Bz z<><*S%SGpRiRT8ERy!Lk_zTD1i8?7qu}m8;&)reg;BiXIHZSxiu#o1hj$p()fC2VH zTwOqGTq*CCRY+@sS4k_?HW19dd&* zNmqCM)HR~$QRM=wS|qfj-r;fmm@r?IwRd>Cp?;Wvv*N1L`Xz{98I6$PFSqX|ijyES zXQt=h*pxiLBTNjzi(|H~^o+O9sf{v*wBJHlG1DY<^;?&&AtF4CCrHx!Nf}Dp0Zdpa z!@MzgLu5DeL(Paz)o+<0tMfi%VdphLx!pF4$J5`bSSwBiCT!VR&*W>9DAYnPyc7KQ(#JJnVcd;Re(pOgEL90BgPKz zOBHl~9+0c1dcN@wjnmfGqo5_98q^Wi=_H4XW&{uLOrUR)dmU-p)L;$l(n%#%IMA4l zcp=Pk@3femG7SEoqCi=&T(>YIT`kcnFQNyw&M?OuBq+V@fzn?HK=u02U)|lNK5vF! zax3jM3hE_453u#{v>(@8(}8%2pd5=`>b=^p#Em3zb)wZqJFN_)Pi(`!jQ9^axST8L z2*GIcz9h@}5(|YcslZ(^hd$>UfL^q-!Iov0Bw()E)a8lRwbdA{r&{faWE8>9vB|_@ zg`rFaULwnY4ZXJx+t8IlI)ieJb&uU&8#EhO85o35|b0 zZcS%Wv9upOY3Y*PdP3(sNWif29aZbIL1zAna)GgT%THR-vC5we1*1EZ3L!9_{D^m+ znwI3hNSIF7jX?LpZx5Lmys?zNJ)9C+DSE&?K`Sju`cX6-SZ6OyK41rU%e^AxAgZ+v zQuSJRqhb$|_@Xj_Y3C@@!1KgGtytFnHA;A>=OZjuRx&m`G7WtaAsOu8l|hGF068x= zmI7B)UYiLM4ZkpAZq5O9m0HScz?|Tmnd}Y*V{cK&r?N^a-`e!cmvGg}f8^twKI98I zkZN}UY+Y-${!(I%*~`m{DQ*J0nq(@T+`PAT$K68+$BSt8&za+(HmM9Vc9Zh$uu8Sr zNhLG9vQs`>eKJmWbGU_piojMr^$D~%fKVXt`U}BpK!g9>9)!X za%-1RhGqhsP+Ntvm-;SzoB#S84-(W}tyD=qgWA0ks;W)pau0G?=Ds6w%sLnt4KHko zP^o+uc;wpIUKD}0{kRG!WTwSG%Rh}Iwo%Py23aZSDu|bGyM=g?o4UY13Rg&s<%Cei z|5v8}|9NC%bA}jWr-EH>=r3av+bJwd4l&|GJPsz7oZqr4UQ)vhl6#F9ukMiOd2MWv zp8Ok!drVZEDDgc zi5?NFr!7lMi?odL7~v(A-y~phbo*A8!KMFWThbC6e1Bk4-#_3&b#B``z2`7Mz2O*# zTUrBE|8rHN^{+PPXmAO0`Gv+BJeF6zoNFGI#?8YeLrU!`ycn7k?WV0yqJj^HGX<|R z*xnZcFPqcA0nvQ?*_zl-?uiS_QAsR((sMKA=wl2I94J)^*x$7FQVFr@BvtFSwF#M8 zA5^EquQ)dC!5tUU{|x5>;Q{WTXe@%H+kG8pVXhFO-kCf?tJRuDV}P^40q}CS#Izfa zRb`8gZ$n+ydS^9{bsgv^HuP*dFpm&^xzuGug z<=re_b-LX^9=i-G7c!D*6G2OGD?Ns-0My`!=)9?VzGL3ei^xEwkExcT0(s}* zKLP(LdrNV2H9fqB@*Ej$!-qH&nZ$~dud8a97P1|~J?Ua{R^}uASS8Q$nhN89BIr7N zw}3=GLs{q*=W>=rWK6){vG+Pd6LiP^?PXQqxP4DP8_fP&5aDDZH;epLkC!Cs1EH8V zoVvoEnOL@qhIR{vh^uvr)4Yzbxcv%ym3a4$Inj+yi9#bEeY#CdXA_>s3AJ{AjvM-W zfX2+PxsC%Q$;w4u#2*}`ol{mO&0kJ$CJ2B}#-hD)Yd?4RTVVCtYUF0qF%AL3n3ccu z00s+W&@wPFU#w+$-yfrwo%q)V4Zp?`rYke=b_tCteM-bl)W01B&A+{Xr4!P_By!M! z-=T(t!Aw-?}z-2v13cR^7bU8QTZ4Wiy=3 zHKdeG?3omV^dB82n}<6c>r*y&e7}LKEPlmBH}nnn ziBydH)28-J`BX15)n4S&5friuz#ZgNp#U6Q?o)%=(;xFvOoT>(xPT~O zE+#jEfod~tjn*+@_154XjZU;HE5z2XrC(Ca7{jyWTN zNODo`6r(cOIre?vpzs2TmID5l2a9~FC$*jtV|rEK@ zM=-JL;T+DC;f$`?qlZXahJj*h6C)TkZY!%K@Al&NjIt;-tQbx0M}zIt=y|3(pW=x7 z6R`mXw~k^)MM#h&#HAQx>c$0tZAuh3rQ`bshTaQ}?UfDGQeVz)2B`n7%agMwcxdC^ z(aeEk$F9p#y)Zu)d1nCUAj2JvF2k>Osrw}P3v9YkOytS5oIbw3Grj&ZAHA@!jlSY> zZAhaQ!!3V7+zwtyd`Rf*xwr-Dn>d|&r6;oD)DN&aEBvd4TGX|fwo-@6B$s~6@7GCv zlXI;Dy+7CAUy~omK3s>{p+4C6BMO~aX--${ydV``$TcU&%5c>)<5kaa%=)roSY%CF zK)q#qGV^p4GO^F`qc+^~iLCms5xhrh`_5WtqLMN$2Uxcjgp9H8T!%uWL|T(pOHQ+LgP~Nt z=0xdDmtqhFgh(O1cs+V2fsL$2>ghT!dPqxLxKGQxi)fk7O57zM0y~qpzuUsW`0Q`c zHN*AFjtXRT5&OlDgeaB)x=RtfuQOQBXn+l`U0rp2055JGHXk+KIrUAeCbg}b!mkBL zl$yLOL8dd)u?TnLORLr%+`DfsNvJrecUwXl-XP4AqdXTv5X+pv?i6h4y91T{Qf={R z_s3j8XO&U$ofjCI*2aJfslyhkaQIU0o3rya_9Mc1SBV*ltp z2W#sr8OqUU-mzWrre4FZ<7IXH_y-itQ!BPd)Y$IL#+1 z+0!8~&WQx<=Y}G2WtXU~SEmplr?d|(^VFE#u5P30c77>4D=Tf+$?q1f0S}8f7P~I` z4TtCj7R78{(SWnGMY?tVPAn1!Y5CEeT7+pPJq@er!%enOM# zOFZ0qH9ix}As1=*sGR!L(eHhQ(lDa9To>S$JRFyVq7N7`myyt$f8CP1B&=^nSI^+t znc%Q~1fh3r3=}DhouE>fcx|MQaEKys=w@fH_6Au1oFm>8^*x};q7gGMOH=9lN;Af$ zCN?A9j(8b%O=yF?xQK`NS@D~gLpnywFu1rgz9D^A4k}v3N{(@w*8{@)nq(X?5m-j8 zT^GL}^oMP)IQd4%!%T#;tt*T58KXFkI$yqigPSeUJlf^kb`uTK*&fKuuQHHNFF}Y1 z%MPyd@dL0LLp`Ey6Dsx_h5h0Vio!U1SBl9TAqe&cH3Z=c@pk*iDQ#U-u$^WbvlH!0Z@M8GH>jGJ1_Y!?@hvQjxK5*?_E(x zX?-*e{nuukq~r^L6FGlY;oL`5F1B>a=y)Yh-^J!jGcpjV=0Sd{9g_S1%Jly~kL-%? zXghL3=is zzUzz%TXt|z+1QP+TmXJ!M~1C_J~aBWueHhW4!+J<#G7th3SA$tSOU*#VzZyQB;04? z#b2F{jn!-d`Y+|6e}6{p^#^!=qLOW$X8hI5hS;az#4Qh(p#~(8g&lK1Z9J%RdmO z2YF@YKeS4uYR5RI;RR!o*rUuNwCY2=!zJm^lcvz3@#%s(IZpJXNV=>M*%9hoT?z

      Gwmwf6l<>LIglllmIQzsyF!KL1zXl^pq z^*(%Y<0xLNQv@y;%C&#EtWH#;1`*e)>pby=VeV|!^3B5+eK?OpvdPM^lDk$~Fie}E zlio&!`0P7c+=I`!4UTJQ9!nVXzDYg%#_Y6SaNBxoUNB#mYhlrupyl({u4lS(sqYW< z8n4vK1)22a{i!{-p4fqc<5`zl*%Mm1xJAohY%LIyk{Z_ee)3K=({Y;4JQ_$#H_>xD z!dI1Co%d%E8UwnI+-HfB#poE}$(F%zQw&ptGd{^D4H{9K4)6al6<9MR+{V!>4!#sF zTF#Im+U&D$h z>uMBwBjFSN<4eXh(kuY1Zu*D_-Iq>8MsYK?k7G(8HP@I6kWTox2tFcX`L+TpNYk}= z-a0P%R?dBKz{$z;D6E@{&Ssbwkc%s>|Dvp2GA@ai2Y&C*cX$HbYhS2x_a_T^SI*SQ z;cWpHfvK#$sR{J7IQZL0wyAlyU_abQW#zsYiaNqOi#zhdacld|co^M6srxdIXFMQ zJVBCP9^EaD&RKs-LM(H+zb&JE?YT5_3{2_ka4>fcVff!4Bu96t;mj|vw;c6L7!xr_ z7}^}Ot#*U!dQ{^vk#ewqg=0LRS?a92g*zU3l7@0SHmRT-Ld(&aywO^^RTtqM>Rwiu z^^hwABo;b9iYZ4vz-;WDr?$*@c091S=^#UF-9wH zfwZ3m?P04A(s|Q?BiG0bigVpWcPxzR^)tY1-}S|iyNKVED4lfUEJeWcqdK|Rk-dW& zb;K2Qf`(wbNcFClcA$TXws1PJW>0!J|8?97Eo7RjL_3>Q6@eyJp|IZ)T6 zixeL~?cR2p5i2`C}AU;V=W|a+yQ5OKP5|AVoL3 zu-%*$+8Z-uWsc8E@*mRqd672?ScZ%?EVDdBBjFi2+`zW|6Bc}WK-e2(VC{@qa!wIk zXojcObBMiLz@Qm&o#J+{7tX$D^p7hp-xR(7=z$qj0KC3BKCc zy{UGS#aQCiLOCYvCO!Be{|@&A>sa02;v$PYPz@4!E;k(L)0OogwBLJn{(-fmj?eFV8(k z^vP+JP{qlf_&=rcgmW+BauxR&M!ypg6roJpW-{_`-g(%R1?rctND&PGMM?wSX2 z9~^hl^Lv%VyQ{dnB5uOgFU0s3A?`mktD6P599-Jv17bvT|8xUC7c;U)DU-$+TWAf$6Hdt>ekeL?xb2n-(tVL zjvUhfGKv))H|3fnN}*rBxG1wT!pyY^?MLY^OS}g~3YryMKNfSPir5~ZZInN>6|ry7 zmU!3^HtkPqVK}t#?eCWiLC>?=Ut1VLMqW2kkLwoUYuIGY8Eytu2UES=U2;lyCs$k7 zm7tO{A83Oc=omSDt@-3frHUU^e(Z&T?V)mLqN90DQtFQyUXwra^L#Euca5l&M5$s6 zPH=!iC=}PB_FlCx`6`oOUiiZZwTGdaEC_d%n#tKCbglwO@zc{oz)-}VBO}`U{7gNW zcFJ(R59@Xir%Q{!yAh4|X!;KpoL?+F#Q+Hmh*$I1fypSk^iZDu%lNPpe0TlT0cTf> zXrdT^q{yR*+}-|JU6DT=b`g^sYx{u7vbl4k1dYe)gS-! zo)>Q{qkh4oRfUP%@d9XdyRP}ZqT2cOIBbVK_gbQ~lDit^*}MFD55k#w=xk8+Qc~S= zCn>iwpG(l*nmCQ-5LoyIiOil<2&Q>(xn{yS+!_eM&EFT~e7uHl$%I^#tt1jkwA6l)gL4k3p`zgfddL@?8pswN!AK66bmT@GZ_ODvGUtVvp2s&ia9(h1I7lvlJJM~B zjH3r}8c*ATEE^&|4=BqJpECA^K}TZzH8qE~`|ueIhbIt*iRc7Ywnt>-@T_q4vP$|@ zBP`G?W}2sh6hk4h+DFY**}*75f+Wh|Y_L247Yl?@4O%qP(A1$J#t_rjefsqGgJ20Xw5Mc*_%d3yyp!yd+u0CV z7V`vg{6~;%&*|xSpS}8T*!X?1KxsfE z4G7N0je&joCj2R8_1fzozfhGi+nT}$HR`1J(O;x2&D$w>%x;^S%%{kXRbzeMih}=y zhTd7^zN+UUu?4rSm1L8k3-k9Qd#CQa_hH=G;Ak2vz_wItbCCYz2tIoKh<)9L$Vk86 zKKF01&jyORplQ5AIJco?LRl=Ey&-oekvYS`%goLFmYG{CqbyYO2ij3%ddJ?(QgsBf zvWvQlivI0A#UlP(#tJ-3I=D^<#K&wLfdhk(NsbTHtl>s2wnlvCsECe^RiG@6PyqSR zlHh316PTXerzn1x#uWJh_--)B>|ZNgm4CrqTwpb;g_)O(+8R} z^<3t%AxvmlTms*fqtCt+v&o|H4OlejFXS1Y?(H8pn1iX0TKA>D89t%0h~4#>hq6jH zo=#Qw8$dOkq_yBYpnKwCQy|@J?~|EBr?+DbL`y_aN(Agnc91+-o~NyKUzvQfwI2P^ z`1%&8_Zk$K&0N0=62x&zQmveDY#BGMvphx4j}^|tRy(s#RPiyd`EOf?yEFQ4k@dKX zE8d2?$RMMG+|Cm?^QPRzA5X=&2I1YX7{s%qwOhL^H;Wa(bt&TkH*&(P96^q;oWnrI zlF{0Qah|m4_PYnj7NReKr#Q00M)}zI_;lHYd3)%_X5i3BMp z*$~`I3+8+A%Ry-b1y1J=o6a42c7@>_(aPC{uyFiHqCP2=nFbD#d?!du{<@%7A6e)u zIm0Oi=Iu~O>A|=D8qd0ij{2G80Opnow=5zFdq!*vY7|fYv%VDT4lYBPkfNkcTqcj^ zH4B9m8l;HY|Of<84 z57u-#%6B}sIV zS7iT@?2y#bv|6_mnW2_7)1)*joZoJ$Ea6gg=ltUuMK` zwVPJB@*gr!-rFdneu3|stiLR8L8rcKzlGFF zwgu=CounU50B@HJiRU;(kM+}I^|`Vo^-{O_m~92?i*Qfb+MEn&yjVLa=D5*zT#)cgf`wHDbijfK zRcc6giA;*2=Q*3B7!qc*`ML;rp~AcSTLDg(K+Zjp?CEIX*Y1Sf8nRHOH6^AyRsm_0 zTv*}ge(fF>HHB=;wbvX2?K&eD9g~l_m5p@y-d_jJc4pu>>t7}I+w`BssaEg7Ihra+ zDDPq#_BpW7rl4$hBE)3Pum%d; z!!U|l=d>>P^4FK`J2z);DNSl;B`l5rQKMzd14UuTcO(qCI%do% zgVo{iZd3N$@TW^v9q&4ED2*`Gv;YfzZRg|V+0NemNo(Moqft4tOC+ZeA3dI?iofD& z%lB(DG>Wt^U}<~2v(R>{z%Nt7Q-UF;slK^PEwMgr@nv(x{vUNK(9AG^xTmNl;=!2o z(e@q1Wo)2l4y1ghevO0Mt!1r%5NirL@Tmc3JETxVrd}@d6N+N%T{F(eMz%HKG%Y%P zi_WY0!DvW<2W(iqNpW~^5eB7enYvK z-}+6QDDC*eLMfHi>NOkGMFxA`1TzvH>%>5jpV{J|!Xtv-yb3+JH-c5g)O{P@b$_b_ z{e8A`-dE*fAvi9ZM(1*pXWqk#xtTc;xlO|0D^;?}We$0Y_Y|Pq7qj$=MpIR7J<6oy ze${Q!Y7011z_x-C*G43K>hjBCitQa|@x-NM(j9Btn*t1y2F8ZzDKo(p9z*MNrQ=r* zjmzuH3uXs;Ix5v4nh+hQ^NC>+fPY_etj*YnkcwV^3$; zHDCBmfH6!r05>pH1ui1F+vRhlz@(56V=O8VhxrZ>o;@OZUXfYrLOfyq7x`o7h;|;G zNL#=9!<^K?xuTMML9}M|ack^gj|pewY02ym-?wAx;-|DV?C1Vhl1BzZUt%QdIc_`)s{;%R zSJ2BKK{jb50z0Q(X|TbFP?#T4oub@s3n*^aS@h|_9XG?}0}*{^Fqn$>5kB#>jX6_t zuakk^(CeRWt9`asohWvDXcR(Q9AZcg9j?}iT#b*7R$^DV%CVaymTGu=UP&{Z&Jvp( zo04~@&1%&3t?k&2I1~}_cc>xjX>-C#AzGA2On;DO)Owr?gbsU#8d!@rgB;|8v=e>~ zZN6;-f^@<7d2qTeFB&&jHnlbC@z+^r&-?OPz|r`=s$8lTo+K&mcEDm5F%S_)CA%if zIG<^UY8q6E3L2XU!`8)CFs1`x%TZ!2FB zxRVa+0-Tx>gMEyeaUlLdM25cfcoUY+VfbH}{{QEZ<%LhO$M{m$m`Ll^ve<^}6SZ=Di)qxhEkw*dB2GG)oVtn6ZFQ zJDm|fFC0Muk`;F!)lSq!OYzkLY@@WmzUDw|hj;7L{1`&yUb=TNxxvFevP^y2dnLCo6(41UrMAa^y174$EkK&| z_Ai_F>GQ@P>l@yF&3c>c?(Uj31#Tu5xU+j6{pm_c*X7J%FF34cKK`QNTyzC7-yelw z*mUX}_&Gp{e3M`7oYWwcKJKPV@nuV5|H%72H0mDMvh#iHM5r@r#{ASgCqK|uVQ<-J zsn^ty$?rGp4Qlv3gK^YqTRstXd}>ua+xxvM2)IftErLlP}%8`3T8swq}77 zZ`hVr?b8d)BogJR_+7p&v_Mh7THDHun{fdR8*;ioI-tRs&|wv|(PExH4=!=q5Q@Jk z8nvdMbuKV2xyw9mc+O1huGr{!A0V< zlxSsPlHOy04K3T$$HEDmb55_r{~K$JH(k8u`IfAB_sQUm!v>AQxXcUK3DNkGwD&jH zl1{`4YTm|~KS@~2;xiyzbhrb^&+c**k4uVV)izW0nt#{Ba20yMy5#NF=Ex{I=7oN@ zm}8h0g$k)RkwXSr_?~5@QDkqGH%@&@`^cfaJ8O~AnSVof)3tx$CPCPi@Y2~DAblMa zM|-~6TM?_hcHwY-5h3yNYmkwqe-`{AIdeZ=3EEH+T6L!wQ@`)Dj+Fs>%H+Dd{>hZk zD0E^3xxvCE=lJ>dP%u5eN4i$g5QQbfQJHUtcOqZvN&ihNYy`L{Jz%H`R**IH;i_!z zU-IQJg%!#LoS9~GFV5lb5yo1HZs$SEWmwQ+`A{vykfjzbulB$e2x5cT{WH%z4Si^iBb5mcH4Wt&Z zkPH9<O-kPUDg9#F2=fX70on64_%FjWE^$$e=vp^_a1l~5z-c?UN!yV@e zosr|;dF*!JNOX8dC)&>t`VL66@T4FcnWN~J62kpJ&NP}37f_SM*c%}OaEC&~6N@f= zoBhZbM{0n7gZ9IpaU%fy%HhV(c^Er;*}ZWZ{Zse$BpgHJHBHM}tXCU~OFw#HRa{RL z=H1){bLwSv{T!Vo5Zwhzy0C69XAK1sBV3&F9rY#JCfdl~o=mK)iu!i{+wGsi^{ z=Oe?tXFn%Z7a7OP_4Lw7%6Qry_(a7?!^h*+8Q(eM{^M}+>gFOt>#zI%EB_~}2MQ8{ z=eAUosj96cDvxr=cqO;4c4-5KR77IHpds6r*IYe!)f@Hlfcq_`OrRZ_9xeqFO?z-M zv>i!wP2d+loXW=HqItF>;yJk^B?#>st?Vb)u~BDE-m zw~DpAetKZa8Sfo~YZciezqHdYzDf1`uo@b{mOyqHQV2u!Qx)MPB-6^Ep-sh!L(6?P z3gz4M#&fiq4U7Iv1ug8fa7bjgt=Zeccrd-9Q;RNZG#~W44*?^$gV-W)xZH0y(ACPy z+X!#Vfg~X@s9?=iJ#}=o=p?m-o*sQyEQUh-2z!t&Bt(?vaJLX-AGfy9UvV-x5?@?TZ?rKJJ zMh01c`d2T#y93Yg3Ac>mF=pU_67A}>ozgc+#}yyz20hHDW?19*p(Xit;_eWHiFifz z-mp~aNGhWynZZ9a_Jzy#acveXL!h^~>W9&3#;Yk5_9*z}e(WAJa)FL?LRzi*aDh5k zqLQpn4%eyclC|a56-lYMVP@aY+0w9fdk{-S2JI{Ro~@|Xi?HKin5(o5igJ6yi%sA+ z_SWKOKAN@Ev-eJcE4o!sSjx3?m#VEdn8dLx?2U12FO+a9ippkZame)^8nl!oY*wYN zUsulx=q~Mn=UMN_mRJkodFEf_*@2d*Z6<2(p(LYY2|5Q8LZZJs6=}ZPO`Gp9R8% z0y(NQ;$>}=4Ucng!HikAwStLKquG-O1+BV$;kWdN7KGBf8a>;esEkdOL8K^cFkJ?1 z@8&(E0OvkWIk6reOwzHK>Z;GF+FlC)^&5HneiiF2&LKD8_}E_qTbl$H2$u>VB-`1P zCe{=a?2dorz6g>i9-j5F{#T~||9ND2=HEBe^k9Dk_h^a~u%|#k1T;`$%~n5BWafk{ z_x_%jGH$o@-PZR%6i8;Zie~^sJ-*-R^DKtBP#^9PQ;s~wWR)5!EY3(km`NKy$hOY{V?nqlO*4z%B0efl5Rlc%|bU4%yNpzR`r zgTx~G;fbYYBWii z#Fn$>^o~8Rs%}X&`D8~}2hH*yHc*FYQ{LwsuIxf}_ii3$Dk7U9cXeC?(1s8O6D35X zAp3gA$m6#NxgwLvFG9M^(cq&yA8S7`jOBx=4ukq%l_-e2_Q9{m%xhaft+tyEp>O+7 z;fS-?u#@+aZprfsp=fv{XZyfN4;O&Ib&Xj>5l0$l-~7etP?b8f?o$3_^$`QZHmPex z{W$J~$pzWYRd4usINhha>*D(Fq$Q}Qht<*n4}lmZQOmbcSqavFVFIiMRfw<4VgdvH zb1(A*$GlS#6Q)8aVG4$690w|6cz@>22#DZ-1JdgU;YgfrVZLl^MX4<^2io`+FEoTB zH8U`*^AIp(_a@Q5==b5=xm`(EPPHocS>s2J z=C&@)6U{e|bWl!M5Lr}Q;oC|ir2CD*;H({rSgCS)EpNG|#|T(eRA=Sx*0;2N-j9=6uyL#G^{CwN4q4_--9&?NC_;Vw5Yk5HWwvLzyTOrA z$CA$6IeZ;A9cr5=S>stE4O1l>48XTC#{HGji>KTtmgcUs+zRCP+0J>j=Le4@wgtW~ z2@K|MKSo4y{)f{27V;~R#=MJ27=pth4GkS!lHJf!WAvK z*2K<_y~^p6sTpa(0lgbJTXKazO>7L&+JJpWjtSa<4YsTzrZ;=}z zRY5D_(IvZ9@o5tt=MY(o`y)jdLXTDqFup&u%IGPw(B`~++l@Rt&9Lbd`QxGD4RVR( zN$b9Hf3UH{m_;A~SZ2dozcWdR0CnLgVU*z7D226IJUJj)gknf4K5o$i_Gu}+_%Iy= zoRf!hG#t#s6E_#^lO&EWpzh z+9udyqL|+Lo0DsWTDlX_8`qY?;u=Mwd^*DE!{nXut9QeIPXAJReSlWzJS~BIgBVQ+ z?R`KJD;#IGp9)?B9B;>pfmR2S@F2?yUmo4#@47d#D^)4FeR+Em$UYICXG30njf_y$0+Mrb^xKzg&9X&Os9XM=%c>z*CEU6K^CJ>}-Y zuPIUWw{w??$c#ZDpYJInK1je~LoCTb7&q93hPb#qq$j#dO%l+*&VOUDzh9CO>E30z zLdCVW(4;$W+JUJ-=dq`@3@eq%k%gdA=^&&M0CdTPbtfvA=0UMlrO@TkZBwaiQmyBO z6OwwtHEtI;|<>1y1lZ>m+_?08;G= zmLGEu{Wx}f(f4LmaTcyP@A(9Sjz;|gEqJ@lglj&^md>h;BpBhOD)8a9w*mhtc$2(- z7c_d1x>Zr!X%FjGHD^Wy^x00RtOq-7U$8zG7b&WxUJi&-O)#7SSzO-g7<#fbz<~fv z`JNulf~&t)XNi5s58gTrQJhygN1AMHeH`+GTtFoy)ejd0UV@OnEE#V4t44Uln*EyP zS*ABG$#~q2kKZJtRqxryil%0pBTtJt_v%0h)Dhu_-hYQiBlm?>#ZcmR_5(&aBZ4C| zd8^`@L}*u?yh_~a($M4$OK$C61ByYW=SY~4(mXHIf2!@ZW_dZ9ZV!aRpQ&*jAcB7B?ae!4Nn6mB z^NDR~ng@A`xzVJ2%u@p&FgG8UdxhO9)x-N=!92!4gWKrc7 zKR~=aAQCxkA8&oS_&shawihOLOs4|7f>dmLKC;gwK{=$N<^m1EZ;Eq*bS$L1eDcNy4YOl?*4dM`+;TyLjIQQ49!1|6 z8Ei~H2IVO#bjR=SX7f$8w@Byb4+kn?uw=lGoWppvJ+MA`sIficyfek{z7FqVKMQ_U zDc@Gpx0j;?q`#g{n4V z$~{kxDHWNc2RmeFYc>$zQvY z5RYl}vU^nieHMQ5Q+#%YHb;c3=!5LM0SfCqZ#WI;9F3!cJbSc~Sx@);7TNU?Se+(}Z{LiVgRyLW^i>Y<*4s%J-`kM5paqREBdm>!~z0G8e^_LH*E&`~g8Z!^n zB__Kw%v@Et-~O4m=oD-8QAWU*nE+6`o^fBed55KQRKFgn-~a3m1jlDsekfEUJQ_Sk zVRh5B<%z|Qv{}7cTF$;tB+ZBqe*QOzTA5#wlDPY79)r~&$nR52#gmNwTiIXKF#qa6 zd5hvmk!QrI>T1)fvW(O#3qrj3uW{PTET!O8@oDHEb27yfieT9IohUF{Y!U{MQ(zUR zR&h!mUdGDJd+l0j^S>_;5QH^MJUrfIidVe~UpcY(eKwbl95-If+o3ZLo!RJ#G^L*y@pFZ6EXrdT$}eGJ1CHUD@@eBe6zKO_}7~83P(ByCYyfw-(4U`6bc{UgIE=9wO)OcWcybAxstT1Lu0)Ptl1}0y+P4_Nr|<%WiZm}SS!xwrpRiv2 zM=MqGg%3`P?-|^bd!FLmGfkhY@~=P>=)_#ufMmSA<*FO}q#d9lAMRN_k>Jo13lP*` zzd*<*$qo(Lx++M9yl9uO8QGC4y~lUUyPMCtmq*Q}dS~@!T)B^mIz!we#0uo(|5zR& z6rHKir1;aK?=AkGh<5(XLH-O)FSp#NMuShZZWX#g1)FT_tw;5&r`0Y^Jh9a0p}!A9 zc?lI{!!^H7Td3XFAr>B-mtuhpXGxubDQpH!u=#MfE8knq^n!hPje2xnJ^X^;I^(ww zg{n4~jMdL_<7dwM@ zmMEN(O9DSFNWSg{J|z(`x@mk|JeLaJ%LOWP7)JopK?2H^p6!dui+g<%9HTz?tSR!J z2^W%*Iw#X5O#~eQw4)}vO`L<&um2GJ1L38hFMd1=AoN8t&bLWkD{pZIjS-AlvexxJ z>REn2^^phms4cL$dp_`YE7ssG9kjiYt(Cw)(S|T+VT=|Q`Q3SSxzvjz{s}g{0N+}B z?;Qsg;-|R%_sFsit4ZKPrhM1kL~@{?<;YipDL8Yz9(N17JtW=velL4GCz}>*3j2dq z_G9p(L~e>bozFSEYv5{E5~}iM1!0c&Std6~OBzlNpJK)wu$WyDgC{$B%z zwazK*tl1NtSP0iv7NLBpTLS~TlosqU&2O`&*q9luo|%$pIn-~TRrfrhuuyH!IJ+eK z!3I;3t*eu_Z=l$4S)yQW8_}#p0FpFkh+s|wwWeft*B?di>boMxpbA(qZd(6%|0t&~7KX~jDrOB>zs=2ud zv>togIFPba0>SD!%03%y=Bc6R!<{D@X8vQ{Ha(c$Y=AO97yk!o z%;%UIM)8jQOb-%V;{UU3-$312<^lQd7%AJ_*{f{HUfSQt(Q)y#p4rx11 z1dvQRP^wf<{WTw4Dw!~wE%Oz0ZnW-rCz8#!i0`du?@8qC%x5HC_o}$!?!ur&JuWrA5UCDBvEaC){c|Te zDtAA=zvnPLt~_nPd~d7<>*cnPid03T&8^esEDL3H+5%nbZ+}+2#}pU&5Uc-b(Xe}& zey^l6bHo8qdB)?|`k{9K>Zo;-%Mc7vrnFMvjVqsRU5N}}WKd!`TmI!QHKuJ~YUslH zg(_DJw|##HA#d>b^p=>EI(gsFWe=l%)aSq>8NE;2E9&OVKCIq&S89wk7X(^|-8mTL zHP6IGuHFjIs%bl<4;CoU`~!C%1}vZeEn7dPe6)?k)2K|Qyh&JB7L1QY5&ldThHwlCUHKZEOV577~!mbLbq7zoLU5 z`Fp}|rjlk_7kfnoH7E&~)P3K&Z23XST8FspoqpDGT3nJ%YlXTekFWw3^I*KsN+Po% z24o+dhI2~JT&=3~luiHsaI+&QfX{Q1bE6)tDrfav6AdyHMxT?nE$GCMrQ#EP^|2WT z<<>lwuEOghk|$>HgcxdPQjvl7ToBj315#5a-fvt(kiLc&;QS*QL4!PBwv^RIG?)Z7 zRMNyJ`u8ySUIXRpvER^UOEQ#;iZ2-V5U}jIZ1PyameBcoqxc$XCkgEKzE5E=B=Ax? zf`aMn1`-;L-5T%AGa7vqM>#lrmcE)c>ft5X#LR{3k?!x7Gdw4Va=48N7>P%()G{;v z>7`aX9Rq_~8(J)=M(_{%b@}@uWU(f<0y?n)OOtE+7k2PW>6!xmgVv`h+T{garR;s4 zS|5uXZ=KJXCk(wg+1F*)Ce4BUi*r$K5?VU635q=Kh#6D1FDYYfxmRq(CMoSPRt(4; z_QwJoO>^h;=a$IdBuEe#m4CsT0YbsC(v=8A8nPK;9!fqoJ(;mjuVC*z)NAfgC2N@ zz8uhil(i3gPM9E5W~!q)IEwyb**)i&LFN@AnvIu0ePpl@SsUJ_enck`jUtWA2xA5D zao>5x$=hFG*(exVOguW)bZHdtgcIO02L6|!b0fzqTtA7t*ZL;60p13XwoTk&>V{3t zep&yYUP3G3+K5<1+lKdi-5v$&hz$!o_IImz2P~2%xe@g_k2@D$ivpUKe^>7|@Ilfb z3a;$SQU16ywqrMMvN7nkxtKqAVYBxQ&?T&h7qa!xo##4&-^`vhy0Y^%qktv6l2++|>tC%9#;US_DU4Gy#H6t0 zaVM#v*JIPct`d9>%(`6oy2#plBzG<$<8_;?!2Josti5rDxTJH;-ZgHBTjLYT{UNEt zMUnu{5U2e2;n>#dMEBExj5n=P5H(*6g`Ti{91<*>l><|o@VdEON;m(w!op^0XQDE& zjHhRbK$X*B`9oP+#NK|tPs*1=|4Gy`LsAZFOaC_Wjo0z@ga>HJe zHC>+EL+!|L3i-%8u^r>KCH@2B48;;yg`5dLuezmHuN0G&E|$BuR=bGP#9%KLD)(VTeKiBCnC0-495Fe3yGxZ1f z;1uj(Q>%~Fx8149Vp(Y^Qj|u@Kz~)7srb%y^M(D~lYn48`2UsZ|9>7?d2~yJR@9*) z%t7d(j|@ecHg6084Mt@MKXnyank@hO%^BNeO~BzCJ&H*qH8J6AX$#s8>YV-V)A%oa z0jC%fPvgHulyVa#hE7S`Ti?y`6sINmz$WPy{(s%gj8zfIP_rLW@ZhxflkD6S5)sgh z3L!0eP_4GIO^GgtaFy03@@&1>gTN%kmhVs71i(jv-Faom|GdscLkSEcN`_dW+QB^|Upa@EBnxVPxW6FOmjKFJag$-4-w!CDgp$|d^Q2LKe3a?vPJ;S@0Z zXwa`MGV}pN9tufO0O|0hi4hGa)ijK?q`?sl4E091E(bK0W9syX_ME&y*XUn}omcxJ zUabc)b92#^%2Z9H9jxCA?dMhr_(9>`tjd*}JQjuWikdnGPG4jAWWCgF!@>mdd5?@U zGQ8KE7&bg|piz;p!SK+(-~;mtro}Km~Cf`+?bq4i!wSQ<wi+*C%)WE+R);1 zsY0q7J9_loMcG)On1#7~il{2a8Po+L1e4{=6b=12OS*c-Kp$AxMO2DbfSaqTv4>N| zs>W*&2!a=8zL_+V*@twna)}jt@L14kWE2RJIfI+VMTgaMV$loGz>>rWB&6FLtNVFt?Tk%iywKayoebM8ee-e`Czj;T$;+U!IksNgmNtfLuiNQe?ajP^;N z`U;NYL%NL@=-%&bvl&W+U@!M*m;BPapya}yY^7y zsPIqva4igAou259Tdy6HU>~k zI!Y*kwpP2UU5`*jqBB&h(#gWcquG`Z&c}$=@O|`XbN@-zMk`}2ONez8TX+fmsJvpG6T z#XO+NQld4kFpQ}v$w%Qeh$ztO9Lw4O<;jI+{)@^A>Bv{(Sdw}_ zJX@&%gygsp=13=z2-{<+P+@#H|xg4$3NJ0d9w2x#s6l(l~^^R7v@*$g)hP1a6Xwxm|gBwq`*!rhj7_=@{=xG$( z#ZoNqO2YVL@v7#m&G>~!ch>Ca5l9LDO_R3bnA=v`_>mUF485t#k?IcK?m1eG5b+~F zqYvwJkr4VM{F46rIuNFGahCBX!cK8BWFgw`$#0jo|7c!yp*>tXE%t$_2fAeDh1d%M zE?W_u;@d>1XQ5~96RHck`f_?W|0DpM2NwCu{DM&Dd1^NOT?McJdHzmpU-Zo^yCK&z ztXmY@PbVsIc^oJ{>sa&vhs^=;#zTBMPt?R6Hj9$eHmv7@wDPK606_KMY! zoZ??_LzDfr{L1>9>twap^-a=4>bCXHZ`Kicw6s_WXhnhrzK&_UGpsj(EE4J)K59&y zu?R;x+OoeA2Z^Cgb@SGA7ux;0PadhH*1`R#b)ebKJK7^A9=P3YglnHr$6doLT*}f! zjm71N&;=Re&HHgZ6G4jePxC$5d0doA{Yg%6uUDMWD_e-*#rUyu4N;m9DSET38%O!U zuhNH_-`iukPcEBFti|+y#G1`UyKb#5g+}V1nW5R^*?=SEegAeSse`MtF!&nP__k>8 zxowkzkDK}jBF#EsytH>bj_D|oY55x)!sRiDY5065(&fYu#s>8D40($1>0!l>X#_k@ zCu73XZK@@X3d70Q)6G!7Z?k76y5zEVJU+c6TF`^03h7uQ9+b+vd#Vp#^ z*X@5n&8cE)#zC4XGoH4!p3)JmKNzIAwh60BI%5gp|7fgzPM)q#D8VR;znN)4V{vbq zTa~_+$UU6bA)VNJdx&FwxU7zJ67p1F2l7zBfOifVhHu|??#PBc-t>IAm@y{%N#~dK zRtl0k#wHEYa}@81OZ0gt{^=|7uB0pEs_II!s4N_A$BH^g#gx|i0^In^+E?^gHCZW_ z3Q=RxY}8*>rH6(t+j>PN?hX|NJ6>y|mAN~I`WHFn9SD$jmzsNj&%k@Yh9IDswYi#Rm?(=(CHr3Fg^q#SWzZCt@ke0toyC?NoOI?_4*@-9pjtQTh0o6y4#dg(Ybf9sVh&(bJ zDKwTU;MT>%aKXXTP~w~&{$xD25deTp0^;A?mz$(`TPvg@&GNLSJoO1my9Kw2k7*ID00cn(IRQ&9+JS#Ks- zupj@-^UF@X7X~KSE*0?X@|hH`36{Rm*2wgJrpB7X_v0ys1C1!V^#2FZzSbNS4eS1B zF+M)k1cPvAFl-s1FJ+AzDB7uk~p&yO<@3oWn^gg zaxSeF+Ggr2X{gfItkAYP+WFg1C`qlULe*@_WeWf`n`y2)k#W;Z?YM4JV%_mmQHd<{ z_kK<;cK&CG5*;$!Npm)wXX_zUg};(Bv%9&K@&3`By_u&nn#@;9@-*fWWkXiAN z+z^Z#Y5z%IKlnZ>y^D*BOw7=7Cc+Vn2gVCnzE;0^GdrO(GKL-oiZ1GaMIvEc*%OM@ zaIT)NZ?f{3nu!@~*k8#9P2cpnot7s@MrqM_8pTwW`6_ttYF?%*pTZeUuFDkwH>j~n zy0fJCM@TapPo8Qm2;-*=+BTn1yC~f+&@mrm1nZ%;Tk;^g)fkVGLFtY<{k{Gm3lO&W zL*u^h(7hnXkZdw%q--lIdXwwukSyY7fzNoouhJ;3<=6 zwEB1+Ip2RL1v@lF&NZpuH4F9r8#-%TBH?u$B_xs1jG8EJd*pKa8pQ3mk6YawTfwBd z)Pl$W1Ildq9BB=xYkLa4OPBnt6*fT9khlWEP1bb#!EZ7wOyPbH$L#m}=nNyU%F^kz z88GB!x$oj{%jEz>Wo#9zKyyvss|fe{327ne8hV3m9&XV6hI}SQ_*22~_ymWn`%LKb zgc*MUQrzD}n3;D486ZgNGUXP@=AEWcWn4B~Y*s1o#G{Glvwns#u^$E|)oNtu8(1ppvhIpZw+U$z z&3&%G*Xl!1HE%UQUb@<&r%y@1J`tOlW7(6Ayb(e(`JQBFX4fvBk19AUDytuF?W={E z=U1Gi3)D%P-3&GiHA%zSO7)^k+>VwKph_Mi0Bt4CRcgchk5&xCAjERTYSLI|WsP|s zR1tyhZKer1DqZjgrT2M_K9Bd5S7%ir7YC~=RQ8`?BuknN2DJnzVQ18Z=qhx9iDmtC zwW0DJv1L25H_gw*+bGbxQdWK!q`ng4vkigI(C5&Yc|(^*+Fk#CxE(E$RxrETjGX_wPe{eoP#^fOB0Ulpn(DL}lcr;uI@v0LFmN^9+JmR-`&ku8m>48vB zjjrnqH^0;yS&&nFJn&HOd!yMWDrVeHmb#bb%Pn6OLp)E}^fMD#9>??Dnsr>X@DZg4 zGOJ-RT;3aBut}i5Nls1uoRkB8?dIDo+G1KwHKAgGIWY(pi?Jl>?!(1y9Z$E%Ko!z3pKHQ}(k<`(iANbmS^p!iBkbHeBm@wF;Tp%C^~2Xr1~c?RsGgk}-bJ8;bZ; z51R#SN4{J&4@RjDLed(G>#Lcrv{ccEi<>>LPYR8?q5=Ro&ay+U>%wlzb&KASwsX)d z>*n~0?pFBzh#}gl3jp1Q)e`6Lm2O}s?z>2`E_^NJex;;#dp$FE2S|BzXS^*WchABv zEvOJ!&g581$5eDV!Rg0Ar97n*z1Phg%$knkPO}8;KM^s8bsX0$90o;}LOT3RyBYb3 z&Dya=z5I%odQ6evSGy?%>rn|Pk5;>@Jre=gl?kp1jsC$)Y*(R_+!Z5hL0QjaOwsLMbBN`C?$u(h=Bk+rf5ZO5F0KZ-eu zZQ9dqicxF}SNH)2(R7wKTkXItl_*?|&-1>s0Z@WzxvMPP==njKGJq=58gDBs-~NaB zOFKJHRK-K8Y?QB{05=-i8)~r)oE=qt8>$B3PziyXbFNl*Wh)%NW5vl z|9E=h=t72gHy)jcnrhsSmEveL9n}uGgG~;&c??j=sFP>w zN7-=>AJcxFnOVVd`OxCqCXa&_@^yV(*q)_NP=Mz#Kd_7Cr9yTt^6uVWc(z}K$oe!) zS!|~_N(XBwb{CoW-rbFUe_a17)BpcGvR9Yf&G@9qG#y;RA^qqxCP6j_rE3Ne15X`H z%v2uJXFI%zn62geNWex4gqgPvz(jsNhtgT<3m^Rv2J^5|+chc479-IiqprTtm9k&R zLG1W$Cg_3BH;EikV=kdab1HrOt2XL62K$ArC1Xg_M4+%HQT2zXb<(;<3S;|`K9tTe z6@7BEZ8!L&tqH?R{2~Xq=h3%Xq;r9`hS2O?xU=MNLa6kv*n-Y%IDfA*u0ERtAAEtvtx`9 z;G)C;)Ir6h6%Ua%PRydvk_LpVwS2EP`9^|(r->|Ik4xHg2u?R@PgGa*t=W?&oWZPz zqlHh;8(biAhd|F5U1WunI18s#Q}p9w{&@&p>{Z;?otj?fFApDuXN(Z_c1@lJVvRu5 zX?xq0DSVDllr0IAql5-8U}TJ!(a(h1^Mbowd$k2Sg?!GGRXLLPM85Y)s*ylI95Ns= zi|Dv(TwDG3y#-Q?qWD-5+OZF!Hu2^m+l?kgT6t@mSXZZkMJEmPkNQX{E|?NC8=YLW(dt$ObA<&#V6 zwZ+Ix=~z8=JNLpPSMLO@RwGaKR8?~<@$anbb?eR0+J$}{xnS4`mij?C!!{!j^FgMmc)j11R>{Q z2+)ZPh$|kt%@_~XDo7mXD<1(k7j;#$8PYX0Zyen)aS{YBz6B{`&Pnl4XaHCEP;Zrs zLC$CJ9WMDIn=*`2RVonU=RpCqC-2O&46YecSsEO607X=yWvGpc7cBYuu;lol(i0rK zIc#e~OV}mw#xSH^fEuZP)&Ev1YC?r@Me$m&fDlaH+PQFCo$vN~*owp@VZa=d39QjpF}XEOVWX;W4; z05NsVwy??2921N1CQ%7L>Alv&Z@a(nV}wt>n2t5mnw$e-0(GQ!hgmaEXVHuR^}1=E zh1pXG;BqcLM7Si(fm$3!5PSa5T^1*eaj(2?yrzaPr^EC|84iPyb&MGzg752Z)p**Nzgmk z3jWcVJYjn^N7!7wYpaYE5w%*{1lUl1Yl2q@SFnv>^Dy&wnjK$UG09=f z;bbwbAcevXJ3fLy0=sWfZXRu*0pC`w5No?=8a~qfTz)h}5T*1%=8gUK6@?~o*jV5~ z$^x;k{LfCG zoFF*I2d%{px2(f(UZV-*tXV@v9N5?aP7ucjtS)ZqLBH%t>SX(&74YmGZ7W{NnIAW=fF(!x_fcBm%=-ISi%oe zFGV6yx|!d!LvofZ(pw!4EEWJB{<1mBGpiJ9n6`LK2Yf0Q@b{WDl5j4{ci$K=2hPct zm?n*)kO3&Os(a8%@OjkOA2e%(09tg^54)>JVd+J|33*l{>&5347X|_`A$&?RI`{8- zv;#;Cl~4aTt+Q)hj<7tnTQcV!Zv8StOys*e6iQTI!vmCN)G5Z(t+M)IiSFg<$9x{NSJ`hAu&?HXBi5by`#H2^&f8%6?SjKiw94yVZ>=o z^$$|@EC?FR8a>huR#%JAF~#6%@y%Rkol}Q8OC}1T2w&~PF&{vWTlnbZ&0|@}7$$c{ zQli7sU23*Lyp>~fSv8s6S zNYu$>9zK{!?B_P(EdY_@tK(}FkmQoWjAg3vMf<%gU#jwbtoiCXi)}|vQa)! z&ZnDb&YVd;`fFYSgG}8*rX;$Zl<^Y0-Xta_X67Be&ejtbBPZ?@$^2-e7{t017Vtv_ zCF|G+qC9zt9|ex?L>F)^!ZrmfRZMzQI-!t>X5+FJt*H8iXR-FiMFmwx7-59Rn2fu{u0x)8pe1>YKndk!FM=MASP3>dz>C0FBdWaS)pRA zo$~Tio^rlwEAsl%N5&6L((W$Eh`kktlNWB~)VDr^eeB#TYzNk7Z2U+~8vBxO=P zCvk7o6U_=PgQ#C?&F2gKRmgnBVM?ovN_%svI((k^SBMI^qwlL&9u)T@XA!aym6`>-uo9(G&$-I`wZAucY=)65;8tgPrLZ@0(bNf z&dCq^9|I81#+it&CesGcBw4Q5u1n&#vZ^zDV<_VmsGn0$`?=*O{^I!m&@Q4fTlYrS za21bDG1GjmQl^s7?CWuh-g0Q+$!VHUaa9tDUnj2)5UxzNRh->*hA=-u%v{n@Ljq+( ziVD(%n$=J4#59)NvxjJrtge|}>@DI->ZZQS&jM-2-hD{sPze?nO~`uq1;se1nG%v8 zieRFWi}mC5B(9%lrBj>0@9!B=$CNl3+(2gLmhJd`D<47IM;a7Y2?urCI^#w;+B8l= zWkh(A%}{TAz(zn1n7JUTIl}j1B#h9m0e88$#%#TRoqv(j@?~8Y-6;<&9bHz#Jdz3_ zru6$QM?M|z{;y2`|MSR_vB>jredur7Db=8NXsB>OzjnJ(%B!?ppKr{@=u6@CQ`A@NAC|vU0{pjhq&81$yPryKM&pXDZArnzqlyjpJm|>NtfxwEsq5@ zTtvz5fVi7`#N|-XS&J!q%CsBhQ~(KusW#2c7?LKrnT9oE=?hVQf5(n9rkJ=-%IKnvX=%AE-Vy4(T|zlyY5+ ztzi93Bid#`^g@-GS0kh$Vam|6zO<}}=hugL4nZL{cM2&~l;8R8oDyd<{G}`Ur9jmh_+! zQ3duQwT}ey@b@n40?UL&s7;`{tqGoMfns_kk=AwbF8q}La?-5*+Je>@(HktY*Bs~E znd#+cbNPlRJGF}S5w-B_cmQ~%?E>eN20aBT>>|a?(2|~nZ;&w3kIeluj)|%r zlNtHq-;WL)P3OEmDkES0 zhoCQ<`0ItiLR_sIXvqfI21Ybg5$*>QE+w;qo%(#t0Hb)#i7_+~5G_PDR+~wmRL113 zTAV5oG|qjqfm->Jx9hcms%Pshpyz>$Y3&9@NaX&By0+3Z&t%||g>F}QH^Zq`TAPpk zu+K;wf2m^LpqZ{3w|6L!$MIryb|dlC%C_Rt_+<&-)0T^-R8^UscO$zM%UHFiNPN&C z_Kj_t#$8K+qr-VknDDY-zM+q0=(8KJOx7gED4qQReyx^1N){AatW-%={iyY@cL%(K z+lp{XqLH1Nr(+uDR=tJCj?pO%k@-Z?(7>`C+liss*EEzd`taG=EoGDC%rn}LV0tEQ_DQmS%7FyKu=Tx@@&|NETI5%pm$8vpV{d6v?C5u9RbInkL3v5(oLDbQ*W{tQ8_Rua9% z9KqrWq;EDEyg$Yujxz#Y{rS}D(6UNZRUqAe)0RK)spbW%hS(~89_8th?RwwCm@c6# z>paytMVTxwh->snSfpg(=g~qQp3noJDedRZ4TBJ(chPPL>r|v@*q(8WwSF0yf;)ep z)oD&F=x05wNkhc~|F=2QfE;ee8*UDXmqQ1xII3^lYlG1;V&%?l&{|A%JJ248oFZM9 z)KP=Zvk?K40cDBNEuBpqdW`mV-C(5bm(v;hMfwcYaga{QF1ns!g_jx)6871}j|BdJ z@d6C0lskpkT<`@}$fw^cIaS7|0S2%C2{Yvt81bqjy!B<1j(9{N?*K=#`;|!4*~B)H zhCU13YlHak>{1FBozcOMeHSFYGXoFQe)Y)$15!?)hm(3CvW_J!$n~O_p>QDJPRAe+T^mQuHd;56C6E)$AHL`qE%XR#9Jp06rer0t zb-AiGbG^vP38?;XBBGa%NHgFc7ZGo8D1V}3zYx*Wfbyo^lf?-bDUXYO&(w9H7vF^p zXe;Gb3x*|E=t?cG-(bB&tJbXgY9;+GzWrB(w;t$06Tn&nra7iO99<8dh{j-BH{ULQ zgTemacg5#Sh%EtY*5T=)E7Am(rOl3)TPrAJ@JgNiKP^}hSzcMB7cgEv^}}(c^*J&xNoBP53aO&15r4< zUsQOQj^Anu-MBAtqpD*Oh(`$*uWNgzNRB=VCm(czCUS}6jqt#UQ?l(6E93_d(iE<$Ae=` z|9jj^hO{ZQS(=F9cQ;VNUJUq_n1&^tg zGr1|;$w6HI!EySX9VKay4b{vP`sh6vneF&$C*>cH!@QwN^G3_jSFgOS+ytbw6OJ)@yMVru!9AD@j znZ~bvoKWL7Q1on{U6R)6J*KYwQ6#Tr8ixwoevWU|cywTUeeAB$ux#JL4k$hHVIceZ zUzz^@=aH=pz}2_9#e&Ihsw||BQj0&myhIag@MeJdc|8d*L|E_o8|a~D()Y~mkm2qt z_}UaVVM#YPzGZ|uFK|5Lta4$+dyvBwYO_52SDC+aLFJE?>if2qsDN5clNn=BXl&%r z!o#Gc*u*$Qj=s3*&~1T0S7xQoidV4E67TW!^?sR0uytbYu0z}zDDdzx>g60Ak_uJB zUQ|Y8pZvt2G7oU@ZZG{{LiO;e|b!DA_?W@*_Ev4Epn`hn{ERw zeIOj*HU6p8=MekIw)X!>yQk&A+AR#Dv2Ap0+qP}nwr$(CZQD-Awrywk1^j2bYF)#s zdGLPE(5(g%N)-vtBFPBYQ+d^)Hsv4u1GzrjUBxI1yp;P#FbF1e{KdT=nciv$Vec~P z(zr^^*USyT0CX_&s>ieq(1~`kdZ9)k`Hz?rk_nYtE$uu9>ub>^$Q=e%FzQ{redkP| z(;z9uYz(6&US>JsdTI6>{auG0gl2qdR4*67Hfpm z3Oo72@!nrJxx)RCGttJ1T}s&UFevROZuX(t*8`+R_2Oczu@O=xvL*VW_b5u4gHCGfN+fGDqG1W}`NDQix(4<+cA%K>_f=3im zf>3g*OV~N%Mzs5{w{>ike)mb(lIj~lU}CybO=jue;^Um{J7vYgD+D*eCcF)iNe)sp zw+bfKK>A!-xU*GKnZB0A8Kz>6b-x;hTRd-!er*)RHC&lgIDU{rWqgC2q%cy`tDSa3 z#H6RhIcFLle5k)bt-n+RH3R9M)JEj)O&}qyKhgzANB^h_;&;1I-4tcxxw-A_t77vt zVMd<^wDE&c;ib(xxQOMR}d0J59%B4;)r!MFvp`dBefvwsJkrUeM6glGlc8E1E3a z#MSp7;xB|;7{E5pDd}!~?(0_nt&#l|>zMr6R13qlOaU_ct9)*iHMAmk7K{h`za~dPqRpZn<+`IhQ4YgBL`qQi1>TG zGq=6E@T-b`eu&m5tr7%<2uWXvc!YWWt#t{nk5ddtkI?_BLTkjFppbsyjGoh7vB$=d zBV^mRC-jnm)&Ew>=PwXd#Ov|LMj06DsJK|wsM)MPxNlY! z7ElBX3goXs7<(D{@}^m3QD`RRp+Pp6*l69bO01;FW8IO^y*uz@ia|iq+@JN$imb{x znXL%JWWd-t2rV05v%o#-7@47@GmtoKTZIJC{Z#M

      &RPSrok^s~3pcrN*JK5cz<6BeiIE@=##De}ntG6TAnJ zyqwjF!Gmt!GnItix7AXni2MrY3h2e=&7H2t29n)&0M^BA_gm?|m>ep_G;q3TB2Uja zO3lXjtK`J`KK?TIL?kvPyK4YuFQ7FCIs%YiF+~deF;^FRK5I0WD>ye(`d&MMu>E$J z*2G`g2P%j$z+E+!ceFpD$CWa>T+QDwO5zYo(v|A<4V|QBrgV$e) zbVkn>_B6@jOsSA&dyBc@pl(O{d4A<}a%Q#N+}haEnn!aIO~XlX%HphjCKVfF9hW_^ zD1o6RN(La3AFv?w=kV#lD-iUyD7WP5*LL9Y;s8Qokh7B-N|+c{gULqy-4nqF#)fz* zsx|#x7e%b=jZcO8`!4-zXdQAGqP}>ZR~2CJZr%ejZ@(QcbkB|-m@!U+#%Cy398rBA zJhgA-_Efu=C99;9Fly-FZj*Ve=6f{vt(Z3-PW@`{q5uJd7SU4Eha#MsOu-!}Ad+F# znx-3PFeO{FE2`*q#bhgr1k-uSFDGq>sp9lO=@i+{FI<<{Pz;8mottH8Rw4t^KuENW zPbfO-ax+#FYwXZVO5uydQT}P`&ORH8@C2(MKGvk~FYof7oD^x{67V=g{xFZBYb7>2 zp>zp2-S}gt!$$3-r})q4eJmpcIgzUo(7z9CU}*FBpZ?Jo4&YgtRt1mHmObntIJ``Z z_%2Rh77dX+R8`P*NabQFQYCrfpUM&4?7b;!x{lTM>}~9Ra!0{&&yO?xV#xs6+BP4JgIAx7(WGd?~x$;1@x3zJS`Co95jf@>DDAbDYQQ^4x> z%NUd61EJAFg^SgS=qaz$!m!}sZcg;MnncKradO?B(u zg}n2%WYa9K7utipC_ui^uoa2~MrgP22pP)TeUscNy5<_6NOl!!|y^kcTx>-L<%NYbgAHDs>)r6^7*!$}rN2x9X)<`pMg&`ls8tpYr0p(|Fc?01{U@+P`pu$MKr#N3vKs#|T(6sC8l^O$Q|B zW(}6vk`;JV6ihrU&LZ_RXARC0Z~yw7=~HA#1@0EE5s!TP*gxIk)`OCYmSd5r{?|?a|2eWcFH0$jjmZ!#xvnG~ z>Nt(bD#;0OD)lkcMGavQ?b&n8dW*W@#6cB8B+<;q9b*FTFqW!K&2oaZUT;E>B;p~l z1Hqq452lAzTz^RY_+z$2*8yT3c31Q>RMmJpk6;sbB1Dr3zg16A-;7XiREPdVMl4Dv z-g2z$2mPzsZ(aw^)We`3Q>A{eiTdRA&1110sr|Nj;1Jx#(ruF^RY5!8I#q?{2GSO> z{ZFR+5yCq1|~{wmi$mKKV+5RnPmlVrF|QR(_~Ac2d8h!hTot4HufRD4zsaX)_z zfNh(Sq`gz`-h)Xui6Y-wEjpiGp{vgL zDO3xwrFnLa-DWA(NCn|F9K)wL$6D6Zo3+_k4t%mR1NL};W2 zUQu3Uzc3)Ok|!@25z~-%gbSGx*mtki6gHltE}BKT?R;Vpky#>UO7aNJk-H=1VwhQm*5P&OXY`%|B-GJ-u(GxAU}!H%SOFs*;F86RL0n zDG)LMR^ucq&5&96e01tn#7Ul)k~1@mzFzj-^^#p1Z293#7Ep`h|>OKAY-C8n=BP7_Jce5)Zf3>%3tyJKA}Dsl(`vPLHV9~2)IMN zI+7fP2?UV=bWuC&>$;_Q_j5>R7OO7v^5CS1KY%M~o?47R{C^)5j4E+Em{c8+L?%1E z-9e7_JKRcd-n*L|x&9l=`Nl5sU)Id0k75udJ9YeN~u`eIEQ zBkxyf&K*{mIm@-i@fCi9zHSKve+yv)D*BA(;XbYV6!V%rMmNmQ6s22IVmN>_N4l?U z+hizAx;<7%O$u%V*T>Zl+v^{d$)(gL(C9va=r=W|96m7!CLc>j6A#j9WRN7AuL-7b zZoWSWOz#5Sg0fIF5U1LfeAECUdIj#zF~qvwi&LvG=*3nwi2Jwh0!`wtm8H{&hI#tk|(uUhJ@P)~d&W z11jzKF~=|vOvGktXW8k0OL`MGm?%jD;Oz#+_YiQ9hZt`HEyl3@ z%3)nFrFpyuQ!#AGOlIu&`VlL{dH7}jb0$p~J&v|g^@RSe){zAT4<>@PlpIIO@Qs@@ zhQZ9MTCnZw=%|(i1Jd^LVC_4KwCTx4mjS#IR@#G3PQ@IC68P=F4Cx40XWxo&ZVOs= z|0ePZ`HhxybIG3Erm^7Q?_BYP2IcnnN7a-rZ4K&(BJ-ootU8Nr`)#2k+`pM>vyBrA z%ZviDw9rP88ZS>uIS>qs)^EbKC@CVDVI62Ck7+U!lzjF86)x@N=XKOBpOg}QKBVW& zn>U(mru#@nmV%cZD1nM0Ta|4z+dtg@F3{?U={X;QS%8+b568*O37NR-unuarNM0nm zWj-xnjSbw8tp-sg70QJKtwkT@@u{Z7xd_Nd3bT5_)Vl{J#ryW*wsp!{rhWCa);;t_t2XqD_Y3PG(w*PHdW?)m zNsks~zqSlD0B6-X7>7baPIXe;B6GPb67!i_yrSrI#3}QcB>REOZ`dXRDysUtctTr@ zFNh2GfG~}&+|jhnx55nIqLlS@>n5t*77`FXMqy-KkiQcL3d)xd*l*Fm@kk=h=M9D& zn9|Xa@eP`$)pKT~EfOF)JFTCIJ~vwP!2EDf^uZuvU}CoC?T-mIde_TN;67h`4XWMk z?+S-U0fQ~c=XwUDV5GX(EjkWZ&ZTJ0X0DgnNCIOajCo`RXF?)Sn>#xL2#Tu%6cFcc2H7h!w z`|uoFUwL6$ddfSE&)<9uWXYG?J-p~>@#2IQ)Sp}7cUwB!xQM#ovb5wIrj-H~cp{)B zm!R@{XA$ys(OSF&A-gS6E1cWSt$gXv^Q&}+2!nI?+E(JwR2!%NCN$P%LZ^vVUxhN+!)^=Zb9<s zSVttI2AMkw14a<}F?a7b2+-Z$yY-1`9n_7bX>v9A2|-N!0g zKxiEL_C9g)4_%*jLk6Ec0j%Tj$J3*c?Af;)zajdqx&a;?BE(LLpu4f>ke6PCzZu0{ zKdWpkj=nS-Q!zTBGB)ldFV3eBWQC4+odwhET7w9ch2wwWj^#B!wp(sXFuPq>c$$Tv zXF5l;#l-wZe^|Izb>MFB*u;bKrt(-AOpM0ur(~25+&?|G9zFn^qk zYh#h%gw5eIT9V()ro|g_V^}9Fn2pt(g5?EEy)IASMbWx}5M}WWuQmw%{P_-s*VQuHfwvq#B!(7YSzMkNiTsrd&F_XT|sa>Ux^lqHMSux3?m4eHt7MzRxUHA^x3!3J@MgJ zTHT*u{5iIqqC0(D<1aKWVU9e%UiPMPeuuSZz6k38 z;*)5Z_)L5WRei~EV151Q_?E3FP5BObr?`{GT;)LHnD7QidLreTSf8?*?8cHHW3%{! z%z?)e6&T)q`Q=-5>lHM?Ckfxvfy08a*uOxP^Hkz>gtalRptejyezv~UFRd`Q_V_D5 z)f=Ep?^Ae+H5yZQk0_-n>qC=!;&(6(w5o^4kWehDI`p&PqFBTSa$IV+XAc`Xq5$Nb zi*f!mv2>$hxh3HfhLJ~A*bR&{(|)5c+vHSFpi@~(i`&98OJS3t&H=3<1w}r$pnp`n z+);4@*tiIxtd=qC^p#yR&nmTd5bTf4%N0x%nN|`pmeHQlsjY-pxbvA@33qZjzHg;G zZ&lQu{Ev-jztD2Vwf8sHp}{Dhd)<&t)pp3`;B+a#ZaId z^u40EQ|Yrbg_?m)l{#Z&ci)4Jm7mj8RN!Wp;;WKj_U|gilp_z-a9r@tSY-%Te|;3!QU2LRDWid717G`eJG*@S0wv`Bb*HG zHTwqDmb#7X`VMkOg{`zg4-qNTm%6^bA0wdYc9I7ZGRFqrf>7Cl^iO>KJj=#Y0VdB_ z%pA;iEggyoJ}zUR6)4`cLbQi~h@)RT+!fUQ$mg&T17|k;U=c*1LX<8;U9VP*@`F@B z>bR+!eTSYmot>E;OdlY_hP6!kqLm6Y!VUNYV517ZZ>-6Z{zpXrh>H_I&eb5rMA&Vx zt)R`>`E9~jvT&i?WT^uJhd!32B=|c_W70|{0J6P#$bQd>dW8!wx-aX z+Dvw=PuNfYi>D=fw!gRm4LOp&rqj`9c2x&JaIW_(9t`56()IxMUOvm{%_zUkT>cU6 zh^WV=nBi%%~2Us=1T$i6%tWnrfRBR{4&7GaTN<}3-@ER zI9N=3D`q-u@(V+fkIG3R zEpY!On~9K}Y!Z_+T29cc*P*E2os_!zhefu0Bnpa)v2f4zsME>~`&lbuV|a3$YD*WA z>Vzy@+r$g#oFW5KY7yrP!^5?ro&$yl*cj&$Lqmo1P1c=loYUMBh3)Ab{`&({arvPC z1w%)EQ=yza>u1{oj;Q^!(&X#+B^<8tppl1R5e*4PGitF&bjWd3L^ttg=-46N_x%E! zW%Kz<)+CFc{@$8rq%ZPTPFp7L=uoVjqIs|448w$+fL-R7I9IZC4WsXvLW|T9TSs{S zQ`GUD7$!jl;C#`!KV8^WoC)gPgz({_fA>2YDeZt5K7i0^s_S@(Xx;P7jmm>W=_uu} z?Q5h*B9y1D-|JSVQTzGq#K_l0PBA5W6o;=?acD|-8yjzX@!IRL4U~PONI{nn2zy*f zaeYzF2|oa~piLI!1-6^flg*cSC@HaN zQ0(vGzq5#ha-2+v##OwlSGuI)W{Nmk=?#r~oSB0%C=fW5N~p5CP%3a71Wx5OEM3cp zk)T`^h@@B;CyiU>eCO17*hIIs|4x_A@@GOnk$Ey?2q4bpGL(LvGdf(CoqBU0KWoWV zu-ZVw1$Wd(!=~?avrp>slQRJ!4w6Ela?(W*-vFPCsp%QHHZp*#o&+@%PCx7UF&m=S z_9Ndhv3g>2fB%dNiv1P-x;p&ybQLrpTSLcVNkxE_eM%@hBEAMnc_^yDaeMA5^BTN~ ztI7fuD1W6seSevg@Mt)p=yA1dE|cVplPfP~vYyRl_ua7zRGK z7dvE8S%{_-_YQezWcNKXzTH@iI^?&Jj_ge3fW%J{y0D&>9v}58D8wi^vF!PbbE-+x zgozpRQvSla=6d}u6Ez@!P{@M61tqth9-T-c=5GHaw&Cfi4~TWb4*Xr^9Y&F_s9e@I ztG8~l*aq=*@Xg5Ek25ZgZSwtcua2#gXizERRkH&t*7$i)YeVn&qB|(Nes`c$Hpise zg(5n?QTXp~ac=39k&R8D7p};-k$x38wc#o8F1qN8;r zJ#jDGfb7ZyaMMrQKl?j2yB5j}I9Gkd+HmvHaD+=I!bXqI<7Y;pD#xxa7sR!SDg0#`R@jdC)8#lpiEb1!V;wStD*73MMg7U;X3r3V8P9m!P2^$AVY z!rjbeqEKco*PtMb{bLYoLx2!@pvVoM?A$6F%nch2(bpFXvf-*KUv@)g(CEzG!D2!? zH;qEjm!Jx3#7}fu2j&*Es`mIoK&a zQk~j_^JW)z$1JJIxdsyRbk9;*88x~#TcOepjeEl&$xn{0vXJvq8qgba<~xwmDLciaMA{qZhBtPd)% z5<18t1;K1CG!^MI1a~iYHwrrLP}SJV0v_AQB+UZCP~|5J2*WnQkjeDoT8`P1Etk97 zW~?K(Wtyhi27c$*yfc-pJO2vBkCNx=Ck=jC zrStlxuy(qIt90Al#*F~?kj$^mBZThJ42C1A_N43)o@{`djk2vKE-dlj-;U zfPm{Yq`c*b{0f(mChT5!S7mtxaqBq46%)c)EWs+12X~0THgPmeA`~)g)QOK(Xv41D z%I`R&qo@ns&bv~y8Sx+&1didOfHtnBqS*CzjRVAs2IWxU`TDtwGJCgYWterb9N|q2;9Ebvbp(moJOQ`FZHn`+u~An zs>ne9E$+9VhUE(`R5BMvgq30xMo4RlP)$}{`ZYN&8_9(7A!pEQ(Kj0B;>%lTN*3+k z*}<)evd$lV-D07#fZC8H`;s#~`E+PJf4qaMCvb3TCj*dry%O z`$M})ZU}}+6mLt(;U>ldZqx#~pdsf}ejz20Hpnn2c#CZl`h)T~is*1EHn}dEpOd0T zI`1|e1i_y^RMi%N?jFzc)RSf-b$pSF1Jg#=L92ar9jiJv9&_K(ge0mX`8#E#mkZ)r zvahi331KEotUGZRAd;Vjag2QenzT0DR#5@&e_dQPB3ICUa}@SIJSPoZtio}ArO3q) zTL!&>Xk*L%_Oj$>NsW{vCU!hTf_HP*oqNg>!Pe9wRV?uU)=e%xYXx+0*_ewDC4_dv z!uyfD4|P(fly5f{S0mPyV+LTxfqO_KagPPDS>uHEW1s^H=?HQOoY3|I0u^ZrMZbhObJ13^0A|l4;HAr@pjm_jg(mJ{m_+I zktP_68uO<`m9}HmK;7uM!Dt*_Kr|F&9Iw>W)#p7wtU*fj`9iVmIo1g?jPHP!PI$gj zKbE+jsukirD9f-gdXe<@@p`1@*LG!`_BZo8B>6C||3?l5|@s;jOe z4JS=Mo;Vr)#?)JHL6QvM%=~@#?(zkd22+KV6hZG*%n%8$nYN@QwPr*#Z@IZt1`q-k z+SWFJ+Db^kv362Ds+F;$=7F%~^Y4=Loy_-NOMS6{?>F?6T%kA4l_uZ6) zloD&LcEj+>i&`FiDP_uHjv3&ZWZGc`x*=;{D6IC@JMY8M9ysG56v?jU9$LiWki45= zk^z9@7B%soE6p^EZU6xYqDq2W{`UxB1Bw1N#5@HTtzEV^jjFE8YR0YaSEs2~YVWK1 zytH3eRV^HA4oA27lFa-9SQ+R;@HlK0@AgXH+DrYm5RIu68K9fxm#N3q=cM5s>8J-x z#<;Vf^4gwhx-chMkTz$@VR~`#XW;fbdL+&SU#8g)VY*;U#KqzA^9m!&mk z5=XHR-s3&`?XP*@s$5FMLYVKCn^D`ciQ1A6e9J!PO#wDM{~z{;OhF9p^N{Mk4Ts++Z;BqDPli1Cv^hu3L0 zMSK39-}s_Hg@pI)2g}a0XS}MbWU=be-DbZI@t2}BqkL~243>R61_cP&pm!l(aSbzH zKgEO5hk$1PEK6{K4VCP`39;#lvuE8^M~K{}Q9Z>?w5*33D5zf10J6)ftC z;rsw?$p%Pki~53`5Eqp;B|5IBGZ6DK_VP0+JDHhP6L7ia6*v!*r0LGwQ>7XWLHHb0po)(Vl3pYKO|lD3b%RYndptj(9{QWR4#^+yFO?4kI0lk>!h zMBt9BI}N@SyN&qBpQH~MI1V?=0CEN6_tsBJetRJc_QyC62&RAqGn@$dz`UQ3$2=Sk zFh~R{Rbv*uiczQjU9 z0iBI#sl?~>oI}t8NGaOzJAGJWEm8JV8XoeiZqHrXt4H6@m8?u4mzka2!EW7U6* z+ld8;Sq%5lJqW@Fvp`y*nhKuiIhdGk1{l6iCE=bFaw)POqS~H?J^1#8w-^w*EqdUi zzpxAfJJ2PjVuF^3{%wr?Y@eBI#8We_-&l{oZyN-Q6>=)b@e4kX*zj{)0qZEJaH`Wg z=sD8KD`=o494%T^BcFRgK@t-lW{Hle$@g0dPDoMYT;S}h-Vm0E8v%kd9~aXcvLhSE zI`oww#~mxzZt9r{pCJND{XL$iL||^myNwFTyz>#0b^3ee7}HXdH^vdxIqr;=DbYjs zAsUFd%$A$+`aQ;>7}Pa#%Uzb09ZTh`CX6_)eUo=n-Q$Y=Do2@5pDQ{gNP$fiMtssI zoA3RFW0%L4_u(x<`cFUO4v>N&uqhp8^${w6_~2&#J=sRUH@7QpEQO8xi=#_ki{~V+ z@Eqp=*+M+4$)Qb&`a|^)cYrE1{@Pwcdm7E)0id7 zxIY9D&&J9hC7WYHC?TJ&6wXTQ&qn6-agMf9P9m!Zm|Wd8 z->-vzn}8-m5YEm`$`qq6ks-x|tUPztNFDaXLQ2Z;GRx8BIhZ)nZBAL9<54U0bfyra zg}Ep}A_8{ZrH(uMx)XqxvUFBylF@t#1Href5{y}-C|}OxIU+{d5iQm#N|l9Xlgv#L z$ox(pjmw2=bEOQ6Notil2IS4xqPVUytigSv~h=f!T$R!iGVLQq|Poa0Z49y&<){b)$c|uvi3_( zfrBxXj30X=#k>e&0}05n<@X2Vvx_y^V*BqsA-XsDRM+~m&h#W{vQTkF*l#7A+cw@A z+xkp%mb(w5I%yndhB=!w6z!-2MqPuCDt_Tx{%7H-h!=4E1&%+4b~?M{IC zKK9TACxp|My`^RZjyQNSPyZ}kwH41MNWP6u$y?EN)tCov(DLxst;>wpA#)&^n>sE8 z?jbxM3|YvYD=tn0fWZdEuTKw2V`1kH*w#}A)3}p@Mw}bxR7%z=4}SeU{o7}gNG=~A zpgDp;wmDyQyWX@W2f-3iTkvJ-=BRke*a^7hU2R$^H-F6jniM;2nDVn}U%%LB z1Ixbxlb3)6lB`wfqcT!Bc!pCC3|uh_)`4r(w<lZ&{n{6%*3ZJS$5qRLf9CE<#(^yCV}oz1e(ljCK-N!xA1If8!mE{bD!5 zsmI{YUPYwyY99`cZmq+hAwH5(>I+ZPs+EiH!d=@**NF9+QCq+UUfp8Wfg2mXLT7ms zGfz7M%_ed-n23Zob*AWhb((eBmsS?ku%$Wd5^+nW5S!)RaqZUZQ20X!9{Wv-b9x6* zW=NYFYMGn>Q~@<4mBykZj3Ye&i0ZIzUW@q6CQ-K>4J;-`LH#1{79A-2aBZ7rHPf&3 zGECM_w29B8``H7HiNTF)s@l+{J!UvSW&|Ih*NT=nnQH$X*&r)^A`ok!GnlTg$g(jw z&)hJG*y#}ntR8YDri>90-03_hoKZ*r0)CH{VZDg@&Gfq@iAo;<6shAO8SJJ*A-5%! z(DD_TVn`yDy9l*98O-!XbxkGsL)+%0^9@6jwwWrcizy5{?QX75@8VJ`}{#MUq} zyEtoN_sCkzUB9AHMfn27vwwg#RAC>}I#t++*jiVvkRv%{n4{BXb~A5VSSABS2Q~g0 zLK3s2_wnY&&Nrr(m?9#xjId2A+5j=@YhdT}max9F@#8kPBLBF}d&wGM7H6INR=y< z0)NB^TE(5^R{2VO9V!bY^x-GzSmvv9(F1udmO>J5G>+pY-yejg!!!x}a7h(e=o;1_ zuzxP0l*HHhgaCBN1x%G~&_M>>DTc}H?@X&@IB@o6UGsd2`!+eO(ay^Ww$!pY$+xT- zZ7=6FFK4|AdImI+gzEs{Jyw?E-)t0;W|-imN!3V&GRE^;f8l0^uvn}b4TsX)@vaIb zv~~WTG~+t(w#2~H$5k=kVLGqAQ%{ZiT)|G!}}FXF}R z-g&8Xcbz8|2)-p9K?IERXGk#FYrf?EM>4r{v(G~6yi$I=qv)zJPfll=T=qB;L+-e^ zM?ktH)--Bh>C?{Ki2dY2hP7W|Kpb1`OQhQ};jRyv+~Hp}M1%d-yGTXL%-&M6AnBgZ zqxO#tB9O^yrMl*E?VsC)megrK5cc|LS{^F}?Ff%knHRJCbpz-^MZqog>_H(6yf1Mw zIY=LEDIQuHo}LI_4Fz1UWH{*pSYM#*s-V(C6BuHb`k6I+TZN+Ol?8$|Jsgz^i|L&q+^ zo&ygdXM~z^Ms*2?;e}sO_eg-9RwrdAh0|Kt zRIwv39YLgDW)!Dy(+CbHUP&^JHDF+C)pdLwLCl~O=$JO_xrelZepQLZ;E3BW0x*Wl z@K9f9<9|BSUc&_mVxR9O-aIHMq2cTpB`$`h)_WCjDYC2J1%Eyk6GxBUA9*tEw*#{Y;jlgpQre^Mv-6*?MUs|lT3atZopIIz zJ~e$iPOV5U0$X9_c53JO%-paDj8g);otP_9BPUblo0(GbTwg-p0&s$f2 zddlR*4r2T$$yb0q*iGpjYl5_8Ub)~a;-xG5wCWR{SOqTU9E^%y4db3{x9>lfq*1Cu zWr;NVhW`S8f89=p)lZVw{UT40WW{O0ZJ$e*CE&HJw7ZGY17tf~;Uk9;vrjT3`aOLmWeC^Cs3{%IZDKpju0*KVSb(W#!io8S zDzv&nCNSpXm#-UbXdzavo#>I>#dLOLx3xz}YI{mn9~d&y*4w0SuwCk`rGkdQqORje zLgPSaTmAxapB|CFA4iPsl=WnP^WF&wpwk@2dgYsGNQ=rsLr@tW0uIFEvLkph0A8A1 zdRZ?_3`66dhyZliGak#Th$r<-uKqqHJ!F@AlxPg_B!T#w5Kewxnfs43MJHsri+c`G z7I1ehSX{^prJ7rrzSgE@HiZ1Elb)z$URe=*X}WlV;JU4s30;0imz;PcIHA2IN>pq- zGUi|P=l&S_u2wlIwWERt;L7wq1##TyAwz2Y&Az(ZD^j z*KV4voGMQqu8J1}bEa>50Nh2iS;61*=muC+wS4W*nU4(Dq9G=$-hJt#Te~UJ>wCL5 zA8+I3LxhzU${y{Z`~=w4a?`=N-mJ zH*%K5ZFa*RGW?3T22lQ_Sur~+m%_x`wDZ!pd5q~m&EDB9fROtnV= z>?e8q!;5SEld`2YKkv0Myf!vFzk!^gGrE&y`*@(%8Ne&Ytj3(|0S&k6 z$4#Gb30iWsOk8&@-LkR?FNCBI0a;QsoGzQoiLtmFHgyeRf&z&(9~uYF2}K!1*c{$- z;X_$K^{I|&C+I%M2LOUG*##za+ofJ{547ZV>ADd`luqxx30_sV{L=ytWP8GCOkRAk zUgRnaz$tsqMN`wBe(P$S+1Rw6fx7*2@}>km=*8n97I_Hyj8iCX@C<1TDldo~BLnvK z1xy2`jcYa`^@562hGuZ!+RxDW#QKC74i*)gRQ`YOnlBF_(QFD8xL=JoD~|2W?`8l9 zei^;+1o!?hWYW|+?g8~rYdl$m?Lx5_F!O~$yn45=Lfs+Ta;vXi{3gvA@F)Sj-OB&6 zR@W#&fXJvwsh=-wm%|QI~y6__AYU8OE9tmclB1PKjUt_&c|htU_X8)X$aUCRwb ze`yu(d_pPenL0Wz-?Iljo{X>UbG@n~OFN0AP=B{u0yrV* z*>clkErvWpq%v~uTPICF>8co7$~uACU%*PR6UEisM71&ck&Q8luyTA4OGGI`Q$TE=m3q$Gu_l8y;;g5f z?H;zZ>UphI#qY)T{8S@(hxHmZQfvLgg(v84 z&2pJs&7m!x0|0{-wzOBwNmDPJGn1aC=Uxlt{1{{=eww^Dnkp$+QX>nJItLDll$T8C zlREqA%Sm=O_re2_YsYbP8?w@P1ErO!(C-((@zwT~F2m-mfsAF6(XeL=UCzTuWF+lF zj%OLOvB&xBIfd}Q4G^wxOo{v5b-C#f3*-{>R)QD3Z6hR^PjXfU>K-fFkus2HD;<~( z-S0;2j=*;Qwq`*bTi2ySp{p;1*-1@`S&261;XlrKv>sa!WZ?);U_9av+g-V~8`h7U z9Z|GRndC8JB-yXV*K-}5FT~CnMMU0++>%fuA_%&ZN@++Pfa3wGp_OXca^$cD*tpim z3B9uO*2etM61YabQHqD#!}$bw$9ooqbW@&a;y};@TYZ;h2y2Z|#t|~q<}A1qvjIxV z=_*3dJtd_NFHQDan{+%tcO?ZE4eN`P8QP4O;OzE~{=21;&pobCq`Fqy$wA%{ASPIg zT#_}KfV+yZwuzho_s?j5NBZh27u(#Bv8kd;kQrXggY$CXw>{ZQCuHaRb;TXQd*vZ5`KV+gy@?SFRQvw7kG>o zxzcSg>W^E43eH@e9jCSc^p)5lKT2CnsPm>8G5n4?14JCi1`BAsX2pP>SY#!6dOboso6rhIb8s)+iDs^R_Zua zhjf2udqn_@JCy%bhfuoZ3nhXAc0u-r z35J|CTjA3*v!G;%%YzIH+~5`@!FjcKlUh76WMokcpz!b!X;)YjZdf&~u!fZbQwqz| zqDjgG4VWmMHCbeVvmRfel%ZzcjQA7*vnIwl+≪+i==&>H%XnWR9VcM_?7}p~XrY z8U{~-2HZjvTCQ!-JfF<(JUMu_FAW{Yn$>!mI11sME%9_g?_s6Mz9*Q+U+n6%-ilrA z{CQ;HgBVldo9JHq+pqrp1-&Z#XsxT^;}S(ncSxIDyGJ2dyrTnWT6gvf_^gfC`)*rO zmB67deS{07pDl`^#~&*M2N~miu1QACO85S^E&oNHmMZuH9%ef4R=w%hm}$Zu`4s)= zW&s0uGx$|d_hWM=TJUJ_%53L(ChMkDe zi_hY~c25Sknf_SPqD_JB2gJ@!r#|tEJZ{t* zuH#e!a+y1_+xfjS6+e-O&nhQDeH=bN+>dpA|9cf_li{m&PZ9V~A$n6|XE9(KW3yH| z$6<^lr2c#uGFloNgW3GYrU)Ikf}mn7wE&g{ojYoTT6$}+`E1B_&_kNZv^8-AaeiG6 z(eQwyNQVBKTrUnXnEn(5akPU9pQ2gkon$Hg5!3JrefEVv+tcnKZfo9jM-bAD5I+CP z4DLkPF#}hcxsIa1F}{84r_kLhQGe#pVQ1Fy__Zfu-WCBo@Y0AevV4#plf{gKa7|Vj zxgu1>Nb@dH1`z;M>grO5Q+mMwpt%l6(-FR3rFcRX#XT_6~m=vyvrWu$5>7gARC8bSwgq*GZL+MOW|Itqxf}#wlk$Hf&t5$oKw|zlpO@nlEiyGk@Q}Xe3^Lb zw3Jvsqt-J=54a3=;}Utr0XYbj;*l55v>qphDuu|d3@KuTg*t5bTqO9UK7=8s@MNEY)@jo$LG{EkSE@IZJi&n`d3aD64=UmEtG+uAm09X&VjT_BRf6h)=fN~0`x>zn%=R=F zagrc}+bDSM-B9i}S=v#DXI}X=LYg0l?j^f00boXCqru!) zQ8olxF+Z3r#x9ccx?&4Vm;YY7cF6!&cR{A91CO&jD+WbPH;$Q)=wr2y-Zs%0cJ=Dvb-*g)#Ei9LN4G#+pxC&2+qo_`<}T%vaHcPow(<~& zA}^*PIV#3uy5mD`va(1t-6@ALBvT!_%+rXK-(WPU=Qx7?J*o9>n^(83rKb=>5#BQv>26!N_c*EChlDeH&tQ2(%0B9 z%`Aw$C>kv1p1RTQ%?LW;YH*kXtEsd(|6RY#V$p6q5jiiOth{6dT4qB6Y&eq-2-mb4BSuK5~X|V{or6ADErW~3inyCQ;j!bCnrWJHM-`r*6~xCud0nKt0pSMK z&`5Zjm(T^xgoDQh+3b*|x_)E@>}CH>wm8_k`-zy5M2apAF2>X{oMosDPD=pz+l0L* zH0WKWD!rwm3Ege)S#uQp!WEmlopNLX_w5@&k-N;jX69N*i!UX=IN;Lne4vHuQNf|` zECncLV6f9GV3FT8=FKem%5CpKJ_)0v6Der&s$+3Ec>8X*xwTB4y_QhH{Q@%UDz<6y zFjnrTxI1VHkcJbP9E3z*P}U?TzMQTt<@mB3ib8>AM4o-V0|nzT6QtpwM?GE{d6?9Q zO!hXwI-iEapf$(*{BWhw_ekE2s3+y5In6hiibG9mW zGIXHg)dnO~V>O!QDdjek3t6n@b$J_fuxIZ>B3p zs2AK>Hn<6e6vm>Gx#Uv<6HFOGcB)ex}1yqBd~ zLnip}^$ImoGcNQ14%3X^9ANPR`m#7Z1j_~D14F$4ioFPWlclE?+IfiwEcA4TM=!vU z@PzRq;irqZLeI!vT=8 z0oh+p$R1mYmab?)Kad>#-AI9Tvj979OMieNU=%q^GI;4;OLq&od*>bk{#@RZr}bW< z=&;I21RmudmF2Mwe5ZDw|Apqoa4%}@LHMmmfXqsSD!0it9%0;kOl-DG4e@|EE#UGy0fwZ#Y6H;>9&8xNS4Iiba)IQ!^& zeIxoCY$shtkE5+i%!5bR4hF4)Q|aN^R(Bcgz*iFArVO(3NmmUl6SgNur)mNt^SiDV z4VoGkOqcDHkj21(GtSWkEmu}UI9L3C zV+(LEt)-RA_bDDGq5V~_Y+#CoyZ{cb`zK`K<0Mg3O7%@lol)E~gNzSM{RQpjhI7bL zdPxlkj+^F*#^9(S7Ywie%1~;p8+x%=v#%7Bq}7IoPNGr`9b46>P}_Zx`s$i? z+FWQDl{&vJ+yei_y7~w7?gpGic5ztRSlwz?q7z&+)zXqGSjy^dqzK9jGOafW_`JCe zlVvu}IUCSh7i++U{1Gdf6NCzM|7HOh8U&fO6UJVf;?Mo^5>V|fKAB}Z;@+lYJcG&Q z^!YAz%n-j{kWuSO>UG7noDr3`zW-<+s6Sh?bM;-T&LkoLrEG^ameE|wBj^T6?#9yyyhW4goIT$CI@*)jbOtoJijlg zjiVet$aUUJvK;Q5h|X`*QS@-giuOf>DY~{!>ymA+Ehdczmz8ivreII72B21}its`# z$33e$Ei|u%SnA7@7O|$F9BR7sT$H9JTurRx8yv?G+XV6A7VoZz$?BpRZD5gB?3%yN zM->xTgydZ@l#ucy({l1Jt1>SYi`|FGV?^f(W~8!4{ANs~i3(;j4qZn{lduXfSCcb@ z1sda>&x|1W94vWq+yArbpg( zid?fcOLa^t`62&b%qiCYA4j$yU@{W#M-qlSQ&(;h3AoZTsm+GUny{7bH(jbhqz=g4 z>_8peYm5qGvdNK0{k)25Fy!RdxnRy8HYY6iUp!@7;$~3V-%cVxB($)t4f#BNS&a zB)_-zzx_V9V3>2mUIeShXtA$y5C1|76+Ks?zt02>kdZwC{1~tBskr+ij7z1v6@M3a zNZJn6y;;boT3xo8-Pe0Hdjb^E=FQ|HESgkUhjX$wWp?=>G`b_bSpENufYKoqv?iNv z_&5XmPNd>~>g!r@fn>?f$f5b$gUW@zpeP7nPpEfkJ1czha%S*5`MUy}^G%DF+|Dq>}u#j0Ab7^lJK~01Wo9WFN>|4Sf zZo*~EHlk`On_(;9BTPnc3_^Nv&wFIZD!LW$(``IazgL!o#r+7q0HfC8ybpuo0J5}* z8t7Pl*l_h&<=*YKB85|`$ul|Oh3#X-X5Bz0kXO(1i-AYw6pwt+DZ2k+kQS#6CZf#I z5J?M`Gk8e^yAyZ{Ku^x+>#rCMMA3>6XG0d22o3SW-6TdQkNVRA?9@HHoy0z7A?WZN zvgYI1Uwg1Z-T*(;Yi|6!9g%QRGw~GMn2%#t9kYK@3@K8Q8bS5RN$z4*Djue13=dY&(?!3ja|g25&RlbKCZR8rR+nf>P|8MxI;Ou_7tSGW!Pp{C{SAp!;Qjf zk}l`7lU_$fKb#p>MKwrxK?W#iPvQbfBp4`}#%w^DQ63>3X@obU0j#+-1-zE4*?aj5 z(~jFg{tA~3o7DV?$RzB)JdfGj>)rf9)lb{l-Zgd&ZFsfsLC0t1w}#Ev&S7@^&W3c@ zo&}c}IFp=M^%fLGwfAho1ji-Bgj%TpmSC5SS^)M%38ySoE5?HUNm7-k>_flo&VrQW z;wO&3(F79&MTMc^;)YzxPWyG^+9|+ll6?`#7;QS_bg^_o1YIlc&)8}m98s;vR~AG=-M`-tKjNrmcY$l zL}R{YcLjvZR0M!;868vNwNzcDCO&$=$Q}H5pE!bgsZ`0#?0Y55C zZF`EwYEhY6zBjY?v`woG7)dyx`y6M3ci^Gho2NNsdn#l<-Jj>_4=l{(Dd84Y+l@1( zBmy6t^7-Vc)R*vMLj^lIL6lTTo4XMQd9=bMC^f!&F~XMLqqHw$4gPc5v1N-#Vb=qL zBRPB?2Q|vZQE96~+9w%^z9{n9#D9@|WAtiu*}TqOT6`=NU8gWWZ*7);MV^ z@$R5^!=L;w_KB+5D_2mVU$h^Oe`Ys`3b7SD;!k|B=z4AHnXS8FikOQyI z8jQdaup~^4A}ib0t^@zW!iY_YXIf)ifukmJhT~9coL!QUq+wB!YnKMb$Gn%g1rQc& zGgLkBwa4Od5T<>&t-^_9cdpw)pzfLc^`58)jYvc|$2%i@_H^N${XRCFBMG5!{_nnB$dBhU!8W*|tEa`&Qq+(tOPuPhb+-nHeh7;|lX^lAWDrW?9`7`Je=l(af1 zqd5qpKX%G{y2WqBubD&ewI06#ECQP_pYu!Vv(C^LR4W{PP&vd#+7JEl=J+Xx1Y? z0V@D#+(|S8$mlGt;!$%swKheTh411=#knZ|F)!l1RT3W;sjEveobil0#$E$K=67JT zM2^&|A?J`vpy5Zi#t^gwceQm8=6cz~>o5)AhuE!E`xi-;M=DjHNUofcp0z|8+A$Y0 z_?H+LX*JN?FL|5?yo5>nb_t3>+2Aqog!pQ2=TMDmj#@itWs znX*Hr;jf5hp20~Px;4F?&oPxUmTR`$L7YH>=gVHZ?nB_FMocqh?c%Bn#;^Mm*QK?B zX8-`b9Mqxbk8-?A`{ODl7iPB)3mr8pQ57{8r7kF`7bZdJX0g)SHF1YS-k0s*&w~B* zO*Etn%_Pi%Kye%%_K5N00VjcXUuZlEK}nXaDcuzT>R>_~sR}y@l5owghsOPnjU?{G zZStlf1OvJ*3>B=3kzqs|UW>FDi}mfAtW3ADMbBH_cXDC3*nEYPDK1c63IDi^|FJb4+B z-DUr^g5IdU+ScDOM?O)tKPQ6*wv(SPKiE7DKk|oBcOBTQWY4Fm%{0I_xl&vF0ePxz zwGsKLgvK0wVV2_-AG(P?FIR0!?sX{=!TWE^b}Kg53*3~t{I;cG`s>UwI=2W%V;y_kq2HXdVxEOeu@QCSN&117Cua+uxp_0u>5W z_MHc)Z^L;TKo!wWVLa+Lfsi}XI9?2qZ!kuC|zr3zTG6~cqwQ72ur8d$ zAt=K%3g?wS(#b~pOIE1=g@>KOuFzZTO?Olf0DnUap&0)i>+L}m!Oc(480Owv!o?-U{_gE)4HWU%?r zKz$m9z7XX+3G*)!`c%v1D=ZU=v?ZPO;+wkXr&6ER9#JUyTe_SGP|A>u4<*~UEstWS zW;Jq4#0#toMpW8@>TFh}4GfwCXeYm2-*zZZi_&P589OTC2!8+L+!(8U%#$wD`2(bY z@A8&AoX_WX%EIPwEry91jjjD| zv%0Tk=zQp%`3{N-OQyh6ugQ71KkOUYf)}7por{2@E2mk`z?DhD-NpGPebgq^$;DqY zNNFzl6TO`N_aZe$*EuDs>KO}EF;anifkIVVdFI@B*f})AA$us}jcSmABeSfV-BbL0 z=TkcIQ+rqW4{C7#HvG{bM@8JOIGB4m2*qDEDGxy><7R0etqEO#R5~2-S4QTnj}+*t zub!n7A6kB4oh(O0f{ditLFd1}t?3&Ql4~xp92+e0vZfT>8eW%w%ma>Rxe+*SUfW_1Rg&Q0@2WNhT~Ki%h;10Wp}dtwvek$0xG=Sz2PY&AK;F& zH*&c&e*TAm$Dh6Z`Q(wlY7~C+25Llmf;0s9u^Kqt3Q2U>tk4n>->Egac@0l!>3!Snq7cw?8U4 z`HNQ~AX?yx*g&!pxDxiHg6?E233Zok)`lyFJv~R!!bi*qrabI2IPunY9&35i)mmH8 zN2Yj0l$dHjlsp^9LCz}CcIIa$%d?aCOLD!1Gu1Mb2Q)_>{@;(d7|%Gt*_1+!*a*8o zrx3C;!c8TYXMGH})SO=n>Po)Zl2d((II7I6m;Uo`t3Qd7r*`u8r&$}mMU5?^UIX-kUpsR*Zsk1h$g$ER zn56xpF@?_;w1k~rqPa#?iUDrnJBxe#=;*Dn02}Fv>upRR55v%70OUal2?@u^Um(1% z9Fj^Chr~#PW|jYFYu1%|1dkyn$dOa5&eLi*A#&b{9ST9JkEB*4gne#am|tMf#U?7b ze*?;W==Oh`P1sHH`}zDJm{kS*k_zoCBHc-3_NQ|K_5b;ES*HM&$(}o9f&YE2m4cQuah#NhhZnB;zQ2 zg@Mr7?*_i1z)fu1kJY8Xr?`j!ri|aU;A2#2(ulXz-02>JaLb+*{MeZK(Op z^bXoJGrAS%6_G!%Q6*Y?jN(`vjjOLGNCQ_wk~w#XvBI5|`@YdA2yaXqf45Az@tbu# zT)7e={xU7wq+~P5tmg8Gm^TAh;xfaC=;63>6@Dj)0#@Qima4mvESL z_({eY+O2yXhePhKx1L*UVX*_KqmdWK%BFQzrJ{k-!d1Vx#(texb~LT=*@4+Vm=Q!S zYa2JRr*6c;D1iP=;~)vm8s9-*)RdYC?C=5(XEpc!Vk0_uwNif&{9smaaR@>Qy$TGSk~x%)V2sPP3@lmrRjxc%nzh<~co8sBFks zrd>S35pd+#B#)M$NI5EGybgK}sVf0Z8mlc(xHXiZRkWzu^x#(8we%_Jc1r`)yJ8X8 zRIO<%kVdqGU*8VbAne%UE)3fW zeyZAnB}gCeq{QmPD1hH_7>@z9(?`q7pKJiBBDDS+zRF6Bhu02bu-Pc`HJr5y8Zb>& z!isj}zX+_d3Wkcy_WtM&3V%}{hw%mUS$*J4%H7wj*!xU9d5I9doG=3H6H}n!!0%m6 zizismnF!`^H$Y|l2VzTB;Qk^Cv?%(Hm>oriz)#%Sm->on7`S~nJvFxS%(&5B zYKQS)o0*7M{wH5s&hEm=bIjXYDTnRr2e0T(xpS(TnJtFbD0`p>evf`W>r7nizx$h@ z3i*|7Ia-J9wFY)c!P@fX#Xz5t*zRnf#GrmeKY~>g^!}yi{a2Z3)Ei^1BTJ1KzLL^v zUGpCn2cZR0QPeU-0IXcY94P!)8tx4G&uFO0QAf9>&xb6di9<+hVA5DT($HSpriBM8 zbF9*DyO684FOe!{^d&~eJ=-BdOGdS3n#v3m9u96c>G=Fr_YqP*rjg@}l#kg~sTJZmkNmrv7BzGaytv?u#mWllpLAPog=u6~+LIS!osSF? zqHSNM^967zs$oV=Y`V`y?Q zR{Sd>r#OII@<>WV6oiln~k*Wu9HL&N?KX98=$u55i%nOu6eYsFh9U_G3aSZN}) zFiz`&C2_DJ57m%2DjB$`--Moz9fc(+cgvt95mrUpu74^ca@nUp(7m z+6q-^!GvggC0q*XTaaCMUo+4oLC58Swl^mKbD(#M=VCT8>{@fLw}onMO9m?pKVRDV zdFOMU17ZH+8J3si<(8$7ri2dbAUU8ip2#N8Y8oR#jWC*_cMH3Dxa_#fy;?9#u?XtP z=-c2azO>=_VNf_uIfCfPfQr3zea1OHEw>VkYK>cocB7?!sdH5YU2=ytW!URzW1uGQ zSupa}E@PhHZ#fQGIRV`<-V42_z%vZ;a-;;NNrGo1a>vM{Ukby%6VKnsBGoCM-Wn?i zkl|d_3^tPjMVi(R?>tqM#}ehHeIdeUA_n%b^c#v_pAowBtP57wcKsyFtoVkvq`%x! z+-QQY_SrF-@@=ReWgKh^v=J0O^)K)irnM2E@ln^_g8pf==>aK~HnZ{ZoH^f{0;ng0 ziW{Y}F+Mx-?w16p7@yzET1e>;dT9&knI80HNLEhd0XyI+pldsJ6nS92jKH%_oF&Co zFZ0@ri_JOXAbW^LzK-q{!gI5jblqY?;)*HOcfm9at|U!b*knP_ZmW?As~Q=|+AFQ= zUcHi}%7T>78`_T3V05R5`}Un;3)DcoVM(f;jooa&q%Zaallm6Ssc&)nv9r55Zi(IY zokNO8Kk*@B=30<{Yy3ZeYYC2MWiz z1@COCq@M2uyrbkZlo9TNG~Sl=ot31rH21)gdUR@+^Zl~fU1= zy6Mc_h8X=s>M#=x#Dn=h?nuDpec&C`h&Dc|AI`9d+97sM8$ty%=cmzhW}s)KV2~-n zG7knuo3w!Io4X!E1Kn8`G{@!%j7F0i^k&e@=l-wkbzuyDU3dK-&^JTtl`i&62Q>qc zr)I|wZ9$Rc-MOtrxQkLM?|()tMS`I}pPl5$wz}Q43U>DC(*7;gx1?HoE&CFhnfq1Z z%!0~F;=ZG33ZRsw7QAzt9;VkaT7wXbd2WRxro8IvX{BRbd&(2r!9p!hxymiUDAk$DQvs`Jvt z8joE_i}WwK%;C;>6AGvpmCt+7lJHA4K(nfvwAsF{9IiWYx_u(WYn6$}JGLiVhEk0FYiQf$?lWm3paP@K01F=%kJ+z;! z71vzMc)KRMYHXxjZKUD50^fW}wL++;c#>pXCf-DMatWO!IoL``z2|O=L z=G_m(P3g**_SY%Td+rFP*GD?uG@EDzSxQVWoqgX3Dw`lh=t=4N25M(p*VEVnSt&t8 z@s-Y)mYLe)?VbFjR-`~f9Ko*yjf%Q1P{`SzCv7Y<`Y7z%J2OZ;Be;xG(h z7e%)$iNsq!HWZ}0mi9e$MhZ$n{rImq!rJjWQ9eDErSfw}bZj#3uxt<5Kvb2IiI~sE zW+lAzyGoSR(sf8z=vVsuZti-YJ&2e$;t<z$KmH8JGDiRPE21=4;bm5y2Mg&^378z7x^EK!$t-N?jkj9*<`P95uwd1QThMsyeE zBrNA6bLW$G0!la&EQ1t&qQl?9{9730N9k7X8u4Zy*Wtt;IjT{r=bc-EcXWrLNj#d^ zHXnz}rLH%Z6C$qxw4KV=ngQ71C>inq0b{z}55OR`iF!X}iJ~+Vy;+yA&Uh06S{gn~ ziY&kx`TS;xA|rdr#5tGg$=;~dK@F8-^0arzNZ#`J89`Ata0c8zx^V+* zshI`CU<NnJQ8C zaYpj-7(?ZwUo(ZpqdXPpCdmvj5vHTOrM9E;xhoLYyx3P0+ZUi}gRcj`%wTu-MAz?U zjq$pGz@^4S?pvH?{uKMpm>LOHS`<|uEu%J7%sJmX%>ABkMabkN?loJwCX8~S0QHZX z7H9WuqzX#sQcSfdH(^SKHoaY3S zf`QZ;S_rxB1nY0ZjNcmekFz@b7^vFmnm+Wh@d9~-gxK#n7OQzR0dOQ9Y?-{to^s# zt$7#Q_caJi<|G6de>unn8sv%%5B&+DjL^p1t%XtS1Ji@I_sLLeG}T5r)#CujY`fEq zL^}&7-xO3Qa;qx;j<-4rlzMVbQiaUJl&ME-zEX)%!n=9>@K%BeHLj8Vq-eH?p(Fi! z0B#AmUHS%#8pD%FR{tu?`*W3(?)|hrwBHn)MU|IZ(7X>@vZAe<3OF`MmQM=IEpV{T zinCOwO7~zLhK_m-Gr1((V9LOVUKH!X%YR?t7^)ikPc?PkEh7Y-6ba6oPu^^gSZ)s@ zA3LO(Y^A>{XI!uceUA&|O%5MR$livX(H?Z#IN7`kh6Px}2yAu;H<_;K63LcR^2?8f zCBj~DB(f|f3X~&bG~bF;eX{ZVYh;H+1S6S0h01?j&_DbEHu$ZWO5-zf2F@Xhs}}OF z&(x}68)RCe^3!>naC>prMZZ;5SF9QpQtfbhS`JQu)aLD~UIV-s1)8l4QJ3NX?BB^JLmRGE*8V;@5scgSc;l{Ej9l{sjmO6 z6-ZYv1IQwoJ0SfdUV{gt)(%GUSUFIKwwHpi?V#r;txcWY_Yv?d7&eCLTQ&o zH!!HYH8qU7+LIRLK12GK{GDOTom+h6!|0$^L&|Zcozh02TVD~+vv=TCCPfaM$7hc3 zD>m3%=b&|UXkXcGd28gg+Ot=C_ z5|u}@AA3ZJX>~YgTu}8b15WDzA6m-cU7WF~g#3hb=kR$ku4*u19`xOSUK_~VCw43T z+8DL;Op*Uv>=$1gS}0nyu;2Gri{%Hh^91A6Ul!yd>7^0Wq3MKqgq zS>s0zN|K>i+ZVYK5!XUBlyQsBu84a#_)p;ELE0G*at%WG%<^_3(^(nj{k!}W zGN}7Hz94ACX1Km+c60KoOv9!VxA(bfwm3yI7RWdLn~NWdj-xm5Jblfq`It^4!JA92 z>r&?t3JV~$ORgEA$Dybo+R<>4IFJ93mfGs1+grnV@4EaEV-@f{WZd*Rruay^DSC7Z zmGer5_i%8M{KIefEc@ z91r6${&@g!0!gv^(d8?c&V1_}9<=&QH8X(2*KqZAc%lIwO}islch~v1y4I^#fuIJH zQi%Ou^%_CtGTJSsy{AbJ=3b42M4)2Ii*R;4sU(KvT{yafSr(w7*Zn{!cQKl)P@R$# zih<6QQUuXWvC9AKj$`|#r1m{ZRw>T*=+ERM5MDHitgyaXq;Cm%Mg-9f6(8Em){5#& z+EF2JVqf`=-NzJy=j{6I=7x$`BMEfKFhkeN$)H8%wmLYA8IW9P>hamK2A^-R3| zz_I*W1y6+`zZ>3?_cv0~U$D%JC8B=W{1OO;PCtCUd2s z>o$e&Fep(^2@$V~tK^4BqPBRx<*qJEwA-!Qs?{-rz2gvW%OwcxP%Mm^8{3@%Cb0;$ z1lM4F_3_daGT~b|zvfkGF4BWpJxL#7Pxw?N;sgmZJ$Ec&xB*L|JQ*)uB5iA5K|c9PzVbw=6O23$49ddTM8b8DnPyC zwgy$g0NU1BaWNqhn4<00hmQ5h*>k4R`d<__?Yjm2A!*jf2VQkwFl(vH;Mm~#6Gg$M zuo?+1Dyno+c`o+S7{-bH*3Qly&08ejO0-*x&fYrbaJp~T%wl#>a+92p(2W#~V^n^} zxd*QZs70;Q^(9%bQ1x4gqg$@D*M~8RJ)GBAU#>{>@Ly_>1?Xt^??h_BTcI9$X2ktR z%Z?8=>{dcZNr{Wk2b|;!MmFs_XEEJnj1`-U-3)Z@` zX<1z3!r+%sO`ZeJ(t6~;EEB5=xAj{AqR1>QGgdlr=%#S^er)_EUsOum9JgN<&iA}7 z9Taj(y;vI^&CB5OM4M-xbzFmKD@zsr46I; zb#OaI~!|DU`$ua8mm7o~=?5A4{{?a4Q&2e*en1J8kqhUh75%nD(60yWXtwPsg=~A zorTU-;|K=gkIt;7>+6$O8`0ice8v)&xMa@6%eqR1{Wqm=u+!c|XiEV7dA;afQA+Ki zsZv%kFxCz>A|cPJg^*ke(%Qg6P}B7-7D_D!T&ODV3`PRrbZBrTY6HO-_1-D~p`Bl$ zbQR-L+yKv4i`!d&_d^hq0*563KnG;Jr&|Z@- zrHI;>_|+h??C{Niym*0_SoBpmfx|Qh$jpMO!7pkd)Re&Zs=Xmk{~?{ViS?k!H06}R zB6|wz@-9R1JnlJgcT>wTi>>3D+m7eKZBW#&MY;Q8?V|!Wp%v&cQXq6c(yL{fp20X? zn!F!7N^x`bK|}jf%GQ=v8!f_3YE>=fFSH5NrQt(LD;L;OQ;IXz;xg2;r(_6pyvK_r zWy{5*cUVl&TMsuqR0G417SMA9e76U|B zj1m!_+i3t5E7e6QbrD2aogk;%4uhp6po#6}S9pTA<87nCGxdoMT=`>`P3m_KE$H^` zryN;u+Pxnk=+QQ$_#(I-%YhfRH3IoD4l)E>D%RnN-XS7A`i0H(WiZ(br9n;Jc(&rC z^O<7)M;srhUmY|YX6Y7E=f>Lx5sm}(Z?M0YM&zV)B&&kaK2IUnBvj6O6!DDExGx0D z1O!?w?_Hqlg4!f6ss%Z00}d~=4#F}RX=j?v5MkFBFoLIc5){DExHF|S7CN_$A-7pp zY@+<8hvN8SxPHZJOLLd?Z>g0$rb!qEEYsUXUUyAty+`oE*<)K1npW#ORLkqm{43YL zrD;by;p7bY9rB2)+o;hGv!zH9H?((m`b)m%zeojE<&}Qq+dT!w$23-bG1c7>yd6f} z-N2D3ht+ToAQfnlv2iKjkcZbXd*wgvVnS12f&-|uL(xZ`>DIqfwyKHtQmhoFSL<`_ z^mV84$mX)o14TLtri~`49L=pmAoavK*Apo4*YnpG7Tb^&gg@ltJ%`bF5k}LDFG(5JG+ea zk`fS7lqlb$Syg*C=aVlF|5(A*L3Ln@e-k0hto@Z1QJU9ocYXXMnK}edZ1p!a`lM;Ao|#Be-}1Vag;1*->rLAsvPemS)7sKj$vCvrN5sv>v0W>Ya1 z6s~mn9SJ^Xx#fxKbe~tPm_)0`23nd*z!fXHl6Jn8R|6~W*E^+JRDJZ-=+l0{xWOkB z|2SP8ky(rlQI8I|Ju7807sAvD%wL>tQ2 zJb_O(?S}cRSco&M#TJp!j@*1mAx zJ{Kq$q2vyMm&Sn3?%Md;E-Zz8tU!!wX~mC@pac$p*saM0>_K>$k`M2BcnuIXH?nXe zi@xRZ0SF42k=!&eOFSDvJ95K_zY4R;f?Qk)!VQh~2H8|hWwYa8c>q`OX3#_QdVj^} z#G;+r(ns{7#HkyK#}xI)$BS48sxDdUVoU;3`{0uOcE$#S=|e92aV3>dD#di8nW)(z zq^W^qI)&DYHfi&~VGF{5id~nC?bJq|S;~WZ zHR7g)uZeyU=Wefx7;kY-X>p`;!@xjca-;|f-o4@w+6&bkD^GIWCZ@d0W^HGJWXSSO zCPeRDo7CjW;pKeQTT}DS-#8oP;R_}JcYYX+I4n#Wv)jKv6TGJX`kcG_N2;8Z!gie+ zr6aDI>bty@4hsq-2m{(TzLwJN1z_TB`@@+#nz95pzI6)gS3o9OGtF=knl@|00SP_NlG#!-x7~2VORfWFn-fP~NWi4XTV; z6yf*1F@yR<_LU?mODN59%rFnQRG!T>X|a-fQ_&3Y-lHdp&0Ft$@|KTdP8(sWhAN1d z9EkC{lxn#4>CL)`&*$Z_T1n$@rp@4*FjH6J6-IiJ0}vm4Ir&}uA8F?h zEm*8|>th>rY@?2C+qP}nwr$(CZQHiZzwUUQ*SNjgN=CHP$WCTst@(8;{LA|L7wG<% z36!x)%Kg}(=j6|1gt^do!=HPGPs6m_;2B~7G1gY*8w?(B4!8*!>~Kp7(w@$R9dco< z(BbMRn$C@VD-<^Qagh|+AP(~joC3$GaIQWK=&#_|5PC`H!x@3TxlW{Dm_e@k1JJ@d z19*v=t;t5lV6?Az+PV*Nvb3cJIBzu+@g(nuG z^_>>N<(=e5e@Oru5lLd&ald6;k}ONB%_^0mdd(Ov>Kf$!jsl;5iku^6dx2Zl=;J#- zhb55CV*|&7R6XMQ<^MQy`g12rS?FF2S_Om`xd4W-pqc0501yBy)Dvf#(rLOg*J^}$ zx_H{gK-$)PUJr|`i|XGTh>M<%7Az#p9iQr=VMs;6%J*r3qE+U$ZU%eRI= zt6rL&tWND0;x5-j^mZXRXopkTa33cQNIgNgu-gM!FhX&E{kwVbLG>=-l?)mDlTfMv zFW7roG`)tsvMTMHZI(7cVk+-}k0VE@o3|7k7_ri!m3{prp;SVO(jlClI~F|{e8(SQ zjhIzPD#3#qS*j3ld;gTI@v`v3=dgPWU zfy&UuqFRS>J;V18c&D2qc@md4hoj$yK0DM#`nbe2y4?^!79lz??N%5ZU=k}F9BitI2X~%%J5%9q+YcWij*ul)4vdhovCpAKAhUG$>+56TZT246SFnw z5<$^T4w;~gVCrSI4%Oz0EtW6; zwaL6u@8ciR?7A$UisZ%1Hx#bDRE^Y}GB~S5Wlpmq2C%9%yH+Ak2pry;0IeS)e)v?d z-0E9(YRhoEaLI6Bj2srWN@Qiasmpy4mvk+};%fPdLYfcba5RF(hs`OOi2XF}w5Ag4 zFjaPTSD(n=L3|({l!J?RM20J-_k#5@*S$(>eK^!G5#FtnPfVDpO#_RC63rtZOm*Zk<2V%_IS}J@*Pv7l0s&y%3g&jk*;^6~D z7+8}+WzV(_l_{M46m;S9UubqOpT75rZ7_1sgk?FV8&6o?YRSNoS}zqo4%If1rvzga zm3B`q{3B}s8H@c9PmzXhTE2(HsNqDg@^Kr65Vu7*!2rks*EBrN|M?}BMBpF44{T?F zNB`loxYmnwUGNbxt=|)i*h)=jxY0NJx)p2#p)QUdA#ae&7Ip)PFB^Qo$00@#vR3D3 z6`3>v81)0Je>C$LXZ^sP>sB_-(rt6pjreS}2)hO>x>JyYmt?(FM9C$*)2Od^H7?NJ zCmP0h_Lm?P%W^@kwGixW4`BpH3gul{4WQF!XLVn`3U%F3jUA?+ROb3^nENS3a`oW! z4>Ie_TYV0=p@3$0bkwPldE9CpJC%9!Byr?$gr6nBagF<4&e!=M9~&lC%wNdd(VP(^ z-~My`BO@TlOROr}Rli7&Zl=Og&RGnx_^w=bVI*{kcGM^=REK8SkO4QC7@FmOBRD%D zTjAp)ZtCo|H$$8A!6j$#^~cxSPEg&CQi3NB>ahN1$ZjVcYVPisulP(%LyS^8{?Fgo zx`6C%HC##r*KbKV>v@Z6Kh9KR7?6;nD>x-I5w_=8iZ{|cJ+SkU7jtr+8O#zZsp}uk z*r`##N^;Y@cZSHI|d7JSv+u@Q-$qq^0c#{Jd3z zv~+x3$m?JCQs@6uMwga}}%0P2<}vj;B64?tNF3~X6qlUx^=6yW$;DN;H_5NOB& z9bx1lCUTO&=^8yhiLoe7u&ZXwEXt(*=3XZUoH6u1w*zTpe9BQKSK<369Y!YX|E2X{$4OXml~4_(K}+D)xG-xiUxBA zKEcX=X!PxvdM_6N>)%I)Bo(HDIqyWc<-2cv#jGGsyQ4Q-ouDNo3^FbmEiwUQG0Up3 zy&@jGF)im*OHckPeT4Qk^lq~MeQPB69gpM1N%BhCCroP|&TFpSrV4@XzsGIQZCp*1 zkp2GW>lP&aIuaQ*AriT45#kEmi)*QOWFk^1Y##_6eI(+9i}a?fuBEm+UIj!}UH633 zz;R=LXk|$Yzz9pX*Cn{-*ocJ4r)5mFgV3amvnFkO1ZzTUsK8y=6VB|q*>+VH{90jf z`PC#s5pzh~T!sh(uQ1$zi#d~Ul$p?o)IA&8xG%RiSh7n>hkwg>pF`l=ZmL&spb$uq zJ?;364pQ$J=T}B4CncSw z#&^qEqIgkL?I2oxt@4-AgpOrY0lHa9MBa}@YpI?B=~x1?jp|D;CT@|zKMNO|{Z>I{ zPpsqF+-9=uJ82#^)~=re!F``-FCD%tPl7Tgv>_TrY;KZ68wvqy7XNJ_>IXk8M2vk}hz~+AXFzsLj)2_xs8ge#O)$ar5z)A83 z*jB2tOa*}Ba}QQTU-a@Pfpw^(mD}j{Brj0#kNu7!I^<>~hL?-tdaC@ZWVd3qia4+K zwRgzvdj0PpcXMZHup-Ii0{&_RhQRri*s;L^q7jlkDFO3va*T@M^d*tibsP;#P zePnkMpKUEC1cej3@fzh<6rA-(h*Qw^lkQ($zR4a_0<>#p8O*9~AoJb97+(1DEOj(4 zK{u-guZXH_mK7UHp>Q5hkvUBO;tupa(1fV0S9}k%8!o%yJQ;4aXo{chyg4G#I zE09SGc6|!Ifl+=FzITkMd8aJPhXTp@kl}`&s_FfjSv-&qKPNn`uJ_5phDZPdRWA*q zpMUwF5_rBV+DNDNpAv7**6PjE5^i_enyi;FwuHGkpF&ABzTXT&m~%*_mtrTPavD#{ z`1r#M0b1o63rL4ua}qLoi>|`NT4N={UAzZnHJQ!R<+Au*_jcF6_XutYI@n)g(}quT=yQvllkhZ3-iMS0giCb zuUJcuk;5CaO>Kdp(*j5GO+1>B#~?7b!Y7SOF^+e+xG8hUjMD<@(bX^T%)ZD)xUtUR zQiHU4CC3-Z=-;JtBb3{8amfOI#|HHB*OYFOhEAj+Q>>FE?kf8DowKph58-Ozbg2Zsb2@ob~iqt(Ty6ju_ z^nmEcWD#R^ZJyMY-kMZhR}~rx1>!>H;Ib#%3UEvB zx6F`=4F?W}(ndE>#c(rDW z;8DSv*9=kNTo&Oi2Y?k~^J;joC|9<2YF$P7H-V7mYU z>(9C9HCHK%TPxE}J>6~D7R#>cfeE8^p(CohGqn@|t6@D;^KXg~^v_0KKalmOD!M0_ z##p+l|GE>ZWl5NzM9=>nd&G#o^cn#8Tf2BnpdhZ|lE-8BlF#7Zz}>0*x~5>>EysC#_ zPa@@jDBcH4hof|Nr{Q;{s!;T8v3s6<98iR$dz0*+!GU^1krpoxskJNZ5!VFb(#Qm2 zPu?*jZsp#{{-8Oc{bn>{Zmu+w)`5_U5b@tgNM~#c3hbe2&Ai z3=NxcS3cTAxEQ7~WvmvZa=EGXq1Wi!@genT!^j!NS`|2#d52x`t`O|43qTuvNi2JH z9);8#d6<&l2uC;4+_*wh72&^Yj58^a^vc7z+b6!V@3uuLoT45upr&uatuQ zq0sSx-w+$c0`r}3AN~g|zd2|NcL@~4;B{)Bl|pgSqU%fUE~zFiLT3oAIG3+#d(GDg zmk-U;d=bpYS|fNN9ytkW)Ti*`O}Vny7#4Hyb_+T9>LbQoDR;)Jyo;0D)#{ zy38cWwOrIofPH6*Ra5L_){a*P%C)ZC{ypoW%MOV@StZ`pTCeU1GhZ{cP+I%?))#|l zr&5(ai~7MWD$W(Z(oscwne_~fnPndx5Olp)-w<`h5W(8iyThikDq`;(VSE$nW_{bk z8DmbV7ba)IeW}t@p483G`-p@Fkg)twt80rw(7%)#9>KZi$B%qIp)+XyVlA)_lYhxu zzV_89fKi`Un~}=5j8PXb6Iz+z2B4cQLaRjM;td<={=I0`pL(ZkEzre5U9v?XdY~ch zC@Q>q^7$JPQ1tw5g#Lwd@`Ki+&N{dGFpGC~tOYD)2Bu!D1BM0)stqG^i@*elOmqZ( zU1a*kYjMOi%Jz(N_?qk4S|J28gFNOeGO{R`JOWctLvPr-H6&;?*`8iqcgES=^aRO1 zx_hP!CW$S!%m6HxacLV``+t*iz^E7T#vM2%_sDVp4<1$9W=G71<|SiyDv6sMV)JtT zI!!l9HM{|DU4FSOX2b*s&L-C`BRZ4})c9Rxwj<@AmQJ(GHudI#_Q1qRrMGGP8$TTEiDc_s$2Z#6tZMw4~=kfTYf(sIZ`zAyts-$j8 zH6HKR)EF~)fm~t~2~sd+&Jb7!&IC})0*ZPD&mg=Oe!69QVBImKs@r4bxZ#WM(@8w~6_Vm}%qK0{I0a$(HQ&rP;1)=z*z7QWml^ zFK1t*@!+thY2j)ld7^PGvbfN^=tM@mpris3Q&|G(Ykw+CPjc}JNC|h#tHU7-E3m3A z_htKy00CmTy-*%REPAmEw$gG1knjo_lun;}#Ho;EMr{$SQgIyw2% zFSvY=%74@J{~JeEe8=TUq6{GB#-Q7iM48^)=E>c&;rabL=UdGl!rax4qL?%qUlcgD z@DJwlKGaFe+D+_4IIWhDt@(GACN?{BOufEHRGWhV=If?WURs8(+^BHR@%+ z4UvJvv_C?&Ph0k;CM~K8cJm0$85V(=7?|=<$E%&UgH40w)m2>ljS_-aaX1DcMb$*4 z?qt8Z!k@y!UJG8idbC{tGNZLhdpU#@iD`yVl{T`=q*0ueol`YIetKx|QWtiI*X+wB z-KQieTNop>a)BetTgGCNJLqMiI>{a#(vr{=hPK?qt)(}p*Vj*c7t9VoC2*3nI{CAW z{5gePc!wpQ>mY$&$7yEgC%3$Kd`Vv0>Q!chLhVs#`i&3=*jI3Y6Z{gr;k!SfCWa!G z?-m%NA`{XJ~}7;7!m@acbovY#u$X%qBd{j0;Z$ycO=<_K%jXT~UXbT#aR-GDyjJf<)^ z*^f3uJQf{N>;X6~F5M~hASYZ5tq<{35sZ6e9mIv#4AI!E)TWcrv~c(L9}D*^bZB#) zP78gRp5h77Pftb@4^?HCs2I*0A!XBzld6aQ`f91ouUG)1-7O``1utXY9F`%EA)D+c3MX2aR6x_? z^6{x;P?;?~0D|y6^4i+z@xS5a`&53jJW&r#Ij)@B2(1^%lSO~Af_xFvE7X%bA{V%q zDqys%c|!;gyxna?tDYtnlkPZ@anONInXPq7aHL>`h(3IFNrq(+JXw|+W98{8er8p# z5xPrWB^Y&FrBfaRfO8Km#K%#PMdF%VDlaexAv)z-h&*-`+SV{=W3MLUJ0)>0d@M`! zxlGeNPLk>7)sdpbowVnJt%kq@#hL21Mu$xyF?E`glBGj#adm3{fyeAm9{2=*GZ45m z1}i-JCA$GjV84Gw1qC0X(GLBK;Cs1Z*5!Q0t24u-LTXu>a0G2m^OIZ8-OlmtBn~^I zctvfXu@-ut8d*RT7qk6O88Wo+dS_W60#1!uF%37|M&%s@=cm}Fl2{Ddby;O@Tdt9o zdztdk!JJ~EVU*~7PoVk8=p`qP{9-dMl3hp@w4m> zM+1(BZQloH=@CmQ#fAd()2>O%-4_OfS2$R%C<-ukJS2;Xk~-ayRl(y(&csA=$;C4! zMC~Z_J<#92<4&H2YAMue=jAE&%O0DL5sviT4?2{Zi@8zt@xU`iG&Lo*LF$@YtcDB7 z_X{~ZsLeH{PL1!?Bt>?Tpmocr$9yC9xfvL4E;f4s%`I%UzGnR3Ns}H5Tpn(=04c+ z>7sMyG~Bx%ahShGueEvVdZT;rhnBI)CY{1`(QO#nJ3v&&6B>2LnPuU)SF?KAzQk>O z<);m|O-kiSvL2aa7azpPm`ofGwCQ+V$3iFp*h-D9`yfFmRZTcwSGxKl3?MJ8oBf{f zFlvBhRD4)yw~X)z?kK6;9RMO2?UxZCBLRSgNV_#tG!%r~Ry2udB3~kt9cWsM6q#1m zTxw5$!zu}hm3%f(hlO-&?;^Wi^DRDzm~iW|&ICSl1TyxFANlDeN5pdP;b9IYjf;I;{V+Q79uTWRxbo3(7ohosofF5GB;i^*%Vqz>7ONZ78C}ACIRo3> z4`RP-S0fV#lYapO=N=JdEF($KkHNpv_?HY@~wJ8+6RrO{0^1AQyby6obVT`Yx`h;a(%zNUO;@*^Kvdi~^Oj6GM= zg?&5X2<}mpJ~+7mH%IR-4+cvSs~=*fyOl zHS$s&UPIS<8NjHy*=61MP$J>Z#%s|HepQ!o^$FNE8f0NEI3u0*p?K&|DNc)n*rh2O z#oDd(*2(ZFl|R>Puf3j%9puj745U`l&=&^d0W@T1VkK)zLVeJnzzZdnuxv(Zv4DNNM49szsz}C3MJVoln*Y0>%6E%4d{TD-G(QMX_@Ct7ANF&%fz85V|MH zemxgi0XHuPHc{;ExMl|ZPEJW{@LRCkye;?e*+O>l5Kben-p7f;Yb!ZwAYK4r5mMN{ z%oG)`c`NYoF3;FJjI4ix2aFx9YDs>p$IzL@_GHCTluYz(0UE9vx@+Sl72OA_QHrbe zMPrnrior%zT9lSy?s|+e08=3%lS+89VyKkTtJb8Vf?0!fjWyUCvnHbHSNV!vr9hHF z-`1o`RMz&kszf2oB-b->h@0R^^vTnh8)Hl#Fb}%G7)ef>j^5+xc4-HD^P{1V&7(op zxcOXkfCE;R<5~Dk^dh3T7r}ioT!%HyUES@=)i6gXW5`AO`+*g#TQrV*K2x zqROwZ1<OYiuor#e4)An*?t17$o_C$nVO3Zrz54NZOo2LKY zII?CSEk*<~uc|_i-c`n(903Pn6zF|hP(la60K|fCVtub~(kivL%;gAG%Hm>-+pawM z5Z{Z>AvAEoU&V~Z&HRQ4vgoXQs$DMV;T=LqIOcM~P0mc>wu&3ws2d~*G6qO!kd7GB z1m1rqa{Ljpkfs-ObmJG%BOMDt+;lU$%SA|Kvr4m$X+YIXGdJcZwMMS)Gi9`Z!=GFu7_lx)(%PWx!Z>rV zoq!UcM87VZlE?Amyd-@ZugzZHIVXHw=(ww3>&p>~?!)>Vt|t?1R#Qnzx=#)q?0uvF zdI=oW5(ij~u7u)nTpqj%E76aTu|RVNp}wFLNJ#g{n~V<-rQw1rTZZ@E+B{T$*L!lk zi(dUT(i^6-17Ic=6T=#QYVpv<|AvysVM12*r^e$NfT9T!PK*dkg$aiSW!bA7H?-{) za=Ou1qL(viZQ@&AZBDaa_z-HqRg!qSxs9cUuy|^mvcTE#_YuJOkSn7LGr^oJEsO9F z1`ApwWvn#_WMW;$xSum584=gV_#gI2)T9tvL~n1&9fBZnQXlA~R068AhjT9D3E zqi5?HDs`CzGZerspL|HkVfyV>uC_+`sdHKnx;t$U@q7{!ZPS{^3n~{4_zL8X<+mt^ zcJ&|QYyf?wDigT`0pg9-ZLpWJE)pVAJ`I&p+g2s2!R5V+&v*PA0Z9zuKV zlzL8%uawuL&-DeA;9kNhQ#A(68UbVt2fZr(TA2$-^A`<}4#1(>(sgG5^WHmkdM(vb zeNtGAr^cKo{|I+EddQOmkZ9;5xxj!{kI5T^(1uT}wPat6*h>QN__ zIMl7jk&C;)GKY5U{pX^FI);VrwZy?3Y-G2!&NU#3W_`P54k~c4Id8p`7E;`Z_K)d> z?m9wju!15^&{i#6`^uEVHz}eSQyRQ#X4eWNS7NE2$#LQ+T>y@m+U{+URtQZ^wIr15 z+X(vgeLX_gZ^Z8vaaRt8ka40$Dn7O}5O9}x_hz1q{ZE~#LY3vG8O$Q>Q<8EA^$LrN z{`hy(dgO#6UJ>J^trM0uhJ|G2RTmAxh~&l89Ul$6ge#P{_1*bN3ni9 zZ`H%J#LYf0NTs`!3vQ{SZ>vVh8;-=+Qu;q3om{`hN&!s~eJc9&Wdp zAum-9$qtK(x0R&1zmnR4&Yg$KTL7YQ|fmjyz?~t0ssexr+3bp7gy; zWCEf=u#f`tmcmU@fFT_&-z^rN1W)ypfc+MwP%_AOeX_IusQxEYS5mye0f zK%u-!_)s$Zt~J8ZhoHM#Le*H=4?R&&=M1`6@x3Mtz$cjs$mU+3OZ4g$07z=Ri%X)4 zFDpT1=rJeJ)ZQq%=PfrWmUYlA=X;h13wNVHkbI(Q_;@U-@oIu}-GxV;{P3k!WWs@d zfn2buO>(>e6w$2ZaCxt7sr45@y(dA8$4=9_cL2@df^uPfreSKoW(bN(HJPbaK`lS~aB-7(+AK$&aPlXjz*;m=_xVI6w- z?|5OSR~4s3lX!s2U$u%2VM6OT;CA%z)pp&?U>weg(E zY#((szJ*bfL^yyOfpHGTAUaH7jD7BJ@YM?v8>D{VMPE(25lYg`-FK5CA}~Dqmp6pn ztS+XO86Y2yvadb)(9w0b_*f61DEuy%ADc$Af?hVb%zfE96EV9pECGOaOrwb5Y_3$ z&{|1$-vfO>yKQl*{5>ou2|Q)Q8NffkGcL-1Lh|}Tlxu313}=y0ng)ee0WH;!KGyhx zMTW_W%u9+P>ne3up5iS*>giS4-8J>VyI3h5D(}WU#AlEX-C{dt4m^lOGzSqZF0r!r zT9xXYe8iZuPX?`$NXDEXeVI@}kpEU^x`tQW0opK26Z7CT`Vzd+I5KwR_+~dy1j9Bq znJ64sXnij;cet3`O`!<>3X0XqzYFS%F(c_n7xB?luXt%8DNjO8kSg)G4Z?pxXd7gW z^W(|r=DkM3?gr3MuMQDi4Px5&z+bT|RKoNUm1Kb%E4hE4Z>| zL47U~48jV)kg^lg`Qnp}yh*m73>3o^(UF!;ZT*(^FhdT(ZEONM9ye^)?{yyjhs3I^ zSPl#D;nq3ouqiHZ$~b@Zk+Tn+#ZQa|B%N zou5l#*J7aL0a7hvca__a;o#QbpZD>St!L36<`nbjeR0`jBl4p4NyZJ8n9D<2(oON{ zBs(9otI8;M6>-t)S8m70^=t@X8C}8_b5(zNn})ou{^GS+DmCcPS%G~8Tlx)4PPI17 zh3rh34^@nneT3m??ZON@nM{xKj~zmY4S0PRHy@nI(r@35Rkl!Jz0Vj+B!b98K(gwq zyFR=&{eO{%=w16KjHsXWzbEkte2j|E+Rg7vw7CURXS@FM?kZ6ih^wtt4paT&V1Tf0 z=M{K5=<~zRrW#Q|u_G|JQ%YT!8r4xXDX|rvMWFC>k}P&{u}tC!<7YPz>5RDfCx9Tm zM18VDz4_dKk=-18;oJ5LnA!wzPx(LX%Nib=MFgKfy*VUGNipOercyTREv3=~TDzJA zzP)~!Zu^Oa^IuheJI|>0F*S%%YRB;2XHR4zsp+ zc2$i(x9>y9TUgcST~whkgE5~XRPw|{2I0|m6!pGf+oQa(wR%rQgHY6(UztIoZRd%y z^Z+_e;=YRZFLsOF)OY=AtJ7+_+lZ=PcL7!6hWf1H1F;>rVgENx|G#l$$(fo3!)KMT zx0P}a{)p0G8j@ZTTNmtg`k8{#zD~KC@5APjM^ZA@S^Ci1V)RwllA5a%TY$VY zB6>{@)l>bsDC$9m8&q1HZ)LIf2`;6bRXESRu&rQnoJMH}OwPb`1&WJ~kfdE-ZRh>C z7G=_qyI#WKH0su56$aWq>%PETzx7C{g35nn+A)N8)bDGoAvrf8X%yx0kZ)@GTfX_Uilrb}1TCF{v0JvL z6Y&QYL$;DUvpM+`%r=YiM%%xpzLl&%9l{X5J7kjv+mD96540eihw~O>4UEZr`3l## z&oVq$`|EiMcmu{Z6>6Pu?O`~S)izt?i#IXkz^%zMeb>P>U4r;UlYR68v$v;p=6(=umt%3eSW;JWQ~Z6*mc!6p843MBw+- zmaqeW8eu>Aut>W`I#5{o+LtS(ib$m72^89+1ZOv}Gj9E5xv*^@-KFM-+KzpVp3WHE z&uCfENTAB=TzPawcnHx$)<@e-H4!8u7xnr}BjriF;r$;SROY;V8a6>?&HKW2^>#w@ z+$6UYRKYI{BxhJ$Jt$?E4;L%y;9}rvbUMlp(?YpMMbF>{LLqihf>(;zI=*j#bA?GEy}oew>lip#Yc( z2*tIwV4TgqqDz1Bf*fRw`WbIgM)D96_%gH{Vo_tGdX&%=?!W>a>8k>~k+kBs&@33T zz(O5}+p43^V+*+iQwqkvc4sn`sgKYIu8Isb)!N`MB-U@MrakJ{TbGcxh)9cadbg|) zkWXLe>(bLS|6hKzI0AKo{oWLSdE8rNhq$AoI3yGY<5ZuN4f-%QY}sPO4UQ;Ts(yWN zqWPt~b(pI8frQde;f~eix<&7u?UbJw#^ORTZ=a*= zd0?*taV{jsFb@m%sAAm?k49a{D~^TwAXS_qy=ZGk0jd7V5P!v4Sggmcx8_*Zwi-aD z*#)FtA4Je@mzH;N#bRO&V>?%H>fdl_RSsZK{nbNvi(Lw)hl&yFTP9H0hDTH8_b9)! zX!$Y1l+REd=!Y=)Cp0OEQ#{eRh~Md&wCa@)G_slXYQqUaY-LIQH-VJ9+6Zpc`^Mo~ z?~vqx*GlFKPfYm5OH#O}$(%vGfao|@Ntdyo*H*+aCYkfL)+-;V*|0n}-)AsNeuqBi z)oKiv@=Y(&LL;5}tLp)FT;_f*_qizvQs(aj94PcLtOru0RQ%ujzwog1xEv4^ctFcL ztCzYTyEhIK{?ts^9JJ%i_JH;LjklibpW`&S_-+MUk~xW-;NYizgqC2FnaI^nU{YcD zdXY=AIla+L)Pzy(wLYp-r{LAYhRiPq6UFgYB-Xfwk~8zNXO`!DD{%#{@d{HiOBhEK z{RcS6tZme>%XPxpX8{fAZ4GS-8XI_7eZrOF1UN)@t06e{;mE}EZ(iba++}YOk!z-} zG^TG&D)HUkE0ZDRzDF`XjCkyt9$P?L>Xli{WpN#n}jp=63PBr;rT# zvGLS*-sSU^RJVeAjMW7BtlKC@Cr{N6>P&Z91&8HpEQ9*cm41ioOX0z`(q& zqHW6$Fqk@dDcE5zQ;M}`dBhFucH{1}`EQa@uIDY(alt*G2?k@Dw`oCTLcn9UUGy1ngN=Q2NK5Q;-cOaUncc8?KmsuWh6 z@~%pFmZOmMqFrej9z>I+Uem6;07gV!@*ly_Zqk6?m~LN8!YnmP;QtY|LMWs|BB7?d z=3XZlkYrJCx*X)zEEq=wiXd2J$}pbspcXZ{4$Ecsqa?FC77_?g;Bfk1zb+ zJ0EP2>Bob$*FZOYmop*?Rw8Wv1iulDq=oB`ye1+k8PNl1p=SM#uGTHE+)^ofIdmF9 zTUnqNBH3RB%Dm$PP)C(

      gtfqG=PD>&{d0H@ zHMzFhJlUt;M;&)q%NdJA?q74l*n$8#qp!f4(6lm=T|O-$)V+m$<4yL#`b-M*Cn!!e z0NyJuGkjXV6f zg58A{7#@?2{YhdB+9#IQs@;>g6K8cEp*0&@+K`%rJT3Bci)B@edYu;GIcvTgA0c6B z|16p;{)cBZ5m*Kr9q9bF~!e^Bg*0f$lbW ztGWf6^4lRFhSQ*OOi-BT-%Otp+_*006UJCK@-qM&^r$4&a*Yv;yiDW5F9oG$#(?M$2e2 zUmh`PRN35XQ42Umm}2{0-Ng5FkxX2p!hkzus0TKabfW- z$OS@$nQcujbZ}|)$|3Evsk6yaSon}Lw%>{5Dg5E`KE6G3TwUvHV~U8U zerw?Eu&h=aY5_amIsLuhP=vGK+CGizm zicy`8jd;88%F_KTT3N;T2*{a zb~b!XW=3XwZ76Lh+JCDm*@}t_N$cC;(~27z+c=p!x#Rz*S<&6m$=F)l#>5t%i;Gs# z+0M?&*!o}pzwgk>Iv5!{nA@1*ldE{k8ik8{Qd7_>7@L|qIyt!Glk0d3o260k@X!jW z;?vX7{Tu(EP5-|=iRFff|Lq+7Z=8Z8^Pgq%`!2a;iSrJ4!g*TdO5D2h z>mmgeh#%o9J>7f74Kj|5aU2WqnK>rxWd7(W4Wg(bj}j+73~0d$1FP)m$DEj9dRgU` z8CplN!*YT=U(C6A*KM{82}Pw4gvX|2Wf!*>2FjeQ5`OiR56a_<1icKJT70xW$@ZfU5(%&;6!^LT*e$Pklitv!E zN6%ARAAdJ@=O3#3C!))PalucUh*?DH^obv}X?gmOP7Zp2wpM%P zzWaN5zwYVrL!H6c+Bz9I<3WdMJMT~1OB*kfv5`T+N@>>8X{jNY zv~W+VLv z1IIhrNGZaI*t!ufL%OUZpeMNwOzS0QO)TOfCufP>HI$c9VHxZf?n1`toh74Y&Ntw9 zxtvYM9l|rVFdW-u8bMQ{udYrI7rX%jFKTyx_;7W+8`ONBk6t%R)>QR;6%X8z)=jNs zMVRDyxpsKj_!s?P0ha@DfymBeULwfYOfMoi(>oFH1A|c`K_4TePYlE3?kF!u@){(~NnTlel#8`r>asor(_(`I%!(cI8<1wquL-~B1)})6n8>GKK zW-nt}Zvk7V0EHt!IZa*>r;J@IU2)kPo+bHy8C7c>ot123`ry3-pBivdzjeFJ==f2w zi3TPMgt9U3z8+*eG_8^LsOmizEkV$Az*Nro_6#gI^hlNUT4lrgyIqjE`TvePasKSo599~yYs9pYU%*tK1x0|N99$5i#vCA7~uRX_vTihpg z7((3+&oA1+ok&@NhKYQ8oYGi^qbaJoUtyb=fjS~0O+xc**{{-n_bDd#XfPe(>jKH< z;-$)YEmXH0M<=_*Oh#JX7?6A5vQ8Zyal*MF}N{FR9TR;ZQI+p0Nc;zBJt ztVk>!OP>l-fO6~KEg?j=)M9%*u`k(ss6)+i3m=Wo-{-3X`~~=^b+t|qbPM}s6XGtY z%wQtOMHbr}J~tc@JZR+aowup;^-G_#W6x}Kqg!E`hZ3bld-)t!Ux2qz9ZZd(!4vp3 zxURdGn*-XR%%hB6^zYus@_X-;#_IUgywG5PQ9iu1nxc3g*7TegJUxako3E_XRj!Rb zOyPXl((MhvtF}%?PklX<#*ZKWs&p}!-4=ra&TfwsOmR%U_-)4B2RH)ti9uVB?#4gd z6>R~Aed6hBTp9I$Pwq(rZm-e%&O?)$y<@a)0%Mnk-wWluz_J((DU#+-b3aWMkHnN4 zYw8c;!MAp7+mmf#1gJ%#z8+%tm8vPH98bYlmvqrO+&MuXRFV*b0p zHx|i8327oKn^B!?_j!x(A(5ySwaq;Ra7J7HYNUUywy%;XeAQXF`_&$LW|MB5gCza> zTxB;3QR7%{Jc_Oo=+2V@hg5xOf&QRbY)6f4A85ttiadTKY@}*&Me*g51({Tl+d3&@ zBM5#>c<)2a(cb)*@Uvzyl{8ezdT+F5_w+dFKVD?C=7iPzA_DbQ#GrwVi6#6qWD`#qk z{+0Z@$=+UnVmm)L*iP$vY7mJt8tVDs!m$E1ma;l>40j7D4pq&#Vu}%IT)F09-rF~b z4tB)!|0C_3mPAn&B-*xZ+qP}nwr$(CZQJH<+qUiQIUjJ}<{K)aVntPEF2(S48P&4Q zs(J)p&T!`r7LI=0LtM;*OrJ;qbOohwH!02Dug$!*GYEk= zs)nXmz(&Nql#?!{5QsP(=*I9Xw-!Q`T+vN3*H6|(Bf6$wm9%`@T7Y@vLs&FrlpJ5E z>sz?7da>89Y{cPL2yW1aCtih^GrT zUG=yk|9gDPtI5^{Q&x-{Uy@!a;m3TyPsAB(wwmz6qHAcj$6+WGhULkHHZTc;zTpj!=PfCQJ zG*R{xGFuDyJ?I1{hObJoz)LS1lNoS+DAltS@N`{LEpu*4Wg-wVefSNkPhKzsQqTl% z2TJ$pDF1=8;4|HF9j869c!HL@@~tAax!JKDDGf$Lxla)7#(m_KHWj${tSH0abcJ$y zz5bygVfK=elk|B>9{(-?E#D@UnwD>}I!PNVy4jr6RR% zz0D&?IA^Hp_@*^0vUDo9sOG5v{7%XmF09#`+9`trBHK*-dcMFZpJZd6AUK!WuD1$KeqKn2RgPkB)hvNJ zctWH{w^aE=&U5$OE~7J&d3cQmR4XXAMVybzU5t<^1cF|(y@KX>LIzc(>|fY~s)!Iq zjpj~g8cYyU14t~%l_RJXP*lI`@J4bQL|a_08Zz1ZqKlD^WLlBtNc_R^@^AJzdIP>O z#fhct|6=<8pQiv)!@0&Yr4>9%TblyRVU{ts zj=V;wnp;`uJl!7-JT6(K$XKK%FyS4Fcw5DyPJgwBV_!77KRbbmm&&ESy%PQY?HLZy6n1$?z)!>I-BVh`J<$# z!JO}gua&R_=3xJbf#YXZ^oW&@t?L3pVK*>X6o37A#8%?3eFtR7Jg{GJL_R`i*AN7X-rxIFk9Bk)B|`VKYJk!RX8WxG{r18Bm4+R`gls`M-zL zy1Ocp0=}fSds-flCRZX!okC!0cfhwGN(BKxZ0I6Azkjs19JNm7$V^pxw|j>0*cTvP zqqD+t8-Bc?BzLTG!kaIy`#e5Daip>t6*orhWKIt8Xi{ObZ!n@q6T)3g zawMnfkJVu{$Sr#lEc$9WZUrR*aFKIZw?Q^)Bqkt-tl%qLZfC~ubAx&trcp^z>Y$S8 znST;&XmN3#+0!a6nvwV;V$X>O(*0nDFTg8MH6|*LAWCV!4LIkc74u(lR?>m(ASNOq zK>e*ta7ty)d%W)9ze+(g;t8k6|DlNb(%wwT_=nAv$ zx4i<(Kt_kgQ;#nDK9LZnL@?ar$kbomQ8?6>_-b*P zvg9tYe=3V=3Sm!JR{V8`3La^eV-JjxpqKq_$z8$8#}b_3IJ0!FX#kDn8F|Os54M+L z(DttA)zwBD71MLJu;a_zsa$h~iOR)e(nePq!k1tVU?giC`J_FIRRki9uGtoaOtgO0 zeX7{Ttneg;@{kq>PI;q;TN>@Ejf^+Ls@$ksGuCZz2kTiM~f84zn;n&Vl6$9-1V%Cu!wVuulzMf;NR+5O@8 zbH3F*ZLI=Crf?|kzR_|RwTuQJTzk%h??gjq$A-Y;;n9T$v?B|p8lgmE?u8TEpzri} zm>=l{{YJ|q8^tOF*Jug9kByNVJq1u_ zkHnq(7Jh!KZ4t$+9$0h*mkKlj{QcZ3rH7>@&2>PWPp(LyeF?j^8?d>l&lP9283cr~N|-t^u1_!6-y#An zOpdr0HV4xBB<5m?ajrs7v7NfI4l_|hlBSMqE0?ufU5=bn!gZW8PBmh}qzh#NjAIc? zJRFrR!(s&OKL2o&1aMyBoJ>BC4`9*2F2WTZl};J(asCEOz%o#A4{u>V@a38|2spew@CsWHW7ad?vgb6 zO*IH!kg&+Vo0u`B`Bu-*y~F1vF%p<1e?(|qIlpcc??u{~kh^CB#O(z1mAy43Hsg$N z#ewv5wfXQ$=ub|5NyHKTnF}8P=}uAgTeJ1u7WGk%@_^;A99)k3{P+RQ5q#yDS zDt4CCF(ssgy8T#4H=y)6+u_&q2=GpF-la|9M>V2CAW%PMky23VmYWh!&B^@66Aj+a zAPPpN-!Tv+;`sJne`&z?LDHzq_H~ZQ3=Q*Xl#~Ne*xo4aNY@)vHiLmX1Fhz1Ajcn9 zoz??Irr8nG#{gJD5*{|27e47Gs+WK)A{vLORd}yLD74LbEm#>J+^z=#@T6)IU0Cx9 zJ<>ABqt$D#wGJ~d0p4A;U&ThGB|Bsq5hvWpw{YEKxd_ExHBVhI3K9uLwE9p{gEV&akvEu}C+ky=na z;_lZMgiY>g%OBf8X`f~5TH1|Yn5t#4jT>lPd`D+ z&%uKJMllQ zL9nx0w8{r%s$3XsLc9GA3GX7}noasuC|eth{wk|=WfarKwW>T3#b#1#AHC(v`!2#l z))Xok84o3+F2U354{udUSo*qyM$AXHY*AfAUI?LQH^21@({qHRTIPv`@gtwryYnH&*osl?-n zDY9=$CFXU#f{_J<041}kmS5P3`FGQDZAZeKfq!gH7Q-)j72u7}oC2|5cf~l?ArH}= zx_et)Ip>l3wDZ2r6ll<}BD!O?Jwsy7v%U!={PDNCB-3dBN4Ai_fJ=RE4G|^Lq&Kf zR9?spvXcsbV;d98n>fwWA~)BDOO#ByPjt_M!$$iM9E9T%4a-7D60Cpf1=LPZfTkW` zeQl96sZz%&;!#`NYF*wCN=>PFw;y|Q&9U{M_&0v?|nH`be8;~&-Ph;dIy@};t&Rn<@Kjo2rl0T<7cAu;zl7RO`O;4z4j{&{9E z6ZwS%Bh_fV`d2-r?nacnrbK|>R1yx8wwy$|wL7y3nj$qy_)aNP-P}y`tQ08#9zTWw z{{@61MN&ub%!eYHb5zayT;O(p4TE4>aJV$JEM@xfl zFd&oQHQVWyv#mq3J&chm0$u2$56eSiHNVIV&a(BqZ9b+Gh{f*S%VjCm0cWHBbi|t&DuT_06(PXX7tkSEO!o|HQ50vN^Nfa6a5#L zqAp7Q1AhR)3ue5x(2}dNBP!AX0#P7cM@VEqI0Ns8=rxq<_u)7LquxYe8nC4INoLfz z*YAt#2{^>~T`}l`CQQ%#uz~sN70|_f-!2HpMzX__{M;rz;9*H9Nn$vbwQV3`OJnyE zDiz?6G+}F}-o9Sb>qCy53@kpg0=NoHa*?0c9*Ed?(S!LtqsHXxe|^&0uSuNJRM)ZYvBBcJ-QL!7k2j_#;|~!kJui@Zt}KEqz;7 zY@SsGr$BtN>NsO^D*x#m&LQ{Alkkjhp#b^_o!FoL`BIw45SL6@gAmSnLqW;1_TI(( z3Nz&Ll%1|_C&Yj4_caEE3Ock^OWfVJ6*qkJt=G4-Ns_G4OoIk`xOB@rbQ8TcaL6{v^;%MzCJ?AA@UBykJH1}OLcTMn!y+V$ zN83pp)opVtdGRS-^iRPq1K0`!j@ zJIt}MDzl7$>iiP}OaWi64S&QZ!6|;wyVYcq1eiIpE?krIXYb^~;R%u;P6Ie(fh)gk zFjnbV@8nip3o|q|2!nUEx58!s)0t*=ig>j<13v-#d?^YZEaZP#Ptu;p*Vz@C-Whs; zodKWbh`fX+gkus9cLZN)jN)IAPL=>ZX}%}M@N4myvRn}tSV&U_I8EYPkn49?gYzoB z3d{_w_g@MOcJsvuB#pA+c_ng{Xmu`c&;3_4xJ|}j_3|YXuM5lGgdf@ZY`&pHwH1}+ zH9;U4%Z5e@UEZi+OWZFr!iw}VJDkG#0JpPrYEq^!gRB8aNChEeGT*-U59YHo#rn#mfjVJj{%;gK@!{ARRNFRS>6pprZT`ER013CxM* z&Zw@TeS!DA&O$atO!av*(|Krg%#99rK3*0HoBU8;%}&pDDtBcAkcuuEo|k0hat{f7 zOPNb6nZb&{M-C>*G!9t*UylKBAiXGyOD9J~xgnf@P8Ar=b2t0#+eCcIRi0~|l+fj( zP>Ln81m(x@?NZ+*Onj3qxCUvi;#9ky%=kMKp%pNP>HZGe})Pdt@>AF%tZ9`o<%r&|g4j5{i3|emaaj;O!zu=rsI$ zqisxA=fb~}Wn-KQZ3alpa(*K5Y*!Q-krzbO!YlJx24)m|Mc$4`Z5ZgD77j;#)v^G}S&L*vPc)Sbe|8D1U5rOleNyvrCTShSUPWmx~q zxgV%aFe-;fcl-tzmLS)Tqr*J%2YrrK)^k=bj3q;jJwOF|l+PTYUM(wQVdS~M3zp>2 zFHQCOGZNk9PiPgsFVwlF42dsvY^d|P@`OqGbfDLz2o~5|os=8pdk;@D;a)0rrCrrz z&qnom7aRTeOUNFQKd8Do%0m^m4VcmQ?H$$QB27NTSP3%M3fqG62^p~JBh_(3Aw_SQsUWThA?jZfhG z>+8Jdj3Yp1qJwU$T4cL%6f)q>5uJ0ZDMDbj_kRq{NqX+^J8&_+b57H zh|9|rF=9f@u={hKZr%n{wc$Ny>c=|AP?R4gm2n`Gs?uZHyjO#dVC_Hu!KCwQn)P9j z@?#akvZ@f)tRRHE33!b|^9Y}7Y-xM$NzACRW)$BwhL*B6kF3&hJHQnsn$@}cCi2}x z#x9bE+Sq-!knNgXV5cjRk6aNVip%_D1Uw1hE(K?0;xId!me|=NOa_!ArQGB%)Cl#M zU59;?QYg%q=PnPw4z`g)P+^g&={)o5?61y!!zb(VqXq;0ChE=|bNiL#^7V!qf|ii& zdy2f9uXJR`Ru>@QX5M}>OmmJ+@9+sx$A!3h9l8U>9QL6oo&Te-n8{56YUJ28+dvRe zu)(G1nOrX3kA{KYEzgt>*wyivI@hRkge8e#in*~ah`x`XR)ZopZ{xo6+3RE`TnFx3 zFF}8|3I!s`vAEzu>~eoJb9M^ux1H*FkIi=X%s~;Y$2@u1`6d8;DKQ>|744UEak^I+ z$77&e70ASK@HuzXB*b!P!!yJTQM{e6c(I9lv@ci}>S7W$) zIp)-Plg5axi%FjqaW)1F7^szD0{82`u+cKHy+ELvAAR>=iBGVcuGD=(aU!pq3cxOt??=ESAO9dU=6WW;&RErbjW|Nr{GB%+VJK}4(7 z`Yx-N^4E0}`$kpYV%Na<;QnT@ec`zmC|TmD`vm!Yp1DDv5>&f$qqce>ij@L9VhxY- zt<3X!#EoSsOJB7Noty`)IJamB%H{Wp$%^gCapk&7D{pe70ZOG@f+qYKr-}Fh!LH}}d4&cKNonhY19ZV+Lt@_zd2&ZHe-_-V=fU)OD6>1%q-UayH_ON#1;dc? z*KybU4?p~_;^@xbC4c2sgrqp`ES9xhK0t>@wL<|MWX5hFj?vTVWeO8X`lGDvsif8ny%44 zEJeiXLJYBgFslo#6Z=kKdx%CVmYB&@CNrOs2E@p~uwQyL~uF1Y%$^@TUYEh5oyk z9KXQ1jE2BTJmq*-Ck~tSr(0c)E7^{{6^^fw;(|MWU9Cg?6awIAos-S192x8^Ad+ki zY6SJy0$$n-qyjX*N^9O*B547;HTz^&{9Cjbgf)5aqUX`*Qt1G*RTit9h_5RxSo!^Y2_A%5+5w65xuaF9)1IUWp_ zS|>a|mOEs@AsI9;PNAXb8!A`~l%G62LA>s)j##lUqZ_7mdu>FGe$Vj^u|?FbIO1%3 zO**90UFMhS|84_dn=x<2++P^&fUlLlF0F^P$k+1<6@Y*utq>IbPS=r`HNsQt4i4ZG zV-MSV=11Q=<5^2WC|un_@*)-7f}ZmRNgdM#Ezb1f3(xy)i*G^Y4UV8badI%u)wJ{8 ztvf5jUbgU{^7X993YYm>&vge;+5qv>J!VA3ml`>1>`WMaX>)n#ZvrPCmctDW^7v51 zpX6v=&}UOD;g^}sWiMI7^$0#Kl3F3)_u0v=_;8rC!ZgjF z@(o12ILRz_y7|*~7h@A!JL3~SIAD<;E3r^}2PPW~v;HtxFbBv~p~r%PYgB1w&Ukg> zU|VDHsKbs;%bIuMKw;GMD}f6+Jh9?T(8W@~z>g5m7ADABp}A!S(`!IYTH^@~^z)We za=R5IOtG>XmSYG=6uCpWIo0%&){-MO4HUS}DD-lhEETslHbe-@R#$_Mgelk9kxmE+ zRhrvfs)YpOKnx;_xVQ$fE7WNUL}=ggKFgL|4S%dS=5Ma5e(SBHoEttrS77#qmpv=j z3J6T+lhjplIq`nufFy1t0VNE?Pi zoiN?o8e2EikoVDJ9tznW=AeaHoc%}*Io#d0*)u*cSpF^)e>pJcEXpLe$;cyu`VU|c z68*E0!o*5;|ILXvT{)h6?kvaK7dwwgV!cca4(f&6hMrc4*lQpqha1d&ko7DqbJkh8bTI?JzYMybird_0bj$>+k$o4Xt{hKizanYIzA z>;3vg*E?Q@E+%yKy0!33Q#UO(vC zGrvH{p~Af9J1C>*VI}*=(xfiK0L@Z!s>tuqq3+QR)s1jnNSWp}#@}r!p^|!9AHLrI zfJT7aI>;xcA+R{i|J+=w9a#+j*tH!>uNNo~s-SW-A{kd;9ikr>)ydbMC-chN2}{so z(K$j&Z1mz(3M6~)EcW63k?(rhZ-7wSO0iOO@JFx`JoQWaYw-d>$WN73F}pxVa|`?0 zX&{l)z9U&`i%Irt4ItzipnPH}0NGX5_3Q+&+)nvr*~jp=gZGSAo%i~LX0VCWh)gKe z<}rwPdx@S>rDG8@ko+d(ZhSRZjo)$_sG5BeoQ1vGdceGpIBB?Tl2b~yP%EY2om!*! zeHQ7oAf?+j2P^5^_Q7ziMVUy{w3l7~)fMSF+Rk_F#0cJQzo~Do_VwRZWa+|s5=VJ; zfRY#xQedT=8Bo<(SOfzeC-7iy_`v1Q@^IF7)1Q^vTuq~b=d}-{B~XuU;gZQ3&GbdNMLeMY9BlbT9RoWOswp~T1bL7yhNMxAU6U&@C%x_k;w#_yeg z)WGTv2hj~Ygiv<8g2T2~-N{TG(B)+kKJyU0Sc!N!0Y!-K3Zf8-28Rr!o8``gC_(qn zpBuEpQMnJ4NHr=;rL3h3#iUSnB6N&bO)7_+)lZF4@dNKmpxOJ`+`J(xA;P-XHY1&o z3XGxpzp{sImE`DD9pLx4SL@o$uU#FT@ywfBNSxs5V|K8f`!Jbil0pTXf|7FQAu=C( zQg5F}k4%4c+1f>LbR|Hr`r651-)5gNlMkhExmCbA+VzqiTRwzLjUWuigU7q#3-5Hr0kx-}qgX!7-f1U!_U?vj;arB?5N4) zF~pT+v|}R-hQ`cXcEPF*+ecPtdU=ca#}!%09oXm9FC>&E*Mt!xWWs-2r;Yl@n0Q^d zZLhM&)bkyA>Y@&Xbd8iHo)>$m$3P1)$eh}ZX~p`!AUIl-{peL1*ZMDD7gp|eO;M= zlpL@&P53Z4dnPPg_32Rs{CY44FHJj1lz~z1q3!5a={u+L_zh2|b}M8J9JZn}2>!** zHaO>Nt(|FJW$S1%EVcffY;}017~g!>)-I}3NXE*Zz8>qL}t9Y2*H>Z1F?Fbi=a zy11~H5lln zejPi{dex-)?br|k3YK){IOwq_^PT6$T;u%yvSVNymSBHsoT|;Aa89k3p1K%4wu5?z z5!cZ^ygFOk*@PfNNtJQ^aqck4JEA9UP&v0|y>vqaCjg(iv(K-UyIZWpu|T}AqDRVU z9@xaE#EQ||gi~0QW_GD+USB%0tq{!5uxO%6F-fMuz8Q$(_#>oXR@Jwn=Go3WL)N$s z{ax_f(>U1Y-CxySo=R{CqxKiFe3xMT^VdzA#net4nX;-@qx@4BoaEVt8qyP9|| zn^gh`g9DK=SuhxCCr7_M`$j5v`Ea!>qK9?(20r@8@Uxze6PU}YX*Wh!H1B94jm}#j zU@lK!|EYYf9zpjdAzxlMk$nAALpvYOz)*|uIV#@o2|vCy=O|}W;xrXmogQf*;L>n<>yit3wZhmGWD1inN5AT`N>QQU0)i(T1W1R zZTuqpL47s`FAeTFYLr4m)*_~tD)Rl4nW5P;F+6K~V#k33k*=w`EI#MgB(reAN{}xS z^-s7bHRqNn)koyNA${)<3F06B&!Q^HG(*ZsIzB!Lq`Y8Ks5Xg|=f34@5{|dQ%P^+2 z(&wMMm7+>Ps)An_LltayDTH>|w=eYIKTaIb2GMxOa}O}uS?plPxfx8`i5Lkv zzas_thZ4PYY2S%gzyLy{iIo>Jd~S-urWvKO#0CsM0(95|>MA=qoo@Zn+B}L6$K%P) zEez9-wt0G`G}|rx*C_NG<&+8?4BTra1aLpOhFpvx8+kP(Oh{3dQH$bOzg|Uj*g{bK z$%}ww@#_()Bg98*5%Z{fq=N&t0tCxf%MO`QF`{SofzJtF^?&&iR*VA-X8Oh4GT=o* z=BG(+V3bKT8N=1vi=%)HucAPDIuaqcit;wFAGx+Vk0AkHNkyE}CnPFkG~mUM7~bVw zZMDM9nGracyv)AHZ;pQHQ6?_RR260x!qUFuxTlyXK-?Hpum=N~rB6g)qBLsLk&a?0 zRhi}0FPSVhD zY?CMu#ar$6O=occt?tn=W)5BsGCX?y459f9es@Qp^f6l=Sm3fc+j2>@bbxTp*zXw4hVOgw{SQA6l1kAzR$q?=O7VU!OiRDm) z*Vsi@__7Yw_bS(*`7)CX2Jk8;r3kF!T)=W6*w0<--@JZ6KyrcV9_bV0)XtGm zgs}&NCiQlnrpDsMBjjt7LJGe<%i(^c$)7(3{Mu9%Vy~XuNCR5IS3UZsQYzqC-*uU$ zgs;3hOfZfhUsB;d_u?wlS+Tb}_VA2?goZFD@^IOv9ZZLZdEBPYP-F>f#omD zkgOu-h1b}){B+kVH5kiD;s_v_RRcpl#C6OYx4`2$OJkFe#XYV=Q8w&WWWmY%TsG&6 zmY(gK|AZ|qj{SG z+d5#94ecAL=UILv8_(qJK|Ozo0ygcUR1jGg_6YhY@7it z#Zl`N{0=pyRHn3II4i1y1ct({)o)VQH?d$n+L}O@*z#>iRawijQEN@r*L+w^rC8GK zvu1ePp}y({NUEBr1!bY|4MLw8aI{s4s?lR@%(DAW2o^~oyB2%b?eW2y+e}B@k2rVqOjc0*%3bcgt*<=$YSLV|0=}8g__1oIaw168u25)> zcrVqQrzLHrb+;?4M{wa3+C%eHJ=Dwe+((}<+G-^qj;DhyUT(YOqdb>@P+;Rh6@T|v z=6uaY3{R}wTAYp{lY}7h-P^6PL`68|^GxfKhJa1Ab_{ARPY$>%1*6^Gm317|j|X?H zh=R0k*i$DBzGv;wgPEzcbsmnsv?ecq(^%7PEJ|PZYic>L$@t#Xnl&PWvr(Nrou?7P z)b6w^I1kl)89upVbRwhqn5ggBH2uM^31gLh3jntwq+79F1oc6w2%F58I9Y1Jn4=`x zU9^3kUDRIW6(M>Q6~Zd^ewEfgtz2Jw<|kwH-8j&@u=fHXWLRhMm_AG8{J?7&hi2YT z*X7eG=gyxY3d^)L7#>^1Ur2c@h(884kv}&Q;8JhTy$$il5Hru8y;g*V2ffcS9&l_w z)_}2^5JX+7lHekKMtdjpXQGud=JDx&b$-Iu*e1nrS*xyE6e zA;y(Sa4bb+JdxY|{#g?;Bm}KXY@tG5eo^u@QqjVW@mURBK2wVh(zkm-Kt1x}?;c}s z2h?fmVa+84Au4BV#Q-?fip?=DAp9}D!LIAl&^Rt-mhW4n%a%pM>C!0zH zQw{DF-?PdBA-Z1bJLC`oX@|OwN|jyL-LYa(PM0cczoCfaY9^O)3=$aVAC7^d^n^X* z$I9`R9EydqM>2?K@Kx@Bk-HW?R|91kn%4YzRYvlo19tr*;rIn3XO6(#Lj)tvOnAAi zoy~dh$fCqsfW2-tIPJ$MP%W|XDg}CC8_aKvy#^M!%0n-5hhr9hMHvVo(SG5WYeQ@; zRxJD=9fL+4v^8bKdwar_GVHY|k~^O^57O?a){q{4O8)i)q2JRv^p#%`jaUqj5aKd2 zUM5djyaxd`;9g9RqKo7(S@fJVjXR{T$Y3b_hF@#r zCLE7ZCbcj(8zL>2UAKZ~6v+KwO#lD$6eK3qMCZxtdn0QQSFeYs9f6CI5q4B%nyfrr z8;$>H*SmnOmCJ4c>Ws5!hzdjE;G|U1$wxiVVe+v(0{&erc)Q-V+J`_b(ymHD%irj` zVfGYtu5e5AIk^PiWAn|#V8p88$4L}F zjHoM9$`#;;P3hZ^HT_)&8enczq8rQNyXJ+Kqf#)7n=0$B(=b+dW;3^q04$nDBLX#S z`Kti6d?%-<;z}waHUVcRV@vU4aVkUIf*N39-)fT|39+Zf%~993Mu4LmTG6F3_e}zr z__&jY%BjdNI@!yN?Bwe(*TD)En_PWx^_HOWJVJd0Q^bPN4iBC|j@@1j9#0l_STvx% zj`2OI=EQ149YmNRC!U>+B;;I0hkFoWg3E*6%Pnk&Hj=Rx{qjF)uPT~3DBt~JhuLby zaCvIR*gMWz=5CrP&}zprR!O}T9(%J9inE?Reqx#%Jr_66jwd{UPjlVlm$|OR+qEG% z3qeL%;(40F#Q@?Iu`<^y0eCQlqGho}JWyC~g?+H@yIDsM`e&!6WCCO{+tFgx9F`}t z1>)EG3du`p3tqd8P5Lb70#w6w#APKb0HTu!e0)hp64yv}28H0aBchr)%3#=ZwNosh zKP=L>nY#@)0}Yx@WBT>i6b0i1_0h#%hDS#m+yQXqzac=py5+3rE;ANfxFFf}K%es( z!AP*5RJID=J*pc(@*+}Wv|Us&MXJ7^7%?v*mSE%;PIYzE*iWY(mQ5R!3tCNiyb7!+j+@B$K4N3j3Ae`Om5V>xqO$`zm* z2w(!|sqT98p)Wnw4}a^J|I=bk3gj)i`R9DGk3iexXt)TzNe%bk-~l766uKn#K2Y@` zvLeFg$5e;6Utm`Ba=JMD%x0bpTsg@N)Z5eD!8mF89ijR2SJwe+M+KV>Y_xSsFVnb+ zz{wucMZ!`9#-`!-uZb56PLOBQZL20I_^#gE&?_x{W`S=qfu-2}hv2_4JO6_^ZBAkUi(XE!3b&2}%NNd>;fDtuv``$5e7Ll*iGr)*ud_x$xN>duy zC>hlvxDwvovFVJSPHyPo;=~}2!eTVlj^;0G<5rBlW2o!%{Jj3unvd6#X{0q73c#Qr zrw66WX_A#4r?apc8i?)?!8&~ZwOw+s7f`us8iDFKAg;g=6;Lha<-bWL`I`oy(g)}D zju@1d{#*!BLG?0?Q{2&^C+;#9`!&9;DhBkqy=pJFBM%9ga~(mn+6iat`3>0X>Z?&N zjyJ9%DLuRvbu}nxw&PrpfQ5v%r)t_JKFt9?-y4VzS75k{q{mbW1&TH_Y+b^v`f<*$ zB3^9C8*{zP!u7H;y*2?b5?kEfjWH)~IE6LwtoTF_i44f;nWj>bw74~}fx;~?+`GXa zO268{vmM7El+{_nUzv=GQmSI`AWPTCGIBBZJvBRq3gp!deTAfrJkD|hiqzwKXh(a< zoMYM)>uqWA`diC>M098kBIsn9ZZhz98`Pp3=jkb#AaIHZohs)m9TF(6gPdXl`TH5Z zT$0nxt&6b<>Oe+nQ8M)3p3?fjKIv`VnFx^MtPy0`>WWKx`i>SJN3Ub`Rm;NXOGLeH zmMGb+q^UIxYWNjqdIXrH;ma;E~%YH%!~fqe=5Kwo{+I#jvvN6H1$#b9aFl@)hz`0eGTu|Ydx>=uPc8?> zQDWznvG`J#1p@*gLo#uBr=t{1$dzrItp7yM<)3bq&?BhByJ|eML`?grr3PVwH%z!d z7gxAcJk=+4ZJG)QZFCR~npjep{m?}iD8b21t_e2n%y+mI4!?2UG;l5ji>KtNZyIHa zrpX{UH)G>Jq@`m#ewTI#2S1mRcbC+v&zQW>x}2r7`P{V~lVb>=nP?S)_33_zNaWweu98Euvr09pZgq+R<>c zXKO@fPYD0R_R1I<10Eyy$9jxqlPwCMwNg5>W?36ye-<52Pn80bUgLi3%;ri<8L0Nn zpa?bHKQcD3nKZZ9zCghKhz_r}LC$M%1T%9Q9#QR!0i;e3uaUJ+>bxgjD(Sr`12}}M zK5HjXAMyVO`ww4}(-?ow(_fyk_j+w8u#}w0a9MkEd|Wb>*6l@x;_5DI!J)KxGU&E1 z&4wJrQ>-#@8!3fT2M+ze#tw(-KH9o=@Q#geuB+8YABD($Gz4Mdh1Sqd_5YRr1` ziO7XC+?;K*ek8i4Tb{5>FLB6O)n@e*8zA=s16xvGHCbG&=PF7uInlF)zbb4z5)3zOQ|l7=!=G- z?M~RIjTwxsFs(=F&f_Y4vefD3oUr_@)R`>kD#pt<$qycM2NHnn5X`8(`^Z${dZpok9NzJ!MQhmd(_j;usZ5v2R-SiPx;L{m z4s@3Uy<*K1@@^U~k@4kCy$d?6MQ;vvXN9Oz&GHR*?-}h18!{6(-)R=Q&?2;(z*~v z*8+%2=1&$gTFRbAV9PPSIojcxsjo?oup8kRc9zEFBjr9tuolweBUTCVcf*arul~hn zGMJ&uj^VSVa?Pd&9oo}9a0h(Vu0gHJsJ=FpS5~#fpeGRhu0Er8>Qlj(CN3XEkMQ0g zGy*%ry)#hBp3<1Mjuo(n?D>3<;4IFo=)u@dP;M!5*<`MzDT>|kg;V+zH5iA++ zgE{a20CWkD3T&+8&YpqU?+4&L-H}PU==ak1K->x<{+148v$fp;JF!C zQoFyq;+UJgU{-`XbWSI8V=lN_^m{u3Xkc^r@y`7y9o@;T2B~#hW6#6IGE|s2#kF~4 zeZlo&1QgrAlrGD6C%Sx@@XGtVpnOELKoo2R3#~O-yl=6fhtl&~pshs3vJL|m%Xngy z^b$>JSfxaC=TiYwl0$tuUvB5;mZ*pAS1Mjr^J7#m|1!hOx|7 zi~Yj*8Rw0NM4{bvp8+a*leuq^Us$?_7(byvftB;3llT|Ko#ab z>1JmN_Bn&}<4pP#Q6y)B8dgYmdLirENrs6G|Me`yiM?9DkJJPwSl0!8(A7wJ*R;ka z-!cmdbuf%0EvBtN)oC0{0hPvKbvu#SnJA&U@~&V$u0cnz3VTGHDnM1n6=>UGU}tI0 zw?t(w;$(RXWXXLb$Uw1bx`q**q*Qiv0I=}_q(xbC1cZx&sk0Tk#KrysnE*1MkHWn+ zH5{~5sfT4Te-^r{)=qMC6?iIG6i}zQ{K$c7xI}@t z&E%wS=FssC>e|M2x|QOXShi7CM6krITDFL(RHCGNKTHpb;u&X%RiXd%EK+lQ4fV6> zl76p(&FZKU*thglqhnT%t><2E>t;^%7A`_?|C56HGj#IPVA$cN#nBqYi~c&<|0C_4dWGSlWzA*Vwr$(C zZN1C3ZQHhO+qP{R=SwFi-52|!ll>p&oT)KtRy`FCZFBhHJtohFGlpZm;w_HwPH~F< zcP5P6RGAwWon|em`1dGVi1TpNX`@%V4!n^dJYb15Mrt|nk3i5cxR40uc#_PYg%TbY zbR(UI6KJwTlthjs{QjieQU7){Kk*M}zK;*O?rhv7xJTxhL%$l1pJow0Z1kF2s#Ez# zd1aN_eMc$5b&*XOwGs2Tidr_&O@MXD5W`54L8dl52t3g+vGx!*VS*L*$bx7u5fx39 zIW>zYv8i9L;}esnXD=I9f01O>z`%nI=`GtYeI(C8#W&BNi6q)nX=4Xap;ZcO916qa z)(+ibwI;A|oFne*q=W#wLC$sxqWAz5aVQ(F_|kl}dXDcgp(D%|^-IO^|6C~C4$HhW z)3aB6mL;3-w>Tlna`VA%^+018{bklJ-wON>Xn9rju0gSa)24A7_dg^mz-voO@Rn8h zLW60$jJ&YUAS9Ql$I53`dY`B4dy1(;;vF?QeGkNAfcYgm{WoLQIW6D@`^${kxtFmc z_H9W7T7y(%cipX}R! zxr9%IJr2lBNIIW>kZT<&V|42ei}5Snf8BCC_@57t!k8ug9Q^(ygF-y(FyPdXaBNzx zAG(uF*YDOM8hHN7(+RR=Wv=g-?d$i^Fd<1ccQ%#gJd13jR9Q(g5F0!k!&(j0A2Zop zS=jSrll*L$I@;ru?0sG5|_h>&ReMUWF52v{V3ZPmV*yF;0l8q4eNt8Q%5 z_IbU^d?o=Ye!+lD?YHzo;`wd5aadF58;T{Nr*QNCEcgX)H$9jc<&r$tZb`HmA%agS zA`e_J0F5v{xZ!tiXD*FKK!)_up&+P=u;@sRYnbbBpldrg^?6J(`JkOQeJ|3HT-S{H z(q2gV?p#S_hbg8)(sML5<;{7Hbg>Po;b;A{$6r;4`! z8?hyRAS)OQbJ*h5D;^(5r8G^Q!--~}{?V2v4)*(ARJCAVk{~~CXw0l7+$C1Q4&F2?@OmMA!>9U-nAzu6Dk{tQn|tbm43|E`5^|6a= znM09H*<8hCJw(ze!-wovsl|qJ)_#imw(z=vGIZE+nyOstH1jv)kxC>57d_v@5bJ(| z_(k*rj+5qzq1Kfzfr!^=f)t~SHN~^PHj?5g3i|J=lEAf4f?_;;?C*WbF2fJX|1LY+ z?JsiCz00T3$Tu4#=Um@&DiuGqQ*r;io-I)7KiPIsdf+nJ3>u5GX3+UkUo1ZIKwCZN z?YzPsAMLW{VN;{Yiso*CRM!9Q#PFmM;C}bq#e=;J z9zj6PazChA@87bVkp80FIN+e1oje!3N%KoQ1kyoGs8{D0IuQc*T1R-T2&as0pgjvl zzrZ-(=5>cR1Md!M=nQ67WT+DeFF`CrQqXjnA zi@fub-Ua!>k*Mm{P07M|;*O_NP6PX61+}bXjQis31#uL6D;*7UM^Ssm_@G@1fZ8k( zInDedNpvX1ru%VF5|PO9dz(b1!Ud-0J{E%CXxIg*NI_`hjWj%CZ}3mpkhta+g^t>n z3~SmTmjpR!l@A}pN|Dwup~m>B)aA@i2|>g*EqIr|`yu<@uyPU;43iDD=#VX$!KK2u ziU7fOn%$`_gqASPkYjcT5RXw-mjF$x0pA+8NXE&tfIT-1w|WkoKDnD*w+)-6f^3KA zlb;}YxN)MAZ5J}mfFoc$87&S?0Jt{!#l zrIRutfO(vFh@gzY#n%i8H9c|(4}^zv?<+PCQ&sPVS<-Hgc{$D*zxQe+lB30_Ngsb< z%VXX(%BJc%Yowbg31d1yDyR0sdu_9_YJd5Q|KscA;c1W+)n~TqDU^^C7__=!`b)Qo zHys;x?aCn8q$u!e4R5bav_oZ!Y(B@hrbCR1J@o-IQPVwb%hes=%=`=Q^*O_X%xRGd zR1O3E<}UA3?|`SV{WTYay?cU2=07|A)fg+5G6;@L4}wj`T((y64k~Jk+L1DI1;1``3K$}@uYPBUbBY)_ue*VOXu6ip0U!`b*EczEcp5ct_rcPeK@Yf2&9zoH)e5OH> zI@ z`!&YQ6q@%ydh`ek;=? z(l@1BZb=Xn5j87QZdx8+qT+<1ou=ltn=pJc4Qa3BR#$jlu)Yhh-&x#@ZT@_~yITx@X zuRce?b&39WEVG?Zx>6ZxVymCNO`BYsjJ^Z%n7+`{z#-S!47({}pl5h{eUh98FM59? zbvA^v>Z#TqoJRm-E8hcv2UHm?8V@O+9=5_>Oe0NEsDbpAfimiJoa!5o{`314nXIep zzjKrKzOwMH3ygk6%;Spgmum3?@IIN&{-sJ8Av(D4aG|drWE>Y-{>s&?^`u{-9?<=q zhhVz|kEfmeDw&rh9ErwM2_+w95ob3{^$*P7O5Ip^4Nv?zBDJMQ6s?qMm%#Z@-9KE3 z1w!Art}k+|k>~Q|E>2!zecwj9>whj!Ey*`_p{}!wE#%XDuv^-6WzDm3VPC_ZG&8OJ zni5bxQ}uSlczqTX_ZjSTO>gs7!6(&rXe6*Lv34m$2wL}-EbNAsl8jaI z|G2}ZoJ|&&xfEEaCw4W$rNvV=T}*b@j1?2cQ-LRQK(Q(Z6*9cqb$ms(<8>^$Rz{Hr zLLim*EgL!%MEc$%ssQEc04Znei39E^7CD|C{58H2QjdTuzpmxvkIAk|hZtT2bNGVM zP$-&;DX$e1ZmeOTXwj@_D?0pIA?PKKK~szNhn+zi;=kM4%{dqo2j!-aujB(ueI z&DGDbwQP6qw9~o^9eJ`F`!HfST-$S;uF5Sf*K?`!2nznRY*avgm>%ui2O}nc{K|8D zZ4VJfkta6*ZdF+L7=~97vLhZma?TOkJbq-6GsyesZ z5L&D#3A*CGwIgrzLI^c!Cd9FsR@QlA);6b|N`r)=1y;&6|8Wj%t59WBhwRHJ&k@TZ z_m4-peGWvZG%hK2|HX>LbXNEAlQ^ifIRmG6?LW@olgo0#@Q&f4Pjt#1j*vMGti@OJ zDB(dq4lO@xtT)RFAq{SzV&r!879vv;`Y)c>9W}H=P*ZILmfK$b9m_u{&Uaod3In^h z$lZlTq(@a1()R!^r1@|eh)~aJ5^72Y99i;t z-7j@!19hv(mk3+-whn3@6*Ld!jE;K! z=dKX7#$K1koQ~#>-tz%N{*Uso=ufzI!T>mw8hcWH_j9;S*oZq>Bg~!|7BH)njNb)S z{JVqHS?QFb9qD%iVx1bqDSd6lMuRy&4L#wFi!c*L=I`3=e7cbF=6l#z%3akB7kqw~J7?M3hM59Tj4v_wbPPJj|5!#?t#dL*Xs6%cUOQ z(jx#VjAfdcwgQ*Ro6KgaG(F5e@^9DLGhJ|02F}eK6}NLYe|T`Wn;~XMoz~@;e)Nat zc>MleaID`yZGGe4?hRYP5!T(cUT=`qo2ext#e};RfV1^hdOG)ofT*l_g1_kDIPe@E zZ=?nARG|+vk!2(ucG$2;&ZUDtH%aRZJ+=FGmE9jk^u`3D5d5>xN}%0z8$+ZRDR!*q z1u-&x1$za zt+m>-0UuMAZ@}-CO3a2v4;IQ)F^Dmvmg9eo{}MdLU8nqjJw);kors6udCm>f$Y@dz z0zbq*>q8)`tNiK#6qwE)?qwQFq6q4i0nz&wm5U36C)rDm`u!9@si*dS^#Ox*t z1F7@N!7OTs?&o5_vEOqeU=RARq)u$!N zwT3Za8LMoKO>HHGRYiobS^8t(T*6_>=~dv{JvLO}}wq2mb7+ zLcXNOI6JFCa~dB!-DxA$Wk9vPkT0tT~q=9gn(OSWS>?~DEb1S6(l7TCED|@#I7Z8S&*kp;E8nufHk8a z85p&zvwEumrae3eJ6RNA7!?7eEpfa$pZw-_OcmBewDS(IxXQSAv-@e3$F5zAk|zH) zOqoo{%&1u-Xv75C8-`Dc>H{_!`Q`eYM%1_F7f8D;xqVl*nmhPwVQHyx_>haHVeyfC<}<s0-bNAZE+ZFBmGH7#FE70z`7|R2UX`Q+t>oF>mcrvNzvx4!% z;6PVOh>F_xxZ5qN5K4GL4Qe8toY8mHMV$xmf70~-6Q@8!R)&E7|MvNF zvatQ%_J3JZXG0T1XT$%u%b!F>Xq`FkWH(g~-MDTPKGrll?=m;*i0d8Gn_> znBK(^AkG9LU(|8ar%0@N)o77tUq;IV(qhAS)XfkWY{-d@)cUuNlp@PFaKz7iO>iC8 zIdZwBnoQoI34&6I7HHtu{wUiX7voW3t{p!|?Z!>Ef6Z5Q@GtB;JpeuhErTXKm!-Eg zxmN9)*ty|b*`ZyABN3Vi9IZ))`5?25-@Sh{Vl7mnY5D?8gd?2M6hs2nI8f~Mcp>{4 zo>ZM{G_Q^m!<0Oj`rd8HZqgOQ=?{dIZ^pFQgV6X2k%D`XQ3i>^`g49FW_xg6lD$QN zO=2Q_ek&c9P`Bt!yvk>Gj~3?6zB_G1M4F*UZC~Wdx`Fq?LOr8k2EI=?o*Z&C5M;+7 zHmRRdxp*9wvwLO8)Yo?WIy5=Ly;2QnlR}yCZ>^+hECl#Z3yWSXvfEaVOPEV$OjAwm z2d{8(RGHrUD_0Ct9kKJRxPew@p>WDaZ95ka90#U#Kum38n}^TeJ8eEdHPgMQ5f_E% zwt|3h-7#R4x}*=Z0GRVw)*EfOZ(#@RuI98;RYk;rt`f_rwLiYRRSu-~zcLuswap8z zZ&r3YW12F@DN7zLr5HG{QkqClph<3$~8GfyQ0`Ohe!R6!^t>7 zcxgCWr|^%FMeqn(2uX6u45iW2$=+xs^BX(^A6gRS0<7u7ouV>{59$xYbM6#}s8+_t znQsm*XgHs%k_r(uB!B1^KPv#J5=5G4m`oQIp9Bp-xWjWYuiGDX4O(yjjrKW6Ydq05 zjzWY$zPRC)4GbLC&M{|nL1<4Zll?g<1hE&OTuk#nC2M}WBo;gE!zcEA>qkTJ6+|F= zt1!uhX=c%}3*YJ4xBBH1Y&`hBiJ|E;^0e_9lMW%u0CZ4@vRM}!1m2>RP{x#7pG>t% zjJv>13X!Se0{I0opy4yf@y1?EqF#o?1A);5*L!UQ!EN|BB|(;b86$SGZrD>E7!>{J z=Tjq!kw>J*1_6y+FFrWB($MvZ(ET$1iqHA}htu~k%AdV)zDr4Vl;K(ZX@b}k?_5?D z^_`0nXfpz8JXCy5RW#DC=<|qmT8zCHl-lIaWTM{d1;=EHbGLXTqF!ELl<|44f1DXw zSV+C0=-ect%WBW|+0n3Zy;QQ4eOx@_!*(D57%KC-Xq!#*R_U%YwPv6OuJd74L3!qs zCP&qy@IA+XQ6KKXdzr&S@+>sMt7U8u2HQ8(&|*K(tX^WT3n`=;hv?oCbAsM?(ajh(KZQKMZhhCiO4$3d-veI1%MBmdsX%q_7(w zMa~Wc z0KvjxL31N25X>ex8Dh#C{IDAF5DUNcE4IIgpgS+f8#!9kd!h^Z=_X>BkB&mA)Phfr zso&7>+W!_NUnP4BGyV{NLA61Xyi+owF?+t|&X-R?EL=2)CU!E|$qb;ZxG&0nAH9A> z8$lz~v4q<|2Xk>zODLpS3g^Z;Cod`+s2#-qw3O78P5S}JA7&np^$lfsOW@a8xr^C` z`;GL_^E}>~@LJ7llDe0)e$-=yS83z=eBH#!H8MLd8Sm9!B_qW6V!>lhF1`VxX5Beg z;a(;R$L!q^XPy6yjuO;{k9H}0v0~FQae`-G{cvF4b!c6-FyNq_Ha5yNU$tL zCui%=(<)~jtjfc-u^Aw7qvuNJ$=HB*fuW7Pl^JqDgtu9^D)t-KtzYLl1j-cB&)EYU zLYNBpQOZGHCt)Ha*7E8vL!XwJFlBF01ODzo23}05w=(2Se;F*IwN0 zjNLfvP}BGylNa4CsSXeg&%y|=rC}Rd^bRD=L9Dq`r^2tx);>kK@i}j@zfOSLkoXEqj#b_cmj4Kq z4z2F$*|G{geZvUd@XUaMkzq8gha2s~xKdLSYt#%s)hMCtOtQT?cURTg5aW1_&!cHt zsdg?S?qs2ZLKp=|(zKg$MM_9($X(bDVw4iBChT;?jdp_rOU^oCC8S-9!Se+r+=GnqS%JpNGFq5Q(=;9T%CJ2|ZpwP=4PHc-tx435OI z>R@2Au!czcMekAn{Md$Vn7ma?TDZ0KSpz24IU?&%G2+Vze~7J3aCdwUuRNz^)jw4X zKC3;OjP{m(`p&C+Fn8OC7hD?T_cg4i)c(9$5aa~@pD~_DV%>!ug3q04-7rEV;XowE z6BsDI@?n1E^*{(340oCe^UjTp=i0X?jM3bnrLOTY!;f|28$At6E=@-Z#;Jv@k%DpR z;U1mYi~dyNY@60o6RGC$Q76G)NAds*Jzh?ExM~J4=2AbApUjmtRaM-?76jOqMx6$Y zGp3QEnT;=C)b_ZDZFzA^XzyQiI4DfjQD7cQkQCM}TY<+(awaNwG@BqO=tQEpEttsf zg%36ii9d@6oocs%@9p2oVra021p;n$H>G}p^Bzz+V)bk7D5HWVIb2*TtkX@ADCBL) z|oz83le&6W87#wcCys^lDUuC(= z_-g}Z@ljKvf&9(&a@02Np2oJ_yqh<`^^+}6(`rmDs@yCf{cxqqSPn>C?;3Qyj-iwe z7)oFkMh0?b-`z_b=hFPCO^5MUiHi`zW$DU4`Sr-XYeOVi|6K$ND~00`I4mRDtTg`U z&(vO@Hnh1EUsCa&p8z_!Qc@TAF4QjmppaE}L@Umg0GfJIPK2e5b}waK5aa#sV_7wo;HlO}tl#AXf!;TE+C1cB;+~!N z5fjK1RD+2*cL&HVr?))RIbi7w2(h~5M2Z>Xcos;K3`rvs@q1PQjDAKC4{7H0u5)%7&xv3D*PIl0?Rb#IL@Ru_5GF%4f~X7s8eM6MJ&N zJM7QMJ8|PxK8rE;FBc&sUf%c?dmLIB&IATeTlPv)S^NPJuI9h%(042(Y_X-AKW!Je z@IPt#{|}W**~Q5D{~>q%|JU@zijVx>ZumQj4g#tyh!8z_uCEZj<0E`W&|((=?z)=~ z-T?quP%Co*ELGk5D9f7q;9ESN9~F0zeMKUTgDlY-6gYI zivv+o#rzLSXLt)G%kDSSy|v*e2aUvbtGp!u03M~go1QPykhhg}g>vYB%L-M^xnnXt z2-u9C>$>Mr!0pYBz6acT*C0!n2|$@pHPs}z|H>J`YYP!iSV4b=R`3?K`_@V%8>|OI z*qOZQJuKwU@{{a?vS`o9qWlVWJ%58(eR4cD`%>-Uzjl#jl6QdRzQRt?uw2aUrqV(; zRL|Gv&4jzWZ7pt(FGDE+6Z_y_yl@YdIa+;)46pc10Mq(Pck%Ue#h&`z;L>&%8G5UKEp4*TJy4G?q#SG%aEaF%YIrFJe-W7QSIdka8ILHga9fKo_9a zKfc5p&1PR-HC?&)-4!WT-&il<{D#H{*KmAvLgvCSBy;;ewM~U5&TlG`C|#O;b40Vy zL5#Y2@lPa4p=|!N-moZeHP@H{wrmGF2?Ir!R|oWzeK}8B4gNN1g7xse2^<*%+?8k8 zA6*b@?d@>Gj!3ac0yeo2ZBSv(Q6AU5&_&ch3D=<<2kOmu`c@wFjT~+xy{*`PIZz$9%sS^%`cj z79HA%k@I)KR$GBN>fxFzI2%%y7c><3Ep^u%D$W!dx8Klpzwfjb(egveFH&_BQ&kYC zIQWacMYGy9E!s_7Tv#ikHe*jc{X{KsD-rgsJ}_M6Ce^`0kMa))m+Kt{s4s@bBWSXi zjTOdMA$vKwFARb^@8FV0ib0Qo%?_D>(WUmauIdfmAL-7zLLHQf>$koPje~IVqD4s3 z$Q$kmGOPO~iZoWaQ2<9QWRn;Q@#!r$-(3~ln3a?a)}N{P{Q-w7SCQ_Ov8OHT8zz zos)v#j@F71jq!DL8&(Cir`l~+fMW?Ch!IBjMoc9^&2;f8Gh+dp%o7cM+$dFgT&OOe zmFOZ%q&8#Qk}wm!?w$JDBU7u7UIr}z0_~r}8*euR+T7De4eQcy+=U?Z;oiWuW~YAf zNOiwDHKvp~bbTP{2*9YDX@9jQZr-ZNCKew+@Eeuc8#kJT`qBGMcHFa;yCv@UO#=Qj zgQ4>&9W4}k6dAAnYS{`^@?~5r_LBNK3cq=P2}=>toAb12C}tGK9eu%Eg2Flmj~#6% zRGY3bIByjJ-g00gv3`ixA7~yEXK6Y}3WAWmz>hX_jw<|UE3Pj&?QTIARo{1N6*bE3 zZNAstZtDHW|MTM^yXc4uPz6dzjdzQ8wh#pW&tar%72e>i7?*}Ni9g}-m>9wbtz&C=SfAu!SHy~h^%3NHsHz~^a0LpSobu0FO z5llBCGq%zps5f!sW6-Bqi^AJxsnWA|35kR}L)@V#aQV$ol~U4?WVGizpW0nb1%W5q zd~&%x$|wSaBYlSs{baECxaTaOAH?ccYV*IV9-k#l{dB3{fvw3q(Z_fD9#I)$i~(_jLH z(~voJe1v-l+8pfY5Q5BA(h0|&Avhq`V+*6n7$Q^J#QGF2) za?FICj^hO>c&g=B?7|(Mm7Hq+BgkGLpu1cM<*s=vR+m0Ng2nkydwKnnsM`){IVD0@ zCSX#Khy%HM4UD}klF+2cQfbXb!rD0Ov12Ikj2vX^{;5J5N`VVngphQD4Zx?j4%q8% zpwXLz&afD!Zt+>SAmL&rsQf4#F>B+bFlqV13RtKkR=GwsXZm!Jf?iwbALn~(m`z>s z5y*>rWnS^B&ChH3HbLxIDC)whnTm$WK!aU+UdbFfUX#aPwLC9YNlAwqmJ-p1QASQ@%kpX^hRSfY?S%bw0Gm-E*S|wJ+!M;yWgBo(Wz&5J zi1x>x_nw@0IW*|!3!k$l1*!7!qp(}Ss24W17U#^vY9hqA+i#0lY(_0#u!e;v|8HRd@z1rucD9{dM%EqgLpX-CT>&{mYN zif5_T>{?f>sU)xXUow*ul=l=3X4_e~8`*50xGW{QTjNR8tM^Iz49YEh()_^?A8JmU zvYnbqyY-$0>9WB}FO>LgHpl+D5VXzuJOdUIf*+lv&7RjsJajKTr}9X?B+I1LlgMw~ z#|KmNu#cuR-Psma9p{8@{Bq41V2Lnd>br_cPYDx}e$pQYp}V1qO0gV=s5=7QWk|k$ z2#mbQ8bgt}0RGgsV4~cYbvyW%(`dR@DwV`jb4>6JKsPzJzi{NubAsVzond^DgZHL4 z-sGwMhuKf~;+ec3%x(m`ZUqK1z|mvNC&FewE3b|Wl!1fK%Gx`*hzT~nIu-h30riLX zAF`;JIQ7~ZvHwwXO`&&|u5_7@n*5R7ccQ4j~|g_#4=UHEa2w zs^AN_Mq4AaX`H1r>jLynCWLPE|FA8*+q31Q%@6`pCUJwyHwfg!% z)7D^JuuQ9_qLb64M;h8&;eHT1&_4`}z4x zCWzjHDbSHY0#2m?r!4XmEhYS8ss&!`+z|%Je2*Phe$GZy6!utk!|ISnIEtWV|82hw zUP^}}VFIk`nTpc76x3YX5~*G0yFhS9H2wdMBMUjIP*?NxeG+V$1Fq>D=Qw?P;i>tY43$p?%4qZ0(OtaGI{hm9jNby& zYNHG*d_lZV1(26{ePpC~{=%&IhjL04OY+QNxQ|{fV-tb5XVu^W)n!U3wbcY};>Ovz z786naHXM)F>y&@#8Env-^I&zgihmbj?N73nXJSVylUeBwSj>n4ofyDEq&=&%c8sDs z*3aR}5Y}^&TfyMiQR|pfMbh5~bZGWt6ISr%XB#Ov=_J%%&4fFRZA(<<{VRkgqU?F+ z6_O?$-E`4N;+1?C8tjG&VhF4t!Q@~(&MeACefe$NH z#9upbi&8|-ULyY;z7X>mRg<|%u-Nd9**GHYy@RiR-(3h@JRhD5cEqdybLI>5X5`M~>E;~@P(K#+S(8u$Z3>j~p(RgjSEJrk&l(r6;H z!7y=1dtxKp7o_x2A_~x4T+WH<`qp&19`VJ~Q^OrBSqJE|*+K)HkGKHT&@;ww%d%T? z{xmHA5>PgXAi3vk;E=JCl{F$J5aeb-T~e05oMKx3YeUy*;P_v+ZSP_PM0t#EZtRM9!NeQag$6QbU zgvND;FbFc+x#QKi$!nWExySyPQR}6WelVm*on6Y+HhFyzba)pG zJUf*hT%l$~pi*A=69b5I`Jn#A^Dx%14|~@{WIfVw3wTtwn7u;A04x*XQcn@WFEe9}F=RF9~ z7%Rxeh40oo+i`MY6YW^$Q-G|evNA)imd3N3`WQ=r`A@jGSf@-Y;vrue0roF4>6r%1YQ}5JrSkU;{7j`WZ|u2i~0(j zC@1|CCOO)IeKEe{g7RNH`-^7T?Rzs9sK6wE1jv;ez0f!CLf zS!f|_IT#>VmYD#;$CzrJnG9f@jOhJt&DAefF4=d$=)8(f=d^wM7ZVTXkl!6jU7UN$ zc+U4va7vIBbRLk@00wWRU*Kz%(c~1BURK$=IUj*b1y<(DX?&6<6PVCN`~*^QYs!;b z#L?{q5qvP|%W6!=gIVGFam|6sm01(z?pV3EzI^kIcwer1V@uCAcJ~MG;7_q9GdTdHb`6^Ekb*T; zByUCNK>-^R$_iI49S-|V#4RboROYhosXas28c3p@r7))3Sc5q&Z(!}?(YCgRSFs1==U(*sp;O&Q+K}y(=Bhhv7>BglRvmBh_xtvzB&h|Jq``q*&3KM)lbC5iW6- zDq4cl!7n>oj7Y{0@)%U=8G%81CA*E&I>-D;qt3s8F( z!k96AqgeS=AAZ6)Nj1w<{2HkR^~sxP`E*T1q~>&VP(DRwR`78HPwD1r?X6K27V_kD zErzK~0PT-zZ<$amP_7>}$rqy_)X0#uZu+#ROSjyh_kd8~7Np>YeIEe;IgX=o(F>=v z6+eiKq%h`b;&~+}zxJvIR$c)h50^`TQ_B-ugYFIaJ9p^}zSf)9qj3IVRHebC4Y^tF4%9i-^G|`RP5~qwpZ9Q!dpB|1`>Y z#AVP$9&ch??5mVfZUYfKYrv0Z9UqQ885dF)U3h|}nRNEm&_q<2K|FS1lr-QueRcrEM)K$G;0lDKWPH#_XjW+#uBPJ?BJ(jtG<>OkJxVEI0nVXAD zI6p1vqwCd~EwYt((rXWpLbT645hej))2zBIkZI6KwxhKM0eRDjA*1~sSCJ!x18y19 z7E}*j_r@I?#|>xKV^#a)%sU3RGhwqME9)8RAK2*4)nQeBun`{+wJGi1&VZ{*1s=aD zuFpxQdG5Tj+IdO9wQGa?R?)9XuYO<5RFVH?Z?~py3Jvf8gEMAsrd_j{pS?O~ODZ{m zL^sQaN7P!7=n^{O%0OX8iW^X~PVfHc{{dp&|jx94Y|Rvs69 z_Ol`mIYgD;9M~R#=?_Y6_L1I#eWjRYnxo4 zX@IPBpEH$VPLEmr+=rC#L@zkzI-?N8V2=IZb8xGjXk5&K_9FHuKyr*oGVh_HLuow) z<4~UB?Si#Ox-VtRX0bxPHiz`v>dY}wsjdG?FMAu-#D@MnHMUPi?ShzM`e$iqV3-Qm z={jX~_I@or3_yt+Vvt1jPwJ(Wqw$c87*07Yi;2o~6=2H(g^*q9<;Q_H!H@Grj1RMs zSNvYK?aduy5uiL*@BO8jNqJB|7vf)&n(XjJw>OR;OA-830z7^I&$jagNpI!V(u7mnM3j`!Fe?MK{REOQT@8eZ{eflWO=RU*ccK}o8 zz}$US1++7GZwQRBWkb{Xlc7fVnP<`et=!mNNH`(PNxGFhL2 z<*zklUDgc{Mk~dr9TcB_HBdcY1-OW(tTObYDtV`RK@})xekVvjqCQ(8j%6KVBy13X z7kfJJ7lRPmjZCDaxBf2fW&ZUp)sZ5*b=^gpshYfPR&|cjuR8v2E$gL^PMT3=(7Ng5H^YbeD5Yj>NFua1AFSJM`N2Yme zSr+e%u8#~AtJidMIUc8BYS7Fj$*crf-e-;pw$`u(&n(uOC7RqzP#){ts0 z!6af%omV`%c znA#}ntTXHclS5g{idEkf1&+cTgu)zks*^+4ezSW*A!)4D@={73zmcxzMe*YRjA7y` z@-WBJ(^<13!=#KR7QQ6h^ylmOH%PjnZJ&x(c1F{Lr)U{0WeTSY6 z<>)kXzf1xK$m0ZwJ~d!*@gof%Q_lylX6tK=vapd=Gu=D#HNX|%o|ZIpkegr5dQ#(c z@UP@Nb-VcksrNz}IMjY9oHIuUKp?k5+I#ctQUCMfFFb}0PxpeP57f&q=1)5^4+O9g zXNL5{tWD_?E@k5K=QCnR8HjZ8GzUOUTx7X!sQ~pG3 zHKwx-$vhuROH9m>|EBMUM-LZp13hjdg-6w9tr2@%7;P1H26T6T|ypTIR-5X8yG#K0SegM z22k3;ad>1VEY%hEgk+tfD9uGQfK6#%MQQ)?i>E<|_S)nOYnQFf?~EVveE7S;c*JBL zxuawz*GfIzr0*gBqTev$U%9I$d^x_AQ`ZelmclLX$$83L^##InJE@ZtZ;Mg&oc!BT z{L*_Z2AUqV5`lj3Bwo#wSm?Xz0)$zaxo*J-HjJ9O1?CE!J?I=dD^W}Bk$xkvDf_V5 zxXQNn^@}>bIl*0yEf`=C9Al8X-0es2h1y0$&$b}sD~j$gpgi*xbTbobc|EVO8_(&; z1cB}c5>_G1wj*MF+;12l683cbFsbKXe9(4^@kr*!w1o}XYL{H30AjTTO)#ekiY?QI ziScs;d@9BtU1Usq4s=Qh*&9yB1c{>bPP|q+)ECkt4203#MbfY zrww`59t6iHK$UnM~P>TEsaSGGa0N4p9J>q zyU^n?mSW`wpRP*w7Hvq>%gS+faIPlrb#n+&2n5a+5Yt zhC0n4XzX7XGUOtz`)mbhboz$?c<(!_`jmeB5HNz@xaPGM6#J_yf8kPmdhmLYom~1V za3b3Z0n{eZXt2I2Q$bqW+AuXwxhc*Zz{zmaGRc#$9hMP59vnaxY$N9uC{8AAx^Xf) zcBt;1Qi61%5AqQ_HZ+vVh~1r|IC`7mbSNrKKA;NF((}LFrD&{7T&moNhNd0QP0nbU z@VtNSYO2k%%R-XxOA()L$%3)K?U{5rH;X>rWSelU_4)&u@$m6XXa}dyV;^v&*1a0- zZ7wHU8I^9oUA=6@Wxw5diC8_rF`edUikt00xS>bg0(#&w39V=|rz5PZMRa)rjSpU( zRii=u%#&3re4y0pXdPn8!CB{Sozm1T`V4Zej!5F9j02Y-zoqZsCRn{(%ux0D0Y*i# z8vkxrul|nxAI3pU^(YC>Exm=^Wku;|v}Y`q&4nXw|0q-uqPn|*HoRk;)6n=18Kl?{ z#kqj-gD`9X$cOuA226a(dPT@2g(^c5*doa9-}VKj$ElR_Ye6$agF&?3r~#+bflQv( zK}|q;4r+iiybxc_q`LAqbhLlIpN+@!~Y2Pw34T$c~uJE+g; zN0uUy-hJn`%#Kc3)RCB=lNBA*qeW&u#2)hrMH4$($I;bRl#@}7Bp&g38kApni1d}r zoacIV+cI7lE$R@(lkq;}Q)DJhTPeZ2-8BVoxpD#wDb{WLFlcM2^YcgkZ zPua_FlESM$wUHoQnK9ySuL$E1gYHh=a+2dEWq;&fCduC2I#)caLIZ<=ni&peM{}7q z{~b>=Gn#OLv|U}fErs4<2^MIVY49i|RXQHA3s19s0n8i3n-F$7f@8&!6*W+U=QK2G zi%WV}ATWTS&#=p$JS++YW{ne+a50)0#INA52SJTQcX$_u*A}}EHS)nfvpWT5YP`X?6vOYlE#skH*~AF4pn5jvqqP zVY}6{fM$*Z`0e_&)l)bIlyEy?#%d&%N6ABNRezo$Z6Tnc6$yPU($TVr;_!~n6^EIG zUM$Ch7XiGk>HJXAAYA^p@>i~Gr|%I*S6Wja^Fh;IbFz}0`UBycP+;tIFecVj^=VT@ z?^@B+Lp0F0XuZr$YZPr8MqTLOah#Cqw5Ohsbn8ciO?vTDYzpOacSZS_e2zWWMb`A2 zhHu{ImvMWwMH8NaN6ioyvuIQurKLevbCclqzk_3)3uMB;refRjCxnGr?soBFDsji_ zRPWjcuIr2QSw?GukOaiyyb1PTt8M0K{}+s@^8ab3|9|VqYP_LAnlLKocp2Fmka#(yy zYS#50=&0tF;b2sSNshIHTgRikMQte-fb>sOdWl~D^Z3qQ>2DtLtmbe{ zgmWaBD}7XHf|Sa;N9Yn9i`uvq)ih|reX+yBMm#6I-E%>m`>O|9q?s#S)KI7all6Lm z*W4vy8505U<=f>$GK&SJbW0L=b0 zY>ls+;}v;n_g7ei;%K?-)X^{zzh?B|?hOFmLDc`MDI`}g9m*=%WYulGAn?6tuKQ10 z;z2caT{`(%bZH|EhOmFIVRN2mtO@4q%wf`6Wme|X=HJ!tM6Zn{;1s=sI|_|&_6qGw zV`I}uBblM6!CS&!ODhrYV;d{9n|)c~`y5TH{Y@$%+nydqfP78AS{c8WG-pr4^2ro6 z<8@JqtNfw-gfQD1&JSXhNjX_oQXe;sTze|Fg2F71G5kLG@98(K5X&dC~L5Fjg0l^Y*6 z5cjXC3O=g$eM9Ts>Ky@12_;c(#JWqTOvr}&QG!X@hUNCVu@0zCZ6Um4n)gdd9VZm3 zROd_{g(c_t_fBS$jwwaF?F@ee!bpMtbbMlVCapyMB@dHcMo~+lVVW{$o`Pq}W?Q%7 zsti)4pZeu1gs(a<>q{ln1pCOhp}eTk!>9zWcFRAkcOgXE_W}CpVA_ zP%gdw3A)Sh%KgeEbG$K_0pi0pesCM1$LMY}$^?)1(le-pYRoOwI6QX51gy{(8VO{! zS`IqV=d)n35Kgw4i?Ql5!~{(jz6qxVIQGWRH{>+@1M?~73!F9A5IzkJIhr0TEx6j0 zY?5oFtD0R5mS<{!lkS3bZ9a&)v8lmLkg)7 ziZoPkJ&VN1tZVTuW|1y(Yym3BxXCxwL5L;CO_{N+SJ?Yfvns4h=TjeFukAykGkrIt z8pUxPL|`+La=v?efbNhcX{M!&MW@|&3GYybD&vz6V*8eUGIjii9jIg(cK5MsZ$Eu% zf*_>|motsoI;d?dts>2Fzq$`4~W=xtY4Jr^s(A`8ao!(s;=bV^d&ux>}Q zj4{Zl>lccQ9L|zWt))2?Qr0RppY*5U3}xPFdvGK@GKhymdWoX<@vyj|)TuE1C_fCf zY?3F>K9vMxYn6x9D`wRTBf2km#-4C3=fYtg$h_*3LRqP7DiU51?nQkFPJ9PJ@ZeGt z99^z(t0}&`9@$u}kG?lq&*N4wf)9PNdz3++hX@{kYZg_X(vy!Hr$0V9Vz{0vYu-?1 zFM{JWyh=@?X;nk!_@XoKL%gWVghM2 zFkQQ?Mwd2g8lLaXx_bX+`RD=f zO7}XeJ?9d;3lJJ$-Bvw;OBJw+6#lWWNLPMXzq;C&)TY=ax8`5PP;orm%t&&%neZB@ zocQ*ghaA>v%b2bjV9W?Peu>54Q3Cct)*19WH$^^H%WB4B6zHu&DUXO>R-kAgB$2G} zm-?hnXQC`-D9p}*#$ih9Mg%Loy~ymGwAPjXZ8+vJ4;w+2(8Y*w8xVGDt1dhl(|* zexbKC(ovc-OAikC5^C65Osm3CyxgdIfh zgKwSGhK(ay5^vP;b`d}ISYn`JYNtQ7k9Sc8Ga9~bj}J9VsN^9k%bw*LO&oaoIcerl zF)}tX2A$Yox`g0D{Zc#2AYmalo`k1w>0eD4So@Qu%kf3)niiq(_H#`^3BQ+&7zkm6 zh!FVwLu{W2Q3~3uy3${eT*16FUGJK~ofrpQn`$woL~oQayPAAuZ$%gsz8tYf7JNuR z!;ec4pdM{5ESU$lE2CCzKDaH5$KvkovWVL4Q$2Jt#^ zgq@tfh1QL9#maD6w0EpZZ%ZeqhxJi=ld~~o5B4eZFW6oa<0L3`Ug;7#hX|-o{xc1D z@ru)QRzESp1Du!M82godSPsySM$vr%{xV>=MfLi9>xAtad7jP;i2zb1&P&diC?ugS@CIozjyd{IeuMLW`{%fFo$EuqLYuZ;(IPgBkq_ZCcy+cxoXF zh78|umsc=q)^MO(m)zTC^ZIA;`f*VO_XPCQNd1Z7XSGt$&)Hi+wdgU@oN@5y%>){c zp)^w9RrY9}Yw}~H$R7pT6T$>oYA8&`VtjErf6{$DTSAq&90t)?6n#P#hKwU#yk4=1 zGTdXg>L*6_8J1*kO_ed+rM28W$Tg>ZVa4l%3bpo$YQg1C7VB_&`zpg!{mQwOC4D4$ z)~NaB;tEh@XI8$zJR*Ju<Amv2*Sek zTg&qCo-db*qnU%$1LImNR!=PFXaQ}J3?1)&X+%=p!4&5PC#XJbtDr06Y+KMUCL}Q!e%s ztsNcVgHI(r3{_Nd(62OF7{mUJ8TsKk@Ah8I?w{UxHb5Am_v9no6FNOZ<-1fiuxdId zD^tau(Rgb7!vdJ%KpUk)n-R@9#XMB7QF14VuoV9 z@*pO)r$N=EDiD6+sIMi!qz*3of}7@m40N6YynZe`37KK%kBWKA?Z24gZ7c}De|7nV zQnK=6w>r7ks*d|!p_Iq1WV-|+p9>B}jdUF@Qp~n~)&y$P(1+rrWl0%6$ z3plK-z7UYjMJZhmFWO!s_uoUqSiFIU=Dc_0o^`dXCp*RNeb<bQT5XzmQx zX#KH8Y{#%zxfz8Ydm1Wwc_+ZwL8nfsKo!jLI_ASz;28Uuw;F?aX51w8R0B1Wvoc5g ziu3rdZ|LUPq799T=J&Cbc8S0oex}nGqa>^m;|xBUX8!MNyR!swb6*4YvC4_oCnI=O zQ-oMU(31h|N+mL?b=sVmbg<(nv28=w%a8vL@WLVWcJ9BsSXGg)v5w)PuiNVVOKsLCPx-$dp6rN^Y4IH%^%`51uc#C-IE_&w3SnCf5uLc+EKHM8 ze(V#KbL+syB66hF3R=GF0gM7;U1RME590h4L|_uLXO|z55%|=88iyCm)@>dm$)03w zUr)MYu(FQ%Ep+I7akb=x#QvBJgBVawUn6DkCG~ilhcO=pHxpZzTLK8k(fXqbkF&wN zuYnz}E(|)ijSj^Fk2-$jKG}vNWxhwGr-LxSR=#@skpz7m2sfV-=>B{Enr(z*GK+xq z?XyDEN1sz}3i9Y2OFz$?F!eBVo2|&Tv-4szJ1>t!1|up6N`v3I4>j1B(wBd|cz;tq6y^ z@Y>$R{X(a*$+~toB2!Ub-n>QYmZ=ZV>6!YKPm#+8fX`_KKFF!r)Q6^7a6;{O(y^+c_f zWh#onEraJbn6_seGfbaW!KxH38I1JpGd=9lTuf<|c4RRqZ~tG;0b+$G(@f^xccnz| zV^@JbQf~TX?0Bf~LX<{2s+FhN>4P&;^cGz2uh2A#TrPG*Q0|j1ff-U+c$~drcEWSC zGhZJ>89dQZ;5TWrd1)MLtVb@8#^;z0x)H9B$jdsw-%Iav!U)*k>!S7u0_6)3=aZ6p zRgi=k0MtYE;+AY%$Zz6#3glNvEluG?0Rf1#xf17&F9T1t+ z2)zK7f!E@*jJ^{VwP{5*g~VswaOG6#K!l3+TyXav|8RPSJWUzXtJdbjl&A?_$!Vj) z-(zw$!5GzLR3&L`Ob|AbnL33~n~C zjQQ;BJnTiEnOAJb`bBbhvL`gx5VxZ%5~5g8Sd{rDRn?z&+gbGYS>?WQ*v6t|?}Ssa z)JW3OLvn9TlG$H61Al3gcg#&f?o0>l_S)AiPmh*mgDlDD9oFnwNcT7=sup$WF7TEa zX!Rl=<-W9V23QV;I5ocMQ8{W^xssXk8+igb;bu`=fZI5dGZ;JW8?Hb@ckJ#U5jUsX z1c{2@2Af0ixwgX^P4dVmcLR-60PXGtv;o~MNneA;Xhw%28Yas!I+)U0CDMS>XhcYF zeV*up1CK_AwIcx9Jgi(Y*c&z z-Wt{_C{z|TFa`V%Gd;)u(UJZCO*b5zjLZb|ViwlUCXWBT)&|ZdA|^(5#wP#Eg~Ld| z$i~3P_P^~nk7;B;SB}$1-YD<0q0=?L76uP3nm*LUc=IejIECR)HNGcfwfOMu@Y`?( zhmAN>{5=M6PsHm>t*+wu_gE~o=Y!;SQ2+TrYa8IiM1ai9r$wQqg&ek-!7grX6spH1 zQoBwY;qbIsMvGu=IS70IveK0{8-Cks!K&K{|GlSGVPjw)MhYc<*i$KmDYB zOKE1>t?dVu_%TrRe%I&fupkf}v)=lWg)(%8@gUbSM52yj#swXn%h{C=Pq{Q7(Ut1} zNfv(y%3zY1Hoi$?4Cg3lP(4fv<=!2#q8_Y#!7ZDT&rpreFBRX=hUj%SioLI17Tm;- z-CIMG$QE*xhUaDv#b+b+Q7>3-EUrVPZa~E$Yn+jMGhD02d>AyRbgJV-LHTt>jtY2c z4K@`&5G6}DhK)Le71WB_Mp z0XZ4L`UN)^ZH^X4GY6zNs5!^_6yo9JodtlU;=pt(UXV5HE(DOTy8I2@+O6D{0rq7wzPqb-=rk`9_2=N2d8AusD0>1pRqc6J5ahmv{ zhoXyCn;62$gsJ;R###20I_tGl?84!)fliD|f)7hxNYftr?x-o*z!+`sgB;(mM&$_2dx z>rmsU7ozdlh_cNf&3gCPSwwJ$nqAqy?5aN7yS|~SHgWC|^s7K7VWaTYQO#dK@+fa3 zVO#Yn)_s{&}df!&bViYK+$95>`8HAhlGI0)IJEZ#9QB_AM_nCVuYz*d=Sh z>0|0=%FD&kTN!WQm}p^4GH36BA{3*hYPL@gACNgfvedkpIPXYpCqk_r%T5pDQf9vp zZJa~cz@km*nn_Usu6Q&ow3(Aj(dHN#VIW(BJ8|KTIVJIOn5QX7l67<9Ic}uCGCQTR z4qFSc8P5$wWET|NA1Cxm!;Wawc=bVpe#}VMH4JbY2H-QR{!F-eNGEfK-%Ax`&vfKj zhoG{$=*RX8N<|5ru(J4jix%*koBy|Y)S;FMl8Me8jn!caUkheu`NaS zsQZ5{1k_l5|44`UbkA?6WIzLDU)!~g)Vo8#mjzYb;u{!KFt>vb;R#A0x7YFetx-;3 zc>vz7gDu~BXdVe;;uHm*)jdDE)aX~GzJELZ3QGCL_y?T4bJb=Ll`#K;$ix~F2r|Oq zN+Pc1j%9V!6iU^^c1R8)%V>R#v?YNF|7HVGGP>|)?WlwO;c4M7(PkiHzfBY;5b@MM zeS9+T)inWn*+x*f2gJ*(ptq+j5>;FYrc69z&*xQ7y*aTpfm-*->Xi!0bAE%;!S)$k zi*-W7U{C8ey#E~rY|vd7_x;QTpBNETFbzE!%7v(!XhBf5k$s%QLReYj-r7CpdppS7 zYk~14KC*-U2G85-d}Heian67DT?5#sI#|(z4hLOooF50{aA~L~OJD*``fW!U(#jX8 zHHOrJ%C6>tXg}n9v_6+`YP~hESU=uqB*T}{$G z0}yqnwJOj&`ej}fgA8}xlg81KE>m~k1fxh9GJ?CJadcBT1%RrKgGg;ClM|bwzlQ(m zyu^cG;AbZDg#NqPWpL9st_htpe=Zg>F@hAqBcc1_i7^X=&kixITni?T-|o7e{a5_N zQX)Wzxc0^KQP%s7_(ye*$DdMD>orQ8%bzZl8zHRVE}_(Lgeq(EwNqAVv$T7vzi#{T zk-RD&!9eFq+}W=xT#MBX@w`w7rYG|)OiqA%i(LhtDrBMhpm1Pt?u za!lshCza9#4U6ILWFOAI;Ju9O-Rf%a?Fo$s5ZJmmvsLR$`EAgPf6HN6VSGP1zS9ET z`(GSlD2N1@^0$R-cGM7dcK?OlH_W&zv@cS63|$;Ibp%EI;JHVd()85@;&zm0t#n2K zZPU}X2?Wvp3bb+d2;LmRvj=m~ONJ?eMZrKV_s=MxZoBLF3?}C>Nlhs`Yv$|p1-*K< zfb3vIE{=ezIfNN7ur2Pns{CFO77bA}l~Q}S?=n*|RwUy?>?C{$pLlL9Kl(j08|U=) z5m)L`6wZ-ZXe<{S^|}}|9jjR0>qiaJDTr|G($^m^wuRP4VMd|hQK>efBakWwO*C&8 zbdg>jjH?v&8jE=>4mRI1H-tWBr}>)*ad%(H>h-1Cutg{@mC&9EdcW*pm1Itf41WeD ztQjFgl*6wpFG7Dejw?q}3Jv?NIHuIfDDBJlJw|IL&a}rOPWUwH$>k)Aon2>NqqO0- z=)nIQZG{QmE9v9JLoogO0%Rbh%|$H(KGDH-A+>Y>rMrTMD} zl!TSxSM1$HPSZNG_J@OGAx!O%lx+pmb}BN=>zlbLj=iD1MR!+vY!v?$Ue*9;96@?+ z>QmZfm^8m9v+G@@`rZnlqI5p~!G*uyI0B3%dR#(UkRqV>^^563;@|jByv)DpCDCGV z{fw4iiYCM@Dfx-^GMr^JXx6#L#OI`go@kd-U*w0UjN!xzO*Z~Bn~%zOaP!qeQ}&@N zpRotIL%>Rw7>ZrM|&3!NvtnB=G4Ey)eNuQZh) zfa`W@i2$AY0B3((G)YOEfNf7&5ceoUGmWW9qkW=(BJP->JHHI9Q(RXZt#lK=C9Ocz zfMLTI@3Y!-IR;GEw3g)c)5N~+q(tf_(mAoDCs3Vt+qqa$R-}0k`M{cGV2*IAmVHf;6? zLXMN{%|p~j>DZ;c$1_UQ}d{qPQ1OfWtYHW&^`u!R90(o7j` zWi5+nfr98^mYmm@Z}XkFC**>@c4YEtHT-Kt7k{Vz(@h_`T+t!?gVq!2hfg-><0R|4 zQ+h*kUXlqEFP@k(bLu7Z!9#Mp=R5A!|F1bKC<(&-&c!BM699v9Z~F~xoAO@5ut-#j zF>Ji0O%Zmx0F-%(t`G|2!qtW4w;{6BGk9>!a!4ByJy#Igw;l6>G**-itF1KVx@R~U zj+A*>`11rU>T5o&Lvd<0vXyE6EAuVJlJ)m5iCXdb8f$(uE;tq6Wn8FXGVIiEXaZ6C zuycR>jcmtO!`>v1?2MWM-`Gl^!Y!6rdU9&FsXlSZ&f0b9nBQpO+O)juJ+)kS*?LkjS0eWCN}D+J*E0rq zn~NT{TDC}U z|JJw((_!<|f;&AO--*GR8rT-gIO{`vJqPFTM;D`5ZD7lyGu`wsNmdYE%uDNaIik6Q zu84Hxzze-~=!Q2YF;1!=QjS_=JAls|HsS_7)r?F3hLguXr9=++IFVAl!L1xuN#nI3 zg4G-~(ocaQSbt4@KRYQfVdD{gSw~-&#R0WVX_&d0P1Ltniu)#vCo&NuM8k@OoqBe5?_hXQ>Y!y?|g*uGLihSdd?B;nrZ8DA77)5~iKmKxeyxw@@Jd zqeVEhCECr^$Bk|^--S_&0|@{AfDdaZKF%>xACjFe1~l$Tak!=cW#bj0iS11;*djn7 zgZ4~+UHC|H+U`2^g;A559COiP7$l`ajQTQYcOP(;$v+~`VIW^B z`7-~yE=TSM#}q;X27a$ZPM(*@C59c&$9_Z)cU8N0$tr+1IUK)|N%y+a4EBUZrt!O7 z=a6K$R~YTV3nrZlpZz{8L!p#Rdh*J5xKaDiWy%(q>yG5xinY}U?3I&JEEHvCP8oi z(Om7?hRY0eOEnxRV<3F$mQ#oA-tOT?_|ry5)q&jnXVzBMOQOe6F$mc`3cAG{q6JEj z1*X(oUGFwCwh7raxX*3Gow;g=ZWJD3B!_9MgC9EonQN$11E6MywQh-nEpZx1(};-^gY2X zEyMMXzH2U-Y-J>S0$HO!s9#BJ=pdV?MTognE#mMBYf0`PI|h&%L4mmg!=ML zL`1RzLZ0*M7A#Ji;h(iVP~cJYrLZk?CO&c3pqom|&IM_&6PsFz(OA8pYILS@_eHB^ zTdJ;|1dZNkt+3zQZ}(9LxnWM@z8ku4vfbmtD}^><1tv&Y7#im+6Xgi2Tp;`Gjbd^H zb(#A2KL!eQ{u zDy4Lw4n{}fh`m3?nZ{?^(*WAezA!iyXNy%g-6&cF0qB`^P=CjNYH2d3P zkadB0D8}6#>DBLlC8>r@B?YG}0*)2(2HgH0nt{{`SlMQ4hTl!Rn&0K_{7-*UxA(bm z1Z2>X!(*qLocuswqh(#EwPBXhxk=YF-InH zra9g1rw6{FOe*;$FI#>;1iY|2k5GCufOK>QTTC|7UgPn)!^5wFaQV|=$-qJ?M-B=U zmH7*3U?+=fy-X@)Z)IBEQ%}+CbthSSkJ=}KvddbwwDkpzk=vcs*g`spl6QYCZ+YL`nMBWjFi8 zU)?OtK&j>1Kv}esNh>hXks#&lU1OJXn;ZD|-7^TiS{^%R?c%)BzqJ4QP4uM7#4WpU zC`~)Pt2k1#f|xe-DH-L8*r@4Hoinz{kMs>xF3r{zKN-b;zkTy$-3C@E6W1HLD32fb z7*QInsZhxrd0M>Oin9H`6nnX+EJpY-&^JeL@k1HS4Ie7e>~@q>-Q&5j?~(-@MS-TN z;EBiR%UY=8lqq0aSw|In>^p;2tspLzo4!Q9#?|!J@Ru`dpwk&qOl}}mpo*=+ZprwM zSx^F|r*Wv=F0#M9HL~N7q@A)x6Ya;JM3PR_(8plSs5;|)%9M#-)_|C5c>xS2U0Pi{ zE3IJ)eKsCfJ48?i(=E7!_-52=DGeY?gfwH&1^^}*)!qR6zM)7*cZ;lb9 z_q5w_?b-f@wEy)VJ)McGz9}aDF-z~Yw1Z_T0uPOt5RRJb*9;h=$3(HTQ!92us_e80 zT;1H1u-l@NIl4nt)dyKwOv8CD*8a4?rxpN$3W1RXT`}4G@Ns~| zGU}p+!J?oef{^((0^|TG*$D4Eklj|BQA~x7*U^LbYaA!jq^5Fi5=j|h(4mw$Tw~Xv z+fP}hDd^t9p<~dOXm=?6QHy(fn$>VJkFmF+^-ZKT7w(1%YtXRySH)esZxg3n;3<8# zanHcA)T`rji#6OkBXU@lh!KEOKZQ=!^skZbuy zHA18IW0MO@>YT*ooS+ZZ_#(aM9`uuj&&IUKa+GB*=ILo0nq%r7h8GPo|{)RsZ-|TH9S}%C#`@o)x%XVb~{%!N`OWbTJ zd)@R@2yT~xTezKgHzs`W_$)w@Hx*R-)^`mCtfnFYuO)CD^>%O5!OmlMOzL&V1v1L1 zXL{++N}#}=+jB6T!>neGCBgFT#bagqdvjQR)<>1qEw)`t^7Py_-!M0&_htbs-1TcI z?Wv$>K#VkACW&DqCg&Ls|Ge6-q18dRy#8)X2L|W50RAbFC)C;@NN%{<8JSIjA}E2Vl^Z;-RJwc~-~;ps>iOpeC?)C@ZSd{86}XQyBpOfBt5P29`w z@M;NRB=NWyHg$#OFVYL-BR@6EvSINJ$bpdKa(CR>@?qyLO7e9w>MOvk7?kUs@i9bd zp~}z6J-rPszRT!QaFWgwif9uv{ny)on&ImTXeW3WYlN@=5XKgwuT9_xD}88pWKHY~ z?NwutLZqcg>DwDBuB1epmHCNBRHRci4bW)R3qA2cAXbk7Aa29dOmRbE1qA7!b`R>n zKDQkF@2n@$we%BET+jIRa2n=GZr%@Xfr=ixE@`HD#ouzA|Hd9)f&9`WcuoUM_(B(@ zqvd$oqoLiY9II2P1`%Pbvf>Da$xDb}84_gTKELtYO`}>Yr!X|Qm5%+wjW()CW*LMF zzeD$~uL^;xZj*lYcRYrZ~om#!1~^5gDD`x+qa3cy&aRy<0i;y@`aIP3Ez5YfAMK{f_; zO?#TJ?%G(*6<^ho>yTO>r$EU=AO?md=q)O5w_k5I+c5yjKeJsh92%m1oX4NYmkDgd zj|Xj9=3LGqhR}PRVg9q|hhGXJYPyl4RTjo7Kb3ZF z6-D|PLVE3)FoGBk#@sP&=8?B6EUuAXnO@szOqFgFvx+%SifE>fkkY?l^b~hh%Qz>W z-{$D$ADPWvj7c4}GN~|5WIdO%?L`8=FD$H7Zlj@zX zYRYoQ1VBPC@&V_x)yB?GxO|KAaa~?W{t%wjl#Gu=QTpnw3)6=+?;tW$gd)RcT56Bj zuH#NVE*`rpqiuZm8ZpYel#Ki<(H`p6-sc6anwVnoT#ENltBiK;CMPjW>HL^T)CgYg znbv-otQW34bs{|=ytOX!)AW07&58w)6lRxA0w2Yo7m%)(E?+C*Pm?g3;0O?j65>b|^5+MGU7VC5aP zN$oTu&n%EH5)@gCf?o2a5euCHU^^my-sdHsXBCunRq?Y$VX#vzXFDBKZnPdJq<&+f z9`1`A8E;k=rXObLY-vwj1JMsiEa=^IYx{AK${%-KX~{=wxSTW$@-#t1Z7Xg`KN%;+ z&nssj8dx*-XQH^PF};}Gha`zs^+KXAWXD{cdhG^%iL`JB52Pg0IzGG~`5u75t;8Vb zD@&}--BadS76;~x>ltrYP%9=!hfmX#Uao;ndFK)MbPBE5CDh}F9DLB4w0fm&1%@$D zFTF0G@uvBGUTQ!X`9ZzomhiplJ~%@IZ0xo;201(>!n!`Z5j&rBP?at*=mK;pTqEvz z14~s;JQyVHq(#0ITZaZUL42Z5`;-m)@O<~S*r(dpBaQvRiW95U;^<59RL+q z_4yV!?GJ-oBn;)y|9-{|b z0ML%Lso*bKq&i7oJQj<+BCC0M7is`vTQj#?%)K@~U*`hmS*jx54GIM2I>w730Zvz%0Qz$e}gkI>E_> zm;0)GUpyk$k(3$}ch)^=Pp<{VJqF|d0>V&>qL?sG0!nRzPSBI>#u@ku^P*TDwf06d zmlqN{L1gRkdv1L72^f!-Qp<`SO)XYD!#x>D4)?2uGcQ6i6YsxLKd2Xdd}&e)L91GP zR0C~9VIHBRrl}O=-@)g(DnzWKyHp7`RgNyd>48| zL40ZjO`tR-`J4yqGF6;MVv@lgTOW#l!j3d*)zZiv*;dirG}%+6eqeie?29 z59Ar^QB5c@sbaNL{FT$k(Mrdt3akv0%}2bGAP)@Q$YB=b?m)O=3*uY^uM^r`bz1z5^?#|A_B6ZQEnx-vQNs*iZowfeMYXQ8H$XbC8t_a&`j5c zuoYo$YAQ5jd0Wk74>en_pfaba9Gzebr!y*ty9NiY&bcQAx)dicmcLH%VM>0Dx?A8l zzpwUYJ%#^5U3;MT*$ZK!5k%=mA4z{?RR3!Rd?e_s6)YcbmndKDjJjBZR+A*=MCu;# z#_5EYh$gkq4{Wt@DDp@dZKuGvX*7#UT9BOr%EvSBSVZ>K_@-RC)-WYhcX+A zkQz!_Nu_jUZTToWXEGnVdtUpYpDtc!TglxgX8C{5s*jf`wED~g`_9J??Z{CNmDmp-Cz_Y76siDe37yv=4*chjLPHi3S$hvpya^SpRLsG$~o(%1I5vSCHf+NoZWIZSS6g{6lf@30)q{lk3 zrzzjDskATY=jPfdBWB2zTmjU=0jb=3@T+J_38bL1zU)c=T}P8v8AxJ3-5_ ztd^&lQRQ*ye1B^a#&13ec?|!Ar=(H-&K208N>@tH!{V`<6|crgCt@!1*EJU zS`yC4KsRtw=n6i&gLKvEE+b!&KRcFs8K`dcnAe!}pN%89`_W|s9@(LVSAGH=z1TEB zbU&uzj6$45(zd4vLTk94wneOn-pvy2UCT)DW~DZSmUBE{_|~u-qZk&CxtB+R_&o(qsHk7EE} zCzKBkcHuPdX8DHO)_S9~b`aV=@l_S4?+!gM%`kFfB~~bY$X`ADZ>V3_5$ zLtbULo7Rg+9|MlZmr5vrjFvMAvm76X7w4hRh*KW;794Ebk!EaO`4=@4R?l@ZDYUNT}-u_g8X_qKM~M}MYRe00z@=w zIovJyKVZ&0aNmQbH8Ltdlw4Dl`{Tj{9^4`)4lY#3@|!Jg=3mj1ibn-S#4^)gA_EW& zBH!yyT`*_3%Vw~tS4GUpE@;yR_y~O?o_(?XD47DTWGiMOn5S%sURZU}B1ID^Rj}_V zbTddCRjyi^K}>m*-w?eMHKz~n!P)HEV3#?Ep){?xb)-4VEdff~`8ncYTj+Ib&@n)H z3GqCbweV#s9Xh)r;(q51QcJvl*X&5$r4DPBGG->}58)iYY26T@#^UEx&!%s)=k##+ zzbCcl3ioxTQ37i7A0t;JC$%1#tq(d!VL_WG-$j`*Mh@HjPPMaAL7}?#D-nXw5AN}k zFVj4QZ9p^oNhjAIp-e-AsC>NSo!z;179hW;o@d-hSt3HpF;Hl+=E>bTkP4ZKDJ2TB ziR9ua!7D-j9XNsKm61MeB2lBvUYkVTgqNX6!Bs!lS0c0J7L?+ABRkjBnhr*s4eul}(Hv8|ugW`#y)M5fBdo9jUe1-L6{s^PC?YJ9vTXN9+^rr)jpWT}? z?RT1RY0a{VwDN1ZW0J@_PoMLlem(Aqk8Xy+d%el-^UZiV^bl}*LpU-ahE+wNynjP~ z5$GEPr*0A3kh5Wn-~akI(!<)6fMxMZQHhO+qP|Uw{6?DZQHhO+wRVJORDaz%1^9@jk92NfShntzXzAJ5tMN&lq`Ferr9=;in&fQ%X2 z9>B|YET2AuLe2oh9(I7;#UG>5)B(Lso*O4|$085gPzG1|G;9ZpRWh*5vf-Y$8WAV) z0{Lw19`inV@5f9?Yi}vBE}_>dS!vGQFrwjaF%1|#mH{32hp0*Z%^}n*oANty&{OoY zf*rGim90WN&h#?#b(*D}-LUz=wy49i zUHHd6>7>I=sc^aKr7Wz7F56}})U_wjgS?+Le79)mJ90ERV4`e!Xp zQXs827|mNsIdUr95iy$iuQMOp^tdWmhQ~YqBv~Ydu~?0i>EHZ7LBPmsy70$m9C4o~ zEq={v0-?i#&wqEj>Q7>h@Z{86L9QZ{IHVcbetW*3Xr?v1C7LKn*fU0IaWN4gsrL{w zP0wWDOrmpA+1;#9h(;4)R~k1)<-fWirvHdnYLYveFwhlT_Ns#6>)0`uW5Ed={OsMV zL}D!*EtS&D@-Sp8UERb5c_s2fGy8<~4AI5teku~&qW>O+Ix2}-ui!^&cxp>^;nWBf z{eoQhDzi))k8!gEcSRd7ovO9!I2$21}U}yiM21;*m3)Kw(`R7h^`uy6|Ic&SQR6x&al*H<5 zLFpgAl#skL89{~rZtWt>Vf-~9OrrvjW~xf*Dcipr!bKeli9W6?J}}dVwV9V}&bq;| z)uOlvX=iLjErZIm$RiEH>sH!CuM(9A1CBbxnWv99{H5F~Y-gGUh+y0wq50S-*EsViBxlA4r8+Fi>Di`H@(4p~Zzr z=?C=-rBqdc*VFgzoW`Vlrm|8E^c^X80M|y7 z{QM$i*B4O+sui%L*2mN={b&Ss)>)mFzLAi~NCEO7|7`zY;IVCCyeRBd1k1BGRr7L( zw}?}`fs*sWiorda-5_Dy9J~sD9LO~6(=(q&*~PaMDYz#swQ)KY9ads2d(RlPo!6+F z-N(JlxF9cOJGX_i4jT}S*cf6JmY!#IHl(bf+)bN&d#B0e*EKp5ZX0V_z2RY>ohENN z9=KsCk0y~F=DQh~MHqXR>k_ul6_!H|TINUzO5aH1r?TXDqTev-L*aqipUG>7x&2eg ztI*yUZa~Os0HrE!86N$8hqBQ(Z~`u zqkpePO7?I65~fQJD2t-9=Rs(ViF2D$+-}((*8&Y&?!z^8p%MYTJP`c33)}MFk&%fS z^z$%r%Qs(d3mTtNJ$mEN&bgjTx@vidIB2Gx3-M#sM(UhJJB$vf|5^>-+Cfd-?h$o= z9^k(U#m5k?xi%c%oPgV4M=v^(8JLIIlujfmtfn+`$0&pC@_)j>`PA!_B2=E|m{56q zBF2@SlXEC!0BER#bmk9}d=YO!+8kjeG7A>}N*5TG)Avk4Ai_Zw-YM#^|M5?LcYmJq z*MiK)p2%a4fSFj7BdNvgAR`xjsL*YRRTP?w4E^v<^jz9TI>{ok!>hhQZb4)897)>~ z$7x__WOBZ5!3pKIs=~%3<@|-wToX)8UjPJZ7dK!0{n~djt=8^>Dl~Rf2W~pN;n;a<3r-f=m6;0c znl)~Zy^sO`^azeOqGWEFAC_N*AjZWqZ6iTbUNGJoIOd0O41??IbA77a0rLl$ z9Yx3PJ(8^*5nfhdY$MJq?N^{dJIhsscy+Ae$i){$(Nk`gfKsOUpQ~vJQ3^{x87|SB z-lc-ZF6ELR&?E&86dPs??V`?i>(GiSCvL7Q1*^QBZhw10g{LQf|c zS2Hh*Ez#yRtQP!9u914&W=Z76aw|w!s|tie49p({7rCTCW?5cX2ROwMtsnd_ju#_T zGxp2kN_Ma3Ui|(VwcjwS@fV9eFrk0_sCf`-@M#Cpv2H-LpV0&Gyq0ORTLNn2!We7T zo6?MPkH4sgtpNHP_jpN0P%%$XtEp}?T3!->Vw zhK<1&rWbU@QP**oo^dLbLX|pWiI0zL+Pxi`AW%&y${j;5!HRlCwckH_z$!L3-!`b7+tzD>lbQW z{NhXqVU`vx*GMllE@DAcp0~fY?beXf@W7jx(cy5Z@4_GVdDB{eB*IfkYb%MAbwlh` z3xGV8yy@{vvHO={&!Sf(WG~7NBE3)=sFopLsWSLb%}_PfB@k0mMl16rrl0jMFpo&m zo#?00Ko@yI4wJTYQBew0p{^1wt_r=-5?GfQ9~Udm)eVXLx0z6<8scH_BkVihml=c1Ej7DGq$yNS3iZqkSa1LfR;!L=Bbig%71+_ zIQdUC)d3`FG{PuZdSH{oL!Lvp>{!|pguSL#b!zZ}w|Y_pqw854c&{No?(|jh9S?W!hlQ3m`OaVFiKd)Wf6xoi**t zrTqf2^rILcb1U4oS+XiPp|Rn$6_%;LEMxY9AP9fL9&BLps}Y?c)r7v5NQhHQ$PO+9 zGJfE#rhwMKEOx#bp;`T!Mn06=k&qif)79+Zo~Zr|NA1}(YzieD-$VC@9;$X=!Ekst z7IujqDPdt#CfdQ^7ORT|_4vM!&OqQIn$amP{roVi4;HQRM@D>+dPMGh7IRChGV6bL`k1zBrBnz7dKYl~u(JEz(zQw|>O`a9C;iVF(V0VIM^!Zl z_FhoN2Z(n$4>B6lZfVi!Ok^f+4b)I`mP3mo6WwX%Xno@K(Q1@>p4(z${|(DVo!fI1 z3tc=~drc45u;wJHHK}{aNRW@H)szVvec$_`9;P|o!a^eSig`=z&|De)5=?bJw;Bmb zM_8()I**jz47~+Rv#oh%3-G1W}+)?9(Tdf}u}?i#Vpt3`gQ@ezqKc9+OLJ zVJfAc{+tTj-=2fFNBY`nqTSBth5F;b+*H(gF08%7q^oUY1yhEc3xJm3TWBc^5Rs{q z;$#190j4})tuPO&3HiM4UsXJWr~J1?+}?yDQmKjH=5qecqnXzWR3$jwQgs3*7-)iqdoBFQ%s=>2k9 zn9X2JX7@dGa`r8c_g1vKmQbd?ur4z1tc;)JhU@NZUa>*Lw%*2EEg5hNvh*Lb4rBh2 z`_X1znBOn@!ER*QfPk&)sI-(B1Awrr$$J$oOiYy2LBFSCaBzu=6E(nJYN_3FpEGVD zwAim}jZ9FSt5=+>4_b%UY<3_)GIMbULIC7knBLf<%7|d*df(PW7(f|<=|7t|tozqe zC$J4$(JC8)i^OI-q);fy)?2HTmzaqNKaM^#f#am)Ec!oQ_Rf7NdoljB9-}7fO-(;j zo0h|NOwxpYp`x~~ii^R87MhE-7(u!^#NRqtz~K-Tyad9~=w~zTcsS>@#r8CF^{p8m z191dsp#-PE-!f%M9l$AuTWt{g_R%sRiaGg+)x1g=@a)|f^2>-m(WlRlyr+|*n7x&e zzeR^xW915aWH(CC@bH~M*vTApLp@l1CR(j9rr68WPGB;EdNu}8dc6yiOgbseSVhk_ zIJg#@$8`3F0BqUB>@>+ArefxrZi$N;-Q@~e^3d|xx!j9P1C)p6!@+3$U%DCsQZTr= z2Pa=~lltC1oKynB49NC%nUhiXRgR!Ig}HifTWT^3*ZMxZyXVm1W~fXsE2QDhSDr*2 zcB-Q82mTx7dN|#sCYp}eM~glSp`Y4}V$@fEX}$7bs56JD22}DnRUU5&bfrK`S%G98GiehCPm?fzi^L8-W+FF}kgv<(i>KJ_nB|)?l zgDfQZQrQez$DakT=5L%Q#LMR7@h(7u;Hc&CD%i>OT#{yZ2W(U3O)Qmm@VjELD{`Xt z(}6>z4hC@gNU zlDqj@AKJfnIZThfIgV(I2r@QW+@w|WRgA|1Tc@={f{hK$wg>KT1FHi(PcPelt5UsH z#y7V#MmY1El5E5^u|>j*Yo|n|iOJEs5l5J$@%!njl-4~`g(O>NLC75X(<_TqoHD=9 zd|D=u($7*ekv5*V1`wW&`{!OE*b!$-pl&D}Noc;N*X%gNKTHz<6#GKPGQHP3$4vU4 z@RS@Lpzh+$J=Mo?4vByX_ICdjzzz2l)5h<*iYfLaod&Fo-5-s7k)%xdSCTrVhWA9& zk1BiYH72j%H`}ruk#Io<*@whN1RGUMSidAD7VJNAtfo3F9XKU8fj_4I!K~C03yTfoLDS=OE#pd|rEIQs5_9Nt%{L)h>r))RB zv=^`Hey*h1P@ywgX#c``F#;5hQkvhLfJE^Ep}}rG?}_Y*iS7Rgk3L{r+^5PYE^`Da z2+~c~#!exOEZL4>gS^>zW2;2@`?ZdQMTRt6@+b^$Fy>_E4-_0F2Ri}XE&No9q;4Pa zi4ltL^eqh>M&%t?9#vjDc2m^z+e_QCV8s#-Rn3i2wz$_rkF>@3`5o|gpF@eJN|8JR zQcJ!~2b>)Q(y7CuiajVABN(#P&`EjgT5)~^MoA4!k0kmGec25T^onsRuu?!?W=eOg zBkS;Qt=~+DepX|)`dLeLCvUChtf3GP-_Cw#17$M=Tn+ucmePstIj3Fa&ao&HJ==(E zk&!OlMZd2Ecn0+>b3?$)4QW2-4iF&h_=JR0o=hgZ{i}+cjsR3IK3_Z`Y6Gi}P~+fu zUHmMX65i}B)nMDzhbN>)gtAYWSrt`DiT42$b6W%mTB0;{%k%v`qrJ2#q0h{gr*L%_ zkQ&XVK2E393;z0RXx+Nua$4kuYoQgKND9AVGXz=CPmtu>K!XNq5AX4WpC`voclkk9 z<}2M*@JLNtRv8a#Y&AEW7?70ZDyDGh!xK3pGSKY`^sb+yy9t}JL(|ka-(ip8Ed@(A2^}Hh+cy5D`X|uI zd*xC(3Yz9RM>l}D*V{Y+0?}6{aMNSR&aK@A=W@SpyHpt%FrdHbQvlI8+ZgO6J%0qM z2X2F4V6k_*=*IF`yo$VPaj@K^A&ALY;LN0@ka4~opRhcx#|9>ig}kd{NWqbGS=nz} z{a}SLr$W?=H(Q8PS)i_;iKtFCu5Quz7%8DTx(v(}LbaN?iQxn%!tpm)Nf(p>Z)r9M z{DPC&K2%7&jc=BPi32H0DBx(&xt;!fXplH7(ryns5$Z+2FmDIZotOg?V$3;Q1!x_H z$9vSMbMlX@5C3L!K69~k4E9mLYWuYxU;2wYnBt)dBLv{o`Z?# zGXkTqF%oYmUZ?Eru>4RU+PdnZxCRc8Gz&eU-73yYyjYbBlLjIdUM_DQ3f>x`I6BKp!T64)NaETFOrLMv%q)BZ@Mh?bx=}+OL!YF;M`KznFB<%VLcLzh{>~#;!RmPZl`8O~ zB5bdfkOsmbK}C$5HORQ~fj77v)|I&_PYIsDkc_WACjylP1u8vD`L)WffFqZZFcnzt znNAL>TTJ1l(2Cxf$`a=mc0Yo9EKk6m8X)^8&6_5B|E@YwM>1%1)=0%D{hyc?RRCxF z2Add7>&r(YF65f*m8;TZB@a6i` zDaC|{UuG_uO=G@f!`w6WPA?k21?tYJ!-w)yR;$Ei^wK}JW6PMcbEO{Ze|-8Tn^Xxx zK(e=XQ$j_{A%dR|iN$&mBV9>Y%z+S+7Abhx(sf~vZXRg_^7jRjB_09BikEvGDZFnc zTdsPglJoam?fbW`;*W0t*9Gp2$~^LRD}(F2?iKS8t#P zt+6Ru-X(u;iyY)coy{MV-`d)c6_W?$B1e8>R62a6pxpxdIQ_uJtOL2VyulxM)r*U_ znW;gJ6@dN~l*8NG;Hit_NWTudBf4`S+j-Mvhs%Md*Qs`lS(hNugo(oQ{Js+tdzIu_~Ipso3<(za}G@ZcO&n{C^Ew;fvoCF_0$ z26QrE91P0tLwEz}?WQav9C~sxMIxe#o)MIavZFvT`Hj1`cX&9GR&5eKjAUqtRPNLF z+te0t>1(oZW!YhbsKB)jJ${vG%{1GluRJgerjjOX#X1%gl4cK8G>yDp54!?|i2T?% z@}N(1A&U}qa9N8$cVNu+Nx*Ygbdk3EPAQB100+XtbcT4&s2!O@=7^Y(WzX^A$1}b< z$RU`0mvFyfFSvXJtINR49;1~FABd!j66I1KnFOyS?uFtA9q0N}Um6bZh_I>Uwqdq8AzY@zuOm4O#w-nmxgc&_VJZi}?)DX#+O z-J>SEU$|=YqSxs>J&JB=8tpG8a&KIfcM|TDV)%gWm-wXA@qlbGQztu7^T%V818fV? zm@5?X{Vfkv%IBTv7L0Na^I`x!o!+jlm|y@by1Nlm94Jr?qt4lw(~?HSA!lH!qH0vL zg`>f%2g}q-KB!CK2?crIA%y)5Tl`==`^ER^I)+`X%n*W<@InoLv;Wkk8nQ4FH;}<`KiY&?|SkwgX$L?q2vWs+AJy8I$|Wthw0-$IaoV+yz`YaM$UL zaZG&U35syY?<@Nf700@-9Z{)93nEFn$_=`gAboKwneutIjwaR3?rR5DI)|MJ_l%S6 zA;bh?m-umM6bi7$O{9f&9Y)N%j%k!f`!Xx7=fzTPXYg~Qs;^x6mooyD9J#h$dH_jS z%4|5BqTIvNrKgDi-;>6|7Q!G^sR4hSx2{GpX|?=tv47W}|4(1d5qkkswR)lU+3^FX zzrX6ODDzgP9G@Lcv4u+KLy+PzGff=Jkdd3s7GeoCqIzd*Fb8-?#kS=mG-c)lMP-X9 zVo~?OYJ|6OQ$wjE|1M+}#Xi`!q9RaE(A#T+pc32xTYw?BI0X&)WltptqtHLL@icJ# z9;;UJi>>)aD;@#!RN(aQZ~&Vj@K)3z<$lM9t9XW0v`NbpN<{Z1=(!K7l!a2OgU}eG z)x`Nh+aQAzh2VRvC(MIs z{XxU^25IB`v<_wRV0eyT_3h zKwlG&{Q{?783peWxp#g9WY4z*FaH{kDp4KXaNclwH*COTVj{8bxAN%~@jM&T@gdi` zhe#KouUEfIbT&oAB>Jr@Jqyt4iLr5W!sd>}HCGX3y8zIn=k;Zys{QhrU25J+zw|of z*9ei;X&b0I6+x7Kh6ruVdg(g6a^AXN2YK@%>&enW-h#$i+he3?CO)i-D%A$BSRE+; zc%K5pXY?W{Zc#m$6h%sEYXGOPtQcC0RF__O*Zw_+9|uq>Yqn+qw^N*MFB9s-mkMz8 z6>4yP8I>!56SNE|D+qqEOmr!nUTwEnZEsZcVFG*l@GlZngr2KM009p+6F}2xFr=xV zO%Su-pi=E%%R?|?S@0s+NMr>idgBQs?bTn;m#$mkaLTJN6!%8tGp5dF_h#eJc7t$p ztoF^vU(cR)cHKB`V#&pNfPXqb5ZBSssIvhe!AfpCQ={)D{+CavT)726m0)i4@Pvt9 zAvvm@)PcOjl5Zd%!g4&}80q<<0A$xd@XSB7DhYqbck|}|!3)*WoQt&bO zMRqMxhGUB&jEZIHm>%jX1A;cD<^l8-U%18_=`{9Ju&E)7{jeI$KA!t9Z-k=V3$X#6 z$I*7wV7Q^KL$M;6gua;8cUU1q6Fi5n}`0!B5+pmsDm9Sp=IL{>{7QmQB(cjgZe>XD<*4shX7X?1EHl557<_X_7OyS=Sslw*N|52N@i6r&dnpQ|FLBbo zg6+XsA`(Nqi*Pc$E1vUXK-j-%=N&A!m!tI{ft)6k5memSCIxxm7G#XeLORC;_X?tI z1uR+`fwwf)Sg$1qgmKm5m>s3#qPXK}_6)SMb||feWffwUVZzZ=@{GV%S}GtZ2n{f~ zC>p?7R1PI%cJ>0MR_vn`UB)<nR;OVOV<98#OfR_YCD?=`_U86l0S9LczR1QxGhmufcu!uB zPuCXl0n32fzDGEHFLbcgHNp<5edD%5nwEp)4a{iWlX44~&9Zi0ZvCgh^{(2lU64-K zngr>+ouc&bEuWPRXx8SFE@i{0M<(8JU;wl4QPz5C(;oDjfCipO*|B*o ztu+NsAI=?!X{Qzirur2{$rXi1cxtFla^%j&o)Y3eE~95oxZOEcgUp3lU)9pRPOM>9 zBrh=ek9a07684*lxN*Nf?n)}e`RyhP`Kvi1t${P#?(@Po@d#6+p(o~y|QL*+Q~UXXJt>}s8ffHh`-6JzDxsy-Q!<2&95)?bTsN_@mW$CkM)~>r&9|WfJTNZ zJjZcMf16A!CkRVZ%bx#Z`{76viE_*2{pu-7w!!EFDrP*ZG<^k~&_1`yV~lX#n8aX# z%ZHxJ4$hRXZtY4*{pNdx|6aML7)qvcNsr~qwFf{^0^ z!T)N6QK;Q33|g)EY@I3)rGC@>qDSVD_ARXIxXX8t+ZgQuW-!>8goX$d4kca~FPSJ! zV0hGWqw)WjbsKAS9@boMJf`Q&t(AW?mXk(EX6^la0p*AMf;Y&F4qgjy!E)HBhfM3c z1NYx1<%vA>QPwG=C>GW&!jWKPH6g~k+*8Il1O}7P0nCZ88=w0p29FdiQH4f_q z+FSvnKync8@@+U?xsjsn_o$8I20)$ZFgtN!nP=W~o6+ z(3chy!;gyaiealk)tXm0U4A!b>;qCa6vTDS{A-Owe!3z7BtgkM#UA2f_Qb2F$dl`! zNI;OT)GdplHx=Uw!B>3L5W?uM3d&d_rRQwlcY?CPs1-8W`J-jIVNY=0WJ<&AmNtSA zo1yuUGy^NX;xwLPXCgAB6ldxcp*OI8xN9`-x!yLygCpMPsI@}RCR~o6R9rpZiW}pr zKgRTWyfuaJIo>S#Pt{I|o1uug4}B(WY|D(K!lUN!7J^ zCzy$}!3Et8>XLBF)2+IbcC18MV62&)b>L*ph@}3K!pZ6@76?8m0?JwzGI;lZ3Q>#nYZrF5*qp)gD**s^g(&RH-3ftatU28~Mo7QXyk3J1Rzoc`|`l@$jvII-vYLpMmNBMvQ8Q$O8-DH4$ zyY?QL?L&b_1n>aW4YOihDA|y&7%EK~Z>%8gmEB~h|IgQhwsD-^hA>52&aP*>mQ({1 zjxObCEj?T=c>z~Q=}m}LMRP=o#Mrgd!@avL3T{r#&b!6jd`F{xx)UYU(*Bo?q&A@% z{v1sIVPI`G4ade`OlJLCjs-2AN(zo!WL&4F^X%k4PQnHfT*yC+kD%*7S6kH<>EN4bK+U(@O6X>U9`o*O1;2b)`T$>112r*9S=N_ z@ok%m23_MjKX_nPy|}Wqh_(f`1aiUJ;LyL^#CAa`76EdM%YjkiPDGI;qLy3HdPx|! z;asLz!NBhCY-$0!V;QNj z!f5g(t`|056w(N-cSCoG_B`cC0q(e|vS%{~=TX}4BY{7jxc~5t3wK3C&fsQYs-}*_GpGK3P2^5FmC414myB?Sn}P@m`jrfB-~&iTPQk(S(cX7qbKw% z3>&i*2YT7R7)1P_wB6%P%*SsPwLZU+DYCF-UZVpcKf0CPX0{9R-iMj(^2)N_l&4?H z*(R)f8!Bkg^`vQ?Nb)`LBCttXjBG&x;*8J^rB#DD>wIZ}9MhMMaitshJD#Dw;oZ)4 z*%e4LP=VDjilYBwv7AKUL?Y=?3TRq$NXSSI;yCx2*^5aA=tP-f5w5U1Cidc4!s!D8 zBYLU}W%$)UCd`g1Mcrmvag(z3lwyR|d-XS6Ifw(Rw+n|+a7dZ#1gXfpDjB6ih8^p5 zpb4O{?0stj!O}MZB1{QV>uz1bvpv&Il%HZnJB`~s87oudtt`1;Eql2bK(Sxkno0^+ zMIwXf&>t3bk4=1@eTY*(SAzBPm=*4cV9kC2|y2KvaJ zzSk$#^E$~rBdqZx8-LhHRK6#A52e487x?VImLLdSB!>42IO9ZF*k1U531POLtsB*# zOs-y1$v>)UjH%Z40@@B^CtD45OO^k3#O*-3nJFK!)@sFf3z5u^o)6w-C_F719* zmZOQB((*Gb<+>|0&l_a>*wy?VhrDS0pt5pMlV)0I9go^DGa(cYoE#$a~=wshfTOU zA9zS#yCp#y>oUfte`w8Vh0a-}q1RIE_v?%OV+UG6q~>F74;DJDQFRsNl)BCCsPZTe zljt7ioU3~a$q1AkAabyeovK|@3SonE^@??@qkGN0-PAp!|Esg<*hCZ%$-g0BH)+j< zR+94O`ERoLCJ$`W(@fVv?x~U5ecCwtu{najO_tER5&L46gz&hjWQ}TE#29adpt<;V z6AfZiOfH$tR+G_&tn~;zgV@L{*r@>}u}Vaznqs(Jb^(*>jz@lo8_o95hatHD!q%Ep zZdkkwR+Jd^LK^kr=ZoR~@7|g(555HZtTnZtxVKq^xm6~_YPKJE(n3+DZc_flU|oH)8b)QX z=5+=eet48NV=4aZ5$O=)FSj;=XhVzgCaj?I>(wr};iK@KE`A3v;c_ot#>e|oOkh_= z_OX#OuD*0n(Lq{-Dp5KaiPoc&E$Oj%_sPIEMSXj=M^f8m5Ljh`E`PC=HHcIwW=M)aCosW zvG$>t} z#gRA)$)~19`MQq2TU*ylqo5vc=kqEQFwJgrcQk` zlLeWPtS$>GzJr$la|(K6w1D#41+3YS&7CNrwzw^)cVIrJ4QF+YIxrc|2>A-q;if+z zH#LeICW5AzIV`u{-`iQlpv{I)rbwy>LC*x?n+4#b3L_tNUL=EhH`yAQ2M0-P+N`$| zX2ieX!i=-qwOll8o=v%bMcavbmV=L)ZKy}UFnU$wNPK?C?IL*d4<7tdvH*AFyh;FC zjszny{pl12kt(vQ^Vc50;gL^KE=I-Gd^=37mvpl+bYkwjNO}uR#0#^sE=pBsnM3FS zcPE*6>+IV@sGd5l(nx@#U4~`984S+`c<{kN9)YFhf`ddmtZ?t7ZK>!C>Z7I$@V z2MkG3o;Y}qm1N@a@A8I=Jr(Cb$AX88TvWtlAU+DBUKa=3c^?kWNHy(8wXCL5O#lW| z-MpwV=zMFoy0M9ZZ&st`lLC)5O4MMqt5Nw(xne=GG{Hc)R8VqyH3%Y{yUi?!_VFg8g{4_7=`(l zY7S+@zw1fk%Va2jBIjC@c>_@)zFso4DOYv86hes~fvmgltQeLIh&)Sk&naQm_KA+& z`FZQ1_2?l`utRa(&>DXLib7trCuhPyp0?n9mhuVbeSw91>(y9O&^eQ{N-z}Ru^#7c z=5<_&UdB+FEU-VnF&ge&O2gv)oD0(3mM_T0_(1YXjZno#sb7;!zg)qg{?0_HTl*o_ zQr&0yP^HdU3t2#>YpgzdUziXO77W}<8lBwdumvwVO$M+ceb-bf6b#wTZzTj63pJT1 zJ?6Uae7I@HUql;N%$!o{H{;feL_PS-DaQ=l+QA7k1~;yG(u_!t>7e)BCF>)#Hq)2u z;c1rQ_xax%IKtSySaZjfyAXE0-#$IwuLS#D3byFSZMfv4AFYgoSmvEbYS;T~x=!{_ zWLL!rDuF0l6UgDMlZka2CkmLMxM}}uq?LdevfS@y)064z@ee>_I&WY810mmRPLHDD zld8tjbUs9c6Ifu;g>IzOK}M8};foj`NLvuThsDH2RB%{>W9q0nwrJ33x2Rag2#YSM_Ys^OdaWH(0B3)*}k723A^)i!9CIOeA{ zT^2o@oX5SwNllA*3w{B9FbVm}kL2zKitXYF92Uu@dg}Yr_t6M;w8%gKhk;pD-_}JQ zgOlXlbn$*WB12}GWc`$f`vxw-(J*i}eJWnYCXuFdo_p<6X*<>w=Krs7jd&p6>+o#o zgt`1wL3lcUmxbGAGIlAW=#8niWdPf^(A*@Spwarf9X%-?eaX6=dkrgoDsya&_UNg% z3cQhy7XMPB_E$`$_PdtCuiE%jioj=aU^FTF9MQjy6MwHKThqN#>*(;kqUQotr=zjA z402kuf;X%=VNv&*F^rU)3~gy$5s*ujq~-JKTB0NU9a4Uwu*-*&7nqzJV(lQf5R0MN zD+Yox!m08LE$%=s_+3$G&@TLaKXmBodd(9?aCY=pjTi383Vw=4J3|<2n8AUI=eTIH zFw9l~D^fv|P6&+Chtahs`MraDk^HlbO6V%N3jzd2=#hiQOxWeqf`&6fK?94qpHajD zgnBjnGRxOp8&oLHAStZcy@y{oU>zgx8h5u?6VIGv0rhJ49+ zw(*nXe}@X!U3|>EbeDkV6F)NnkR!#hBeYHlJsHRjos1p)y>kdxf9>lZS4E5;8SGFh zi#1B%2C+5q2D|F;O64>IMQ#o4-U{$k#!Gdj06DM>v~Yj~I9H{u*0-tBMjYC7c>3($ z=DpOeW;SjgF&rSV!KYK%UTn}T23}G0lnH_>jVarKcl}kBKM5{&;yDM<-ul4Rwa=R$%Bi6LV9 zhL#D?{}@xvN#!jIDgKi1&|6rpmq{r8A-)ZLu2hw~Z^N$fm&9a?alxh*e#gB=gIsft zq<=b5H)eVcg1ycTX^ia#ox+KE>fQ_A626pU#?F+mqc4cld>RSOJ6zQ^x;NfrSS4bm z1cg%?Lffd)z1F2gd?t~PnCR>()x=Pr`QEfW9u`9%&gDvO6(?kmzqL+d*eGdX+QX>nSmA8 z`qP4bo*t{HQw-Lfl`kH`pgwWphQ|U*GstDmW-`wKWGkWHVZ&2Y?6)iR*(h(kfL@ho z6n}mkHBR-pLx3FuS{y^?s^Cx*4&l117_V`Pd|aY_DzC;TmlAwWa{C=yk({vPNNC`q z$O4n+a67*h$qb^2`8!FS%Z01@RdTYg&UXc5Azrdr{s&_O=7J6OnVOl-9ypZwiX?-Y zZdktjvW(*bn{p-g#FTQ&$h9!V1&$MvT^y3^fi}#_O(Ht zgZe*YHD>A-Cl1GTDgl=kj7=o{$I10;xQ%)FmU3yzMN%OvKNHv|C;3av&(SK4DGKr% zzvy%6NB}E976bXf&WNS$(DSeVa=O2KAZd9&JtrWgYF|HiO1nCHJntDf}93hhFUW+afN) z`(N*XhR?O<l`o|8FGSX;yi8s3Y=c1bVH22~YQp(3an zX=OC(g;_fOGQaW9xih~ta8PmWuaCU5$}>kX9>n+9*-b!Hcj3Wuak`}gY9RM0mKuy} zMJMS$-sx(IxBvb!28`&DLD+ImByFrRE{GW%B;pV37fWn`mCrWVtwU@d6gvH~UMuWd zvtDH4h*b;;vYNeRin$+%SpOWFD;6P`P&&mo+<%H*#woJ;PxK9!kgCh8kY{}QY;!v&iL5z;H1-b7DC1Jw!e0@cg`c!7z=M>s-E=(x;Br8yoe%`0h70 ziAZr;TC|kaJFimc>#|4aEt}!HTsZIDWSn{WjFuhE^2~R$zGYOf45`Z|?4%?FgH#Lo zZP@3eJ0@VI*_7?O1u(z1m z*qIpqKmHawD;Qe4qMuh)c5zFLoQ^kjBBJ^Y!nxqlk6rBbQ#rM?OeL`~ahhqR65TyXCNFBYRC#f}dTSzDot z6^$p_nMz({Eti+4wK0{)fXZcq3IUUpx|dkygmNr_rOQuy&>0UTm0D>1i}=+<(z}?! zrrZz+cw|=CmT6Yse4SYrLCczkV=6K*lwE}wL6Zy8eCUA#Z>Et1@?d#vNO_329km)6 ziRIR!yKEAl0|UjMVRP*7S7Fu6u8IK5%dPU8(w_7rMtlVfsUw2$**aBsgy?OxUS-SB;a zt}!HHrK^$tA)}gc|FCq9_5py+alUbfqw8xhzZPBaKpL;LyxXaP%-4Y=;hHe4l)C0s zPq9Q)|0FGOHYGn7ZRPu8!sW)ZdCSJrR5T%)xYu0U&Sm~OMqx!w;*>om>6@o`8(@@I zrAW_31C)XoeuvC?S#fAJT)SR>;(+`)TF_7kmL;lbX3Gn1@Bk9pL?0usnau#vdHGaq z?fi%jXgsA+nT>LPNt^q`S^-Txsi9LBBSIrVExTkDl+P5T#fszY$oSbEyR)wk&uF;T z><$JwQD<7{p}Vs?Ul{4P&7pn*5JZ=9{RaRNNqXufqw4jaUqZjdssnpT+Cd!AAzR&C z&h^W{rwYyk01XSMtL5`ctiDF(2_~G!T5w^Ym8$xfkRibC5M}um*VkLaDG>J}(V$kf zKEnIu9hy8%$;2|-s%c2QM;wb^PTXb1j*}BIzXkb9+(ZJt6}_%&xfj1q5ooq08#s&x z9sa$27iVU}k^E&_=QaLkg#x;FB8OYDqFk1p+kyRM?sdFc zsI`E{(*;dx6=PU}ZNT~607~2!UXv*b*&9?9#sucQY%$7_*EBqe)lnD55zJr$6=2Mw zSQB(IvmFWt-9)+@t!g*pr(*dcr;zD%B9i>=_?p15(c&h{id;Bq!sYI(p<%6wm^xRW z6AXvKwymp|p@-jQGCp^Sj~GmC&H#fyp;m39$l?UERhMAsu=^Wm;ZDy&h5!FPjQ0&~ zsX<5+&=I`Z&*D(uGJYwz0aqbOM#P!Rw3!0dWKdl`8*U`%*!MLLUcpQ?G`S;MHUeI` z8m|WHduuKp7gbR#Vf3j=AMGyY`r`(KJa|j42C^)I^u`IG&^DVT6yO`xf3Lo>QqDdN zl8+z$Pd=BWdQ%7!ta2}=wz#z6Y}lNanQeKnfdt5)y^qE4LAPi3IMVxvO_MPo!WynL z;n1j^M?@>CLe29t05Q4a$>e4&8iS=l#yKW?W0NFluBc_-s>6q<+`b5YQBi4C7uCjfq8`+avLD`k-VOCB0yCs(dP&t+Dj77gm~AKUdej<`+2T|;Ma*{Z@PkkU)lxH-NdZOQgx9|D zQZ?QXvP2C3_M4OG;wTK|ysGyB9zCahIIT4~?m9UhRJCy6R1gzAy(2wUOD|U6F?FHFQ?LJW!3s^%3_8GIS>D+~XBqkFy zcTE!A4=w?~eE^PclS1p`Wa;A}%Ix(87b{RP53VQYqcJ=FYi$0j?*HwB5m7&0OG9v4 zeKaOwQW6sw2n=_X6_=(dJv92!Z3upVO+)SA$W91(Cbrg<9pAwPbdKR?GtkH1If`-P z!Eoc^M?~{ZhJg8XjG^F9jz4jh=_5rvw$AOCJQr~UKs@kenLaI-pCC1lA@ ztX>hpuG+b*_&4D^Sv}W8QS+=I!T={xE?ppwRzNjAsq8T@HoY{j!iwDOap0MK6#X&X z_+NJ|X6c-K!V6UG$r)}3Lkn-jxbvziR00KZ4Sh z`hQ`)_o7oDlsSsoZIN=}03qI!vf+?T6%;BSkVWEVkI1y?|5X#}=3PWdftd9SJ*vqx z#T7-c1CTqmnN5=rZg+iuY8F?d2#$z5t_pShr2H1DY40vJbE{@_q!tZwrmN#~=<3Om zF52YT3{kj>o+Qip_p|zdZ-rtVh&+>`iwsKqks?jj$wprJ2XYd=ZA+>mQz=FlbYsan`uyxr*Jh>(*UkT=+kU#E(nW*8v@gQUt6Y;JOJ!T#yLXd&7jJ1-fc=2e#)~v3eJ1}aa0;+69Xi+ zM(2bjlcgC(6 zN@BR|?4iA@a=`jWdVyw`%51~pu`OWLD9U?7hDqSb^2WEht3`!FyYdjI@3k1e&2=}J zmYOOiQFpGiNh1GfuJ65{XhdXdd4FY{?`Wx5B7R5d6)x+nip zilr`lZI)NJh#WS7LXtcPh~Fe{nsvD+9y65HF&r+^f7R6I!e5tytLe0A>7d)D@idoI zp7`PwDP-q#?X_G+3v?CQ&=hb-*QG7LdcP42f@#bq&!s45Ml02xJ~|eZ?WrU((epV! zVESN=khwfzHR0J|=yj@(Q=Iv9W%Qh$ISbgJh{ z=##6gkK6>=B2f$8w12!IYiFUC1tXGZ{rlLm^A@Ect*%>fOozmv8>b?G# zRUfYkW6VS=|;R+HqkhEF(10!9uA z+QDxb%6s&ty;V&lz#qBGqB>+23X6p!r%A1LK6N4jMnh`ga#!XzZOhE6o})PRj#Zg| zy3T?)aayxw9{Ge*mdEf`W3U?G)>bn)F4lhC!9R8CRv}7~YVGStB8s!yNwG)6XuSE>iR43c9FHIM1-sxkQx_Lec==pisW$_!#7rV5}Qa-I8j7x zbuZTy{?mXZO#Po>q!taGOzt$N3qt`y2{>#reS&%NHT|wx9P|NlnQzK>;0O?Wt*)Da zAkxP-{TG>nVbsmv8_mirT-WcwO)pYZ@|xPA7JgSZaFMw10uY~MASA_E0f7)rRh!_S zbAVfkVTUqi8Q2oV6MEdZ>L6M)2!>_9-sLO&>d2{`%PRL5zHc|?h zyt6U7*gXPMog!u(I_iSIqc634YB7mAfNz~iWLH#PDTtGi^4!QzAheNi!DIjFAXX)5 zij1XHsmD|82#)C*FwHazyf?Pv*?^bba?k5D6TvB zg5d8<6ls6w;p#_4rXuHy<_0zuLK|a1;cpAL$#oj*)O8t>e}7OJM$8Un_dzRB6Xp_yJ;W~-sNi1OItPR90vGXmxx$8T zKd;KFFnL->RmvX~FrcK#Dh1$pmQtQ`H9)O3>0+BQ>o2hNAnlaLHuNuu<`S5Q1zF5j zWbYG0Jw9G7ffJ_CsZiJn!QHyW;>Li?e8Rs_L!Oqt^9BPoXmtT%I0~9gq&} ziY^!n5w4tBHAJYV_bXS}uPZI@CU*fsd(9Jq!U|iXInJcprL9$JU51+=QT1-w(8F-a zS0=@Kck3|2jtOKXUfZ%dY1GoQ5v?5BXSl9{t*cZd9z$AaAd`7!?*H#)y#+c1LZ#mY*`J zuST)R#Mn6)h|+!3a*?VnNjmoy;Y0;uZ5F<1NzN3v}u{xe|3i z_)3vx0cov|le4|e$HoZ+w@Jf0JhJ2Q*nGjPEWt^cj|m@d-_NH!sO={`lz~Nr;#y_| zEL~K9Z6H=(mj=VeUi&UB3@D;yky0N_vU^?AqkNJyiJ;Y`<5zK{-I^}8rPr;d6T3TP zmWkW$fcWA>e=FzUN_4|QO$AAgBNJ!CHaG6+dPy|(ew1~LT?Wbn+#gBt+%Ekv8C{jN zdE>hVquMGIev_~ZAGzNgtkd!}!Dbrv9SC;apu0`?0%AKO1b0jFFwacfi&y=5)IA4H z4HwsIE1_op&MFAdc!!|jILQXaZp2h`c;=%vR$pQ$V&M_J9uf>N23PqOiN03m^v}35 z(@tiMf7HwKfDK0jSE9Mo1CQvT7Qi_bn+}z1@`Wi^r(7O`^J{WZ0kr=A1*d-0L@@hy zLmERcK)P0D+`~NOHLE|_d4U^aG@36e!q+bczR=sNaMP#7!^JA~U!L^DRd%^((n+&b z!l7S(7~(!paV&E-i4w1!v3Z^BOU73hg+e(cQ(7F z4ZtvYG)x|szRz3lIW(!{R9Adk=Q= zjuOEh=>WxIuw^I!oTiz2hW{ehSUd0ef}QWLlB=O_>S#GTVuK9%aWD4YFLuuNDESoSGwn^4LmmE~4YU+Jsu zEXX^0)Yx?35xua4MeJ7Ny(rO>U6g*M+9qk`46VPkab!p|;RZGUvs_Dzed%uHag!@8 ze7W83$|p%_7Ve(^Sob0d4i7#C#Pj#QMgZPRAn_rvmzu4~id`)j6~gO}pD#TVplW7A z5WIHeZuVO$z%+=;1N)Y22L4C%>PT8N1Q;<{N}IgqrL^EAj7^&zDS2jyFn&JGj!#GS zAvFiT)F7NDUzVGiC~*&KdA|3|d|!o^@+J$DmuV)^FExZi1a%{bAA@iGO(l&7AA(3p zTKII3H`l+!SKm%Lf)XH~0JcYzC$YpkGWq2hd)u^JJ$tSDWJr=ic{X;~_7X2oM0s7|mfCFcQO` zQ7gjU*c*9jLS;QVSYC(I6;1LwS2oxS&so=pSlHVn4H0w2ipdE-8$JJ5&;na9CF`Kd zF|!n?H?@#wPly$cCU%-CM<;5b zDOG5%vMdeVSuXsDE+8?elC3Vyusvdf#w%8csaKd#c85H4QiKw(yH>oRLaf)b#deea zB*r7-n35I_!4D5x&(dUz)w1}%Uev(MnOb@QZgT_7+@|*PX>S$uaC7l2Ot;K7xmR9^o#hx)!jnGFyzY9eog`CvQ%D1W(3TuaKGkq{luYY`xycC`<+xj z{5eNREZy%9uA1-!fLQAe=W$RCp9S<&j6+Cr&^yGdWkX(@GlSZ(@_t2dZMz8A#4A0I z)f-X}d0VwkM)~KHPcx7m)2vw#VQL zf5cZ^B%f$(LV3~lO8SKg`v|32>}dxPt#%+CV;!~CeLKzJlQ#PuUo=blGD|KZ*~yG{ zV%}+ei_FlC;>2Q<#UPGo>D#MFS7TLj!O%-U*LEWZEZ%whZpIVY3tk=xjixT}#i8tS zI2;dnqHa06`Gq?=GYHnrh=7-}q&{#vaBh{VjB2qmoX|Ln3PN`Tm19L3?`y+l^Mz_ht1tk%V~dGjZ~(%dU0t-UwlE^cPq4g4(Jmd%ps zH%gYk*w2n?)??-(AoWi}jjb9*Ky;!}2oS}dtHN_gq4j}TS??wma zl&hkoKm6H-A!3KM$f;+2@3_4x?^c_wPUI(D3qYq`W{~^Ew6&w%Z>VkSo)xY`QR#Kj ziw^(1iT%w8+pJ6b1xqFKi|g4^097A~Ul(i$mQZGnktjqXxGH+uzZsZvjI2f6aF311$=lK`)eH?3)qxDS~LxpyOwl-K(=R3B!ddO?NP0AEl2f3Mh zz33iu@`WQ`fyO|Ax9rGn0E;72KUss@E5<2W4-{km?`WF`!QvMn_AXF`;)`%=Vda8X z1L@Ng>ERIv&&VrM+KFdjv+Z!UF|PGF;gmEH-py|negr8{O(3PPOkm&R(T|@TgMDPs zlyJE)6tkLFz`DCr|BD85parLDQj8(t4e!4k>|OG%CcR)q;(V~~Et}I>NmJmM`ilA&y4-Uq)m0SE4 z!A8VQHr!huusQaFn(0RwqwbJh$(=R4n|&(QEN18GUBcZ#bkijcfvE%@Q5;&>L<{BC zUgePaRMB2a6BM_L#9yU(|2uIgm*QMe9T7ydE|W-XRB>Pqz-Ry-J`qaGM9onwtX z*=1Bue8y0E=GVaDb9|at{at}rSr5`GOzK9K;u9@6bkR1jb?m2VCqgqGx)QV<^neni z2+cUzCnFR2ca$y^M!4)|ZFRrG|YjN#ij6qds+uy{Ee-b3_6~nxd(_Pm+9o zte#Mw?V%?DZSymYwE$+9O*8B)SX8=9?_G$)*PKbSU)eI5WW^ZY_I?R!()z&<^KTyHhZ$SK?Ky^0MMp~t^_x;)qD6i_AZUnKgm$#$mcW{G914> z&l5&yMzP{;6PIbsN5z7Lw7PUr*)my5RM+=*hs@|q+w(ZB$LsX-*I0n*7pKI&i znI`;^xDzWd(;W`U5J&jSxu;_Jt)d}B!5b#{v}~I91SsukD`g4kr<9zCs2-2GyM2}N z#GjcwltV>_@(p?JyHUGv@*rxOKjf^dbt^X#K*-q-G-u!NFJF}eAm(VlA3nSZ5(GYL zix*UbQBGYZ9U{S{wFj&!QBo%CQJ@9v@=}eEkcv;#FbGx7LM#I&H4YB;rF%aO-$~yb z{->hbz9o9quT47Qr+JYEXVSZt!57f*ORWmvO6V-pmUwU7$5^0DwWRQYgc`+btO{dcKM%?+er>-8>4vGX&qo{uT zt&>zf{1+sw`r56Zeu{)&M)~wDQvNyN;aTigDn}2veo?AYp?&?RN!2fI76lV+X+NPC zW-0D~tTN7{g<~5%ct2kj3dBf5XVRu`9d(Xk3t{K#&v?o-^^VxtT?VDJCOl4EjK3F) zd35^LUxWnZXfpuYY(R*=rdPDuc`iOs^<_gPStcSL1HQP;N#H#eG<4$U;Zmw3R~f&F z_&=T~hBiF!mGm+!yb8 zxjLZ7UnS=Y>{PjHM6;f9Jr52D-&{iiFF8ftrD>{Wm$)3i2M$Z^qr(41 zw4lg_ZwEk!Uo8~q84cFKnFMrX*-9+==n7xRYD=SR(vh<552=}&+$Rx~h5umFq2$9f zcPxQW6q=>Sl0zPkT4ILbE$@C&THz7FE zHHa<*67Zr-6AMqNQ1p?;M-R?9bFqk&!Ba^UO>DcGwC9$L6EVgKQX8G{7_WiemqFoU z3hz5@P~FYug9_0j(^|<>+DhBSR3P>q2?gVPX|#J#C|Y-ORT7K9fm%$4O%1}Z8pN^F ze405`N|vSV)n*ZE_|(IA%v=Bv6wK>QD`Uh=<4FS1W5g3w6R$g7xQU+-P`&K+IkK+` zlm>%1SXldPY*Kgz3YE%scua`wgh@!`!nPR+(Hc&F2B+hinpi}yAjsYTZiZ$lc$#g` ztitgUd0^@d@flAzZbK1>#OdH}4eN~CDD|Cchz{Q!s(v3?IdjU_8`iOAoV#eF`e#fq z3;Uf5+>(%wD{td52deUJ2Q50?^xg>*7(OCAUJs!H7@(zq$#e&@5~eK^ypaJ2-XUB} zr-h>`x5?En9+DAs$Y#GU2IcRQaok^x;eCO5>4&7S-5J<-2GH-G9ZB26PI5KaE`Jc8 zuYehtSuBSB$f}+{E0iLY)K@%72%&cdW8fjpx?Im3=U0S5a}y!@L`}0)PB)b4$&QHuSH19_N+`xOq z3&gy4#I7O>JPO|=eeL&ik0Pjumvc{Oz=I%9vWBy7X!{Xfch<$fl|1|5pn;sz8{}J3 z$N+Lcr9=p()zYFpAClSI+c%XSjY&R|F~H83YQa_ijGEgN@a4?g?i(pMovUAvd)D?k zS?|w<0<9n6j-+bjZ5KfQ3^kLr6PTRP_xtsDu*Y$@H3pCp^op9VN*$U_kpO|i`h0e2 zl`cMlbkRPlG6o4EBfmW@!CrFdb6Vfbi#~uR)E>j|*#K}VKqmd3tg4&fjwi`rgP@KL zBnd9z0LGJsn^jP|1eOUI3A+y5K@qk@VNETL9;Q^Tu`+bhqjVDY*)*Vd$a<&7EcIS^ z&NtM+_WUi72_*;%so`j-ofdaVOaB`bT;d3iVcU^~M;Z+{$qg1|NrX)G|C88JzK^a& zwGPF!5(5y^d4|1AUG|WqypmMX!-`e2Mc|9zPs|3uUGH8>5d$YZj8*9MT+gB>PC@am zj~5;bK=x4SquGp3P@n%yBQ(*>;w@tr7i14m3S^``O2pmodoZt~(?uc?1znzJPfK?v zzcb%mOA+=aZJShF;<#u@Y);?=j%1(HCtM=Fnnx^b-Cwl7Oyrc`lZg{Ey_8=1w%?Vd zv;&!!EgK_%)8wn~FM5a42>x`Ll0M8QD_=||?m}2-G2m)c=vGvH&Z6|+dPpM|&sox} z++S?kba{DWj+rW>iH%~!oWfV8>k>BYK82sY#ip1_?eSSio0N>}WsXh?rOQ1)P5M{f zvMz}OZ;MqVbzgYwX`R;(2$zy%?cfCxnqyV8vZK*7z@4hy2QH^w!NTm<)gbco@b^2zX61k$b zKgdyM2arFG>&Gp$<2hU*+BsRN)k~-(^coEQUI3`X?DS05^@5DmNAPW=4eQgiF5~np z@YKKb3;a3;0P5L=;BwkQ;gy@>^>J+*SGlp38zZtYEqEu;995E%6X>E;0md6)eKuTH zm_hP!Sq7?-Lci%pA$)viNfHO~C_o))%f0|3X_zPCs>kpzfawEn~ry|&iQ zES~gPR#_GodUc5_HLP6#y6p(ijPopU@O=UKbo&pb#M}W%T7^VHzUQm?*;{$FHR@S| zWarE@lLZqVp(WKUtH?pGrOoZ1w84muf57y=jI(XGjmT*xFv&)M1i&vOEiXU$Hw^}C zDYw%Kv8V!5zcou$Lf*~rdkmQ{%m)hZFkkrWXDR$kBd zEOyG$N2VWyNOD-Jihogl`Izy4xcIs6!-_sbXGM3cEoF>_*2gea{=<~og(#}}PaUA> zbzW_y4);^6;hzGQt`-D|O%!b1Ls$PLiWseKE$D56&6{THqaIJ2z(WzUdt4YE*RZp& zSF;hon?gC==C@4QzCHx~Q`cHKW7?5Gp4Or^w8(e9n^gvvNzpnYWvYL#h21b|QjgL% z0_(HRlsuB1TK|IEQE@fESg0Am31}X-JwRPaGMzNMDWKPph~7?mIaPxYSbMjsgTS)$ zGO*ygOB4Kn?IThTFMB8s;C+^sUvGR%azs);&JZOO?SPsD|K}fv&gB}S;<{gHkb|U0 zG!0g447!o>*)#A7JG3y${l767mIz1V$AY@l|mf3M1>Wq=gz=wbBZTUiuji-U0QPt~#pLP?1hzs5N z^B4oR$;b+Ff2)LkSDr?00gz+q!&0^a0M{YK)621g=%a1OR1<@rB4_xx4+M6pRmC1v z5xQISdofBEdp}aCa4Qq?@G3YN+J7)$QXi}SqI4w;)P?YQC!JyL_OfBgm#ZQCj*iQ3 zq!}i50ngwZT=`y#pXHLflF<#4A?y0fWUVRdk5u)ao(alS8rN;U+M=^>O~H`aAfjBn z)f}7;GOAF~VZ0{f=`zbti8$uA9WWJ*6dHf++ORd*hZ;H$`N34Q*OWDY!uc(=#{4Cf zy$zq$Dr9w=26_;8z8 z0bvCXaZg&Sj5&ntZ_|qdU~Wc)b3%PFE`d5=QCT}EDG?voCvr7E3)uf`ulx#CUZ@}= z_oU6XCQ7l_QQB7G_UcbLM(L4c^}6L&1dLMkkAcT> zm};S%f%N>q6B=^BPVGT#LWO`6Op>>d$;ChHE5ia z0$#>L^bc>dubv#qZ+4c^K+JX0$W1Nr+3Gl)*9vj+U|0RMX=8OY2#H1}e&dJ_^IRWAHF0asMT3O2sJqQ+@6%#;xS*BQDLHls+$FJb(b$va>3wKIDH^ls3*WC29c=|Hr6JjRC=!A>dTuM)_DM%_?gu2=*|G`MOY780O2IbL($YQ!Zj#x- zV0?e=!bksN!o4GSek289?B`K=3&x(1%d(5$>!F7pKCQ2s3A`j^l~K;Rli!BWH)De9 zm6hD;Bs(8@To2M7?^mxdWUp*AN9hTCyA=Yzwnv`MvCnpvt{105+jOT(69TMKIWB8)bVM(KJ!!?ah?$0*#U5u-0CPAPU z*%Mv;=Mq7AprGM*PDHY-HLuszEXy#7sa zKNlU2tep9gK?#$rw-frbi^FF@HWD6fg?PFht|R75WYz0A0bpA|6h9V<8F{tB`iO<; zEw{a^@n&UV*A9J+Nzs#(1^oV~6e`(?tRL)F54lAv;r}6D8Mehso^|Fg%@$x^HL@QW z2^T$9z$p-0BX&awr*3l*n<6Dzk6A3{``zCwuN;WMnazq)D9;TKP#2$N(J`RPIlI=y zL3BF%?UB)Uz2i7zmKhSN) zmOm)Na;=_#87Zs`U4A@!(YDZj>- z`YU1x{}-cCAgU~7MxC|v^V``3-?q!3b38kwe>>?2lgb2idVRQeCR;@!X*!-H6qjEk zmOZ%73gp&rOPR>}-N?BqNSn2F5X>h>2^u8^XV-dH|CKINm-=CeGy32i*B|&5!eW%l z@k4o3XWA5`?)VTGS@p=)NW`d3kySzbHE?zE$QU7-78_20Yp0eOv`(0akx)gh^=E4u zwI7nKOLCtjY9}rFyz>?p>}bF=HVvRT`sDlK6Q+*kzrHtVM9r;3&%DHZjagNQmmE9_ zkHnUtnj$1B%71pj1d-`!^>TyJ$)gn1sH>fErQoFOhah|QTQMxJ^+s-%Bt#e^w*^MF z6XYIaH>HXOeLDdtImTf7-6ZdGZTA!A^cY4;LB7%KSr8C`HfuiLa1lA5Y$k^2G%P?d zXDofkv(w5tlL%LIpf_t#A}4KEkp|MP3LZEF^>+}>or@P-C$D3IGoX*;#Vv$eTw~$lcR?YW9&^e@+!P;Cggf!h#6#p3K ze37A}ixgkPS%0#H_uk(A6!UCl{DL-3L#M!YZ*Dw_8v>P=b{g;6hXhANNLH+X5&_jP z1ACH~*}ssDW1WG_0`QQ0zH1UwdCpNfmRuJIRAx+bS6h+`ceN_Qv6%uMym$>~mkw3q znX}e7Z-o*AqJqF#?3U#jchb7QZl#t6oRGOWd@x;a!Q&zN@IzBgj0L6s@QR7bj&8X) zD38^>U-{K0k0jO=CXmUj2_X6vMypicv_5)yaO`g{dOu^tzg|V=m(_lsL)01Om6)n} z2tyzzZrr5kV&3hTaxZpVDGG05+%Q??C^oWAZogrE7A)cup03ca_-UoN>%~zpC=g&I zo_P({4)VDEfW-c)u~hS?{j*Q#+SmEmJU{G6Y?Ed72{Hpaq8HPe!kBn6C1uQyB!9)C z<8L`*IcY;R5}yOP&5pmpsQ$IZyI1xUqv}r@;R`;>{FAYFE8{c17Q;oAMPd*o1>=E?Vs_c9(1F_J8ugs@yq&WjP!LSqs>Dx3twXTMk&Y z!f^s*F3M)+SV>(S_iD9SD&4Q9XhsJc739Pl5RzYz4 zC7?`V!kZ4VUK6=mb2TH}j0!J&#)>Lzw)_(p1GX4^R(Q{hOHG1lO4s6)T4{uLwFv$% zh|i7($+NQQjokRzo)Yg7Wm=AcpL#9Gv%friQyMk*{k+7SO68UT9Ww|L8758PA+L5) z>>dJ&6RsE|BNa%Ss~dT;?c8ejCNfzcGsSEpuyMJ;?&gUh2Ks2?d4vKKK}}`%ki)iK zrgH=@C^DZV5d&S>yVDlJIStK*9FiDs@XYM6E^=j?1xBSRE7e9CWgNU``miR2Zi=oG z%e=f2Jhj~ai8ThR>Sm)82R7VHN1XHjbOdmLj)vbggWPJ`v3_C5bJtJj%_UxU-CukW za&Mz_8T9PY$mi5#CM&%=qVc9oO8k^!n0hEa3$E+=Y9N10OqvcQwxkg7iS@oezCCpJ zkH9>+vz7h4l9u$9;Z%|cw|kIX3{WoVSpI{SrKw$V;%r=135TG;v|}13@ww|U)Ygqy z!xm94SR`7Hr{Q1Vzfe*=jr+dgy7 zpn;-LmaW3O8EXN9r!W9_t1JwsYlres zgcUiam9JF4YXjOE3c(pVkrjZX#;>0$x`q8(3f=M2u00s~7|CSg;kEyHueqxjBsvmq z{rKFqh+PkMUT<3q=BDrwtRpETqMh2@8&}verbHqa{4NVxC^#@f$gJP-DqrBWW`Nf& z?dhq_oVQPiSz>-tt!~dX*YM3oeXrgSQTAD;>H`L$8i3cq9d_Ta5`Kn1PGWKD2n}eu zlyT&@p7;gMi+d>1=ay?G#)Z{1}+wF$_K|eR$k1<39WRtniZMuA&*!H~pQ*hx%^c zxi-p2AV6is!>G%{IVr8D(;AU_a~LCaboRNFkF}~V6!n$|3*pS)qvL;BhJIDXK{nq+ zVO}t8EbtFwAnUF~9hd;*e_>?5qjdKB7*6%ZGkI3B4JdY0_ywm=n*R!LCDmd@k^v`2P(ro z*sBN({6FfeGmCxbnL50qp0yYM-AJNgn>3hWDvwa!Sa`Fqr62HlB>w7j>Zw8|j3~Z^ z$_5TrB$8EW<>QWYXI$4lYX;XM794sS_o2#0ls3O-GPPFRkR8Q4@tW%H5+H!Ennw)~ zmVN7bBDP^*)0rhXZcy<63wteF2mpNFYu^BUe2ME|ll%wSxTvR&=WGwH|L)Fe*CWZF zPv{~sctom<#4z}1G0m$&A**Rdz!5^TYr+dxSAHQa-~Lf>ijR zGFay)(o`9r=jEyw*Z!7!pSH3mJ)XWrqxG8DhplVuno8w8V^+MVb^+g24z{bWvPjJn za~s$#K4+krbYQYa=9j(D2Dxu(E)-dyAM}y8LARD53{;+j>v|?>pwIwK+@?L-IRF5L zInwhr6hf%G2=(t+&hly!ebP)5m6OU5>w6zX=U7$)%SlZaZMNtzAHa@3IV6x3c;qDd zwV=U85gI)Db%3_a95MMh`IAE5tl|t{>Jhd1NI5tO3PXy%s_#6$<7*SYI@uC7jF0Z# zSR-+x1(gIq@!)+cqWGbt-RR0UsCK1+YILfvyE5%_LiQevudD9oVi``n9G(=lb6-<9 zio`hvX+*%nmBmbBf7dfGzt$18`5g8*a%{TTK6-R_(iI#tL)VKX#82r|$l*Bi!Ihwi zzDzJ1m-f?(?Bj~AqO$oSgtTo7;U$uP@Hj-LIBr6{U43*g?8yJe4%yu*CDRqujc;ub z-}(7nv;3-jJ8|z}QtP8m5R@irfehI%(M&vKk`)Y?A-}N-MazZmvNm|1zWZv4a_sIn@#=zF z?Nj5}F<1Fs#IIR^b>p|DP$>7Zt*v5 z8yw!$P}G*K0_BY5W#UmM@lPRKO~%wysPp%H=Raiyqm_F8{&(KRtvbN!T|jskRS2m3 z0i9eQ)X?k@18(KN$BgeTFkG8!d|z-7Cl0Km8gkr+ovBFB^>h1bxtU}Hkhq2?R9tQJ z88*-t46F{chN=aCb~W1*%Swl0nIsM!!ZOU}4WW08(a+2q<%;0%^QM-F zg6MBh&)nh6UW&#|H`d{qMNYADqE^!H;=Cd;tw(a5aLB{pJCdH>SjZOrApXb>uIhdK z%j({Ptun-@XM~{z&(=2G_!8pz%1Dw=V@T$)0Alf?ANW?9x;GP_*gZCXR$Ap^skrG? zv}qGmrwG^iBBL4!VS;f7!hSPnr@p)ii-tP~F?i~yIl$HF*zppgT-7@Y&TYF30A^PR zx{p{Z%_3|BMG2pVSx48kn3Y^bW9Np$2%GUy&35f>vb9$B+XK){%T8HaOAA3f2k*&f z>1!%VCHv`%BfjO4pbfiXoYzQUD=q8e@ok@NKU-a%zGubShjkG;E?nQ7=Sak~dBW3} z8URH=y1z&soa7CHKD-ycv$sQO>}9UaBqN*&6mK&#$$uI&7y6MH>8b}BjD8*5_8&JJ zMN#}yEC=~pW{FiS$Qn&DfSUuxTq3^{JE}l8I<5Cy<^teaZ4uL~5OLyw2R;%47!+K; zxHGjHQ7#*|aGy6I)O zA`ze1H7+Zv#t@)n6j>L!?uUZAJV%UA0sqnet|QQ}!&;T2E6R9&pmME+;|qopYCD~| z$u$tv3n7nv9Ia$q!gF;GTh!)bCXw|4ODQnfA=ahsRXsC;R6i4EJWvx`tMqlF7eEo( z(HC4lzh#$cEf7~Q4TPgHve!}Hi*zyDU~Kl6gwBy`Mteyt`*}}3IGm(4{U@TGOgPJ( z2}dt{pM`=AQqsKRl^Se(7_0qz^z$*VN{3{&;sbI|_8W!Z*$Z3{G-H!Sq3SD>{~VI z_X$~WwL=v?i-(YPsJGr*i`EICnj9)P_pjoFxLO=8z0N!#Cu`kLxi@@f!beNUn>P@p z3LNIz9C5MPX9ZJG=TMv^`;4xREeOIT8N1i!Q!ANmjwRN|{h&UcF3@cSC;o<19Nx@Y zQ7P*D@!o!--=={exM68hQfabcQak}{SR={H6jOR9VO$Z?{6F1SI4m$HQ{FERXn?b3 zdJXWfZkTy@JI?PF@kR{0m_z422F{$n$NrhY3-cwWx6qpJ!>rBA<^^_dE=)GTT`tFYIbT*M4AiO`L<6#4vclD= zA7Ry_J`o8xzaIu`BQFu79HVr-V-=$dYl^nd_ zRgp#d5t@Yv_R4>hkOwH(qxkkXucv0^@$aKykcUxhc?DAT3?FOra5bREx4OMJ)zs)c96G+ zgnC{@F~w4r1tHWYhr$<2F|Ue@7rLRc#oHvJgs-LJy+94_-og`b@xh(7(Q}?n9G=Ps z4Kx|SCGp2!w#b-|$HJ&=w~aLBY0FX;?k3suKlz7P94x`Teaa9ycu|YehzM**PHB^CGyHFOq@yWpAE~CRtn=*vKiqi zkcI)PW$%len_&*I*xz(cyXTzLO^uBO8DK9@F%@`)jW|X9A+F8!Wk+NLkjET3=wwX<*!PDxdXmz}fcd_^l9Yu42hK)@lR#oT8ZO4BF20?i~w zIXf2fbCR~j&cD!p>jPxUBY?TH6GBucZ@$e_Bpc}Kvn?qAdo;YdS4!{}5K$C(A&3IB zRIn-JEp@m|EJHfzM7qa{Tr@|g^<>fVM$HMEhY9v%Ny^mZD+WlA;MNXZA=Sj65}?Hd zVZN)z*=WU;noHJs@scCNMbtaw`D^?F=P0wgvRHxfOu!l29dQ@G^SDv53+jLDdp!B0 z0TaOt2lYnHK4|$kHfguH*{)wXdX_>(xF3@u-_!0Kt(|W{mW76exD1No8F#ay{#d?L z+)S%&(d%CaR6bbHWMcaeL_*;hzT3H8#rXkU!eONPe!@=tE_Ng2GBA(sLQ3qmhql$a zx}$`$kn0Z5_OzZZSUaHpXA25p(!X!h|5-pii|7D0f(eD%iGoBL&q6&5QF*`R;(=C0 z5Rk|oJEyk!)oXL;AhHO^qA3nXu%g(59ZXh4H`eho`W3sUt0l^-ZNoK=KgT|=4F83s zgW=T|vc}A`Z`ALE*NDkLpAY9;;?TNoGsiJ><9Um~8)S(98hz#xx9)7Xs6u&L1eSEb z<*3am21$KR;4B0}k>klKXsEE3*Z|tF;@g*h2(TQL_LprfS>*r*gJJNJ^-vX2hLq?k z0AVAgL1gzI-5j8%(?VCi=!ljg!Z(Q`!k_FpLqRWB0Gcffj26Yw0LIax?~^4@-Pi-fq}UD7dGTt(3Ox?{R1r>SOg(895tU18~F!P|j(9O2z5 z0Fho0RK>uIgD?w5AHoAw z2#!zw#?@03EH3&bY@=)P_Pje4sqR`@Jk1DdPr2DnbaC?TvGZ9D1ATXl&BMHzhwsD^ z@xjtpgQxh`aZ8j8FJ&BK_$IYm9f}Q-q{Ah;X9r&%$idJP6927g0op=MG)zk#k`l3F ze|30sTcF*AvNR!Q6Vs$vo~#du7&x+B|4yFy2*?7qIpyX$k?P&_c*|5|B-Mf71UM!g zN8h!t2oLVBK?2*g2ytlPC<)5YXaXU7A)PY0kjJirgCvJqA=r}@K*{XDqQ-ToDL8FZ zeG)!c3;37reEMK0OS|39AaYx1JjcxG2NM^kBg}nR#TYIfp+v^a%A%PELaw4lkhq?m zFaw6_n~_L}w23NvUR0J_3P3*!=070K6lJ{Z3kgQ@JlRJ~&CZk^dQ|L~cgm#l6kb7+ zUBO9?L-738CHigArXgsG+)*SRFiITmaGGYM+AH=8_y-2n5!H@a2I4N@vN@DAZ!=KKwW^KS zsTAA2d+4>2`P0`6_91drG&4jQ4zlqxKM(1{v>tO(t*ISY&F{MLgAw#JA7ZIX!N?qU zqZA0*(f`$LgQlNhA<-9A0k|V{UY$_V=IN{B`)zDwl|#E_X90snSn*h;t7d$OJ*taG zCU0y$jD2SxQj`&CqA-UndKn+}b2HOY#i1R1_MEAd`?iVzm>Y zonQQKb3ZDld&SQ(enh*1mAehotrDk;z%K&$-c8CJ><0%f+t(60YE+DR)&Y zUe+K4*xWJD!>2jZne?!^%5K|6v(E&Ne67kGay~Uyl_`8-OdN;+uLFG# z=WV|sHI%whoNd}~9J|DDc3Q9aMJQqijcprOgG}v1jNJ4hb`v{w9|j$DBa1}KBHs!B zdsfz61h_$BCk!teoOc_yT16o39yt~edD7CNQ%abB)zKYKs=QS^^FqdLG2Os_%sk;0 z!G3CozmPDb#zN%VG#<|32h{Q>pCN})4cg|N znGK~J*z;;|`*U2r%BVn8ubEpr5M;?=r}my9Ku&2>h}N5EqHdV6wH$xUoW}y&@gyjB zBh4+TZmO-M>HlevoI&S{L1DOs8ZwZ?g}TA=#PKGa`z0?E6ONTLnHooM!d^efvQ|y5 z_e;N?CbBApE?ACw9uX@Rke@C zAPsb@ZNbG^-_kDuQ(8sjytUaXF1=BIZtCx<{2o*txLE7LBp`ebT!)T?v;gQBc4`oTukBPHhQ*$K zUJ$j{zj||fFjm;&7xgE-2l(P%A(f=Ua~97&1I{RxCo#%uDZTX%%F}>1O-?%b{)k_5 z`7|8CPM0qGw=db35mF*KF&A%C5n$J0XYTn9QErf%xoR|bkyw&O-i_fdDhcc2wj#tw z4raDnlpTx${H@G5^YwyEgws<+d=6d(G|LIw=AT)pXvtPdc24d-v58;?1)s$W(2g262PNtt4yB#oJaL+FyBEUY@IZWf+>De0bZD=3EJS5#N=t0CqSb z*bl>Yp4m-JQ9hm))6%K<797<0-_=wtvf~Q8stmtZhPmcCi;(mL%Psdw0z?S%;<|Wu z`s_m}{$z24ngQcMUN_EBzbuFs&lM1!a^Pw-_yD01Vt5?F;8-sfoR-eA(eS7Mtu30% zplK6gfEzWrV49XC+vE@r=GI(HFkEmDy{$qu+ODSVEhE7mpS(FplTm)dfF9MDXV?76 zPGp9{p9a6RRqEm&O3#cwaC>b;Tv5w8$VA$XhHV7vjNJc)FGDEk0w zTut+Izv9B61cw&DuU3Hz5l3l@2m59DC5Y=gHqo=*j5Sq(+m_x4q+?zQ3wQtlL33*z zc{|2bk%*nv1a9+T7IFqSZ@vRDny?F$`2o{x+_IFf#ekiE2k4Yrwhl$M+0KqWNB=33 zBv;oURwAmxggh?Ke+SuIY`br(V-D(o4s`GsedPRa6Xr2@QP~4wDFyr- z>-i1Fe#@$endv$Va(!z%OtP?Z3M9{ zaEO}f6H9MDTYW{h;!O9pSAC!8X#x~_ni zYu6UU!^2UL!xB1nGy>|TvFN~35#pDgP#RxxNO;GYg(309jlMeb&{n=1-ZK)QCp4ZN+&Q|q^wYWkuFzaEu*j*-2 zsocsy2?G^(GMXFzo|T2qVu&Tn%oKyCSqB3=OQ-s}In+UfB3+k%ulvRy39Do(OQ5g` zqRG^%WsGBOp8@XZi`-2|G#>@%?;;9~kY9K^Gu@)uza^X2}j}T)@gfgXprH{IMX~F8m0eO7m|{{1e&`*_w8j%MizO)>M%z={R|l_N&$@M71sU_-;~kl# zOUyP)^&1%n5QlAW?%)qBX;?e$O2hY&v!A_b#$`JkGHRaexXRd`*Y$-pf>|{e@+98y z$kpA~B#Bl8{_%>CgItC?>`Np$&^0s~QI}CmI2SQG)Mz4L9x^11;vKxVFtgbY8o0e> zvZ2MR{J02T=`!bv`Z5JbMuE;;z8U@XDA%U4T&7-l^DjOVlj&kd{&I9-6XukpA=BIjR>&w&-q#R~c7~p~F zV}Oa%kZYs0CNJG7}CTzDn>3zFW#c z?(&y)RpKv77MrhzwMfOz)2KYllsl?f`Xrj}s@v8)bjvm(`ZZ#GF~ zDRgV7eOAxUo|763TG?hJStc&A55j=H<+qiO;X+W;?-1qk&=4ki zZi#~)XNNx2H*NLF!aPd2N*;X}j>7Sna65eQb`Gvt&EDd`0#1O0PqqxEW`UsIsX&!l z53@+$-;nl~{{rQxihnoAJL_~R@|EQA(tI*p8Ght?btDp}Ypp*1-+OfAb@<$aEc(}M zBjqkHKr+V8(alLrt`1!EUdCvwa{!$lFWQ@Uo~55_8)2Zqz`8j)`Rf}q9JXgHTRR*Xg|mWq>1-W~-0{(IO5{W9IBJhMj{xW3yON)Z2{;3E z7nJ?uVezOY<>9HW641drDU>}tWH>D~?Ped;ww^^k4X|-Jc#nz^xq}UE_exL|o>>oO z2cHIaUl(^8>87iGB&hxy^kZQqreb#EECJ+@h7}L!y61;r{f$4e=J=Ih46T5myuh*Ltt+p7(!l_Z3Yk;2ACvHQ zuOtBfluwBI@F7WT?J@4`f^=FCnnOh`NwGx8m!mkb4I*kva}Zd__94ZANSjg@eUInl zk0IU4<3Io>O3t!+UMm;h&SJ^)z(hkms#DgblJd=-Ni!6>=ZCo`KfX$VCcUpVJd}L5 zopsytcrO0${7L}$I(uPSr^tBHSgf4DA7_(WA^+cE5pWYMFUjKUk34-43ZaaSn`4b> z9;gRNPGT{@R}Ih?wYQL~mZ(Cq@%X^S~0!ellhLx5n0&)><5q>XYIIi}N*L;U>S`Y^QU-v)Gj5g{#M;lO4S zIa-hRG>wILQtmWN^wJT(%aJOBGhmhSZTLm-2LD_$n}0Q5CIpX@`d8d;CMCV(cXzS^ zfK?MJL?)@}CU6os4G`+GZL<0|x!ts!qvvsePDiao$-(H>Kf8?V*dvu{C-H#x#Y%l} zwIv=9g+aocmW5^Up5|ZFzCZG+H)i-Kqla9m5#}0k(^+D$t_oh1uXV#9wi}4Hqvy=F zS3Q+@|6H)qgJo*v|j~5bCJku45l18uYmzKY+s#f_5;m{9-1$ zi|A$#q;bOECnqTEcGL%jEU4>IM@@TnyffuF!A~I{sEh*Fx<3V&<1=Vqy(10eLl$XI z+66#oY@)SD6oQUXelsph?W{X4(LJ6&IlESdfTI3+6;I{D{S1HO-`XOD`B+Ei$@%vnJFGZ|bB0g8~J@UBSrl z*foo<^k{+U1&{=vY`4k1oAdqeGpO}+Ytf>nl=v{@jWg`mCG+y36e|wO{(55$jne7C zWq7|+_gnLZXLS|Ne{ictj{;AliQaTd9gGcDTh|+7+8fX=%Q3oUBx#md@amhBLX#@y zLX`7Ez2)bYuzHdUiMy?-9o~oFK|}2AF@&M_;PMPaQ!vvSQAK9mLpM8$dhPc3Ss5|9e_omiv_ zGW#8tlq%`OEfcsMn^8!n&SR6=?>lAA;GStf=_UQd9L$6YWZ=JG#lyg0&qJ6`Lts}X zgIl0upw#SCOJi#$r-_znl1aq9yT{1XIlGt(r&sDjN=;2oOlaKr&1^o zsbd-5w!y%;YCJ@-R#A2u$gS?oN?Y=w*Uq@Nj;Ja&U#GYUQJ;(B|tru2EIv3RfH8kI#DD|P`3(-#6)ZJ9;{ zey~XE731)ah-~G_OU%Loq*AT&DVg=to|O2OCxl`efmN#!;GpR25Lfu1AS2QkG?fFh zs8`XUSJqCMvsN`L;D6m4cP5!=oGU#goh zEXgQ)VF@XCIAZ%xdcR9aQql6T2%%Yw7-MzuXjbmRD0%x-Mv4jxC}7B0I|w~#Vfili z>w+_taPf6ZU7jw-gZH+!luEkUWl<;@z|SiH*Qna)zX2s-2XX(QH9k)j>bto8Kbu5f z#dIPgRGHaxHCTm^yl5{%s0Ph2;un*|ZM0ITqehnW2slO^s2?_A`Z?LC zWt5VkIMH81v>Ut|f%mvQXDXp?(|42L5ese9TJFQ<^IPW8Dp1;Aa4rfY+IfeO!mqIu ze_fP$o$K=@s>^P>N)fji-kc||ER7)?!&J+e-p6{fkQ5oQ%Qq@WK}LQxp(mRw1fr14 zy~Qj?1Nb^|ngIztEg;VbBn_f!YOihkm5*EGdwdZBlI};HSz9n~4jkkQ9TI;zv2O$9 zxMbk%8g2uVf#;^L1jOo8{gh>8TUl^nrELW%SzW+Q|2--sg_c9s;?MGC7?_GJppUJQ zW|^zzIZW1g8q5wKMc@7sb`4y!1%ZDYxx`ozv?4@Z|6qYB6O`OWF(Ys)ty89(XHTr` zoJTtN1*k8c+(@l+`=#eoHTnHVsUfcZ{e5tlf2hvkp^fgPN(JU1W*OUT+KIO|*a_R- zw-RsA3M>~j4Sjq3YM4&@r?ioEGio{By#_w`E|Upm-ECsV1Wpf_tJ^>!{fZcsBIsqi zPI32AF?Fxjw@Bv-vQgWPulqvU2Na|G9Sz{>h7AwtC0I|<&QxEAM-lW}{T53ltoWFC z7)J3=t=>~;#kJoz6Kro@2L~d6h+PkT@Nv2M_Z>wJa<0e)C_JWal8J5#p?4V`@ zik(Bpb<(E+{}I~94hQQD>Mpr;krW2P9=(Sz{ZF$M`wGp?PZ(PF!XpjGMXDYE1DD5> z?l4pKu%JOv)Zk}eb?-)i5dzBS{cZl5pR*BaFa~AYK&!TU0I!QMPBh-y{X@t~oPFAFl{~D8NTb@ygv?RMj2;2UxeNH`HVho-{on*Ih5W1RuwZzVz*+>5b zHQ#5)`9{-TytBbynXL9O^_?hT@;EI>YmX}5dW=Qr@i0Q8_by}ON0rsWznPR~S;W-l znEarx=8F~C z7;VeqGVFjCJWEI-!V#^#4WW@yKnTm3^Vel!FKoH>AWxcTG#0t|!b62lKzHBrqY=Wq z@eOOV@gYG*Ken))phvt9J9wGMc_gdeBjX$VGjyKJTE#=0NJqGtNiHJ*g#?~-O1Lex z{t6j85*P}v7Lbn)x;e?6(>U77iW@Gn{S>PX2zkXgK<(LSbVFG@>~+s@Pax=DEjyc_ zgopq4DSj>&i~J*f>$D!ye(UMcAzrWWDLWdJ3p`x)J9Kb8a=!gmHua>dV#z!J;IkV< zOm0{)4}-QnRLR1mlsNFX0UcD9gi-@@tY`tO8mgBJTSh|Ba`CvpNEE2zzchE2`H5}03A{ih|Nzvf|!Wj-lT!E(FM_G?^ZH#$X z<>ijEGSb{Y1{bHwNiNsn7%O$lolKDeiA@p16g#R2IC&?1Acm-(Iwk;_-B(V?6OGsT&87s zmHHm?MqdfalZ|_!SgT#PRSE*sAmggE_LSevbG4D^1D*H20Pq}L$IM&{HOHe67-z%& z_-hvJPub)U9!MW;wJT^W-<;CVcBN2ZBpBlmGrj>*MlBRa$+AuLRD7>=p5XmvAF9gk|x7rHZFb&vbEfA z9B_qpasj(lPNzX6Z+^)!?tVrmC|aiqxiMo*enzB*A1Sdq>D z1y!D_*z&g_yTJ5kR359HQT6o&*kG9M=MieSbwQxHV%03!<%PH?!+9>q1kR~Wex2B+ z4C)+(6xJ^#k@^~jvLW~6^QovgTQJ~rxjb};$s8O!JOXq#VC?f2_gBAQXDS?Zqjt(BVx;^0Jl)izAEQa`+ngR8et1nPiLcVw?{P>{^CA5?GGBh1i+dSXy6o;UoF&mQ0{Mn>pjD*# zJ~<2%a#VK;JbDy*>(tLukrgx}8A8KFw|crLdToHLnqNBPoYAZF=)JV1(}HMWl5!tf z1%dZj)~1l3PIfw!#)6S!<4&lj%p#EM?62qThf~D_RCEYZN7nD8A9fuemLd{pUrSTDskX4A;p#ObdjZ7H;&KrC<+sA~}yfQU5<@Cxq$Sy7?Bi znY3(~vS1Z%Z^tpMLN53%Du^ziRsUc64L&!B$vzs0qu;pkajFYfNhC8 z{9F3bM?n1_u=t#pC3QjTclK3)KUnA4iXF2gLb(5@MA+24n@0luj^Vb)u-weIWTC#- zth&v)gV|#(@%_F@%+G}E^LC7LN@M34og==>6+aFTSX5P<9BD0CC3f-#BMt zG)yf{Y{4C5H}*Sa?nq4BKH~yRb4g2{e;!;=1^odjkA_Rb3;tC=N}jXEnfRgD10TCy z=@{J>+o8bswaDREOlkbFp=Anm%nqmOdFGfWoc;h=XcgwDrX;XeTwBQuW#d=s0O?sQ zWVQ-@iBg0FuRwV4;H4au2h4_T^G&jyl;YxtESZXitB{hgQzX2N{WW~AOs&GUw!=3h zmBpp}1f7{0^Ct1?N4U^yPWdD@@!FZyOZa(9bR|yxwWvz3P+?KwPJG6C=gN^Vs}kek z{8GV;L6hJ_Kom|pP-nv_;Uyt~a3Cp|%FktG>E$QLzt1DtPqwLd(dJuo z^4wD-wSg5gOspV0A#XbzN-b2_Xk>HUT}h{W7Y*6<$%m%*%q4~Ri`$F0 z0+CM7XtlzWPHt?jFA7F27r{XkKsG3>amPu^ zIdBZMHMad_g>8y4_l+h@0YkV@j?%~}903IxW+<<2FW0lO+8+-3-V~C&fuiz7RTe`- zm-`Zj7FO!i|A8v>zV3zmszVspDCeu@-o`fFU?fZEGzuSrsP2vuw1=d`!_56CPa(+! zNMM>IX)o4WzWi~cRy8mW3)>PjRH1?BMiu4JteG?RqX>L!5H<~ zk2n(}HD)B~8Kc=Srjn)Gox?y?uk;R{W&^kCE&LqUuusL6Okp$Aa=O>1m-nJCkhUt` zl#zq6cXnTqC{?V!UabAFts20i$4S1wF=maXZhy@wj#fyv5I@P+!u zmv=El;hSO+Dfo6NJG=^!nlY203GZdBL9Gc`savH})DrI(^G6DP(IBIAIZ7o3&}IHf zKM*34XCj!=x0OsfC~39+{3(s0(c<#h748JTUiUoSNjKXQ(9lj7}Ae;Oo6p=P}g?U%itLN&m?hx z$PY^RTZD=5n!@d{qNFqsA|X;5@p@jLKknl}SQUcT1G(#ktbm-HFx-|hX`$nA4?xa< z2g#4KRL@{Cu@->}A!$1UZZ2YGOZMy62)jXwiEdtJ<3qnBmG-MwrD)zi^%*ET+Jvdx zkg*=h;FdpHLfh9CND=A7cT}o<8mD{1&2)>_8MmLs@KJBDz7Ko`|n(U<5a^dC|@oHT!`YH|lu>z4Xi0i2aW z0ax>_C|mGr+fI6#+4MBuhXNyF|2ULM)JMp46h+hWLHd&?vezSt zF?q$r#r^dfkpr72$VXt44cWUNi)AMfC;C*sZ{2UISTKb7<%B<&u32h%+psD6c6X2l zo}Z;5fHTfg#}t4S!xAgqP*~umS2W(RVlw)!QsV;EjN1=`=(1E3=8Dhxg{0fN<4S{YHMVnx=14hd;vyZDS)^rqGR9XWMrfPXvz=Xo%T$o$#;hLcs2a# zObHzB1h69q!b3&+d@2!a53N;taw0VN?r2n&Cq z7l%@QFr3jv5#DtD`jV6ee_IJ6Xt4Io;Ur11Vow(dG>q$ocOrvu%~R)&MCJ=F81VHo z+qn|4f0OrmdBXzJv4dyq0Y%#S?Up2iQr7jFS;(nh&<|-Mh@j4JcM_FeFlOzb8&H0F z$s|<)_|;h$vZk$p&&J(=m)|B-C{2s^)uiVZh9x&$q2}B;W}qxFzj=b|8e}5V9g@Hh zK=$@SdyWbtvj6U>X)w0=N5LT?x_~`q%YzSd`P)h;C_HAKEEjZZa{Z_BwyLXieAcIN zeqc5cAr$;>T_mB;{nVkIb+sD#A+aftRUVl9A0*Z1|cAX(btF01iV1SxKw>DL4z zi)2n=Ab7oI4p_y~yya^G=jqmR_v(j=UQisxdzT~l=Ds5wksK5yc2?2|2~J~=jc~9r zYM^?T|M39cC}rM;A`az2$ZfTp|Bwu)O^>kK2n70kcZYrc^pu*jp5oI*fP zhJ5?G2{_$WCNz~&UzJI`w?crA`9q5flNVVR92>GxY4%Kiq(Xqw(AxBQ&1$A+0h5nm z%Zx^)f07vBm9wW;%@R0~uzD(V3TsQV5}?Q-=L)1*#&b6RvET%CDQQA<=cXFT|P4Qb2cq7*%7Cbrprh zKO5gbOTaKtAu)QHVDuBhiQB#^2*~pGzCqz+8kk^kQ+=h6&`m z6C@@It)^z@%8S3MBSE*|wXYYA1$NVB{?cnVoD(jih*4BBjKNuz{Su8K#t<)wwzEhh zF5(vXaN);hZ;V6X-2?#9WZXjEIx<#%&%BzA`fOHC�MIX3MMFV1k?wV{enOxKpB8 z>G(*6^|VCt!Va+|7t;=#oT*=RA-fm$Um+l9?PgZj9@$i+4R@Snx%kg0@m1wtqEvPu z>wyjodF#66@5baU_i$Ad{jvw8`@QmGThtP&w?yYe1DpeKG0%o`PZu)ysN z9)wdB;&#J#SwwQ^wK0a3GN5Ly&MsRj{^D}?6oYk1gk(0weEv?`VDwv##A9NasYmmpH=KM$o$XB*X-V&@?6NCvvq*EQPHWhoUMFZ*-pWMt1l1( ztvGV(7sJ}5e* zZEqRv&eUp|Ztfg*4fh4XHleJ})KHK%wMidaFz{P9(>dtL2}YGH^I1lHQDaAcK(cA> zFvQ>Kp5+bn8>HMclEc?<-iL#@_&i{W}qf z0&eoUJWq#Bldc;BMJ4YrizwbJkQB8g2CPQ3!Lt9ddLnr8wErsgka?-=x;sKiyuc!x zu#~IYq%P*zEtpm65~aLlvrV~vV$78J#PK~-pVjG##!+WK2__hD-Vq0Hdl>sL*;JY; zHX1QimhO>K1vq8>JRXXkO*hc-zF8)%w=Lb>M3aaAB3DNbS-dTrGPx#WT5J4+ai`5D zKIg~-z zq2yM671O)QI9GPQ&UeajqiZjtJdsp^c3lo{?~c_ADB=}am_06eZW9aKpxGS&>u1^Q z$1Xe`e9JS!wg*fvN-vm{0i=eaj(#_E=vRm0hL#IM0cWj`Z0`y?yTF-mZxiXpU%Pzy zG+fIaVK5u-0yTc=h%Q>_P?V1`#MjLDHtmib3rrt-a0YQo&>DiwV>I2}$<>1S;kF6O z8&X7;zB1sQz7-%;T=6aAOzH z3pxy{qO%;$YpX`k%KAD0l8jbZ%Yr7 z{KF{jM)Afk+8&5k3USzdAV#lTAFU-=PDa0vV@$Q1RI85PywmE5P448+1+)VH4 zo^ARo-gO<+xq_3c*8|gLI(SC?WXx!rZ09FV}kRV2tS3a{} zs_3kr!stADbAqhzE3V*|+dzP!ak;zj$Kf<>mnGYIJ(hp-v<+^dGsCt8s0~O~xCf@CR{MvnRKhz*59GYd`3-vTb5}=5L)BWN zTUw5AfWU?jxR3-K2owvZj|!mYXY!n*MeoBA5!Q+ll+pq|F)q5c1gRm^3&bkp@4i4I z;$3#+J3mZd&4n;|+K_UQJVR2hKd0}-n5->~;f(zl>^k^29rxYklaQ+3J|+B93dYRs z1NW-4^62Jy@tDGTnN{L%1Xd;vg)h_7yaxkYcb=qqes%a2a0|I1cwi*;EhfA7z22^rMR@l%nd6hn1yTrSwEsMm` zLx?a0AhLIPXZF=76ls4d74a4E8L6y3r3bYQo^2{4jzUgq|sKB(k zNo@+pR(kY`ab;2a3gJ6sD*iCr+hNUnx6`gY=rb2{ezcfJ{s zx}6)k!Ca#r50W>F%f;rT8+YM^F7wgly2M>M}93goHtrC+ zbwCmSNN|n&bku6lLBf&Sa&jBkyS`e^>#j_bepEgX(RQPE;k5g)lsGZobu6gDS$Sj6UA-A%=e+HxaBe9|Mo8++ z&Y4m%{Hrh~@!uYzqr%fXIqB`ikZIDwj)9s;#Tp=?6qKNY*Q9H0)vKK9>Hhx#3qw?Z z+Xg{ZIp<02%p1K*&K4YcQWhImATXR7bv?^P*`&Kc23Ah`ub0_c3mJ%hOjy0mvpcyq2u%mn z0DbsVE93Va$!0BEc?du*ulX^Lz4L2VH^YaAb4q-!pEUD9sJL)!RzCs<@VB>J>9PYC zv~l7FePjE_ewDLEjEIgyJ~HRNXsy8jG{vf|-!)iXg35PuI7aIxhj?_!!=TWV&TB7q zy4nKMVwl`tO#=^(k$yvcxw?kfxkEn4MPe+1oMTL0k*>JY@Y61&Z7lrDnk?=WJ;BIk zCoIB4n(+ZP!fa#b|B*OYpzO8Bm?@o}q@aI8p3aawgXc)T2v;VYUpu&O=Y={Tqw;GG zxmdQk*PeH20t=`U!3kz;QhXT__e_}{2So%4q$7~}xF%c*Q#P5b`A}FD*dtzs_gTtr zSZLfIcdsQ@#7BZdiyeRMNad`9b=8L{ND%fSn(B}mGAk%nz$!VD#@c?R1zD~zSBPL8 zEH`b>8kmki5wz`m+$dns;6OMavT+S%_bW-~T~U>I;lp84S>ZGx&|Yn+nVo=>7Q^BMHzBOfA;jtf~RU7|Hs7~_#{ zJ{HQzs1}vGs^+O$GDpu1_+g@76?`G-tP|b(JaBAB>5IJFo*trOcgUyK5i845Wrz+H z7mmgB)_KaDNLR$&tx}_^PPRHK1|AzUJ81Q)7gE}#GMmRReQ5XDtk7lXCu>1Xon;q% z9T_cHShSQOK#S%JK13z2f}cz+U1m~O%iB2k9Q7k%3o8Xt?%gGcmq}jxo;RiPU8qRX zfa*wlt+DM)Y3yV7Nr|Sj=}vQ~xQZaP*oTQOk>K=^@gp4XKsM*j=whePOy~|j>Qmd8 z4xrwcGx`1d+W;OWoFRgDGHEXZjR_4od!Y@Z%R5~8U<1*k;n50vUy+Xb*< z1eO2n_YbDs9R#fQJ%C^Ax`>9F9c2}IB>H>`g>Z$;j_$GGjxDc1GZ!K15f%i&@3hKh z>$MIg`F!g%y!sxlGZDu|({Osc-*=rLA!xga?_D(dGl_w@w_TK5e`v?@=u8R$12dg; zbkKyO2kg$&qSG~#Paf*D!ca`_;u=g`u#DmzC7$KI{WJtbxaTdS9(=sGMFJq8-;k+N zZy4KZ<)o@RaaK{>8VXEcD)^W9S0-J$LF$&(66daUA2ta)n${{-HVR|yx5=3ETt;`4 z_6%l<#XOmGx~7t$>ZS&+Ri;ypr_i70J2{bry>pBx!MiOwwzUDaLrs=EK^tW{stTB6uGylM4uEh*<^kcOxeo<;?Z;?L-@ zc48>Y2s=-p9-8`)L&F8RqWJA(q3Tu?xi7;Ouu#gx0ttaKKvSqLk~ZP`fDGVbJ01J7 z2-#b)(>{=5{M@AUiQC)Lk;>7TqYQ5PlRioIok%q*hfpGLx*{Lg*L9gq3;38;sbKr3fpIV|E5HXN|}^eU-jWKr$yin^mkZg5lQHH8ev);`_FlFqo1#RkXSwpc zYX{+OT>|TwAIy_?wG9&H^7riPKVMB$LuxYw+rl0|2A;IH9vjBzn_>bGY1ZeG&(gVd zQ#2`KlW+VP-IvAPQGoLqwguOC29!zLeAEn|9)o2Yyh6W&O*4&Bw$`KuC= zuN-o8H%Z+Xu`Wwx^IMTHF^5_uO%GxA&STC!s5($?Oh=rRfPRM^4{>RY!Gu zLi|P#?M7@5_MAKxM*;Er1=MoM-@8YdQ$>jnzL`7vxW=SG9g}-kc((nw2I#9`7{V}< z`ZK3F=bK&vf`ucR<|b#dH`$Gt>-YV20DQWh483M!OAfMVheP93mo?tZ+Z!j&Z})Ka z*$M~pANcQ#K7o%Z%*%AwN2T4T#tL>2s^m+(U?{t4(A;_>kTs7r&^~Kr2l}F}>?z7% zt0RDY9~{SvD`36og&O6Gq@)os2hEJZA_-}l&uUy6c;{i-A*9Z1muRK<&^jZWc!+WX zb$KV42|-2L;LBk5lImnc+qAZWe)@$tyOx}HHqhgtiZW)?btd*CiL-u}eaKTI#W(f} zhFJEkzi*kbojI%BX`fJ#0^)!Y9&?w}59aZ$`UWM2=grw>QH1Lq{+T*g;w55?K0DfW z^i4Mdi9?nlVSdj=0dT*ePbiX8%@ov2+y3Di8APO0yLqrrLLZPf>Pzzmv=XT-T%Hm9uueJ~21mnmq8|M#t9-^hM(_ zkg)EZ)*gfXpucnhaFs5-3k~o<^-JrdYn(K&0aykN~|ylftcV z+>AZWBF8=V0REI?qZ$)Qpra_;b%Nno{GJMmu}j#@H?=>SdDD&8VWOFO{7Bj}!r`iN zi-l{b?d4dnf6{8LpW5m9T1xUk`O?kXZoGlum1(Ul^&*_8W$K9BO3o3DM;nfbR(RGM zyi?Uh2R}vGR#6m`^*|aVcw_V)Na2jsdpLfAjos1mkwU_qestF3&n)j4-`ZL^9V11Z z?*QTG5REdNooys7Rr_TKYi#}^v0M?JlYdZOWwWd2LVFy#Lz&@EDm2q9F5s$7@QaF> z2zJ>Yg-M2CmpJn<(3I>Wz$|EyY7-lx@9hS}`MPIR z;Z9_F;hcYSsI>srICYX5;);GfKjE@O8{zvmb>Jhy;_ z;~s=yuGO~=mRNib1;ScRl@1NA`iMNGXgO@X#peVzFocOlfX!rrNz zZ38Zh>pRxwg{8lsh1Vhil>5i_40wO$pBn-pXk6s7u?Pnki-EkAK9|gof?W>ZdE@HD z3wC(1Zgj?emEa4{^FlCsw}`m#$)4fMbJhO5VgMu1i&zD2IdBL^c;r3qY6^Y;z&0Bg zC+0oS>NdOqya@Z3sD`2;4wCXqd;(oY#<7e~R0|$PSYJs%f+Bbu>-I;?wt;9soN(n+ zBlf}(TTHrWmSq(_Z74N0pWyavqo~H|S_V9`aOnG}<*q=ePPX}oOKSrlui8N#dcr3L zhnd!JUV1%bko!vj``&Ul^Y~5P$;qO14OC9>_W1pHt6d&w@NSPX?W=}cMitUr4rSk6 zRed@7D-MdgSe$9C2#2tM;=uW=G>1JyR5_)bw$5>tb*m}+qsdqHmH}kR7hGqw@X&U> zJXyzJ=P(Chqo5pCq2$3CfBty7&mh+F*0~u&Dr+HL{HiP!m|qG}>o&FQXp|+A6absIHIY`n(&hCo01?pICi{qQ7}ohe}R|#{Gj`>A_YMoReV&P zGZ_R~5C_bjNpKO9^QM~BMo3r`izHegYur>Ffhsmc_p!5`^OrN1fZybtKU1oeJj=I zs;4QK2<8{ad^sJ^_-ToSn~y$Y4mFqap=Isq@rSsSpTFM8wzHA+RKrG5UiMiG>XUU8 zs*Q;~y}6y4m%;x|sd za*0Un6BALp0Q}l+j?I8-3<8dMb~TYBW1S;U-QYzXG{<4;%hN!#Zk&w00PD_Do~%k=ogTR7a))A!9*21O8vWr# z3Txz5lO&SV%qfEEk4vL}0l?^OXtNk_%XOthq~6^6(HT>TCQ^vUWPdexd;u7v!VZ)& z$HCgLuRq=~)WFZAE|^QSE0kSW%PLgyRY>f9{ND6&_!mPfX?lqd;q=b+imwc^o$_qSi5x&b6V_iZza4nSl{99nWYhjDSNMd2?4=JH8i!@bd6Eav1zjcnRFjqP{hP z$HgOE1Hw0K_I;z54)UaX-Vdr|5B$T}MZ%aJ%32yC3_G4Myx*ok#th3j)Z=Qdc(N!tIPqiavtuHY+!uR$^rN;jk@vXZ-ObDJ-=q8ky>8fCx}Fe`F??rh?u_>9kD z7X1OcfrvKQ#9AD3u-nCtYchr1Xx4|J5D9?L9(NcKOFAez;x-{-(MAZ#0l9o+N%waV z*GK7|nH6P>Rm=f7%CAn$1No26*TTzN>@o~6!rH;%mC}fB%`k(Hn&~&K;(O!Fw~I0M z4zkhEdcu(2smy7IVp~(xZuKHsP~Ivkci+i#mB%Xex8)4N33`F~y$KeQlPjat=R&6rFVFQ+Hw@+i$Kv)*CzPxiFB9lfF?1f%B=SeLn6I){^XGaqQoBx#T z3@xG9*_rX_@&8le=BAT2u{Cox$7fOjh z+*R74-2lYCl+!YJp>VU~OJAPe=LCC#iYNUlKwK&;L(PntZW)-2ANd~aOv*44%PF}- zuv7-w;HvCG9hm7Y_MGCsW^T1X#ZIp&9|xTD_mxC+naDOjFMNM%bD+G@ptXn{s(j+d zse8zVUO3$)aV3Or<}XRkT9z7%IPm_|SPw&+b1uDE8#qHuS`nyfGpf;;xd3zcmOH>0Ctp%zIT9}0#(CXeJ-YPVz?c9)P*LP zcyM-nc9WtEKU1FYO?{0bmfaHU8X(|-Cb{Fz@SshOFDbz@eo+j*)Q8WGavFff32Vvs&|U{+9vP1qtaHGs)i04XhFB|nki}&TRA5&NN12@EYk2u zr5msBBb@k@88NC!3Wb5s({ngBj-qCk7XMO{k)3}o`*UNB)bX6lt#oNze>sCdZwRL3 zaq3gyw)4LKO5GOKdxS2ie|e%=JavY_rnEZ?V9ovGUUdf<3MnMBQXa^3!2wJw(Kv)DAmEHa#=wH@8ox5|5LDv<4`Qkdjhme>5Tq);e;blLF zWus?h1;}d5x#pW8xfxSKT=XZzU!Ab?00afOr&InFr72?r^tYaBy|@Xf#HO6@**4Fq zGiv*A1~ljGP~i~;v~yod0dsNc3(a6EaDb;VCDuN~r?V_*p5t)rE%U8qytQ0Y#;e!q z`T;1Bx`QM_GLK$98TOE&_Dy8tyY`K&%-A-ym7m+R9x5NIwsk0?VEUQLvO2Oe#q~B9 zPU3oH!4m1l0h%ekX%oEQe)$`%)?P&yE6{i+d>(; z4|1-g0wh4Ke3tt-v+*OtkNnKLSq%H4%rSA9l}HEH1P`Tpx3CNE7Ui6bg3_1vIWuR3 zwas+2FH(bxen;Z?j8XOd1z00axxYks7*}DYw6%mrc@NfTnH;Iuy?}&Dx#H?Vu}#Ej z{*TUvM2o+9gpyrL)82YFeyv3=VHFlqsFY@~RS|31@*FU8KJ2VwCbSn-Wj9f?^@4bj zC?>&|V*U|^Ff-EbAdsizuVu7G>t2g1ZoDwQeo@6220jgd?S6f9i^((E7FZTK~w50&} z>f6w5a@eeBf+oeZ0DTCRSaF^$X;Wl7dvl};1E>hrd0l88k$?6S`#ODaWFUqTqhl2i zYKL4YsLB>wAPAnKF%;!qK`BI2%hBra{g2PK+c}Z*5vLc*YQ#c* z$I>+Cv>GUT3EG1!ytw_y@i>6@iY~4dg10o!DI#1wYUjhybl?gq)^?BoR!(4xAH(ap z?}7yVP@*k1$k&@GoG8KIbA()#O}FlkTX-VIW3Y%{$)I*;zEl}=$MPAmB2rmji5={( zt9cPm(Tze5YiA|>GjwFMDhCCT-LfH%m^>jA`uhq%WTj~IP)f7itQebAYxwgs=2$4* zRTQ?@5TQ$FtTkG;tAv`5C;NpSo9`M#9B1skP?**6;V36Jp|GMs1^?WEugDd2*QnQf zY$Q1(UsF0|cN+EMJn_)UF5u~rh;rDVOPL+FUE03?__KJc906U7wsc#S z>!oT2>h#|LHBYw`cE*(T47_nWkPP@Ld+MiwiV5w2)P9i%9_(M)!+BS?8e($-%J`-q zeS}Ucf&@urg&h$0?+XAgRl{R8yZ}>sM`n?h@?>|4yufEO`RGRZ(9E=#KkP9QAt-qc zep48j>T*!?$v+@>$#)M$#MCWgjm|+NpCL9v!x`M#BufuvPjE#SaLf6y366mgkmfO%g z3*226HTE5*Zyrs7LRj=6=fbVHTah`L_6ziW%s!!s%ubPWb0YihZL%OXz*@hRi5sSr z08M}okvJt1L&mHibD z6qz_Gsh&Jq$A7l@Cr@1|m0C?r&XH+_=zosFi$D8roA%Q!(w8V^#MKLNL&gN%LS%Gud z&aRwD& zbt_!$0klb`a0tJhSE9@Do#nx99uAAKbvL7g+8@mQ{JB{3BUYd;LsZ!!>jkU)*RgGo zW|Er-^2-vA;^{Vm^xLBR4=d>EpMq89>y@~Qr?)nHA`C)szlhTig!9fK8U!2}5Fj6J z+asWwv#fSMAVf?X6uz~*&M@{)>XbdI7a;kD?46OX@hDa@8WoLy%o$KE!sud6)QFGj zA=l`yV%<;~Rki83F0eV<)~gn2Rr$pOcKX~r?)0Kh(*}87$N!P>u(h^UG5|?kfc$gSS=E;OD^^_N z2Z^zo|H0#07ocYAQ=y*eMHhAs?G7bN_3IqzDn(d+0!n0yG~q1aUc~lE5a_tYj%7B( z13#~U>P)NB`McH$6MOh$<%J*LD#2-&-=;N?Dum<_vbYWpwgRv9*)CFkxh?BjXeE|w zp~f@EL_FUfU3p;7rAcm&`CG1sR5{c0uXMAMFys3p{QBC;IBq7Ma;4aQH|23CM-$5{ z>%TzUyKW^7K)D}5|0%?5|Dwpx#fXIYg(r{IBw4&uYn*vgDx5zG8xD6}`mo!>fe$%G z5YORoqE{w5NOqx;4?|>dU-46|%)5bj27FDfG(|hn&ma)Ph6+n33w&Os2wU?k?Y>Py zjjg&7UX%}Xk8XykZTB-w+1*dcwCOR;B;~=N8`DlqK^27Ca^+3mAb!9FzT($Kfs#x`_K`owq-hp- zVhni%rh>z1Yta!zFVn+l@E_!@veW9Nhm4N>RzmS zM2zQnFvQ2bH7s-7Go$*7qT1}?$vQTz@P6^yUj->2p%b9jhM>XA6d=^!kc5BCIf*ka zI(l*GE`#?{d-X$A-v`qCc+7Uaf**UMuGp!YKy8v!bieEo(e!#9495NGY{eF&b)fY; zIm;MGRSlnLOMd_-ig^OECZE_qPbQ|KlM>z7E!JePhkvRTynmijfRDeL>&>v9Q&dNz zL6PApF5(c~dJ&LOFql2Vj(610q`=s?kk z+nl;i(j)=6L!s05+$w@aga_9$&=-)>0|x14fM~7ctrD-gw6^vPBQzR`%v8{xLN@go z^)O~K)GkNp^Ib$sWI)GC^C9Pg4t+ms)01(rxr&y7{uMgBx|3ZD3~^Ljl=fXW{Pw^$ zDmuj@1j;vzT9~^NKsd4K>F_c|MmUgrme zI#D}YXITTAUt%gHASNLwMWtq8Yi9g=Ix+tDL#1D`>uh9>PbX{VXk%dg-#34mtqCJO zI|u7;2t}y>3ZiCWVP@`(&rJWHpw=mh?|<$r4b6;IT_#=_bIpFAhL?r|g@dmjPyf`U%Sz+U{n`}qy0 z>|y^q?Ek#Lf4XtBuy?lmCEF6t2G$lv0=8z>CclH@<^A6*{Xb*<|FU#OdPer&0RMk1 zor!~<{r|A^epn7Q2uP=V%^5RTcx(J0(NCc#nnVlKbzR{rX83?{j4|M6MJZ@F1dct^~_X%i{Zm~;lib-Y!^-qO;)+dKUU>N;o9?_ zdiWc+FE1RQU5I$O*oC87?FZt001(Ql!U5xEzr}No19G<+JBLr6jTnk8FVzx+ZvYX7 zU08Sv{-wY{ucn;60C#s6jZSk47Z1(!F>k;JI;hdC6ilB_KR#};goNg_(~a^KF#;TB zB+?jM;7xrvkxgCyOky>gk0}Kk30me74cjc*=io7Sd{Ny4t`)d-Y=S(5u*xm!x*&WU zSvUO4RnIF4Rn9(#v#YVIHNH&#+cBp5>yGFF(Kv|kt^+Q}vQ<~_Cb_w=dbD)?cTrL$ z__O`(D8M6G)Bza1f20WkpO4Z!4>AD6^;X&6v+_0jM4e69NYET4GaFLd73G`1Ewu@M zQv($Dq_vA1K{*Qof;P9Kh_-b57Qy@@+bA~(N4X}Fjje$>m<}&$RSWD015&-R{}d|n z8{r>kN;^dj;$$6L_bS)Y0>2+a=eZjf7m0wyR`3BwNd1{Iz}3|Qai65NxNi&Fn~j^S z=o!kCBwpN_=_y>^1ZMu%5bfrX-JaX!W)41V>N<=pj-is`lB(t%_p{mLJGJk<=N=ST zjna!bg7EhDz1u;A?PDE1grk#hp2r6GzF6SRzsHE|9pXeOJ@4CR3Bt~bo@|b1wd6I9 zeO0Z!;;gI4&kJ&XFVXx3dJj4n&Uuy(rF&dV3DC-M8E32k=a<1q*TsG1KA-1lx(^S2EYz@RGGy;!d{_l~!qgxbGQw>E7tTk8k4pF2f^X zQK5A}?hI@8mBF;1&ab?_ho-OrU=flKKI znE2mJl1Pb=JTmwhCy5OZdf&W4wRPQaEKLO#+_&PzE)H^=TcGb=oZ2JRPx{1m_rfc~ zl*7~@kTmw@+RdbO3fc5GDq-PJ1=w6mR;^OJToezSS*wj|zGHUO=8XG%7;Z#6nESN>g~njk-Z07r^xx7J(j;UIIjbz;;$u81=4Vv}nQjjz+CPF- z*;P~6`{#Oadd`-GXTz@ws4CC4F|Tmq3f+O%0*ul%A(3s1m|Hg>w#i*{vy(-imI={e znNNG+dg%!SBwiJJ!Px!c+nlSE@^}FP-ID64SE+9b>s>*q8b604d3h5*E8VQbbrHfK zD@@gWn`o_0Zhe=S=J%kvi6NVpsHos26W?j9tUtsQNI%?kOo1D828%haRdwp(L4#li zD9GFMm0$s7Gb~IleCchC`5XRWhIKKUZZVHKfN05=Kj+B!S~onK^XY7-V|cS4#xw}{ z+V*Oi2qwC%i%6yekabwHV;T)|{2hiCE{zc6FAj%uPN#Z3UaBd^nD{_y2t(G=&(ERA zaS8~1@>5H@+>Hg&GUm~kH6Fi+z)ZXLxG89F$O@_r3u|Jz&S)IG`(t^J>q`)B{YtM3 zm9WW-*ZhYm`8i$rwBoE&&31l(&-{R&Lnz^)}pMR!20T|;em&%RvfgUt2!^L0oESQ4(96? z*HK8qq;O0h9`Nn$h5s)miLZ+;`Qph6N%^yzK5>?y6ZMw)vy}ApX!tY#+*SYIc4Bbl z?>a+cJ>h3e^Jha^Rn^mo6IXS6PcXTId8Lx^QBh7H3l zpy$MN2Fx9*7rTURdqg38#K7BV_LF_lSm+?Ps4_aQqbzy2vubLpJ+v4=rh>j^z>kVA ziZaW_(i0055n^>4CHd}f7y3*GZADk4+vcV>^0Pzl8}2OB`0xyeMK3_4Cr?1(a@1{JgGJ-toJ z!8rC=i8Y4Mud~g5tZDSZ%kBm^O)r~AD#!l8Yt_CCj~ZCwG=7r12Ol7Jub~ILP$p-b zVVjXvl$TpddC{SE0!Df3zn$^rKBeA1y36o2mi8-SkG=W{gU^<^hd~F}(EqZ@m|KYd zajk&&#Dn`W!Jz-202JH*fe=bAhR*+mKmLP47=-@cd3}6VR*qlX^FLvV|2@}F2J-?? zHkoa4{{W(JfR}9CJ}CVjw<8kLfL!s~G7?fVixnN(Bi9AAWkrv_i^X;vbjo~VX%b%J3oUFwqJpX-)_y<{KB#3d zHpBbajb32O1{h{^_;p?rQCPHHwLaY*N6{V5J){fn&e(XZ8NV+re`(6xZJdN^@nMev z+|06ltBKV}q~!(KgtYNe1s6IOq$R4)WdaLM9Rh5WTS0nj#XPo0&;UJ#3s1ZFOWl6*q5-jsKA6{9!R_@T zaOoUjkNxS0h_@soU|A{^1VvXlR}rnrI03n5LJ1zzj_G-fvQq=JHI+}G;U4X-fX_qlhzYAJguq~=ypXq5G30$ zQ7hQHaxq4yCnzCJV9Y2O3gtggnYXGdg(3)5K0n$Aa-#OumaAa5`kuI=aI8=)^sAZr z;A$+&1_-J-FK<0pr6{}$>7$|>YbFR)1Y_@MhJ_n0O5ccpgp~yw4`3t2h*qLeF2&Zf33O`MC45HWkgg8?WE^%cweUnEpuNh8_6}v0 z`1qlIO3oBSzc#^lx>h&zhC;zxX`&7hCu2|1$g2I9gTPlAxso^2M9iz^@4^v2T#(50 zSRAgVhP6Q?9TAJJ&rZ{}zWBYO^HfCNkY$8|p67DRFzERTKDr2Yx}*V#PA<2Ty`FUO zgbQwm3F`3Mj`>DvdqvKaR6F=-pT5l)4ut7oZX{PDR<)VcCz#`n_p{(EU)%^?SGUi2 z%6V!ixhA|#@}Ynx2AZ^vG4qro8wn(my^p@m#LsuVDax=%@Ia@KBu0re_@MNMwhYsz z;57yY=jXZZ{O93x{!H90`P8&TW`31ozPpIY^F3;LS`)@FEvE+D=NjW+*fDprOni${ zHw{F?;1(0chF|zs36%s~9}W&C?h;bQz%@lnEE~XkJ<&Kx+sOQK!#V@oVq2ps;9-bg zIrHI@S1qg?g4T7_9eHH7$?D-tLU)uEXlt1;j;x`@fenT1PgPe*bm2pH15giP`{FRa zqEUUQa~X_VY-m*h>I5yLb_K4QFFyuBWmFmHfl5;b)3^>o!rpsDdm6|><9U4VV&X}4 zpkp3oBq7oiGBeO^qW^~Z$9O>;+YiN3lan zfAKiT3)B_YS>(q;E>fnXFe zE7hhW7c%T^Ty>b47*o)7NO?#SrJ@q~$?i{o#KOYQVZb{}HCdcRroT|PlCl{(PNlhZVQ$sY7?ucr4xjzb2_65# zJlDTbJ|!TPhF|Rd4YlN$?dQP_gmt68`Yos^Rj$MXs~`hq`%TfAbL-_3&nYEMxF?SJ z#i1m3WwaH^NO0ASN;Zk?_7(tDJ-N$Sv^gn6e^VyJG>w84vV0DrW0d!e!_#i06^+G% z%8YYhTT&|TlhjSK6_#s3A=tfzjVDqjh56-hSCDx8OF+Cl5>jw74}n#5?P68& zdTA{nA9axe{b~uI_ood1#YK=X(Qs@)m=pd2+71Io|0vA%tg-Rw#FIJW276HAV6f9y z=bV#N{5hNuHV1|$iHgAa(LDNG zZ%3LI1*X)CJbq9%oF1uuL(00KS)x9Eq$8Ixv5?TkRKLcRgw=JJF-cFg%|Y~|dEghI zZ* z3T@2zil8lG8PO?(H~35qh`-i;h0`<1ZoleUCTWIEUf%gi>rKs>q2*uuZFA1nMc7*r zggyH?lSkCLgf6&%kEJJ$fpQY2h@t1-lFH=fqIzhYz(aP_ibGf7mKxm#ck0znN3R_# zi@caB53%ab410U!Z?n0QKgVz{{}VRIEeaF_FMq1mK1pT+hWD zsJ6)+>BU$=kgbCesz^G0-Em)Jk_JEdDcvabysf?X@P~-NCl|#I1hYDlny;b4Z;#8F z71619{MtWHfg0Kdlu@YcTCSF(7jTx(#gr2z$=d}1!wF(Jc@~c7va5%E!1@Nc^2XO? zzR9Pife3Qj^UIi6b_;WM9v_56gOM3vpVI|sUmsQdx+o1UkCw^b*XCYlymZSsSU=&- zmT-QEQ(7m!#MCUgl>rK=2EKJ!vrD4H+*AtlaN!0p*eSi`;V}=|2M3F1rC;} zONvk=C|;Rly`Hh5Qw5zzTvbN~7v7DkbD8CM%@hbX%DXCUQ{`8w9yjL~AAVmKBM2~Y zk7wQWo>AH~d_3!0n7OOW`CouQ)VsfG5FmzR*Ij>dU)2Z%@9ns0Q7#Aq(@gVwHYht$ zeE?bcc-w+E3)XxA&eY_KVis8=hBr@o+7>TK23u(H2MfHU^+J&a zr1xE(mEbtxv~zC)u&7zT3x7uRXeTT8XsOL&{ZI7FLiat7JJ8)e<%8T>*RdeOVn2?I zhWBC%i1qNwxAQPWkTH8`w%J5&?yD}W_<1TxMOg$0)eHqm!Bw*TCUf$tEb_za1jZrE zZC6`Y-gn?1IpSA*EEtRSVht(nmXKMFU`3fr5}>q9(K32pS3GM_emcDYZc)6n z&pXXDcjGt4ak54<>nmRvaX^sLGyjo)))YD*CdN#izxBtehV^_C(9vjMj%{o3{9}^e*0L6hXR{Mv zS{HEbXSauMg7=dDt|-a?3Vgy-=+CHgI*lL!|DoJ3F}%I9)Pt( z%VhEOl#jhfC^`9uc)Skb7e0J<;Ct{fT>BnvN{g;=Z3G&v?z?-^sqX*>ftVE`NSb-0ntckolAV$v&) zagbL$*Xh{L`P?jD-LKt~w4CfTy-K^)A9|&%qBP2!AMg=kdL|dBVLQ36F%?r9J3uz<|iy z4KPRw{8}-56HRNYI}21}agC2@Ho^>KI}jP?CO)5P!pf$$k9hg zwup7h-x4xxa@65a-)ls{%4T*P*-(OSI;5GDSJ|<^>a>oSfY8q5F4?jjiY=ltSsptb zdbE_{ZLc`&#Dm@*V7cK4z-()1$EsW@AZ?43$tPBe5K<}UAYYv`nforudcmJY%g};6 z&%J5cuilj@o--Gil(ER?gZ&y^IvGZZK@bf}mQNdJ{No@AHyj%Hw_!d*%Yv|W(jmvE zl8L6za!w2i2$EadO$H(Ag{LwW!86#b;zU%dKwMY*PF-`p2(De$bEt50A3(`xdp9r#Up>CaTs}5EA}Wey zH)N&}$qAu=>R{(bcV;>Js?VD-zP3x*nH`~7dvrNxQYh2w zqhJkSljlfuZT+1C$#Yb>c6FahZc1^89abq&MP;YuEw|U4P1s`=ijKj~WQKd3A3a?9 z&B9#SE_py&nuJ$~kg>B~n6Un5{y;%@y#U`SuZ6qqJ-1ccTWC1^L|vqrkQ)wV{g`5> zL$HaIGK`|A&B}kO)9qa2P&3Z{&}ht0EQ9+tx#79kaHL3uj(D3elt#wQh;tfKwV7)f z6uQ;g!yVj}KVR#h_YNF`Ebwwr(RF}usIoCb6NIwl77f9uq)aW<4NN!fPx)Ni+|Kd4 zPv5v$0QJ>*46d;e9{*5@$czMsS!lD4Df6ugw)X5Q z$@Jm&cY-+dq>z6VqfGBO3_gDPC;nDw<>q;3D;z{sWOV9cCFG@L6!V`{A-jhs!EqKh z+lK+?d>+U|`O)mqkr?&MNfSc!a~O+L>E=CTr2G&QpBi-c(P z4#?BXmAEB|mEG0r=MHZLLZ=H5c|P`mdMs8r zFs-WqY1tnIfn3q5wwSo(6{`v%y<`(c+XM8l6Z&(72tRj9W=n&^q7ByflZcWv<>m#| zt-i?Ubi#eqMBj>Qwy3I&7D3Z&Xp(Qo#UioDFR(o2*1MnD-t=*O2)+Z!+jbYK6I9zvfgHLGXPZKkE_$G z4o+ip;1DetKmKn=w$LTdC4qiPMK8!b%-3ih!jfishwyTH-bp6h(J7sU;snD?BzrF* z*6$q{#v!zG2DG1*ivkJ$T;Ogrdj2@oKMjtLQ+ZEkM00{-4aT@-C=R>!bcxBZlPyG% z_()peFsPF4O@i$}nI`wxdm*sl+M~^0Eh9r!cWd-RTKJY#YAwj~p=5+(Yz)8KdLW`joiDc7|R*QhPViS*17l&ecLt{DrqmgkTm<0A~3=i~sbPRO~~zX&RYoGo9yb zASGq@PBzC-$h;@3@7g8uG14QY9zl$&`Co%tniTSAMTl3TPY*2ntGKtj1A3<92Gh<< zHyKYRhh+?|-A3KXuRl!wV!I6IF&p|2|G7(f<3qe3omFyXSjdWqQ~!$2(AhClYl+ET z1H_q?OQ$qRWel0AMyh=7j02Q8hHQk)v6%c)QxFp#{sNM!gf`ztHhV5tH+wAb5pOkw zzcPen!zMRG*NsUi81sEsxPcXJX*}{edQ%O}oly&YOPk%~eWR(pak{f21-_N4`Wzfe z^Fg?(_%pcf_k|;2y`N4t-i9JB@9MYyB48X-^5U?YF4(eKA<{Wn--?BP5sr{t^t2Lm zt;l&ZgBsXJArFI0gQRIf6adeF#P-cp__SBD49E6bm|AEE-EP4yIy>ALc5+M-*hIP^qZZIh3DaDO$zKIklzxW>+Hn=cjw`;i8BCWu8lkh9p=Qce4pl1|HK$kNix zoYJhcRXaNux^^ytu2Mpxh;EX{0Ki7?>VYg(p#(+Oe1ol5Dhdh0Ip{#ndfq0kibL0au7}35={Q z(jAYAo2&DvZ_?U&>V1$c=zVD&Yi`q5j9NFPEbO zts+d*`ux|rT8wBUtG7C=YrDU^K839+j7(+fNxxmX2;^JP_y;<;9=Tuq!oINYF>BO! ztxaT%&9sy>O_fckr(MC$R4*6s>ogg} z9_zWp_9e*KhgGodYBJ6O=jW~x?^^W30%>{lT05aB?zSoFtPYE+kkS$-E?^B8;xKAB{@!7GjLuRtTpL|e zqohI5L{b`eO$4ByH8wl(m>%FNmvAS=FvoEj5=x)Rfd4QPFuSDPy-XXAf$VRk9o3=lk&~^CayrCs0Ye-o0azyTvQBYmu zvT8-qXEhlC)~Zc;0fg5#KTRT!`)z(-@Myp^z1;+=yz2H3C_<40C9$*zYm_@f&^+b_ zG<5!%Oc6J_^WjUfO4xY+NL_-T<+q{>$FMP~S+alqTZ9FfUhp#F9T2h=h#glFPXxy} z@<6FGTrzG-{#~Sd`ka?J=2gqP0w;9A?gn5S_3~xFgMk)+HXhgilz(FXzvrJ=I9UE~ z`6u4$kH4S#n)fBO&uzPcVSAX*i8*~{+p6eSfgcEQk`l|;qU~7`1XZ2*Tn?<9CC{Fm zOV~GBZo?cgcN)s2B!RxszB3`d^_4_Q6060a%?mMV5@;3F9~8sVwBOMl;BKN^rJM;d zk*^#57oBI*w)C}IwByJ4a;nN8pndt(HddF+X=w!_Uw1JV=*}ahMh6MY-o0+Sknn+^ zttfR{4CMKxXah%ZbIM^z+HN%mOIcoY(o9w?%ss`0G_K&IRCh6OUeU+6bBpJ&BYCo# zL=SV=w(mvEOo#HT?h(<{ln#1<$?7HN-FXc7G;?tWVm@`$FrhygZ}k zjLvh1R=W>WESOx>R}(VINxwmwC3oe>#{U6OE5Y4&3np>@X=!Fm0UV}Hc*;ta*LOUz zn9VocmhgX}nBht~;$=8)kzw4zfKrJWgv0gL$QP!~uo!+(SEKFTlDg?uu@OBpFZEln@IXkg<$MN4J!svx#f-(r{SP=7TSOf`g$ zNUL~Z90b)$W2qV<8VMKy+QO|Zh1XP?yn0Q(9GG;rtW%!`L!+L%EQkIu*P1LO;W zgY6KQimVi}C|n%S{~o)=1*ic$@{=c||AOVFsenOPZyAYtscWRMq)$&uzdiAY4-bR% z2){+=`L79`1;ZE2@3KtQy>$G+u-4u}hha|F{(Cg%TEy!+!U4kDIPwfYzoLs$QkCMJ zEk5mSkPcC?O0oL&id>!vd8?+BKnDisrZ5n;%)O%r+|ffcaC2dA)9J{-&0np>*IFxh z=NO+xY_bd!{q()d_&BpLKk!p&$H7xgdBFE|_6PDosAtATGuDv`%wp$9`s?YJC|JbMZ|e!|85?0?*qza!av?7QJW4# zTCAZsIdw6`T{pi4s5uCc&#d?-v|L=-S5JSrdJI75xvnWjD~kvxS)a8B3k#TArd?P3 zhLv_UD_~qFQqW?Hfe*3~=>X)%9%*lA9X;sQO<5e_b78@^`igEg1kS21*9E;2ePw>B${$&d3mhec&jEZy?>)b1o zf$4}*kXN0!bMxEi&F0Jvq%*JkRuCk2{&zB8I=_%8gz+qgw%rs93i~u@h|)RY?)#l&A!zSsc-0LSuLkk$PJBof_(WvKvr7*}Z<5FMZoV~tZ%JYBI zW==Tht!e);m3h!Vaeb)o`mZx3GULy~#!DnLmak%yMv0vvI3G2p59%)*6MvKL1jLIM z!09Ae9I|4DV`pCoc$91QCLm~A+iqDveM9?8a?{?%D6Rr(bs3m6*IDUCXfIwQ$N(;K z0Lrp~BOKjd#IV}ov3h*kNUBQ|lWq>Z%4p$iiiO<$s+m0`nlQ33sHwg__o!3(eUr_R z&4BL=ls#F>7EFi3wR~0ebo%qK@FVKlS*zV{><@2r_ z&+|Wxm8Vh4`i0T03b=#UrDZ}XMXqQB*opg>cm!K;9QuA0Uv7?TMY4xEG@&|n5A<3L*u)S)^_ys)r^g0JM;+;qdv2Hn{VOAH7 zlVa)TgOy4uMnv-II3lBMNyQOPl$*Ru*_b>?B00WWMOnt4JvuMg)ixPPD0d#s?;A$a znxR|Ny;og2_h(J`na1OfZW{^4UWk~nVbxIj&dBg{P(S>}`s|dL z!u|rZSp}{~a~byaY|&Uk=MR=pqlM4pS5#lwjX135@QU5#wdC6zeKVGUcqC)%a0~G6 zcg#iZr|6W~DNevuhPLDCS{aX;?d6E?t`8F0B_D6iI}RbQC*d3CC@#}ftI^*SX=sdI zyOEl_Crp>@p-Cw-mBkni`NS77-tvS11K-65Yg9xRwmm{uR%Oq-lV<}{j6QnwkEdp} z^bSyKK*Q0p8Zfsr$FpT;z%O5TR4zp5NBeNTesAs7 znh6{NgKQMl-R)1V80eUTv+`I*TcZ#q;wkKcOBoNI4yKQR9cxTa`uqnM9vruTbOzvW zX6QJ_fSy7TKi__ETcv*@5zhz zkI`>&Vbsq%6^!|^M8W0X$or)wxc@ls|Xx}oWARl-&>C3$;_BH4=t}A{TBv}E~QZuD~4hOT*;BmsRA`{8krH~-jAcuw;dM%Us@DK7PplZ`W0?@dGO-yj~sMq#NP<8{P_T_iCwTFecq~!$Gy(jw)cYfW}+3(B)w3(nOajHz`i| zhWlp^qtzVeAnRmVMfM^w2(77D8WEe2D0y8NdfVC>m>TP}dTt|&THF0uiHkyvXG+UyYDv)lz^%GvN_Ab$iD;HX_y!EjR#%*f-M z4VMJ`LDx|KFm{=yXE8~$Fv6zC7e%Hi86h&Z@b4c73SgZPG$*kWVUeRbJlPm44gdN` zwLZg4T8pC!|93R#7hN5hGV9%5_sdqCcrsD6AfgZqKo9CNcqJw&%+m#A9x4Hh1p}VY zC1Dqfsg+??Rz4>B54nXr6%8o?$s~)Ky&(C2FV*6a@-<+Ow@#T&zDphyy=0(S-BPIEjAMR5BXpP$>_jQr%4qDEjwYy- zu@b9wbQ&(T--6TNO|&NkzOGs>@D&6Gtz?+838%d@EQ{t~lplX0?$6UUUSQzYiw9-T zxmSnkW)5e7Hc^;s8+l#oF0>O!v)CCmu+M#4&M%87uzaJK&h%?Ng#$PV*>oVpFG@AU z3g(Fak*NDoPU`Zf>pIJq^^6w67*)QPZ3gGhVPX4{ZstUb%5|(=c6H&wZ1kZAASCeJ%J86O`cr*d3N#QwV}QzDP6b+1P&wL0l9D zZ#552$}kq(R^Sopx1B%wPhs`N@3XiwUx-VXkXSCl?*V3w-%Kh%y=^B2wGVhU6^w~>wj3G+)x0BY16L#V^*lo9lWd+lTibdD?FYF6iJHkz$PEd*X ztZ;ccd1R(D)gd=m&G5BBfCG4&gZ@*ENm9cS``2k6c{L)}W8K-=JGsI%f}wU3_mJcA ziF)d^G=aX&#@|EO0iqw^juu*^S~x<6M3%HnAAn92{-OEzpY7B#A)`=CQL7E|kzWB+}Jo~^=hXOS*^^Ib8TqLD_aywi^ zkD%KWLy0y`c=x>oL^rED=Qc{Z0v*QiI2K;D_U3DVdQ zZGKxuB7Ku&@ODQig%^*=4!TF14?umu_+Fj9H5-ijLZQT3KfwY!l|j$702KBuZkJUlznnPJl-=(uH0S@7^$G6hHjmNI54= zg0KD?J!>?4@~MVeO^uF>C$r z!{$%ruPVTc{fW@IBgVZ@1J>#rWrNr0K`7b5=*VwPQbfQJA=CtwZxFAWpRiX%S9s~e;^2D1*?OO##eUFQyw*lC|7-3*ALNLAvbm4~D? z-Vis?{NORRE)pvk4L#XeOlgcq=535#mz{V!E*{inEMuux{q%;s2F*(SGUFa8T&HjU zy3Z<_0W3j7RR}gV>-GinxVE=2m_TaFE3I>*+#92|5urbJ{)YBqrX8QRMbR?>rGQEA zyo>8)iMsV%eLc_mD`gM|G@FuyW2+|0iK0&|7?lL!#`?aibL!9>!~Ewa$UeXfk%FK7 zR%jclJcSgfvGGNg&XykuP-zlQQ?MkUo@?_XzN-9h4vT|S1`0!sMUA(Ra|QqzsS)yr zOr#AnLgK&yj0mf$*SZ*PCT?0A`@!W}EB5^BiYiktnRf50-T~)C8&iWVlFkO>#0Rvp zq;Y|9gJ*G~34raw!3?2eq3=?m+8uI~G6$V&eleC&vVp(B&R-+W8GGVW9Y_Zh6UbL@ zb|-*vDUe)?h|eT$=H#m?>|?6;+OL8iY!-*KZJx}WVp}b%?2!``zAn--Td(C&B&d#2 z-kZyAymKOw!u8K61`vKRH?ae_70ZMBRDEwl0|ID^h%SH+Q!k=)7!#P_Igffz=X7GA zH^YL{;xn_&jE!@_%ikAWfwVN6W-k1t(@>wRH0lgYI9lqloLdgK^JFj2ytG!UD&FPH zH|O~G115nb1s^65md!PK+6{_Xb(Lq(G97bye>zT_1*=bP=g=j|W^te44kRvCzmoPg`4REd(M6WZdVX~j<;6TYQyf&FT#aa-6v zn!_3Oo}@69>h0Kav7*(NQ{&3!9rOv<>+0=Kn5(f#=u6GQJj1jveb|Dq)ayJtjfo=t z8`XSAg|!Rcv}7{g=YPnkPwJxb`mh2|>lC))m`y>SB#HdI-++SXAfST@)?8@qaG{+C zJ=KEKSrPYLzU*P2HKl0jDI+i&*FJp2VC04lw0^djFJkY8d1?;AS~{MVPvtcr@@PjL zT%X>pD<<2nfc*QKe@U>`2%kzg|4ox-^!WSrnUhcp`~~y8IsG=Ht)Pq!Xw(M8M)p~D zkKr1%T*yD$0K)UVG6i5l@yvj;YoSR_I4z!O(sN#D1H~;^1@VWDRw{R4HBn&Bb2^2! zs+L%8!;B%pX4`jms^xEfbt7RWC7AhC%b}Bmn9S1)Z%Zs7(Si{^6OQJ6X2#$E*4uU; z0t=KfUHP)!aIi{+o4c2>YO{trs}?L#!h^7f3LC%}aG~vJR`c`&hg$1i(?f#2159{K zS9FxwhpRw5Fh6&SNtODV&l5_YdK6vl^F%O0>t8DN|>m(diPe!O?RkbCa)cN#~h+3O|$n@&q+j zbI@`{8;jX4$fWO67usmn+m{`rE~(-p7`Yx^pz5XV1Y19P_@nxC@JcObL9pV5kn+Vs zRmYA8M^)kYOPq|VB6DpwV0!jR9a9b`RcGG8bx+spTIDo)EZvC-kG`?B)(LfEiM(NT z-(+{u3urja*p}xr`o(Sci7hcQ5p0KH0!zAGp$y&76pOM%DSChAtytJ0w!cr$ zo+jz6)9r8IBq+;?H=gtsqf|w`&}0X&3c!}qlR>?O?P>i1SpFuga7m1V5lww6kJ3eR zkhXbHKwsvZW2B0Dz#Uk#Ps#Fjz5i0YK53Xt`Sb@W;S1)j95d(AykOnCODd?>uXvJ_ zHzoKx8AM+L?6rdF?8dI+>C+!i#WTVegD_6(6{{K44Et#-nq}?rte!cE!Etu>$8CZF zg_*EUD;F!3{R}-M%E`l)Ap=4qwdek~+dSw0bDRIa5-3hKcJ}{$3i3Y^C`Jy(|0bya zvkb{UDDfWn_u@%bq=71olMy;Tpg8&83?R2YBG-ig{UyC}4cjv|UCKsZYl$`QV4f4r zuvj!)K#y^cUPzs@mthsCzbGtlIti*DzsW-#n;*wzhY$8UpyMv|W_M90NfgS(Vw{v` zTN7d{t1!W(*7-h!sn5{ep+VwU$Dks4bA9olf%an*~#?jGHEVxwhezd^WR zX6^cHzk^(qFeIZdj7tL>d};xzK;-Flrs3Nw>}7P?B+W2^qy3R2H~aBgqun-8KfWRI zH72*nxURnkP{yAr--nHes67zgrP*B+(AW3b9cKQTKe^#od6>(H?fKtR7(}gV@#zSR-R?4{nBbr75!W?AzvD5S%Egb6_h;z z`Bw16)Pos+gL*tPr9#49CW=rlD@<<(N)9f95pDQ+PhJNz2yJMwlbJVWU|$8UN6~2BP%_K&*G*Wf&stuwB;hE$ z_t`fl)91qMW^^&7qEjqHdP*25SgR#_jQFCxvfRO;QZ6r5)ITvO-jZ*!d95nU*ubio z?Tc> zz!PWnpw6ikqVTLNnR+zBSR2N0n`N)gBwamzdfV(+2j&;LiDY)!tAEux3>I9m@4}JA ziyC!%`}@DscDne5OAI&DCL0TnO&I3&88RidWiyr&5NgxXwan_|Z@o?k@7PI*PGg~! zu4ZferIqi=^@oEw1t{mxz{%z5s(9y-}4P4J$zeX^DpGw9f%e_UDhC*I-G-(^`Iw{A@c~IInx&SXJA% zYd{&3qb{j zhW5D|>=%TGKtgqb#=UYvm7R6A3;h8vdzBF_x!}yElLAAnzO+JSl}a_S?qHNqwiMuR zjn2fg`)BA>p%VtAc3ICXcyYRIIduf1&{2rqEaHLRF-wz5O`H zUC0h^h`y?q;L!D=1GJ+YT)EmRc!IpRs%y5-R|A>z3y+ts(5GyDr5_?Fsj_IEaz*jf zJ}<{kWJoIjzU$#oh9q^SxB;EByEeZT@l_xUe6nnDE*C@Vzwi;*It8UbMDSvP4F zn}Eh5)z)QYr><+}*oj#s8+4Up zDkydEg1P4#_Nfaq>WBy`H93;Xi`Yu2um2nwD3i&4BCOsGreZeo%KU0^-QSlWkv=Ee zg~#kn()f-Vlr@xBIHJ97 zbpMc(MR~vE1hZj3`k2G&dzlO_3Rh1WGb*ln3N~FVtO>`50h494DYXv@;{iKR#}okP zzIZ41$8L2cGn6Bu$}p$~rH>N~IPZ<0R?icMR z0BU)Zf_*bNXctD2_eP!}qo;kWW}s95e%(%j$tWGHp@lH5*|_0Jb@3yHNszzosd%y6 zTL1(~=l*`!MGi(xlnfR~xWqR1=Gwx4T!x1jCWC`tlE3T)%Nnrf?-I&Cq;H~t4N(II z^c+E0-_y>Dz@d(Kz86XBD8vKyzyyvbt)KC}{x%Ktrg|C~q2=-u^g?}EJk-Dd(`zZ^ zN!wlk)3J-gRuXkW4f?qs?{u=WK#3tX1Zb`Ox|=!7Uu282)NiKGx&V~i^x$UGb&dEc z-{sMV5lRdXbF&S*1yTgGjh;_etxo6(tt#E=zp}L=s4sN8iFn5}xUnoRQ5H*$#^GE; z5Z)zO7h4R(YgqfYSKw6S3>m-t|Cxpmp!7IGL=!UQ(v8eBvIBzliw=5=y1)Eo*E;2I zM->Uk@3wI_|CAZ|=Sxz)%d6$e_wjGj*rOk!?Wv}4#%}K6e=pg+$!4MlH{r{ymAV^y zB`a;8K?=2RMMvCd`cy6iUf2syngxj2IH4E*}t`UmgZEM8bkyzWHINvw2 zTcpc|HW*>^7(&Ty5@x;mI-^{@;q<5U{j6$$Pi3XAwUqIZY}I&s+zg z!Hs=-TM5L*NOn}?a6k|q!{a_aM`7+QbWsYJbXz)A?**zdZrBj-kZQkJA$5J$l*HrW zsPv6l$V2LMeS#OM4Lov%UsO%+BgX#`x#cdT4|DoFJ$u0(f3{N6t9sP-*46D?l6$G( zP!5lM2V(%U-sW;(Z40Knk7;VPNQa(2#(|)rGD5y0f4}R!uo}vZtrVrWj2)5(M%U1( z%z5)K1Y(N68A+uwB5vo5FhBwXDLv(P5t+J4vnASnM<*a9uDm&_2HSq-fv|w!Oy^37jPXLAPVgmJ)`8~imX3Zn3 zc@jN&EV2{C#75Q6*yip(ZUPrVctwByD3vP5m4s_3ZcklyxXhJ(9K9ambBl<)xP&lMdQ|_8Qq{BWGMMZd=%tmYsm-d-*yv zo&{r@>VyfgBzUKjpC~qzl)Qbl*{M!g(2l@moLstD-}9w(N#;#zvKk~2^IR_hFN4Lo zg*V@e|B^3xdmCWzcj&>ybcD74L(?<#PFRR=Y0f@AZ}#0k2MEIN=95YwBZ|C)%y3Pd%V|r<7GjG>T*6#soo4 z4DMoA43+(Pjk5J>pv_4?YfuuF82y`15Y{jvOv3$oD)sv(NCYDp2}P2s6nKC`@b?)N zkxYM`Oo`h~hX~EvEXTE2NRGyyWaS#&*9AaMrdG=94eu4&0x)eP7}S-3oy{%3dSYi; zM2hV%14)FLZ+*2Ct2m7_a(dMT`l)j0IPHs#<#inH#Vf*-+sU4$0j<2Qs7v%k4P=ep zSeNd(W!)lt_FY-!+Q?r0X;XDA46|vXK+z>2~)V$1g&>3#;V+NrHRzsC?Umr@fJJ7zV?mwpJWwRrAQTr|GFlXdDJm zRWUIJw5IpjzK7=`XnSvUdhOZ8s1~hY{vdPT;2vis=C*F_`Zz*~Z!?;JX6NVOR9C*4 zwOmm^Pe*XHDxIVNgYyfbd>(hoi@H;_JeVL0Y%cO~LS}J?z$@k4y+jI7CGq?YG@W(D z*tq5b{UUX}!A4lPBr@<6D>#DXR?gQmfS@CgtQv#~n-pc1@gMp9L7MdfQ6nnb-uL-d ze-%~cyk*93Jnw7KU)^pvql{eT|`#uj3&@=lo=r%YlaWrkVCd zT%2xs%&F$?e0h#EE$@gj@H*U>_(5YZ#M6mw%|nH~o<63`^I!SBYxdnQcXk)i|5;Xo zNs{^a$Pn)6Db{8?(9n2eS4B&W!lDIEDFEuth(zPB_EA{JZBoF1GbdF)`VgOKucLpc zVVgYE9(D(AMLtR0=hZs-cDh7gqH4f)5G{7kJiCPq>mVM=sAuxjI@iaxwdiq*9%bhm zl2u^)`Xk(FG9m&-a($mN4`UpE7r=V`z=CBAa>Lx=_W}KQku>Bv6N;7frsRiYWy1<(Ulu684a{8o%j zo!PjOlE2`!%9t=dd~%W)k^he~JF2@GA;E}-b0)Clo99z>vA2J?W~|abJek0nTD%~( zk1WEEw9L10`c9MiNnNfcbe!`Es`PdC?7ch&$ekxmiEa3W*&7UH8;Dr-AF9NT4wVC! zLp2RVG#s}*#BquXH(Zc+j|MnGV$_0-mY)O?Y?}#+ABu!uj~S7IX3vr>J?8 z%k?58^@;v}Ry#|lKm^&)RBnS$O^Z@-GMe*q;4$MJ&!aArD>6V4S2p$2Z$zSe4&Qg( z_bP-2?618xr;PATb6QtF((c;|iA)dPHkD}&f{aCmUixRjb8EZwc2;oi__4q!#{{Q@ z_uzjiSdewf!u3L)mjgnWb1s20?1(P`kR~eBuNGEj=W&=F=kDK{D`r-*_5{gIov1J6 z!{DWe=nAsO_rcUTklXNdn0(n3hBmErZ1K^zbjUdFFlftMV!W{Vn6=E9k;*A-zE#2U z;7{y~Q>v5H9?YJ`aMIl6&G<7`FmPfJ_05XbEc7phUj|XO5$cF6@U3^15|9*=LJj6p zYQyX>Nsv}@avY^GONr-@DaSojD9iclO{f$*OaQR9sM-6YO+B$4h}pllFd@^kZLT{W zERTlbxd042RxdqAog6IyfT(j6B3c`+x8!Bc8)DqgCs>`S(PQKY>zr<9%05HVt0QIF z4v9#tP+Ca7^eA!>AN2wQBNzF)q;pS!uHF)}=(*X_;|u7i3w0%Wx78?~y0iM9q#rj^ z^J+hGKbNCY2vake<%U)56-p8ryvGoFVfcgW+~_^TNo-AudLHJV1e#>=eFtQIVP|WI z=+^lrc>Qocr9i^J=--Z#TD_a;tRT?~Ale+vR`}kSi$mb3i2}#xBxR|e*w(GP5M!ja%VE*O-1~*e)Lu(}T z$$XSKtw2O24?^D$>KGIgDpxzGVR602yjP_HJ=KKPP(R?MGN0qUI!GS2b^hrkFSY0B z&h?!WY1^;;#>r)(qTi|EJ{d**K7`IdSVP62#7fnBJ`F>&Fkk-lb4h~m>LJvK@cxL- zj`qAY9R-6Yj;)pZw_Tj^niv&eLwBrXG2JuT6IjjZaqKewNVT=EC6^QVK+o?H(xNj? z%j}qMyZf5!-q6F6RwxiMQI`@T>RNeHNu4B%J4fXSa+KQKzWa2#A!MDl`{3sk!mW|Z zyT`X~?v)Z~-r*d==#t~*Dop4=ZZ1-Ku{q=$SG~1i^wXKV#Pzuk$5HoHiU}fteUMJO zs9nCh^cCgoikNC1&ZhktwvF00bPRDi5ASKr0@5?*#lBB*6=Lnvt`HnE*`7QYaZexiU1Mo zB|2t2d~{km5A2R#BXt~~#*J}r2mv(>;GII`@utGzKB*sogP1y`G}0{8*4%_l&2Q^i z_%Ui%@IjU5&QB`+^)f{6!vfnDPbbf>)L+fmj9H8PaD91LaZBFl?9h*i7#9d)x8SyA zN~-x2_9wc!{1CnaKdb+>HjA9RrUjAig6jm;JYgVD2`0cBwLbi(cEo|8;JyAWp?aF#f^-~VihC&=hym^`EFt^cX#MHL^ zbCi`=A1|>AFm<5(uMIW!J5vnCx-@*DN`9u#oUHKQ>5lW5XE%81g`6+~ototFb<=o( zi`;86)Q=xpy$x&ym}|RV_OL<;gceJmNKAa2-tO8*U2k%MY$?TgsK@URA~&m?&+cd@ zfl-x6lQj*G-FDdej15PE#gC-TgeT&4<1u7%`k<(ceJMJNs`tO^f1BE1>UJ$3gncgc zCAQ3G+Lnq*z(s})p2&ek*QVJ8Rw zS6?N-d_tYP?ANSe1F*w_imMPMn`O?dMe3UG2a6Wwu#y z;(r*4ltka*c8wF&zff8FwlVaIJj@5yDnlB!8ctgpV27uu12rySs%_ma%kcH_3;(*c zXeyz7Fm^xF>>rt7((99e&!4V(ufNfG-mMMBhO;yDP|BhR-d7&9`8P8>#kQNY8^e_o zFKbww)Bjxc>)XA8W?hPW-6Tdc2KgO>m#xnX^Hc1tTiKK8eM^8xyuqt!*u_q>7Pfe? z$7}Z%T*5(gt$h%R59^C&B$gzDe@G{$Sk+mg-hv2XwQ|{WX?;?$aQCs?AgU}<`5CHW zQ=_UYuYwxJ7uFGob%z*Kd2&>=8Qte!7TYSh(D;Vs*eSo~MWve9SupvJpHNf31UyJ6 z@bYlDc4e%3{w{Q_hBx=F!A+e_uFXNf7*T{aIF)u$?;Z@)3Lmz57f|f<0gx8E>mE;e z)M*dx+i&*;x{rOzyPOi(&~pIy*_p1=2`y_1IgGaUNs*4tcoL{d$N#z?_R zTD)N=GhRG7>xhMCJ(5OF753St(_w+mXdKZ0sqxMr0=?z!`hHW$-{9sY^wtl$$2?|l z%!*#}E@M*8r-*LV)MI4#f@3x~m@a2qS>^*^GJI|Y>7iIdWjPfJ3Aa&G=Xk*NFMh~e zhe#bV^zJYjEejaoK3&i3c`ejb(}Iu5ciOgG!sn&^zN2JKm z!|V~?D(>uzu7~ybf3yAWC7k}3F~BY(K=S;7eRY{pR0^dyO818)&hnI_?lDsoso3ru zssS_~CFFX6aBXpnbW(*kv$;-jY6!Ad3|cF`Be}3x+X@V(#S{|eEzf*GUHWfe;k4=W zmXSY!3)zXd1t3T_rf9UZ5Lz?2QR#OOx~E_I=x2OxqIQJFk~F zq=Miqs^YG%jRmBxy|^>%AT*=3V`RCO}8(wBRQfj*`H0029I>HBtP#DKt_%Un4<Bs;;YxCyX=(+p5mr{`u59S@*ySRXAwleu-rxf z;joJVAdIMS0QL1mL6`J8Y4}xsgbt5uFPSi6KW``O2Ul{|r@|_2?TUfvs@s?WIdbF{ z@eT%}^-EeK=~=`MYS`l#x$Bj1#n5xa`tAqOQg>wH;2?TD5T5`2D)E~AR4i1)xWN>`*Y7pD4h^%kAUpYlB)Uhmeu zHS;9A{cClR=<8@we5$9!xz6v(^zXMS0?0{gw10w}01+At zyJEX+#3L;)oo5BYS%WN3flw!dFA$}&ksU8hDalmaJ2UMBO+7*SHViM!qbKNxC&5Fc5_463Mc=vH<_QiL4dH^nkRUR9 z%fzAtHsdA*+}%mVNhJZmqNoIHb0;F(6?DXeG}Tg0Pc zmj(HKOErc&fr={O85D`%lNm2Q)4@i{# z{K(NicrPX>HHZLnHk9*fl?d4hN>u>j5v-ZJ!5o_6ASgFAQJDrB;fu?O#^<`8QDM)6FrpEE**We-AZvz_F zEw%2l?u`l*OZr$zr5L3g$kz5bwQb4MUpm*uT$$!SGHL)Rz7hz|4JcUeEzRw>|QFFrw0IF>$HZN&Yz z1A0NHay4&7Scz^ojxTZdrlwW+hd-eee_nVFltuKzOs$PWHrtAfL}}|7gTHnOyB2*| zngLNziB(-b6Q55z-Q1J`W~gHN@Hmo5l?9kHJk+0aHE!@vFD}@zKI{ri-Ib7}b z5u?~^Pqs^R)oMZ3vY??er@=_$fL2En2@d^FJz4&EJRdJU>5*!anXtSn;iox|9POV8 zPk2n^@Y&{((_R_La?ijYK4B^Z>hXPm3-OggUC)FlL|^hqc+_Skq$X!a2iBAJU_TpzV$ePloSs!(dK&XWB z5-gvnG{k5lEv{J4P1XuST+YKSq_+*n+I6uDlCXpR4)Ec5Vew*=il?W{yQ+;CfenBx zHrP%e1RMv<=kl_*+v0`Mdz;^22pBz?Slxfzxoo!QJ5i`nd1%K`4zKNiG9uejG6PZ* zzl`E9GKY3n{OF^%KAe@LkUT%Y8b*cCuI9a`WWc*RKvTGVsX9DYsSjq_k^lz*)~_5W z9O;yCbsN7B>RY1lmi?YlTo#e1Y8(ALC9|LcbUd&M_Sh*4Z0lv5E)QV!lw41}F@B7= zU@oF*qxIVt(3pjxb`|9LHy|?<)?_&9dRUP5BqZ~Q3Vt|ugAJ`Y;tW+}4=HK29RTjm z{fY=DI2hl7as0c4PED}?$_tNYXDFEVHHm7W)|)EE5aB>|&)8i2;xPErbAHdT7{*5= z$yJ+G%qo9?iGG`sU;Lgoo1fG2!M*%_Tq-C?x@#PThG*x!37fZW+tlM$d7w6^CS%77 zjZyfUPW*`tdDH)u(P5~qG5zrVjd3Y^ZEVV3aHAGgoKCh-3Zl;L4$R7cwR?AS6!<)# zT+K;3+>~Vy{r3l*hrQ@Ct@#-z+pRY?+ zi{~36y5+>h9kESyAD2MVE1-AG{g?~hXo38VMD1Tf=RRNJd*~hjJ}K~YO=AkP_2yHl zJi6q@I24>V|Fs-6UYMTy@y^wH`(2NnvWgt0q78kYs>5n~tL0?L#}GrsCTU`D;<^2E z=4~x~>b3RyfMx3Y!{`%YV4o>o`tG^}E|W=fD-U1;%iTf+@y6wyQY{PeW>>QM=OMWl zBe52DJCfdqV79euk8rt-F@?$GM9vT{_+p48hm^UY4>e~#~zze2-|doQhn zVZrlQRF2Z3V7kt>Ujg&pyTDO>z!jMwJ;J4GBA4u%Ky*AtbA0)=W%JTn81(u=fhyRmf!^hb^Y{;)G zsi*>`Kxs1LO=rePmncnZa%YQ4I#=W}1-?BV1BD8>r6ipbC!85C_cwlU&RnBg_Q`8Q z2-zj_0>-PRtpXC;dKBcl_C8|5e9M+D2lTul{{0g|dPR=iODv><=yO7Fx1~4QugH2+|6AsnnNoA ziG4p0^`6}q)zk(-kSL23eLm>F;f7A>q-^2t>}#Cj*UcFN@gI>V-(G4bz^+~(gtMVu zVYTh2*}TmJaJE>;FPOS2WE5(g`Q;El-gPL#t5%l_NZ0lx#-~XhP{sC<=X=Ft=M@b? z5U)kGjvVl-m*^h{{BAgWJlJqpKqN(;l~T}U>lo{v5AVO;Fn|NMmA!y^r^W5ob$4e# z59Q>UYgMuZkFZItI|k>*>cML2s6$$=(rNYE1}{Rm&E%IQVC(hK?R1c*PoPaA{4%l_ zEPpjjQY8dCy;V_XQbuoCtQdd1#8o=52;Q7|^~l61R}rh2X+)#OHh*qyd*i_kx2nZ| zcyM`AHg+K;2}Q1HbuZUctFzVYI*beG;^rNAiHc`5>dWBhnjBvcYY%Y#XbnI1jZEO5 zfW4wbW5Eej5FkFw&xCAm^~Co4vln=8bIGyA!S(&D2-k$&4oBoJSdIJ&{=SZJhjZm` z5fzW9_2gT34b_oC&H*ZBE-eJvbX(awp&sHe&lllxsU26hA|JmS%AGiS zMso-OJ~d1Dhy25)trkt+p^Fn26^IKGh>XO4*VScED$Xs2v9dFATe-H;uPwgIm!z}` zFd?L|4~pqMoLxVO5iBSF>rAFzd&#Ba&RtHSrWdfY`v!LwU4k8~N@Xo53>s9anL+ydWOYJaxVo$@5%Dc6DJSfOiN!A6svaAfGX&70YtIL7}H=jf%dRgd}z^ zLodW!jdcwn$;~W)%NmOaQHTiuv5TWBp2bkr8%hpr>0H_#Ta?U@HOV2yH z-ZnNrv4zCfnN}Z>5C2wzTLLrf6Ai*;o|W|QzKsE3u&u$O9-_nOCX+>Iw*+)+i9$Qs zZ59HDIhSXmKGap*C)pW>eNx&udh_MW54uBsrR+Hb!~^v<>sc>Wx8dy{-fxNlBLo;t z1pe1in6DOKir37zjw$WFPXSSo|zN_UcI~j@ny2 z*CNY(m5L(La7W%AUCP}3#vVM=v-NwRr2B$GBj5>JI=3-!)TpEQemE>e$RywlKZM@n z1MFkgQ!U8kvnc`;z5=AvhVBToL{iQ(W7HcDKh-#livbi(T|c`|@}gRkU$d&RFY*4B zf6>A<#c9S&n|ASNX4&M=)Tc_oN}{`|mp*k>`p!@{Je3Mk7o8hy?q(0*$j2bsmbj+` zP=`V7W>VieAa)D>L@faA9cVQ2e4CrHJ9Id*Z7MXj=MoyI>LXQ3hL8xUL8PX6;)DBS z?uq9K^;YY?&0{A{kz!fK*y3=ky$-A;iK@UGfA)k(Z-R~JgYqg{EgK~`QBYmYlt##r z7L>R9@OWydGmnUNZ1+La6XN5$yx@(-R?hO+l+e_jKvO-~1BXIn6hxwD?oK}5DF@zX>n@4}n1}bOr6S4=- zs$$t;x!^j^!aWzL&CSGIJuuRBWl=~()+kj3N%KcuaH8BTh|G<1mU^HQP_a6d?-FH7 zQ!ofinaIRcz6;SASMrbE$zszd9;AD@xi75awUvb~;96W|IeV0|qBH|n_2L&(zj*uR zTwqoGOU>(6QP-L|BugENi1qTyhgN-{iR`W3V{fT98Hbu#Bv1;%Q>kQ0wVLNd@D?#Y z9?zHb1krT%?d`)Ua=8Xl!w2R3Wih6b)vIh1N_L~$QX{LVl$V;ss@bl9l$2yKMz!XJX_0ZYz#zQD@-CWc?6 zf#G7!EWJQ`Oy4U|l@dM+7hHMM(q)bQcsd3YvHlkvph~Q=zF0ZCa}+7%FubY55)bYh z*Mtn|j+km<0bOyS$SSMUlC|OTNUpGuDjzYdTj8BR!L8|o)FgA}NhaOm7l-T7ey(Jy zanUy}O8q4YrhhJ;9>rTNRrtRnp8Z9p+A1@V2HF1QA!@J+es~vbVPJ*A;C=LDNIZcWojm2;qrdLo!T}&o|mZZkW z;v}1Ky`y}@W>fGm{=}0Jl{aoG;bUNSkN4?ak`?>8$V%UqRD^T&D|Z_s1g#bKpPLI3 zGdo+II79DgmQDdAq?&wpc!^a-{m??9h%k{_Lvq3fFjIva)9} zqXt;q`_$A|u~(55s|O)a@ugE=9JoV-vAg8f+G}3hB6* znIhPQeX)zzx=k@pGt^cR>*hK?8!x6CxrSh})t~yb9MJk+!&ttSqjm>{o4U)l%=fGU@5m)ihmtRSd z?+LBHk5Gy&o>FvitKBg842|=LDhde~=MyBKFqD3)klTjSPzNTwA%PdtRr#6x6goe# zr);Cu@!8*R`kM!4ypWz_2Kco^Uup)Sbcr_*+lUtDMOu7a@l>J0w_CWxcvw;{JyMiJ zOtrF{d~6=AMSTxpNb`>amPyNw$8}lAjdMmJV*W9~Yt2Vlv3vF+y9t7cgv~(O-KN9i z8FCZt%y6=qR+OlJ6Hw*&_*z~g!Q0hZAe{1_V@_V}XO4n%J$bL~f1Qg2P-~*ZyYrOD zH*rmKoa30*7PRr6>k)7cYh zbil39Nb%j-ax5+2BNFhXD_~%zOnGy$%MQvW3(`#Jdw!#bLjl`bhMqzda}9Y)QR2vy zRKP%JZ+XB*sC!xVDS{G7m8TN*bC5s{)CgoNs~89P4@l|5AeyZ-4@XuKX2oxIfV(P` zX!{vE5Jet~hO9g!;}a8HLnDz(wKLR8VU`U|EghrG9HheTBPjb-AiKSd3N>s^Rj5?L zD}ti}VJ9f@ktX{)xdt-5HRo4KGkl~6hF^jS5F%sNlOSmfY@cYs2I93Cc{38V@n!VD zfcui{#Yfu&N>0qp7}w~=RWa%8QXm37isXQB7C{agM$hZ2cL6`yd6Vi33i`kNQa^@^ z;Od<4J9vGamR9VO%5-klvAHzcaDO>vAk>X6(TLXAz6cRMee`sMrWpEjyF4q9d`MI9 ze|#lg!F!=xD+tcR+i~xsJWk0q8pc*(s&SS7=3%uf0{@U1PVYj35suS@jv-BOJi;Jj zhBZ2EKbB+GuBVFmOhl~rTnheF(HfyEc?R~QRdLM-BbuZKyj3J<3_`#+9-dDB*@S?F{1m2FqZil$`1CBRA;fP~ zbm@n^gVaa~VFy?5Zg&~h%{-yyAx=sr#WzxY;IA0lo^Yuhq$%ql^~_Fs70d8OG*2q| zyWinZ9<)s4OC&i^=Ur08{;mlP^8`8lK>& zaEIL3={qvOHBS$2m4_Pc#XTseC7^{p@@WPK#FugUp$OXw6G@ck^)U&;jlJPLxDOrV zwIhWTK|=g)Z^20tz6;0HqGhOi70&r6yoq}BI*3}wf&xQyq=f&V^%jwVCIke^bU>CF z{MS86MS2Bf`-=+@Ez?T&D8LT88-h1UkG(8wg`6HyRDvT~NX7eca- z$q~7Hoxw#3uy1B<4ngwz`D`|cFMW9OgNf8gk>=Pe%U|0u1>Wl#EI*VX)~vu^#NaCF z$7Jisu#$F^#q0&oFX&c&M#L_N^X1BKk{FtvLF-j~(pV&8eRUyn-|68wUHiaUW^&Wf zKTa8zkGGa4ps@rE2=OL4Wl^>7AV?*T;*$Mi&>B4N@ga`k{Ory{Mf%(DBgx^)JBp$~ z^wT6FUvZ9sjjZxwmtCy~4s}I_Q-H}4=GJ2Nai2NNHfBRmLJ>snSj-ZDkr-@X=a}@R zvhuBa|G*H{a6ZQ(F`yAhdU67rSONF+n2_~;8dyz)Q|yur!NFr1hPJqZ*mcR7R z+jj&lFD|_K&nPAWbmuMD4tsbDH&lNH+`b^nY6UrP4PyYl(3!vFNoqH-zideO%b?V>h2TW{0Ac+LlcGfL+ zdovAUIm6!HN+918;~tx|4y3TPf%;|g8qXalCLW`AW)0a!N~YGaP@!JIg(#v~%+5%O(t;10%}x|xx0-2+~JnLnp> zYp$)|O`_6L+f#$PuQlaN32SICARA;V9vuER`n`(8oD$y~w@J#E<~{DK)a3ny%kltV zEC@YoR!g6+wGU@DcDo{-lar+@aDixf-;$YC6rpaYrY-PQQNf!_T-Lql(NbaDv|FVS z^j*>MwqPG=IlHTS^Un{@xF8Uqt)AjV8_qJLq$38BT8L7Kr{&8>! z?j!~Sz9eCtp?iaF%6c0VtG)6*YVUk}x5EIIo%nd5U*~Ci*usz9y$Zp-xzxvO+ zOvfV$K%M5+#B?_U?{&jq;gztJc}=)+N>IqQUjea#Xv4Y?EDb&+iL7oWoh^VlXrkV; z034%{o&WaeZE~roHQdAEl-B0$(B$3C&grv-B~ytOj&i*0j=@bHCiO|zj|a+|?R@KV z1}V-BxJ# z?Ri6775s^d`d7CC>`nmAUrGJ!6v;Yc?-;_KOt;%qr6vKFQ)~%#m$uTzkpsKAE~iZ) zQ&)OFYJavqWi=D#Bz-}3vzw5*HRJ7xO-|vd{aN};9->mgZI0;85^cBbwdGe2+cGe; z+1_JPAF?&w6nuEe&vmy5 z9!{eq<@^OMV86=e2jmEE#l(_fWzm6M9GH9Rr;V_OK5Tz-6l_{-xRYV5{ie>d2*SFP z-4jik$Yg5$s0Es-on?GdqyJT|u*+fyhlTNQszqscEDeSzLCx=1k|Ve|w+3O};&j3b zMr}2P7&kPO;R?)Ve|++w$d=3U?HdA1)6Zz);%xL5G8&tKyL4QCl|CF~Y*5QJx&8JC zt9?&w-}ANO$!)JZWjkme)-Z*J0fqg66=#j0un{g8Mylw#x`!tJ@_Wx)Pnv8#)Lu2? zeoZ+E%*4=+KDW7cpWt&qZ?!>RoW2@7alj!z?(ZJaAE8q=#(Dl00MbPWG^?ub7&JrY zC(_?kzAqnYc`r>5aQ@IX_%ewQr*$7h9@tS4|5J&#)t&FQJkn$U$e^On7K91r-O(Nf z3!0#vb+GL8)U;1$bLloDh+9buo2LOMdD0d^q3sVbZ(WfOk^0VnLk*oB$lqI5=sRig zDA8u60J)2Zdw?~E;~$G7NxLm}Fg&aWjLq2t!HO<`yH(`kWmlB`_M@Ykl&Gj^QPqIg z&aARwhBQcX*Nt=E${ZZ1GZ0k&m}Y(6?SFMq-Y=Q=Z=zH@(BBT45>+KqDs^T=oe$Yn zQZotvFGlcJ6}SF=$haEQHqU4-lsU5chLle@U{9@KQDWnL-+s~%LMeqPwO4HlrWQcf7W|%e5aPq?O@uHm;D*rprd4GrXO5^J$$T$zi7kJnF;x;KOCNq zL0zh#fa}VW@x+-Pget~|rndA*kte-rePuuAIhsfjqzo=VHM}xHMHS134@6ENaD=$~ zf_SGxt_%vpLgRHa3^lefhX#2i`X_@0e@clJw}(Cxm# zCs*r>-&sD4)p$k}HRMsc%9RKluRxl7ZqK$3-Bp7uJdIOj)Z=W<`)R=+%x-ykGPc~NX-m_gBZrfvH$sMema-s~ zrMW^?578Gr1w3S%4?$`}>XMtI=(Z*z$4h4dZL4Y}{~xDDcajfV+jly`W^bvGQ-^{{ zPH2&B1N}cy@?kFKkgU51*Zn)|4-l4oV$fsMh{U@)@x{&H7JC3`U;!2Y$Vr?Nozf47 z`Mz{zHar_DDrtTM1&KzHZ&+^5U7ap~SYIs`8*~RC=RKRZMtLSxDm;_=C=3;Or#EQf z>?exsl5@R zLnwlw*B1bwP?%HX#FxHj9|>x8mBmGh;qQBPBb8FzUOX2)lw6Uh z4?@>VQ=UwuU|&nm?*YLh5rI4GlPfKGW-V}ky*=)Jb~V8Dmm1)`&pYZAINH!Hw*z$f z7_nnHfkkD3I#5sbM_C0+eQInLe*UvD{m_&s&R9Cv86XuR^#`SB`47Ek9X(G$Od_|KMNcoNk=@} zSs!^M!q|EahywQB#~Z~uJIm{KJMYNCB6$D=WC5_}wzCg4X1Dfxs&Yo0B}HA4GQ9`R zK-Lei?gOf!yU;jSrFo(};kYlxlianZ-N*32jIaCvVquT^PGV@udKZG zVK!fB<>Y90b`FN)Fja%k3O~)}BZKMiqK}t093pDNrZdb7_%1`c-C@Ksaw_~3ZNTed zj+btCqfe&!1}m^IS`XD@0a-dKS&>+pYBJeO4H=Fns}jR47s@bV^#Jhe!LtBrTLBfw zA{IKZv7;lW0Wk`GBb!RBKEtF-Oq0?EQ0~rq}b@3`XNJfq)*% z{K>Tzl#@6zD5*npzHT#UWe>I;QgoisLd@;lz#lmMFA^2{g@enbgj;F*)8)Qe|Mt*% z`(}JT2&yr0#tAPnqG(&$UIZc|Oql(TWP>TM!zzvRw!E-K19R;3!x{8M)QerdB+r#& z9&`*)GnyUnWkOSkLm~(Efl(K+{F*wf?$3839kkMewG!mHaq2RX-9rg@==yw2ZMsII zG(EgQULDrcJ<>g%$hY?M4sib7RkyS=ad3~h)l}^O|CC4%*j{`J^5U(OmAu;<>qaXL zJe5y9fsb1SNnd^Wm@~BMg3iu7?y#q&pW@kL>B`q-Fm0+C4b5p>YO+&%6kO=5X(bxS z)?tBWLU{!b3qndV+ul78mDWTM4NfJt0 zj+wZ|OE9=I>5~eT;3F7A)c+?&ixdIE+50h={MUKObtPZRC@nJ4ml8t)&iDCs?-k-k z7?eunSGsu%LWkK68l-dU@|)Vd>H22E5C`r$1vuovXvm@GxT*+8*MW0|`Bs&tMRiBw z$7v<=oO^^xaXeXESo-6<9Y zb7(6eWUGg&Y>JbQzLNCaErnQEpswpn)TB9JVOsEWWRz7gN`hs7=P(C0{_Bs;WV;dy z!aE*F?9Flqlxf;8kKrCw+5joFAqhqBtip&Vqu?RL! zPN7_v7;EFY#qCvBD;h*t*lGyH&Mor#%NQETl|IG3qO!q_-Du#XP$;@LXz@n;ROO`& zT4EOHiI=V0=2NG7>pSJpa~n0a=GHGv&y~ZZn6YC{o=aM`t0uj*2jXiJTyFqt3P z@HvdOpBx^vs;_pD*GJ zne48`d|WZko`_eoV04cvo*N1KnmQV*rB-E;P?%h3yuW^iIfJdI1B{#h)tNIajy`s| z%fUIP)(&_nx|!Kdri&;bY_eQepT(LCzWXCSGQgo{CU~#_$Av19cS1h{`aPi8_nML= zZB)15>w5xr0q3XgCqPM^u%SfvRG~s4QW!UHbnMcwFJ?yz!Zi>Us;SVh(C!lb^K{$@ z#DG**EZ~1M$U8PeK%n^z$u>GWaK=`Gg)+dj|Fs9;AYWOnU*&8Naq&Ymv)4!= z#}YhpNodT)dkp5B*l2M)3l`~2h+$MS?Pv2#Z@N_?gL4g|uB!NuDx+2w*D`LN>K8r(E`3O9xQL7+!>{82um*JU&N|?eK z^)sOXoQI>gT|z1-n2ibAet}U%P%-I4;~n+$WbtIecN~ zXI?(_SCnqmkN;j|8jpJWD|5;t!u*mZuV+8E<3K~G&g?DIku&|is>@vIu61+QoJ(o9 zt?8cn0hwFfqU<0On7&`LZjxNMoSDj!`7hWZ)cePkE~fG1un`BTF~~6-5@!W||HRZX z=QH`C&To_*>eUpqs84ChkVg#^A9<5dTv6qMfb#g-Bl5pDYU^0W2b)fb!_FxUW&PbG zUk|2xDK1>?&zxNC#Ssqm_-}WRJejxIF`SWX(>yEy0Sp~~QcNjAs0l#U&J~KnrTY3& zM2DzKw4PkqI9b8;_SLVu5HVgvMbqY?_)j@|w7e(y<+gzsriS)t)CoI6Or|+p4_z?* zX)H}%9II9ERVOa8m^QsNnaA{x?zfwMjW(c0i2hRJp9F}<@5)&`DJRT{o?D*H6zp`I zn_gr>6O1|MYX&%`b?#ED!O75pV0qtU?YQ@4Q@E5;0262yPAsjV12KRz;kF%~pB?bml=GRr3 zM~YU-5Z5UC*kgENg|l)35JvP5sC|tIOPj`g5|zYVCkpLB7f)j)bf=sSqZEQD;C7w> z=lnAYh-N|9J#*0#i!^`PS__zF^7Upl61ucRolLN=1vTb&w2VW`PYY%>%efAo?l|5M z>>SN*nN^tRP+UI%Z&8NMzyZDbV$OW65sMGBt=dNe#tWddI=CQHePYzlr(cbk?E@Tp zX3hXJs`O;E!al?)>VHa4?9uz+WdD;+a_?O!DzBwW4WJn&BB&v}j)Kr$enk@7yA|hy z*)~0l4}i)0IyPAiA(gy*Zm@@!Xpq?FR^Yawdq`8b=#v$x2gB^eYUw9;D@BE)Vc5^N zNRPaL-LmmayL7XSF|NYV~e>x%ZnO5!d8nZx)Q=c(aR$&T9ZL&5PlFVJwxH4_0R*> zMi$FNEbZ?PUpb;)c5Mn%9k^r`12Gm7_D_ezbmVD763kKHYiPbB{@hgW^o45*UCRgh!A71g7LzpMmhRMnm`69B?C9j>|D^l z+wM>12arGxL|Ow!MgsEZ#QFhQn*p)Uw8EN;XRTV7Z==>ktN2tSySD||V>R^+7b z?%7F(K=im}V)S|fvxt(GM@NGvSEP_uFBULAK3SweZX6d?WA(}4Gs!HDrug`|@|jX?p724>DQkm0oQkH%mB{Hn<>pl$M}nq&wD?>M zZ6i=Udi7fra-C6zCrIJi1Pgs98K5Pso)dyRP#{R?LI+6(IV_Fp-W9z6+OS=ZK@{ho zDRVwmxp$nSS`x2uGHx!CQMjhgGS30&(Oe7C`}Mt?#q@xIgQR5e|EE;o`v zr&mNr3dZ!mVNVwQh5rpSO~~JFHx|Jkvf<8G-`IN&j#q zUmV!LvPL#RdqxUt-=9OnRu48`HAi?g=9X^8(y5z!T}DVtyt9>| z>zYXGK}v3Hfmp@2sIVQD7qd;+ZYO|~u{PvV4A;hS2*C@?-$GxUGdo#G5S z%Z$CeJRngIA=FAmL+KJgtIT7(5PZgbTLTMxm1?b?Syzj&uEua<50kqe*EYm%rwrPL z?aM#i3d+1?{k=1AQ(111-rc!E8PWL1B)eEfEVfBW6h#)@vtAvdR2VQVGyDd4u&6Ng z#%V2^C7U)On;gSUcZpVud^*Iiuxc_=1e6!Cr>Ug%)@~=JvPn*|zp5G^?+^~LY6>9d zF#KI|9edMJ;m@A#+iZdI$;S}6V@+DLQx_B(4!iwbkU^v;Qd4YDKs^9Y+{fouP5V6I zB8YHH6EKAq=JK#Txd4qAD!ZBP1cJVZ`)m$D})_EZMKLH<1{}1rtY+|EIz`^kg za@g5A%Nf|15YS5tic1QLQ42a+7+C+;xRSG@iSw_00ll1^qs{*}C}v@8!bHHq$^M&7 z5$b=(tC?7snL87(FfjbaSsR!+5&T-yh?qDTnbhEm}4i_3~mGSp=We@w`^8XX`pE(^Z?49i#3FsxA4XiDU1Z~Z%O@1ro<1?`}wllPZ;^1Wd ze?EhYq4WO^{!a=l9^o2P#1aBNCn1MXQJCWK~8#u`0i_#-OqobT^@qexLnj-O34Z*5NiHW8*Y88ke zav`^m=aP3sE?%%?b0y)rPQ9JKY@Q#{t>zf3yjI>@g*3$FiPiNGs2TNh8UB>X2K&;Y zrqfC1>nK`szjp25)c7i3{N)DsqOZMnz6fE?|{NGI+} zy+67G2WE3HkK{r|XS#?7aNI;uLDiK6)PVtcETELu^q|r8cUR8O)talr=_-R$zG5nM zGZq_lDU6{ol#B5zCV;@HzjM7r}le6pxe*%oXN8o|2oEFWYjBUNi7!A--B+D%3GP-8*PB;2jc%L9pw!)spVKQ z7_QH=E>Q=~8#G9A15NRI`i{rOpZUXh?XrE?+D5_+B`8Z+!iSzOa8_G5TrcMF?*t#V`p|#qjB`Uk1V%^3yWTxFv|`X# zA?a+V$X*tE%Lzl9Ws}as&7~-anYsaoOYFt4Mrs{h`4nr ze#?&igp6r_;)6!Q%Y^QnhTlbMdNN-P;d{(E6W(wCw3;NkD%4#k{!|Y|(UhcOh>ND=e{zjh-EKd< zPDdo1pJis38##Z#y4@4QJ&v=gaMUKN?-M)ldPABspcD|ZRABAmRuBHnFW?w56CO$u64MI^Tywqu+5(_4%DTljh0KIe`rz@=V9=}o56>aE}Af91WHtv@;v6Ze@ z7D|}FJFpbKc-b2S%;*|Nu%{ozNO^4ZwZ#Y^>+Y40M)5JX4g??(`$}lVEM3oGNf!TL zS5a4U(XqFM#GE}i_2^m?A_d3fiJK!M#wl&TLI=psXTPk0LR*#aDHhpH&)t0HJYm%+ z+eCtAmSi3ZO#5#efig@Z(~j4N0;GA|`GRR4lC3dC;}xd#qd{ZNW(LC@xShVVx{$sO ziB7@eC=?8~uEEx}N-a!!%HF$)`>Ko7CUgE__3^Cjp@(Jjo!#A1B?e)ybsYINbgq=)wytXtp?!+K>*Dzm!(1 z9Z|-VZe<)~UG*{c?)d|5A#jPnWp7^51h)ZRQr;M|isFnYr}*9e%sePxxV6Mo99f&x z7gdr7a?Ct{=Jinp!!QM$ zFm!wakqpAsPg*hJPWJw_F$@cg)K#+Dr@|D15=p%~_F1H-OF3Y1l5NqyO<@MCF-Xa2 zQ++BqUwqOM+PHhK-VEvJ6$V#ehX7R4wTai~e>yg>{P%wOe*|wCnVC5Mb9DG0_Q(IL zc+0}d!O8aD+hwI#vG-(vs^7b|M`xO@+_I=KKIc}#lBZQbY!^x&GJe(i*pM$URfu29IAdN$ zmjg6tn5tt71eJwNZX~rmgg<>q@SAcOI<;k!YfOKCdDm8RP@r(SeI_IW^;c6vM&N+$ya=>SA6=tX2ei`WG ztoGlxNfxCo_k8$XU^SDv3%+Q7i?n9|6GPa_G$06>STzHMmt3a-ajZUe}(7v zOof`sZ7mZ?D8wm>18n9D9whG1Wy!i^luF){Wxx9_;d6ZXe*XQ%+yg^zh7qZ*Rgo@A zWKZlPHz44PAXM((t(06RGX!h(XTiGO_B$HL{fxB9 zUxCU=gq&}dmj%NETJ~XjYm!^X-#nMFLQi}2%pL&WELk~JREF@whIRh5u@>J66?%UIJi$SMIGNzcB=~ ze*;%VsalXHaBHrrj<+Xi!OCsi>6mEj^idl(D0`IFo8L@m*Y$*kugIj`Y%d-rKc`#5 z<5j3i72p8AvWvAM*K2}lGdIJ~2}qg9bX4(bR{I_692X1L7Prp~n%d;$ z#{5TT`A^;qTI${>Gl{^%47ZZCR8=fWbkBvaeKgqXRWVY>W5C)htkns42$mw;hP7v&)&pcColE6#l#~{I)(jCM4Q$#EGlU2wr_&Yl!mElB%fN$dTc zFZgRz&xJ8Ki6j8cVl(HRYE}DC7(t5A&L(HYHu{$FuoJ_7{%TCAVWuT0V&tUXx2(!&RIJxfdNC;;wvZ3LU}6n9Bu$nMy9=ZOK^z zgob;#KO6>dM#bz2CRaus>9a4E6}V_yx+SyI7NOrSmA4^8m%l?29enH; zk-MGwe3w&3uGn`k@$}RtPU}%SlRsU_1FEgo0J)Hz3dfOS8VadA{NNP{Fy?m$P1|<|oDy+_> zd24R-Xkewc?aHOc2-{UB^(Z3~c6z=&!1q`xeh?#KLI=sle2srfHN7#uHqXPisz^$v z$N@TGnlSW&-``Kq87$ygO^l%6QSaC(=B!yOG^^zyf(m))4hPH!rB)C{q)TrwL^?q* z5iLP$1J9?fYMOyXT^9+uO&p4P;@cC#q%zE1@DHIIiq01yGeNapd%b2IjP2O;D6pygezj z>@doC@I$?p*~0g;8!Yavpp8a9Uu)J6O>I@PnJ}@~z9Ck(GPd^5p;pv_MwklQ!iqnt zqK&*5dxrVfuR9(i2<>u;fjJPJ`0@1erOCyiy`$Mv&(K;WJ7BiJe&3x&jHV3VwaH25 zpZnIo7JG$T9z++NSm=z1AM$KGKH7HtDDmgJ$aOm=rA5=yj@!L@G_kPBRyqo6xJL-BD=_DmuqBqK&=m6Wwh&q2LbretW4XN_PO`!3tmyN~RJDC9h}#Zw~N>M$`3 z*~Xp_zD1IOKc>cGpc^Qh?VIyJ_?ivEX$JOXsduk-0h#96D?OadSE!ANSZ~!{RDE2y ziHA;cjnqb1N3iLFf)9qaj*}CI>ysb7804vMN;Tw7=H2^bYAVWh!0@~k_Kdk2t1J=M z?&XC+f0c{&KIDRL7@6~?$E=UEC+KnjiGger1 z^r*F!j6sc>vkFXb-3{-~u@d2IG;aEwt5fKez5v`@--6V{w^qHFO>RUh3bjYgm!X!` zEl4U97UmGF*EHK@$K61T1$47RCF;`J+y)1b^)N_>dn=iQgqyH9_j)P&=QY2o_94o> zR-PWr^e32$U_kh7t!{A1F6zS1?)6H9PtBa@F!Dl@<4*{{M_P)pv6XwKj4>gvo@QFt ze{H*gwu9mV`bmfk10eml7r9Cx8kbhm~UmR2eKfh=0 z1N{LNbUo-)lWT#WCHs7mV)#1poE`o5@zYa7uNCI$CsSSL{)OxjLrX=Y6NppkB1-d_ ze0oTW_yx-8!e3e-+>Jq^8|zcTwQangCxp6a6?cx98mi`izRqPVqH|gquUK|aypq^{ zCm;?lAB~S;$o+7T1G7tURJzK+%hIhlm898&!+B!u zxK_8wT=XrZXiZ-9k7`JDH`g`e{3WS}lkeNriREy_jb&!nl_Vo*7uvU`p#&lZc+-K5 zTr!<}GJsZmyaZ?uB3d#eY@TN0nWRud>RMeh{>y)-Pt!C2Co;6$7aq(`qiz{R9OSC8 zPRuW~$S=--&((Mm#GkI2P(5bH^8kLnIv2H8Uai5!){?6>1Imqqz@M4k$5Y7ys&;;~ z;U!rpk?;uD@W(21)Se;R8_FT8Y9md1R!Of|_a!l$@xY)?>R3tJ&75>=BQ%mt&qjtq zDL$z02wyQ7?o%*mN`T5Nfkuf;Y$BoZft0t-2GJGDm;=t&se5N8_0m6qn{E{+e#2AZ z-8bM4Lw8=YwD>CS)*K;m4x=18VR(E@mqsFi8RkC5f86jmKdtaZ0;1OFQ=>?P?G2v*X%HzEafT$^tszKWZki|jJ)4Z9?S_53FmBR5_ziJ&R;pxM?bcKGU;Y8=D|$JNj2wf*qG^OWnS z)8QpIAMZb_5-NLwA#?O~}u;Af(8bzy--XqO}K@!ctd<$M1=H@w(u18>Gw zhc#yyCG*vuWnnPggJXo%+u^g50WT*`ol=dbCaP`k+nCm?z8tPhK!=q5P+D7pI(Z4( zs(HXEQ#whq|1LFWANStK*EgvWp_Yz8v35M2!zkXfG-l$2dyGX|jG7P1^8eHN=M&Rt zsH|ko*eZN$2v2r2uQYRnq8$ahx}WZA`zy6BeZij`ZDf)-T7nu3;?tQ5x8 zstbS)8R@jh?iWPFW@8^~cAjn4u{EvqvCb9BgZywobdKu8aT)pzWrnfXL8Kh_o^-Q= z18q>t?;xhG3#99JQ2`Ap_zOo_3o$zA@FGHk{> zTG;t*-LYZ5N~o5?{s^wIEIth0Mhi-eGXJIexJx+P~b z2|ln=Ey&{g0Tg*0`7KbJt=d^g%Hlc@=6IF%>Zt20OpFr42S)jRFIG{AsEF`kcEwFg zxtbvd-J=!v^$~&q9k(jdNEl>(y#Kz=WakX@cz2Y%KSFj*B76cd0=UE z5j9@9!GSZFNgIz-3^{BmQ%TJ$iE}hk>~X4Qop>~&)UcqGh~Cr+3YZ7mZ_DzPfxbpW zI>97auYXU8Wi2fhra5mp{?6Zn!|;75#(FSmytHZ1yj>~qd${c-Wi8a+E3gEOe^8(b z1x%~sC1Q!B634TKMw;o8XMuH}&&Qb$kHzLd;i^aK)bS}sbtc4iB z{H$8Oa;cFe1*tR@%y*g7)f^0*2O?yFpt4l$eTuHysr4-~ao)SJA4#$9=bsW|?z=ec zM+$f5GGsiVugt;@k5C}-5s{o9TVU6)UbX@~p+wECROP!fyBQ@{xv0p`&R|z-xYGle3?IRQ{;O#<_<_UADBQT} zCFVtt#2yKv$z%NIycu3_w%Q>7+FE(u|M-bqbN3x+9oJSSQnQ&Y8S9~li(PQb@TXld zf6_c^wXjT!7l{&#efJ$))!;QNU2>Pn1AQO4s8;C7QT4F<8-XbPNyu>DeHlsI7c|?j z&;Oty8;qciEn=trYkb}ziK8bp{^k+Lo!M^+^1JUXDbRwJ(l&%8b*`NSz5k#oua;5( z%UpRxC@(QLFLz1lWbuLdhzIXqR<$9NciFhqh{2ZnhKpi&pAFekRvJnG!4L`hrn^GrxI=XPcJnXyWDXLs zMtKQ!z}q!V2H=^?6K6J~Sp*V7IjR6FieQRZiTC0i4B5e{DfYv*cRVhQ7!(jz&2j$d zoWoJ9eQ%)4Q_aj}Ps^Xawi9gAy5vROYLnjl(Is*o;QK9ASOy>tD`K{dzO9pPS-QS#+6&>B(mECL`YFr#6F?#vEh zzb=UJ*Ym4s9PXvHKmYMuuEKK)+MM@>KBp-GNBfG zk`A1W|0g}VMhVjWGZ`VXoJ3>;p}x&7x=~L!-#Mi0ZBPkcq>w6f7I7foorm01Ag_1FKS2XpBRx|X^C}h*r`f@dHD(Xr z_BC%IvRF`UUkCd$)F?pN?~02Rd3!nzVV}^Na18@dgMq*{W`6C6Kr4a!YqK86r7SPd zAK<}ArIl0s%e%>#w3>ttU5QU?WhSBRI!RYQ7t0Fl87bV3S&%$@8d8qROIy@_|2l^5=aD637gWXCvd7S;`ERVAdJuiy5?JX#n)D@8XB_BXpk*JlWFSqd49ODD zh)-OHth~0EVb#T|G3zWGWsTz1H;dofa;=g4H5@DwSEt$e8#1cG*htNc9O6@; z0awouHdYLu&j#!o453y|@7MB=2n7%uH7|oj5V;(F{;o$^_;!tNqY7572USR~r~@U1 z%7|zXfI*jdF(lMN8@2#p0wkSN@qWzL4B^*}`ND!|HfowYjOULac)i`O%(?SUKDU+p zJbWVfeE?8GdFyXUt%qDXZ?)^i=hQO49u(1tq6W1*i>53BtlnjPF2H#h{Q0$DPB{}g zUne%5rRt)D3B$l+INEvM$uPZFGh43+nBP7yHn^jffTHdtuhv&+5TLAK36~w6`=iXg z7qI2Ls&=?M%yDinuds12>{)g)Llu_r$F)O};KG8=H@*H?KOEglDp+gf!*-BV>J=gL zR&{(*IYGHS8@BwrVj=uQV74pXC2rC={KM~%5t8E&aBtl^X7ynWX%Pf&Qbjo38P?~> zWS-vEXfPY(?Pdi0gwE)+Aw?Sy*qnUEouF;kKGDRa$p~489043;@)GW(NErh&h?o;Z z$))FnAm9rDdbGSYUdH?@`g8uVJ$rtv)@MkebrB-PpT?wsnT_zGS@1GypL){XRods*gb4pM-Ot@~Y8RLPKx3Y|}fK8Ms{ z=ZAg|4{bIdy(03Ob$6Z{6I^Ye%aDk5bODR(k)I;E4T@A1_mJWstFE?A8JCC^`r0}f zHjuir-=4}>)j~WKf|?J@=mG9WE62n9;nrdA6)i;l_;W|9La@LoAJjPMaba8|`|>(P zrwVXd6&`slsc@vBDtd9YLPH#pxtg1{!VbmrV`qkrq_zb#LmRu(=Z8bH8(cD}%l9NP zfRUhj@1{<4XDMsr8=Slh{3-vG8x*oCuZQc;j2DF@<(oOo5+=g-ajlY@5rZvQu(0Uy zbQV(IRM2G6+2OZ9Ii(=akZ-7ZE&^qDH<_Y1n2aj)nlD(PYPYLOhlCsUHrY$CdKl{; zlx%o=1h9YpE>1arv#S=BrtpJapcNhAgwz$jAn7xAt`B5`<&)2t_;KE*q?I^eD!+!+ z`Yo`N9Qwo5R+M*E1Kci>8B?@-42Fp{O#Ej3=7^T$$TMc znD(EViO+UH;6He~2B>Q0(Iqg+GT0k0M>e5RdCK@|k8Vp$Ol$j4NE*^s)+Tc1S@{P@7S)$AI zE2V6bI&dGsXH0kO?f^jbxj>;xn7wS6V?VJwWpdD8sDr<>9Jiug1~p2|dCpssIKM_{ zb}wGUm36T6<}Z;&&6|8$%Vv9aEbu3{Q-=k4W?vgt3cA8_f54$x4tKsu=$owCtZBS2)w!Et3xd5v zuV04UDz|Z$YKI*|MtCb+)-}Tm47#QhpE8)L`cPPvr=dz$jGoU&pKR3={K<*Eq{%!# zjF4xpbZe_t3feet=4OUK^Tv}0-`=&SS|g+?F*A-Qf@~~HdHOM)<~I_^_X1RYdF9#X zG9M83wVV+jaNiGeg=#wxv>jP{KF^#k$8FG`G4ylSkk1lvrc13KGsGb0K!O-gk;fL5 zSNb7OD`jJ}7=30kCiL_D%dkqik8dustx+=yXuw!NmJs)+r8By^*I|BcywDT>^Yq>W zN>=4yzYSKW@au*yqVxFHiB}Uy@0aG~*I}PoY?WBca?eE?KbVd5JuKrIOM{uXcS)Uy zjOGyW-p)GPFBf-|+7DF}kV8`=X3RpPMtsCBe^5{{=yms{2vsJF2?Eig@3sZ($xUQLSg<%sE5CO`9KmR;6FrEMSb&UQ>%DC~K-a zy>;X1k3NVHe1n*PY3LdK*bvWL_0!^4@9oqwQq#N*XD*uiY08%PlB&n0?I6$q6rRDf zX9|TX!k>CnNsiJkrKlhcyRncW@ac*C1MCT3{c!X-ulAiDTz5L2m*aIW%w zSe2-t{vr~0jHu4N(e%x5=v>r0O|WfJC~))2E6ScJq>K}_i){+FkT~?L1csl+MgLHe z<&>$xCR4TVVRl8rMORNc+c3;_;!~v%%rOCSk_Cc?NGw=6S(W?gXFRq!?Sx7Js5%Jm zp3)V`aj1Rl!=1Mo7PjM0vP;3W;^m7u8Sge{^Z!wIZdL>K6vWZ9vRXA#V>lf0);L62DhA39N+qO$_fNqit*{sU`GzO( zc`1^h6$MUzFSJX;K3u>pVm*!)<#r_MrK2#$`mH8ci=iJZ7z$)1xdgQSQ+?YC-vO)V zu=!kW$GuLS(;#o%jvWn6(_ug-8~HDU;XFVsAxQ*|DmiclH8&6NeHSl@OHNy2ZLYa@ zY?+fWBP-5E>0Fx*ns(gn!%5ax_#)?OX$C{DfBGk4h7hkhV#8BQ28hu)@XTPHD!o2L zDHV9$=-06g?KOTX2SO`-0EL*LMnii%TP_L!VifIqL^9z`F9 zm*p>?_GNY{&OaEW$B+o_`-Y`5^IxoTfkqY8U5y+Psbg~4yf;hWYi1FmR4&#y1m^E3 z|JGJ9QnL8Jn?CT9GB#@a7kePf<+U5xZMDQ#K#U*F3ud@3QERR(eWH|{sKrt zq9U5We&S7h&*?2zWDX6po0z6_LL@ph=nL*F8>Goh43O~iuM7jK(ZB^_l^fqXuEEHH zUyXZ@P3*9IX%VbfJ&T67h#rarRDNLB=#&8Ia8|!yvXH0pD{S)KH^7!=8c_dzhpw1U z&_RNLuNOKo_ScBN8D&V1Nk1H+g+Q1PB^>Q0J)-s3Ha^(Nhwym77+@nJz$Q!d`lM+I z;e&5pyyR;HH=_a_hoJy90sOcx72tcF$OOBZZUBAo2JK-ejZ+65blJ^e@)VErGnMx<;$6>7w&60@9vUTM#SeIi|~O{bz?-DKv~ z%?jwk>@^WnSP5ap`lRutH$=+6YxWV503;DTJJUwnWv_mc*u`6nS|ln~bg{;ZN;^X( zj=m^fSL7)-2|j5W@6dOree`};XLdyP@WcQm1mq)-ddOXL65NliTS`Z$QvA4+g1SlW z8;PAg?_%|>tLD1%;0vjbuT!l9I^V6P95z?*88*SwQM5sjz(U)JCQ9&SCMi~izQoP9 zS$ERZ_ry9=$sw__Da_K&iD}Fs^hWKTS{B_Y1jj%&R?&Hq{href=CUFPO+I=QKk-Pc zdmuNW5L5EywVWr>ZzV)kw@D|#CyNtf1D#o8jMK`?nu`K*p7TUoJ+Ck@!X_r9PdDDA zH>3Wb3TGWR5jXdOHs}xSu#@OwIA6W~OUFo@6mVY~NYj6o?)iwkJUP~A>snK zuBwKfkI(Q?VPT<_N;Qh+dJQtN1}7!?xI1C469pIMwf&6|pKUf3TT3jfA@<;_u%{hK z(a-tEWUS7Lp36&W)wW=IPICLy;SFZ$D**$gz^W2@OVdqDiAbSW9?Q2~;vsM}do8JH zWdom!?h72%77xV6hQZN#gb6G}2kD;=&Gi_)sCJr-$|gStO&e`ibcr3BN(o4z`L-UCV!;)bUO}3!Abz8tR-`p~>WCQe4OOJY9dvyTJl!40@4a&HkklxAz`>*F)zmApAACqqMs$xj6!FMZx2w=^GdU=!~*tFFR4+1ClQsMQV zF-kfyOwT;Ie9q+UxdS|w?d#j81Q&-a#7KFGMg0#Goo=~w^|-Ioi<}70yVLKjA3s>CwrU)#{7vT@nNES+8cU z@-kgByu<+G?+|PHwVmM6D?Y}*Nj+av6J2Abu?2B(%F%E7{y@anwL56mL^)$|>UP)j`J(H@!S1Al^pT{1UI zK`2TrP^pWq>^QVlvIv+=u1hEftjw`4Y;sptX|+6VIyFXBJ;1%zx>gb_PlmRNiCzJ! z14=bXQDQ>o7k!EXl0p*b?;*WurUEpMP(_Y|+*N9&xz?j*r?K{J-T)`ZEUA+5A= z$@gRNs1uK6Rk9^V633UQwcR-I_&c`{PM(?}v8!^Z)%OD;n*}pKocnBVYZI4Ffl@Nb z4-A|l6nY7qY|N?wRneUsBv-tfS$h#w&<84mxcaAUiT%f!JbX+^GbV3Dh?xe5Uh7On zb^laBLEt(l1T$}gtbZ=5@Izek{PG&e0%$CAI{twR0**rq2N0ek@+P$Q%cXifP>MuF zuaOGi{?{Aoxx$Rj--K$P?b|%1o?PS%yJ#Q@F^O!d#mTCD1h%3C(*1rmA)I9g?dy}a zJ67ow32?W82(J>I z-W;v?6=Z!881w2|`2yo&HE3QB?F$~Tg6efihUo70=YES4D(KmS8dnxr1R^?UPImi) zG?tKg=t+2D$g3aeh4T&sH92D>N!GOVvmCcFllppuDr3_Qw5*U!NwaC9M6dx;>pqsR z)Cr6q`&GPlJGc4J%nPwrfYksw%sSsL=!4DheF`({+5a~|&(jS9M|_3Q^X5nte9As9 zSau>BWa<1yB%>#*oO##JSXJQQ+; z&yy6hi#t$qDCK9f+kcW#On-J%#6kMC^pJ00_@T?C)QM5ZSFvoyOT-o9bOI=51aE}0 za&Y1-0wQ01p(zST6#DfxH+VNFcLIVT67g?||0r@Z(qED9MczQ8=@eP;{WT`0AY<6= z4~0LhZYq>1AEe}4uWhyK6o8gQ(F3`C`e_uU!tePLQ7in>__imFG+Pr0t|PVkV83yA zMqRCeZY%NWz7$ZgO4CiQSLRtjD=x8W=A+GYVlzY%)QN1h zcdgM0!;8hdp&Qu2)9ZD0CJsj$Gn|jjrw}kHzebQU%p3bkP`J?G$eq5B%KZr%d+vaB zB@0xX52CZGcFD_RCMqUW9s!NCe`0jFCrt1=3WV|KE+0LO%ij^?mr*IhIUxSJ3&RWD zuAeI7T}+Le&&&O6K63}ZO7t^d*y!&+QZez?@x;}5vq2xDDE%!sY6?#&wkr|^qeV9) zMor3p3MA({sW>LqPkFQIejBP~P;Xzl9|rcGnpd#NE2;)5D`w>jq-189mkB6gZ1c=a z@CYnpUWjqGS)PlK;s-STann`~XWb5M3Ap$(<>y0ZjX>qU%kXY*v7et@q!ml^LeJK@ zBtCNfNOjGctmXoGMYLK4sjkZC%@iJ1O-xPT1+}RQqzsul_30g3AO<&7m}Mp#mnW92 z;~Sy5=*~4tAnZu}nu}O7hH0x-V=;|6Uj}~1Q#|a8@i%@DE9dDk$T;v2!B#{nRA5QM zTRs_2vMp`=`G-@w!^Ydi_OPLm2ZF3w#XM1nf(Zm^;nfR9WxcQ zM}6OWVM@tGu1F$#5zEP{zjW_*XoGI14R-2vjU^=T=J8Vd{#TtLC1c$GQIdr0ATo*1 zehxEWtf8VsFmE7TpnLE=e_9?Rznrkt{D|3(4aPhJ?D&%6_%AEo?FQVXYm2G5Y1&1h z7MP0UnBXp#BIqGYdJLA}RWjSC7QE}g{hZkvQSl`G#Q=&27@od^X)yyB(z^@+xPj+Q zFm1;Dbo=~>Km*NsO+K9W)A*;pBCEvMZg^3q>13stN@q8EvCq+uJKfit1*F@113>-aN7Bbi%oh7jO{O#&uMnWIbQAcH1g4O2o@Jo3O-l$!N+Yb$jM<#eLabK#N#O)<*xn? zYd5w7EIRztX(rkdMvBVH2q8CZ7yIW(9Qk4$8(G{(jUNO7z#NiCC_jVg%)K!MFTAdb zkDkM=GsGZ>Z8vFkMSU#9H}2$?_j;v@m((}YA;ad9FH6p4C*DRl*b?)wDx81QnW?#v zyt)Fm)AHT+Q)x!tLt(h5Cv2f<>K`MBbuG-8}~GUw1}bCR_(3XBXT(;I4%P$ zgtMe8I=4t`HI&cSdk&)kI*A+e3HynM@?Sm88#s7&Z#xGh`ZWqBZSy4h_e@E-2|D0* zhgn#sg75_=G6@_4){9V45zGytI|)SB$Ldy)$-TAeQ^T?3;loyTKBd%|tj0P1QIhv7 z66c~6V;`vn_e? zp^7e<<@mN>+R^lqe`3VSjD*(@gO-Gd`=mi2k)vUmGm!7^OsO~EeNIC=#Y9}tILx^r zb)XG=w^6B-{&y%5>wlp{%Kwc(X8ZpV$g(1$)PnYZ+?5S&orLV{tW^Fx*!%xWB6IvZ z>;D~z%=RCu_a7(xKS<<%kS{IMzhjI{9RC5485#a35c$6?{}&SZ)+;uCvTbZjJtYW@ z=YJuRnVJ75BKbdy|KP;`{Yw8=L^9id-Io7BSTQrQF#R6@D>g=k|49}T|IH|VhXLS+ ze}liMI8{2Um!@Cp%Fv&X<+GDlA-p=Jl*xuxoElnxzdQ%Ydgr4QgV$`$T;AkQ!hs$F zX94q&!@m9JipZ;oB-Uxx8DhCr;WhfQN|k1Qe{@o_yxN!~7|QgY#5@)o*a=;;kkE0j zN(W=~pZqY%OO=$^Bdm5f?OW$baOU(OPqpA0!+HNNh2htABxk1p4xFw<|EEVagkLTy`gqwxipZVmF&@;9yJ6sIPECtNnImV=rBGauvQvp%bf&rK`Kh9VP@Vrw_-os(`pDNSFwQ zwB1od<7`>yqKkApvSgvVe_x>b1+4C&8?OiKXnde8K+v>$V=dHntESK(7231L)N(w-j%v%=gUyY07UkVg*Jcf{GKSnbh8IsRxLa2 zl6pI+wNG;($w!$)mq$Ws_E~s-Q;`Ai-MCUB=?ddhksia-2i5_TO1CS$ow@wb)6;ML zYpFH6J7_93Y)_pWWQ|&jJU4sAZpNO_2l{BpBnUPx$&UA}7z)!Y*D+_oyRnjO&YsXm z0`-ffxqdwVHVA~)svc*Jgupe}DN%*@u5%e45Z%fW%>7j3g*KPZl~HH(`n0nEvObT( zA@pVXi|}^rIJAyI63g_d7ls5DaeTUPII8f#?b4;IclOL;gs;s``09lvOgGMm`n({a zA&7wpn*PgMx?d=lJT+B=o+~2;-y9W1Xg0s?%DSfR2DC>&FtN$rtP32$YIO+Mk7B2G z<{)@5(_UFn4^fd1+wv?$5+hI-bJU`*Tc5fRARAqYgJlxiQ8_%@WS!e4son!xGoXH~ zmk{miLTBgCCy1~*$W{VaDqR7@*(AzcEbPF+N~g~Ow~roW^wL(6e%Im~Xj2;!%F(O% zIfj~FfSX9N+Tqd&J5+Ceh#FF9|Gd=4%F#5t2*pIm5eLR|^#l;e*k92YQGQWA+cPN_x%1Y^9gaA{$xDLL5v#3C;V=M~OG80M;7%8K= ziI?%t*Y5$2BVaJeH1hq8v<-K}4X$*RnZ{XIJGZz+5X^xm7z*?D^2y=EN@GsA zz4hx*3IWp(qPo}R+BPt)4(J_~yTCl23FmqeNWlE*Ub*hc-&VrvvtwpaVLX7#ib(P) z^6&Ko^)s7}Z31+;6F2RR5IB?rg(o?J`?=)}ozo*v88rTGVVvHJJQjFtt~0Rxm69@) zyn$GhKZntAQGzq7GtE)MySxwU5y1i(fo%s7w=*8=zwQv$3ToBW`<>+qUK}8}faywb z0|LF9c`C!v5O25y5_R0a?*X`ow*WAsEiHee=UW`eBnH)y?7Rq)23}dUh^(qrGWpWu zmf6Z&{hD52;iBp{MiWL{+uSE(OW~oJ($grtwe;9S+w9OSwCnV0O zSY=%ceQn8JiXTmHc~y=@$eegm%^aVZk{O?+bEXspbYcZG`PZTeQa*QRXd}%rHuFBL zZ-(?oMGgT^Nf^xj9G%+ZHl+sxOK;hrHI&+bGOm4if99VJaZ`W%+J!N0s(-^jZ4bJg zFcCi)iCk2?IOy$_JlgR|*B2S}Ofr2VwKKNR~#085c8(D$zl&kWMlwf6bk z5F$6vk`c+#8X=%fnN7_oHAPu0E*S`7BjnQzH~I`7EwPrx2@5guGF@}s=)~w)v?e>> zu~~dp6OW)j#4o*FaA!cLrt2dqROsCUa$r>;`a^P_X>xvOYfHEu+a&5(Q7RJ9C{l;A=9FDbbtk;T@DcS>GAa0cNx?OK$xBK?ZB!&1>_oU^Xt#J4^ovM4)o1;oR!g5Sb@a23SwNY-Y&^s%gKg zy>C?Cx39*AqrEWD>A5N=)@_&GD8xy^l3HDc0ycdb>jV2VBeCeG75b|tUV8$ZWRKPx zfu*K`E{52Oi1gB@-wq`>46&g1Bxp9MGp_`hs!N4t7zoMOEHSP|jLKyVICMQ+^sTw^ zxV}Gx<9kUq&%LxiX8shfgb|^c9>2{fOnw42Un}%zt{NmvqtQK+Hq6Ok+?#2cZI2)) zDFh~33p5To^|5nGg#8lrDK3fgP*Fa}&ZP@=wj{XaN~QMd$VuPLPUW#%%%X2Ett5&C;PE zbVFH>29n|`CoAvjCL{z0o7L|RYuB9{Y4Ke2XQcPx=3U~P?`xUw7lZ?g)$8X|BK(Vh z=3vz3uZo9L=1$2c=HNxFc)<+0?Rz?!w8kO`0XYqkFg2Dp&LM;0!Q-=c-*?B#6{_z; zd}70;*>~_d-N1v($jYMUt#o3x8Gh))UPI+L)|)*vpp7K*RKl_Fzv&*Qm_vrhF}}3P z$T>&7WmPjfHlop7alz`9!L5GeY-urRjx=!}ZBy_rjkbl)X{~cMgGOX#N&98=dz)j$ zk_U#au#~FeGC0 z+G>xjqGo>PMpVTB1Rem%qB~=>xBezk2i~as9xBk+5_4U3;BYvA$v2nQ8NMJw>Bf-S zCZYtzwmKY6h8>&s^HV`d^p#)+fkev=Uq7bj09r&y{Ci08^^=Ww^~^Hk@^HXPWz$n_rHdz{;RQ2)ySK#A^x>B?pC(ow|5Fg z5=4`0-e=XmqpVGoE-~AprvBVKVJVqT?Bz~c$D174QI*oaH>y;?a(9^^_%{dH-C@)B z%jj-H`S8`qxOP)|4U>LbK4Wn0Ew6cz_(jQJakLaVr8&T46NR6a_3tswD?V`Zv=# zTQd2i-&3L^M4~YEUNkwRe!mNb?X%QNVL!~4B`xt7+dgIaNPBMK8{_B>_K^}*`o~bD z?u-AQ$+}-(%9L5CTqQl=e^;~pC+F!u!AU$k|8F`H11tOg$z{pR%EZd_U-1w2Y3Ya2 z5sOzKZgmf|qSk^Ap}i{RQ8Xkmi=fz!LwzD58))gQB~*s^N+v{Ck~~<(WRfk$2%EOA_w zq-Kh4Qd@kfP>JqCeqt&E?@M%eI^Y|%3A>FaLg|{`bVMsCrVtac>y?TDO zE$UnT=38c`*=ub%m9R%xmD&TV*1Q$ib1Xb?oOL+}9O{fi(OV5j3@#vRjLlCLs;7zR zrxBQEt8k09n^hieDDE`AKLmb4WCd=2VMFqm~x zfVNSQ$@YO~dy)&ixfyZ##w<&&RDyYC#4hU5ErRI6Xk$*1SN~Wy6MtE;8rMXOOGv-( zWJo{lH*Idm@c52aNpX&PhDj(rbv>@NLi(A7i&^hE;u##*vw}3|qL)$YB(KGaQN@)l zSd)z|9p5H@zNfQx-x&p0G^Z%u!=L>zyP?MS+i`N`m*KJN1V()D=10dFN7(Ot3(k#U zy026&De2iqIH7aB?e?eo%AXGy1EEXffMThJwI>1$&5p>p{2RQSjq#kb&)5nu`0G^| z+|V8=0O7N35YQ7p#PDDsB^+F8z^KA?^(-N`v>J`K`+^tF7XJbUKxUj6$4Ek{16SfzcCD`` z=?@%9y>k;O7pY?lo=9howLAjP%uU26;XzUQ0#X|8=N^^Y1 zPQ+$k5$F#3jeDe9K+okJ<2(}L6h5KJ4ATvNF76ScKn_(v-*PApj({#*B^+~~K3-Ys zm@MOyzg`LnfE8E!_H#*7?gUKa&PmXWMvvQ}4ywbo^}an2Nvg2-!J>-XiPlh=8}oX$ zr{1u4GH6fz8|Y%AA*F-)8XoQ9WLIky#0J? zl?WuTxPpJJTr6oW%_m;rTx!QOmdq#_+l80kY1Ul!i&&<>dL_Cf`?c3l&&uqBtek_I z&P@mLyf_h46%A};F6$Qy_=v({{QhuFMG9n%|VR`8;LxQu%nru8;p0#@tD}inbwCGlFpXmP)#Ym3$L5MrnoN zUn~5`#aHGGB%i&x{$vy>#UI}V&DT&O9F~cGnFd-z7X#+DJ z0l4aKzzb*|&BlI?gt@x=vA{mEx6O}m=>Qw++T=G3z3$+{+7?6Z^C8R|P)|T-?_(l7 zhEHRxPW!&-YFXy4x(Xlt(!?SgBB|`ebu`<ULZ0=o z0!s1J`K_Il33&W5c)P7tOfm495?M3zFVkRdUFGnUVcAIw`ez5bM8HZ#9qJV@oolgy z@fJgQgs#kZCZe@#8`@cis_i_Fv=VD2cJ5CH!I5BtTJaa}Qft8Krx1!dEm{<6l??m- zd{M8pAW$EOvpyDNajTk{kIE@1hOscwv*SH9m2AxI3X+;%9EV$0a}1V?aLw{OZ(g@l;$u?DWkJ^3I;^|*}SBiO45$;gyD`KH(5)4s%tKcs0WaQ{`?|;`FQ6d(7hAi!ETqb)Gk0qaDpM&ctyH05 zxw~;P=2RsjmHOCv+T3Y?NFQ5~G|z2MFUq{CmNGbMB-;o;?3WjRW5VcIq9XIJmsiylKbG%H%lJ*- zB~!j;jru4wH_yd*YZ3X=_oyO*f0(kMmwD1;-kA=LtKTLxS@}yH`SrP2k6b^FTdISX(Q3|jU)z#c535JnW>(~WoaPI>+0_pF$$zz=Z2~%uGSZMH@b=*_(Pj?u;HR7sl zu(~T#_Q7QwGW-d!S`Bqe50NtNHOx9kTEvncL9bc?;DLv_JU#Y`N1v_G`s*uGDVSV8 zSium{Q0_n*P{%)wmo1kJ@ua5_{c}&H7`Cm@!!S$ATo37o@XpdO${)OZx64d{a@aml zbzo_zVYd4G9$5)sC0)wjDa{&$FI>uOAhkN?ii^cnV`o?O?Ur$Vf8Ow&cE*&OdN6_m zFh9uUmc0)(R%NI>K&2;~LB#VX&Zf$zN6&u#!h=na%QJil`ajgK3mF3kVh?Imuqa^# z(sVVh5nAW50VQw#(p@7|BwpfMWC5agBGQs#PlzFf*Rq8MpR6pvyoI!}1rmgbhHeq^ z>c1|`BKb&@1;cL!qw(T`Wcz4~u`gELb6bU*q~bt?y#%hUYiNAgNKnlFR}I)$5e}9B zM9Uz_xht^)ed&JI(JqU*DU)T`s`7EQDi{*q8qDotnHHk(-stq9Yw;9@JK{rQ(2N(@cekC7inLu!Za7G6#o## z<~j-(8l$dxbZ*I*6{6ChJ{G@wP{6}}7MDFb8fU4Y%|^h_9JQmga-_LOJDro^wH|Aw zU=72U0COfWnm4S7TH;JxVfd90jXB*3fDLaWUUpzyi%^V+Q(;F^=1dp)Wcl0PLq+?X zA;}U#IKbAR?sTt=d9CQ|@>w5yPN`7LL=te!S#%8b=Y43yAj~@4&tJWn8+1 z!v-pLEHY^M@mWbw{3^Y)ShCdyiL}(vsx40qLFjV-(GV*>-Z}8>0QDeb3M@TH9GZe0 z6(q>m4uDoxYa)XnoUShQoVasgQ0bR`ToOy-?*h{P{bgs(ATRdngAXxees}=3CPaGz z+T?E-rq+M|1NFsIeq-r_PKD5T#M|FDTk=wpdh`({kaV4nDSeHM#Rfw@AFc4L!8~qA ziuU7X6Iwo51|uozqgC5C2ES#OlM;d&p4&qQj{6h@vl24C55LVr*bCOue&-;-{i}ZkGZj z;MVpE?pqhxAhObJENY$gb_`8@bS{P& znkBXv0)8Nzu9nUZQ>cA2VNDkik#%i2F}svClb0U!Ig<7S&v=r35w4b|-|}2b9ReD_ zU`S zmVlAM7k}^&r+4fLxK8$yQc}66XQizT{w<5ooL!2UIg6;E1S8^^Uc_Ft7)gptqMv1q zC>JPGGdMGdQ*|pD*sk|VJIf9HA*k$j>V$%kKDAQ`XI`%PZ1&{q&;HKR-3%DA9cMi4 zdVIzkXzdZ3i>@VSfa6N%7w8m3fU;_kzg{OhZ%^6?$JU09PH!)md5RYluKd5T-#~kS6V@YhRtBiBt&Qb4<#E%F>keS}ZQid^&mtdnPQ!tkf-W3(6v4efE)ebTAJWJdZo z?KN?a{Di#2Au387qX+fGxs2&`#n{BMQS%-D}&TY4qVm za_o7^;7|nqCvdRt2@sb^6!DuoQqO3VfHkc^Vi`P%bvsxy>bYR$3S283-I;*M-IK4^ z6xbzauT_QrvTXqHB!hT@N(=2%`eu^bHg=!Dkzojxi-fI}XjZDy2dn3s)7bX12-JZo zfHbH9OJBJPX;2-hBBuA%vg=Y&T9B!sO~p=`e-6eRQL~~79&vn;YrwMzu7r8Xn zCeO4Pa{8M6LZQ*AN=$;(d8syeSrXK}H%s&@A_8ghRo(xH?=Gc@c8QX3&WAB>`6;kd z^n;}1`G_|uJWjN2A+Hn^L5K`Xsl1$fVHrn^QS)b;@is;?Y&_A_QKkw7xAL8BX}^R_X2U`$4Kyo$r{F$$~78nBb=7M#I4#y5nzfB zj$1p^j^R{u4eRF}5N!fWD$UqxE}BW^q-KX=1V;~2uZRjdv zSHHZ2!2*)}|#N^RP$VE$Et+_n>BR*RR6F>cCz+Tkf zz-2NieXLA(DDs(N=US;k+EX;!#z&|4FgA}c>ciGs2$!pC4JDx zKS&s$iolLK59kPT)`uwB~iI1g&@hfO8*qS>l*c~u>h-JQaw3n`wFdMhMCfk4sXUS3 z4S^={w)e7lq7$6GOpXP-83r*(22+Ub!Hby0kfFSWUD>3qS5MKx&I-dNGcyK~T$|R7 zEwQ4s<5(X+=f%#T^nEHmI}??@CsoFN?xBbM{ouvF&J%q3G=iZBk~CT`pyMl;DT4yz z&h6MhzR>MzG;q#bk3PIdSz3T6<;-ko%pV7t(Nnxk#fSJ5t^d<$;so0Qsqx5iQumZK z%R#AAuOuk+O+sdB>7xZv95Jvz8v9tFwBvZNsyrRG{OIw5k?q?9ZSIi=7k|v#26zmR zAW^}~<|ERWYrGEQj!*dK1H&t zw=Fq|Wb$`o|H1`mPj&4rzE<7+r3#{zN(V~bo z>O1D}@IVVETWcR)$u{2j|=}btt|%Qfc+J9U)7u=-e?6d?z%1rDE{iJN{Wk@oZb?tikH;RlnwZ z-WoUh*wq!A4ld^`xso~NdpC{pmACg>b6ZE9PTN20cKYI;JH-PmT2FfM<*EId1nn0w z-~GF<-LScBYMEmEnL|fvq{mkjxqO?YyY18IZ?C)WQTdkCe7;@H>8jx-E3bBP@wd=A zcwu34S67emrmrmDy-i(t>gpw)Lz?jHMP^V_VL>71jA)t*KEW0P<14Bxo_$b`x% zXA^xr+bg|V+t&mi=qae$)UfXeF>DUVWJoTN! zCwE*puBTRq{^RSut^2yK*z9+6KVkMdPRNh(tFOFiuV0|pU90zk<-5XuEgt;2L|gds zm*(lH(Ss-Z7!@sP9@@72vf&s`!^6|dOLH}*Jx;RFHO{%c;z7qNxzFqs_iHMg=9k3G z&pvQcHQ3o}k#fV?cYDhBc3*ioIKST*!`69CZRQs*yd11w^LDq#fI;Tk{*4~XbY0@V zTYkQpzi+qRqIuDGYCBFWU%Vpa`|(Gu_AgF-xcu-NJypGlCWa@cRts_m^i6Lca4NiL zj^T(xrLA=n+=ACO7ukFD;}`ewotvH3E_Y_t<#nnZ=jIMh$$b0M|Gv24w~eW?rP88z z)jgCIw?|%n&{bzp_weg($^FhA`?)e&wg2J8n~QSqzmHs7Jj3j)aly-@o7FXP>@4vm zZ|5xtvDu6*adP{EL&J6Z&aTw5`^ps8{O+$*nk1~`_rPU?`mg^HqcTH=sjrcu=#p| zL798zdEULw;eOZI2?sDngr)Pbx3_GnJ zkoM-~!c^l->&fD$$886DOsxpt68cc;Vt-8r#oJe+q~C!lTu~< zqPi(D9d6`w8ENHQw7S&|&9cbcbK|aG8L@ATpVPS+fhDtIsw^9AjCvb~)`sdUDTj5c zOfC+|j(p#_n`7URW)tV!>EG?P#q-Oz?u&ggYd-e$GF02Q_0_p&2fMuN>wTmmuH*NO zBNZ}^Kd$Ii{6cgue(1Ux;YW4E{x>9F%BP=HE;@X2XJkxKq1*3`>pFP+>hV)$b)j8b zVMF%XJI>>C(?676P>$MisZs6I%u|L2K9f&>4QtcXL9&qdL*cV$zrtCUDkn_tG^%Oa z3e_^DH@7l+=xFv5w`y&r5;Sj)k-qum6~D&k*DCmg<@j!_)>Tq=*~)QUc`dEe`m+k9 zB@+s6Oc=0l_Og3hU+kNlw&&h3Zy$vdPudhGAAT|+xBI6710MuSL+*EQpO;~tRwN27 zQ;wZvWjHk{{`2zi6Ly#EE+1>##q?_E*XX8)O;Z&I9Vk_c3Q7#MSz01E=l*fO@NE5I z?>^7M?dEPy4xK7>SR!q)^(T)@9{a!_#-n#8u`rK7{-5Lfg{v8%?(rL@b zCrOVce+XS+v0Fv)^@W}JxzGD8FkXG=`{Nx3&vt}PJ)N`G^i%$K&qsFBk=@r{UNBcnSue`_kzjGl3G z4V^lZmwzj)s*NjM;wa~sf<;;k7 ztJFUybrgl7^y8Dp?J@^tL=qP ziQOU$)-}3L*Kt2Q>7mYzc9~l3jP4w&ZWZH`IdrPs-ppS*!ev>X8*WB5mHxQauVaFw zsk+V8F_Ddfgb!brb zzD@FRdH8jM)0>;y%;qZYy}zfS!eqaOLD$1E0oz>FH!NRm&^qXr`m<%%?<`H; zty|kZ$BpCVvVMKG;~~`_k79;y>Eg7&tLVY0sP@)vQwE)@9C**(C^66|szZM4Bda=X z+gC?VtufIZBKR@MIa$Z}b05?8TfSV=$w_rd2oIUGy2HH~6~-Mihbvdx{JPIA-{KD|F~VsUfvu<_js+`_ExeTcE^r14x+n)j`P*_g%8 z@4Ln1?w#aXH23xRW5e-9o<{wUnZ1LOzMSuvU?6U9snqY1_T}?yj))Y7UK{=7zC!5w zuRr=)uCp36#-gNj_A95~#W&4OT@P~9+lo|;G0OWOuxk0z^>2*KbH)ZkHY~C7GxY=#Dw|*r&GkTwU8ykbx4`R-3 z)k_R5d)F(&H>!JyVtjK5|3RwDX_MT%DFNKD1df_rwy%n3UTDcmx4MVbrw{S_+}ixu zazJHT)qt5>)$+3^c22HuaEQ=PK3rzO@k+AL88~&`lU0dxcW(*tF4{f(P=i~yP~#Cs=eC(?cUM{8O)%ZDTd+!Vg7%lQ{&sI$-|%ZZ zQsN)K)Ng@x@@J*-!>06ct*y)~5;$fAJ4&`}R?ix{To|04=4&@xeERUT9Ai%E@uWLW zixs+VD^ZMCYw2kHAucxbXv&<@ra&#P*=k$x}Uzo;Ws_nss}?>zChps`%5inlL5v_sjX( zsjE_=UDtYO`pk5SF}6X^ZTp_>JE-rJJ7c;1uzw z*tlTxosWNW*BIIRY?-sUb#BnGWW`=;y_Jv7+aeUjuKT*nHtD{y_+!(7XtPz5I^6nU z!#y=#GcQz8rMHP!Sig4TGOiAHv@N`_?7`kNPWYP{M*ZghIJzZ%)OCla`##Uz+4)lN z#}#2mE-exa;OCZ1e41g{x^Tp=i{8&|GRh+TYK@{-?K>T0J@mWHX$70h&bAH?ISB`= z_9ni+=s&~shF$fxVxeW0k>wH7jVHe4q_}={`ei$2Z~M@o{rU6!!{a_l?hEtI`RRJ? zt+m^8`^n4oRh5e`TK9-;xA5T*=(hyLj?o{kB=77yFvBrlB*1tHprk&sLwR0-g ze)$mhZr|q2^b3Y=OH{gg-weuus3>aigK|!U=$NagMKGTa-a%$}kDp_>#gfnI(` z^%6?bO*#s%Xm>q!{F-Ch(X02>Tw1#Y=~j0bQatmyvv=VG!`t=BekJ3D>-e_?(`q}l zKX=o1&<_5XlltY`KcqB0{5&`Kr1^1P_QKd@7lkj%E|y7RZUw5P#+44;ky&5+OQU3> zN0(k9BhD8c)th%$!V zHnz?0AAB$rw2A1i@GG#qN!O#a=MEcx<-RX>blmnRv})c*<=ufL**i36J8TW8nmhBz zxyXU%`i!`}{odgVS558@Z4D~eEv@Yt zT~%`6oc058-K{$5#V*sI+|W{faaCjc-AV4#bK7-FyzR3wNgTahihYv19ehcd0ken zz17w!G_iADo=aI>@Nu;R+n-$sdOgZGc3V=BZ}ib+o>%l64EOKYnY=Y?j8^Z^$V2J6 zgF=R1n&Fl2|9$k zx_ebHwoUE@^>=z3JBF0KjS6}BD?w^I&>**~_l3|H;~_g!PJZnizsDhdF_j#?fXAUO6LIv`H$(p+6~Nhw8}DgYOOw4_^N=B zl(_a)mt0@@zo^x4cG&DRC!k_#(txBf69yjK+t;*gQuzAxQ$tTbSmxTE_fp*5-8t3z z)a3d%xNg1O?%w>%IZuzKuDG9h^Ty1n-_InbzmC>lIErIlF+KC1(-@a>rB%D~Q;Jvn z*L8K?FmQ?}_5IWO(1gpj7ZM$&8+s%tzf2Y_ZGE%t@z}6#_RAXvN@_-5_W0pq@-u&B z=Mm?NH^r~sbwy2im4i-^)v*=2z9JtlQN%W_DJsR?0#d`C)Fq^gzl`>{t{K<0U3qYt zO>T7mQ(pOVl0_R04K7%AOV_B>aDMQ9liP=XHEJ@~;D|;|A?N?QMaGi~ShvV}RL|Ia zq|J1ZTG;#L^)I`sylrPVe5_q~{p%l*)<3ozjp?JhX5-@>w;mpCyyS13l~-UCSA8me zY28#+|4W%)TYr3FSr+hOZtPVn^NijP-dyw6RQ|T2a7e-tx03@>j_8*4+=oJDznmyEa@ovv*E+Rki0kcYb?Qrj!+wp8VlyL&sK) z6-9*#PiGFiH&i)LY|{MWinQkIjU%@-BHS;ztCnWZ}M)l-%3eIi`pLh z`bGcy<@_I?cf@x!F*y9JrtaRSShe&{RtLwP>7O_|!tChNu+q6ZY66pnYt<{C9pC9{ zSizQ{5!-EcWj&bc;TV^ma@qRh`~!i-VOkMQM*Gt|32XEehxrNU2ICJs@|tZg#$e&Ho6*a__Q$TM+n~7!PlJ9Q1662>?a7(#cMCao#=YKaVY1M1GfojwJlWC^$3ooip z-}jNH(CU8UrRY~aWp9r6Hn|koW!2=}J%8QVyWURu+Qz!Y?YjI-%rhL}?`Hh5*ND>& z_^pHAUB!?0n*|=O+#EXpsqKYfTHeA(H!d&SXQ5oqag;_MY`g5Sf%jbNL{3`UQ+6*+ zHi$b!2lnr;n(geD;@Km)EX8hPe5(=N`b@ECDt<1U?CgGIM4q{tY5Jti3L*1euT0zi zZP~!cFqO~2CD#|MyLhl-n2LEj?z^f)&a<;msvC3)0+I3_wehwM~bKDmx9zm-*DF7ygKtb>sK>X*+XN z+;&=~EC|^*e&eFJa9)u0v`B{v+nZNS9yu-HSaJ1q=GwQ*eCwXx-SGNEheP+gBWJr!c^S}m^}*#+mLDD( zF|M24T+7Wq)7;#Za=K`|uN-eA^3(72tA6~!VGhry?5i1fQ)RE}^VUahRw~bqZ@s5+ zU)vLF4m)v;)5aLwwjG$n?>s&BXQD^4>E-J&uFFh@7>DOL&6+0kZ{=}{ccdVt zuV&gD{KiDgr8ll0{o5~8ORSv}fAO-bnpSDMR|#FY-;cYo6B+pnvW85`8DO= zIxdPU|DBL!m@uOB*@ekXa}K9WQ>eM-$@OX6mAmfNr{J+$bItp>jncTubMLr+ug=KH zZBth1J4X-GeLMJ`-LnEOpR%_{!ZSKny`MKV($KZA-fz*0hC9vCwO1=L-(TENw?8jn z?T_0YHfG!|KCuV-En9v;BgS@Uc1XW>L$^;{)AsE?g*#RC1rGPTT_ekf6tvYFmFwx( z_;9UmP|nb*yThKRo}b-#HhXBn?+J67ul%@18;zDgzpkhMT{hz2Vex=D5P_ zw%i{-qP*C7f!mn>{Xc#mS@vv!pKE4rQR|HysbXxbVmNDxi-+4h*`uKaR}Vi9`QL?X z>#39R#OM5d;^B$8>x|3V_#0o3FKGFj08jtB$c?`V_4v4){RdNoCx+Dj-V@`A`Ay3I zo+Nl`8B>ar~+kw zka0&|08;)wf+~K?P;hJneAZB)ir>PS0#JqF{=N&U1gxPzm4G!As1mdc1=bX>*i#6q zSp1293Rny(1XU~!#a{$0z7m2eam&0w6o^~q6@n@;YhF+#Zdrm5R7qNv08ST3T80vV zDoM*wV0?k3WhfD-lC%s3IS{be48PDWV6hp*Lcn4(5vcm}^Y1t#tVSSZ4JFkRa$2Z@ z&jP{m?G9Egy`gi=t&VnUpcP|&hKDX0P?{#g-@O9;;U`v|JQYJVR=6?p6KBd7v1 z{e1*g;GRE^Tn@+rzqCAqE^tW8GYA7uv^;|{QOj`5cPfN9_m;ndHWtrwp@oDjrssko zgeaKWh}mK<<-B0RIs1FS1zNdmrZf@jux!OJ3+EO4RvMJ!?9g1JR3X~3^NNf(s)cVu=M8e-p9f zg85X6h$R?Y;EGt1!G$3Zu|$K5cSS7O;KCG$Si-@@SondO7XE-=B4UXL7h{Xq@&QvI zVmlI;OT_jhU=TzsmCwc8BDOC9lOSR{6QD)J_9kEyL~M5gw24^$1Q#BU2ny}5e1TRG zRM_8V7zPo`;oyRH5zFJ?!Ze6jE(aH~E`lmz-iLdK|GIg(GKmF=jLH8?F~9@-->-kq z@OSodb@p{tF`TK#RWY2d$5Sz!qBn44bY|U(M<4S8lV_}dS+MHvgJ#X*f*6NE9jmlH zyvjTt*k_Q6p^e@^he407bXU0E^OJ*r5_jj}eD_vC!@3FU#*cRJ;t$QV@orN34aBK> z!$cg05-D`ipDc&WWpxdh=jN+7FfDF<2dB<)3BVoe>*nn5?(H?s+22iXU`kVM$P$g1 z-S}>wMRfi#twF5yNM%C$XFdOYvuCWczq6h_UnIdGq z&Er2o&nv*w6V5P$JK1@KcK|%*DPC@4y*&dKd$A^3?&~&Rg`+3s@KiW||HJScq+-6_ ze=s;fjLu>Hqvs`qaiLW3KRMWcZ~p_v=r`88%s+XI%n>j?qc;ec%yCh%nXlz@pDo+n@u#^0BR@i>fbAz+M7CSg2= z-3dHCV}}Tskcl`5m~0h2H~ihxNOy($kA zGWwf@@fiC>;K`yN62|C70w&Yt1WXK@LE=eiSrBoU2uuE55tp7r#AECQfhVBn5DEV= zhxi|Ji2pH%l=0c*=MZxkJ4(QKjO{02d|IZ(0>-8gctTpH#bU;G6L=EF-;jq%ps{Ee zEz?jrG#(#506CtJi86^fME{uMA9_Rb54|Df&~r!`-;J0<%BSa$^67O*Wj?k%eNvg< zL%<}oZj#DOmmD6{F5?3bFfNn+AYgpP*CJp7#y=!rLMD|!z+`?00h3Vr4<0(D|KOD~ zIRW{1;VRQGS+0b@6VP+OO`+cv|6>lxKjx4!{*(M1JTBw&5itDN9t9IndK?})JqPa} zd&Lve=Ya|gbw*$zlCR)}Q+x#&C4(@bl$^sOr*tB`aKr_~difGc@4_2rVk7x|5pbCJ zjezl(qz3_$<@*SjEJ`O~l&yspr)(`(AYuG&`FDl1Tylj>%tYex5r6fyve=fG zLl$2UFbQQ-;H@!nn>-#uIqG~7o>AwE5Q*ATggvA#<|6bUZ3SR7|A{2@{)wdY{)w4* zilAA{qx3UZEK45BuMIYUqEAfQOD=2x#cN_I&3_W+8=M3!5-z=e*m`RJB(fZwJbiF! zs56&{DIb`Nt)kWe*NlQm@#PzmJ}HmMY0J+m<o2*h}apzfebFS4g}m3&4|LOy@zW? z@gGu|HQJ2mi)Y8StWyj$#QuF3aF!AtEx%BS)Lu!1 zR4l}kzz|a7iJAN~F^7~X>Bz&R9OOPp7&I0QqwELVIx3FmN$FexPb#MTah{a+`S~D& zqy;d_|AXsD`G0&4pYs0@(NX>jAFNC63nZGdzkH5_vcG&T1|;@{k5oH(M#w->ISRn2 zJP5ETADs_Jhdd)#TFQUnb77Q8T40bUT6lCU&WAZC`GpVmqxOYI=Pmd=F_jyEi%!WF zD&&-J!N-D0J;Vnwq)hXXXpYJ{Q%?jOaILOP#_4gxB_!3X11hS~#^NGMuR_MpxKr3cbRp)Y~*J8`@;Z-}H!pjZ-~XT-VjS@-VjS^y&+-hAq34x4^evnF{jQ$Li^O{rJ#93BBtUVzC=p% z1~T;2d0EJ^M(PrH7L-kamrbn=;Uzh*SPaG@_5hnl#Uy~qYFTW4k)Vo5+9+@{ zhw|kmn1q@Ga!&9m-W8EPArzRbHHkehqBk(AybJ-J;JNcw}A=j<>AN%btT7kJML)l6j;J0G^PHNzjNOCF2hUkJJY!Zppe#huNub~lus)sUoWNd(p5d@fA2h0K~gJR@-X_%O_TS!mBBd5j_llcwI%B5-H zQFb2z6B2vWcoLcxG-DFy#$-^)`V7>ItQV8Le@I41C>;SjQV($@a1#j|itz+w-HK6R zWX!~5Zb-WiJSpiXA|WUybIlAM8ShKPFi3=6LnesQFAN?%o&a9I{GKA^CL!Zcyvrx^ zB}gJs{xk4ksfqDW{$To2*!#@jkva@ki>m7~c%^XSIgp)Za29K<< z@K8$l$9iSGPjchIYLR?^@kC@^1y)toXT*M&!J~B@!gxYHpm3;MfkZ6iQhO?duT1zG z3?8+oBA$TspBX%APthqu`a{6uk+u=ZCZ-RES=!&c1%pSzcw*$Z2^nPYNS}cxK~kFH z4Kx}Oa*p%{l?TRnd~#0_g(87Z(MRzC4&hj5?r9YKqftjWPhQ@JGukIcUyd&(jGVnhZUa=i%Ig-rjI{8_?fpkVN&h<%YD zYNYIf1R)}67hsLZm_!2ig^XbV6O%bIz$9etgn^Ok1&qprNF)Ld8CM|9K*f!~gIOl_ z0NRg?-_VpHYm}DTE5Jy94U9|mN8nvJSn_iq^#BQ$hcS4hEbzgRWWEG=_)#?Zcln4A znO;SLK3RVt8-@xg(8YqQ;Y5x?t}C z29uJ0Eas(h9`JHxw>#MH0#DZD&xXOvq5VYQkv&h)I7oz0^ieetcsW$Q6Z68#%fAaR zhxX-xNBSEAbbOOJ8H@)hB*sIhGp%1>Yl$<5m-7#Ok2VxS79f;Tl6NsLir~b&jNe4S z7(7x21!B6k&ES#tNWipJ5j+k&Dd}&Z0|S*md0H4e(%(QNi7Y&U2X#RDodWpdl%8aC z31NGn#%VhPJksC5jb{`KQ!Je@62f)nf=eKDjnzy(!*h@W^}}tb**$09yuuN9CK4F-Oo&twVMs=Kz35(tv7lilJzKF@#S%`cS>31^Yk-ixmQL63&JSqo@Y6U`DYF?^NCq!kD zvPbAzB5T4>l9Ua=yi|=8Sxk!87(C{FjU2zA1B28NFsqd9LDL}>|1x-_jzH8y^)&;J zxl1HBFRJk{=<+ZIkIXT`ainuHz$0@ROeLS}alm*~zZ4n>1XLUVJhHYe!khn~k5A?p z!G5wkPV7C!ys{g#Y#1UYDn4iMNLwL7{f){&L8QqXBLoJ`VATFmYXi3+eNE$$c_|T6 z3jbKI?8=imNI*_ih-9@5b9)^S^pNt_GJkqy7i9mMaSAM-viG+@o zrN;>v#$)c@F|Yph<&oa~2mdk6Wdt6Q`u|u5)vt^>s9Y(Gf{^m5fk)bSG5YmLe!+MY zUx|^|`^R2UeZELPQ+^o6LxU`_He`WmSw;IUaYisbU~>6)nej*)kBdRF8|>_T1|Ajn zFzGcieq-=R8;^7ovij7#s36J1#4w`dyBH6|5O{F){;^&$nI9LU(n0#q7?0{n5X1AP zWeZ6o!haT{)r#bMW<1hPOVKq#+6tyCh#U`51&_?(0Y>>!fJsRI6pTUjV*-XIL;1Y| z3?fIu=-ve+*JO8G*=G(Im1~hQtpUWoplHS1ab;fpE0-wUQGSyY=^Row!Lgw1uM}Ae zGS)>!6Ae1V984-mUPs{81VRIOz5+}@_DcbVemMDcpo|FrS$+<{_@usK%8dvo(J9#JlKpA@XLxL#m#}gX$pP#R-#pfW9SSpTW0iO_t{kz(mM}5aS^Z zAo2p(6*Tb5>l~(8fb4l^V5EKljH!4Nb0D@SdM{C$g^eQDfuMbHID1jppN6djP zL9$N5l*-{y%JTstKRyw&0}pDF;8S?xWPS*ZDd_1XWC0iB$@^9yg}F>Y%nL0^_*V=b z=}$5+Y&7{Us(0jik*y>78CP`3K7EV_rAUs4v^>cN5H@7jiFsj@i5w4Vp^!|1*H9@Z zYdgS0+X%sHaFAqQ=3vVVj)<(YjFyz-Q*@*v zVMmOIuN{zQ&TtTU<~+F6z61q4%6TbLI*Hkza#7MlUR}S1_t%o(p*B%_H`T zhff0$`6jfIFo_>xZQx1r%$brL(Mtk)kxC=y08f%<&SU_{Gl$nHAkQ4cQTm<-o+Qs4 z-Mf^&ht{R^J**|A?@`ns@5(^E(E6Tbfo;ljM5$VaF8`GXmo;lPcd7qe3e`KE)G&{;s1YaSEN%qVF56Lfb9pD!7 z%yH?RtV`kc^-7G0C-HZ0Wlt`h@_muq{zOV!`9;n-pIaxm>vY^7{T^sK-0>vlVA@Z~Ge@f_neRlR3RDy4fp$@pBINe~bv3e{ zf(#xmXAtW^U5!in_kfWxHr|DaBF+t(j*P)j4M03i(t_}kS}&GCtrrU+^&0ABvTx3? z&kY$>bZHXnMVLqOI1D_r7>Nh7O0EORSCYq3y(D8gFeKb7^4yrKphRo{2o)RPVJ=p4L$b(zbSQQR2(ezA2H8^y*9UC{@;MK{a0P}~ zuMmB6q+iEG&J=y%Tv9(Hkt_S25Bn^UZ$}k_*aKNGE)Rp@5tA|q7^IcLlk11`_jPvn zbn{igCmRiCxCgq)K1O3W-P_wA|AHJb9|g4Vn(vJ#>@f3W<~7fEg^$0UthGk==W%-W i0|yNp Date: Tue, 8 Jun 2021 20:26:59 +0100 Subject: [PATCH 16/32] Create Energy<->Control reference doc --- Control/ref/energy.cpp | 37 +++++++++++++++++++++++++++++++++++++ 1 file changed, 37 insertions(+) create mode 100644 Control/ref/energy.cpp diff --git a/Control/ref/energy.cpp b/Control/ref/energy.cpp new file mode 100644 index 0000000..4bf9d86 --- /dev/null +++ b/Control/ref/energy.cpp @@ -0,0 +1,37 @@ +#include +#include +#include + +#define RXpin 0 // Define your RX pin here +#define TXpin 0 // Define your TX pin here + +void setup() +{ + Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) + Serial1.begin(9600, SERIAL_8N1, RXpin, TXpin); // Set up hardware UART1 + + // Other Drive setup stuff +} + +void loop() +{ + bool charge; + DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be + if(Serial1.available()){ + deserializeJson(rdoc, Serial1); // Take JSON input from UART1 + charge = rdoc["ch"]; // {"ch":0} + } + + + float stateOfCharge = 0; + float batteryVoltage = 0; + float batteryCycles = 0; + + // Do Drive stuff, set the 5 values above + + DynamicJsonDocument tdoc(1024); // transmit doc, not sure how big this needs to be + tdoc["soc"] = stateOfCharge; + tdoc["mV"] = batteryVoltage; + tdoc["cyc"] = batteryCycles; + serializeJson(tdoc, Serial1); // Build JSON and send on UART1 +} \ No newline at end of file From 5411a247bc1926ebc4168da00870d4220c09da07 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Wed, 9 Jun 2021 15:25:18 +0100 Subject: [PATCH 17/32] Create Command<->Control reference doc --- Control/ref/command.cpp | 39 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 39 insertions(+) create mode 100644 Control/ref/command.cpp diff --git a/Control/ref/command.cpp b/Control/ref/command.cpp new file mode 100644 index 0000000..16761f0 --- /dev/null +++ b/Control/ref/command.cpp @@ -0,0 +1,39 @@ +#include +#include +#include + +#define WebSocket 0 +int batteryVoltage, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Command ==> Control +int command_id, mode, reqHeading, reqDistance, reqSpeed, reqCharge; // Info Control ==> Command + +void setup() {} + +void loop() +{ + DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be + deserializeJson(rdoc, WebSocket); // Take JSON input from WebSocket + batteryVoltage = rdoc["bV"]; + totalTripDistance = rdoc["tD"]; + currentHeading = rdoc["cH"]; + current_x = rdoc["pos"][0]; + current_y = rdoc["pos"][1]; + signal_strength = rdoc["rssi"]; + lastCompletedCommand_id = rdoc["LCCid"]; + + // ResetTelemetry / STOP / M 0 50 1 / C % + // [20] Heading: 0, Distance: 50, Speed: 1 / [20] Charging to: ??% + // {"Cid":20,"rH":0,} + + DynamicJsonDocument tdoc(1024); // transmit doc, not sure how big this needs to be + tdoc["Cid"] = command_id; + tdoc["mode"] = mode; // Switch (mode): + // -1 = Add to queue, reset x/y/odometer (telemetry data) + // 0 = Stop immediately, clear command cache + // 1 = Normal movement command, added to end of command cache + // 2 = Normal charge command, results in no motion, added to end of command cache + tdoc["rH"] = reqHeading; + tdoc["rD"] = reqDistance; + tdoc["rS"] = reqSpeed; + tdoc["rC"] = reqCharge; + serializeJson(tdoc, WebSocket, WebSocket); // Build JSON and send on UART1 +} From 7ab9de2cc76c5e058f92da235696ed4e36545e17 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Wed, 9 Jun 2021 18:33:37 +0100 Subject: [PATCH 18/32] Tidy up main.cpp formatting Regions allow for Code Folding --- Control/src/main.cpp | 16 ++++++++++++---- 1 file changed, 12 insertions(+), 4 deletions(-) diff --git a/Control/src/main.cpp b/Control/src/main.cpp index 245331e..87707e7 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -1,3 +1,4 @@ +#pragma region Includes #include #include // #include Software Serial not currently needed @@ -9,29 +10,36 @@ #include #include #include +#pragma endregion -// Enable extra debugging info +#pragma region Enable extra debugging info for ESP32 #undef LOG_LOCAL_LEVEL #define LOG_LOCAL_LEVEL ESP_LOG_VERBOSE #include "esp_log.h" +#pragma endregion +#pragma region Definitions eg pins #define RX1pin 14 // Pin 10 on expansion board, UART1 #define TX1pin 4 // Pin 11 on expansion board, UART1 +#pragma endregion -// Function Declarations +#pragma region Function Declarations void printFPGAoutput(); void returnSensorData(); void notFound(AsyncWebServerRequest *request); void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length); +#pragma endregion -// Global objects +#pragma region Global objects AsyncWebServer webserver(80); WebSocketsServer websocketserver(81); Ticker ticker; +#pragma endregion -// Global variables +#pragma region Global variables float battery_voltage = 4.0f; int distance_travelled = 0; +#pragma endregion void setup() { From 108f4d149593b492e0a63eb0790730734293d904 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Thu, 10 Jun 2021 00:19:35 +0100 Subject: [PATCH 19/32] Add nonblock flag to UART FILE* --- .../software/D8M_Camera_Test/main.c | 448 ++++++++---------- 1 file changed, 201 insertions(+), 247 deletions(-) diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c index c45c7f2..f5fe500 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c @@ -1,5 +1,3 @@ - - #include #include "I2C_core.h" #include "terasic_includes.h" @@ -12,7 +10,7 @@ #include //EEE_IMGPROC defines -#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B') +#define EEE_IMGPROC_MSG_START ('R' << 16 | 'B' << 8 | 'B') //offsets #define EEE_IMGPROC_STATUS 0 @@ -26,286 +24,242 @@ #define GAIN_STEP 0x040 #define DEFAULT_LEVEL 3 -#define MIPI_REG_PHYClkCtl 0x0056 -#define MIPI_REG_PHYData0Ctl 0x0058 -#define MIPI_REG_PHYData1Ctl 0x005A -#define MIPI_REG_PHYData2Ctl 0x005C -#define MIPI_REG_PHYData3Ctl 0x005E -#define MIPI_REG_PHYTimDly 0x0060 -#define MIPI_REG_PHYSta 0x0062 -#define MIPI_REG_CSIStatus 0x0064 -#define MIPI_REG_CSIErrEn 0x0066 -#define MIPI_REG_MDLSynErr 0x0068 -#define MIPI_REG_FrmErrCnt 0x0080 -#define MIPI_REG_MDLErrCnt 0x0090 +#define MIPI_REG_PHYClkCtl 0x0056 +#define MIPI_REG_PHYData0Ctl 0x0058 +#define MIPI_REG_PHYData1Ctl 0x005A +#define MIPI_REG_PHYData2Ctl 0x005C +#define MIPI_REG_PHYData3Ctl 0x005E +#define MIPI_REG_PHYTimDly 0x0060 +#define MIPI_REG_PHYSta 0x0062 +#define MIPI_REG_CSIStatus 0x0064 +#define MIPI_REG_CSIErrEn 0x0066 +#define MIPI_REG_MDLSynErr 0x0068 +#define MIPI_REG_FrmErrCnt 0x0080 +#define MIPI_REG_MDLErrCnt 0x0090 -void mipi_clear_error(void){ - MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error - MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error +void mipi_clear_error(void) +{ + MipiBridgeRegWrite(MIPI_REG_CSIStatus, 0x01FF); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLSynErr, 0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_FrmErrCnt, 0x0000); // clear error + MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error - MipiBridgeRegWrite(0x0082,0x00); - MipiBridgeRegWrite(0x0084,0x00); - MipiBridgeRegWrite(0x0086,0x00); - MipiBridgeRegWrite(0x0088,0x00); - MipiBridgeRegWrite(0x008A,0x00); - MipiBridgeRegWrite(0x008C,0x00); - MipiBridgeRegWrite(0x008E,0x00); - MipiBridgeRegWrite(0x0090,0x00); + MipiBridgeRegWrite(0x0082, 0x00); + MipiBridgeRegWrite(0x0084, 0x00); + MipiBridgeRegWrite(0x0086, 0x00); + MipiBridgeRegWrite(0x0088, 0x00); + MipiBridgeRegWrite(0x008A, 0x00); + MipiBridgeRegWrite(0x008C, 0x00); + MipiBridgeRegWrite(0x008E, 0x00); + MipiBridgeRegWrite(0x0090, 0x00); } -void mipi_show_error_info(void){ +void mipi_show_error_info(void) +{ - alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; + alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt; - PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); - SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); - MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); - FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); - MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); - printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); + PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); + SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); + MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); + FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); + MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); + printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt); } -void mipi_show_error_info_more(void){ - printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); - printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); - printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); - printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); - printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); - printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); - printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); - printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); - printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); - printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); - printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); - printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); +void mipi_show_error_info_more(void) +{ + printf("FrmErrCnt = %d\n", MipiBridgeRegRead(0x0080)); + printf("CRCErrCnt = %d\n", MipiBridgeRegRead(0x0082)); + printf("CorErrCnt = %d\n", MipiBridgeRegRead(0x0084)); + printf("HdrErrCnt = %d\n", MipiBridgeRegRead(0x0086)); + printf("EIDErrCnt = %d\n", MipiBridgeRegRead(0x0088)); + printf("CtlErrCnt = %d\n", MipiBridgeRegRead(0x008A)); + printf("SoTErrCnt = %d\n", MipiBridgeRegRead(0x008C)); + printf("SynErrCnt = %d\n", MipiBridgeRegRead(0x008E)); + printf("MDLErrCnt = %d\n", MipiBridgeRegRead(0x0090)); + printf("FIFOSTATUS = %d\n", MipiBridgeRegRead(0x00F8)); + printf("DataType = 0x%04x\n", MipiBridgeRegRead(0x006A)); + printf("CSIPktLen = %d\n", MipiBridgeRegRead(0x006E)); } +bool MIPI_Init(void) +{ + bool bSuccess; + bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50 * 1000 * 1000, 400 * 1000); //I2C: 400K + if (!bSuccess) + { + printf("failed to init MIPI- Bridge i2c\r\n"); + } -bool MIPI_Init(void){ - bool bSuccess; - - - bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K - if (!bSuccess) - printf("failed to init MIPI- Bridge i2c\r\n"); - - usleep(50*1000); + usleep(50 * 1000); MipiBridgeInit(); - usleep(500*1000); - -// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K -// if (!bSuccess) -// printf("failed to init MIPI- Camera i2c\r\n"); + usleep(500 * 1000); MipiCameraInit(); MIPI_BIN_LEVEL(DEFAULT_LEVEL); -// OV8865_FOCUS_Move_to(340); -// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! + usleep(1000); - - usleep(1000); - - -// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); - - return bSuccess; + return bSuccess; } - - - int main() { + fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); - - printf("DE10-LITE D8M VGA Demo\n"); - printf("Imperial College EEE2 Project version\n"); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - - usleep(2000); - IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - usleep(2000); - IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - - printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); - //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP - - - usleep(2000); - - - // MIPI Init - if (!MIPI_Init()){ - printf("MIPI_Init Init failed!\r\n"); - }else{ - printf("MIPI_Init Init successfully!\r\n"); - } - -// while(1){ - mipi_clear_error(); - usleep(50*1000); - mipi_clear_error(); - usleep(1000*1000); - mipi_show_error_info(); -// mipi_show_error_info_more(); - printf("\n"); -// } - - -#if 0 // focus sweep - printf("\nFocus sweep\n"); - alt_u16 ii= 350; - alt_u8 dir = 0; - while(1){ - if(ii< 50) dir = 1; - else if (ii> 1000) dir =0; - - if(dir) ii += 20; - else ii -= 20; - - printf("%d\n",ii); - OV8865_FOCUS_Move_to(ii); - usleep(50*1000); - } -#endif + printf("DE10-LITE D8M VGA Demo\n"); + printf("Imperial College EEE2 Project version\n"); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); + IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); + usleep(2000); + IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); + usleep(2000); + IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); + printf("Image Processor ID: %x\n", IORD(0x42000, EEE_IMGPROC_ID)); + //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP + usleep(2000); + // MIPI Init + if (!MIPI_Init()) + { + printf("MIPI_Init Init failed!\r\n"); + } + else + { + printf("MIPI_Init Init successfully!\r\n"); + } + // while(1){ + mipi_clear_error(); + usleep(50 * 1000); + mipi_clear_error(); + usleep(1000 * 1000); + mipi_show_error_info(); + // mipi_show_error_info_more(); + printf("\n"); + // } ////////////////////////////////////////////////////////// - alt_u16 bin_level = DEFAULT_LEVEL; - alt_u8 manual_focus_step = 10; - alt_u16 current_focus = 300; - int boundingBoxColour = 0; - alt_u32 exposureTime = EXPOSURE_INIT; - alt_u16 gain = GAIN_INIT; + alt_u16 bin_level = DEFAULT_LEVEL; + alt_u8 manual_focus_step = 10; + alt_u16 current_focus = 300; + int boundingBoxColour = 0; + alt_u32 exposureTime = EXPOSURE_INIT; + alt_u16 gain = GAIN_INIT; - OV8865SetExposure(exposureTime); - OV8865SetGain(gain); - Focus_Init(); + OV8865SetExposure(exposureTime); + OV8865SetGain(gain); + Focus_Init(); - FILE* ser = fopen("/dev/uart_0", "rb+"); - if(ser){ - printf("Opened UART\n"); - } else { - printf("Failed to open UART\n"); - while (1); + FILE *ser = fopen("/dev/uart_0", "rb+"); + fcntl(ser, F_SETFL, O_NONBLOCK); + if (ser) + { + printf("Opened UART\n"); + } + else + { + printf("Failed to open UART\n"); + while (1) + { + } + } + + while (1) + { + + // touch KEY0 to trigger Auto focus + if ((IORD(KEY_BASE, 0) & 0x03) == 0x02) + { + + current_focus = Focus_Window(320, 240); + } + // touch KEY1 to ZOOM + if ((IORD(KEY_BASE, 0) & 0x03) == 0x01) + { + if (bin_level == 3) + bin_level = 1; + else + bin_level++; + printf("set bin level to %d\n", bin_level); + MIPI_BIN_LEVEL(bin_level); + usleep(500000); } - while(1){ + //Read messages from the image processor and print them on the terminal + while ((IORD(0x42000, EEE_IMGPROC_STATUS) >> 8) & 0xff) + { //Find out if there are words to read + int word = IORD(0x42000, EEE_IMGPROC_MSG); //Get next word from message buffer + if (fwrite(&word, 4, 1, ser) != 1) + printf("Error writing to UART"); + if (word == EEE_IMGPROC_MSG_START) //Newline on message identifier + printf("\n"); + printf("%08x ", word); + } - // touch KEY0 to trigger Auto focus - if((IORD(KEY_BASE,0)&0x03) == 0x02){ + //Update the bounding box colour + boundingBoxColour = ((boundingBoxColour + 1) & 0xff); + IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - current_focus = Focus_Window(320,240); - } - // touch KEY1 to ZOOM - if((IORD(KEY_BASE,0)&0x03) == 0x01){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); + //Process input commands + int in = getchar(); + switch (in) + { + case 'e': + { + exposureTime += EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break; + } + case 'd': + { + exposureTime -= EXPOSURE_STEP; + OV8865SetExposure(exposureTime); + printf("\nExposure = %x ", exposureTime); + break; + } + case 't': + { + gain += GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break; + } + case 'g': + { + gain -= GAIN_STEP; + OV8865SetGain(gain); + printf("\nGain = %x ", gain); + break; + } + case 'r': + { + current_focus += manual_focus_step; + if (current_focus > 1023) + current_focus = 1023; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ", current_focus); + break; + } + case 'f': + { + if (current_focus > manual_focus_step) + current_focus -= manual_focus_step; + OV8865_FOCUS_Move_to(current_focus); + printf("\nFocus = %x ", current_focus); + break; + } + } - } - - - #if 0 - if((IORD(KEY_BASE,0)&0x0F) == 0x0E){ - - current_focus = Focus_Window(320,240); - } - - // touch KEY1 to trigger Manual focus - step - if((IORD(KEY_BASE,0)&0x0F) == 0x0D){ - - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - else current_focus = 0; - OV8865_FOCUS_Move_to(current_focus); - - } - - // touch KEY2 to trigger Manual focus + step - if((IORD(KEY_BASE,0)&0x0F) == 0x0B){ - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - } - - // touch KEY3 to ZOOM - if((IORD(KEY_BASE,0)&0x0F) == 0x07){ - if(bin_level == 3 )bin_level = 1; - else bin_level ++; - printf("set bin level to %d\n",bin_level); - MIPI_BIN_LEVEL(bin_level); - usleep(500000); - - } - #endif - - //Read messages from the image processor and print them on the terminal - while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - if (fwrite(&word, 4, 1, ser) != 1) - printf("Error writing to UART"); - if (word == EEE_IMGPROC_MSG_START) //Newline on message identifier - printf("\n"); - printf("%08x ",word); - } - - //Update the bounding box colour - boundingBoxColour = ((boundingBoxColour + 1) & 0xff); - IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - - //Process input commands - int in = getchar(); - switch (in) { - case 'e': { - exposureTime += EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 'd': { - exposureTime -= EXPOSURE_STEP; - OV8865SetExposure(exposureTime); - printf("\nExposure = %x ", exposureTime); - break;} - case 't': { - gain += GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'g': { - gain -= GAIN_STEP; - OV8865SetGain(gain); - printf("\nGain = %x ", gain); - break;} - case 'r': { - current_focus += manual_focus_step; - if(current_focus >1023) current_focus = 1023; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - case 'f': { - if(current_focus > manual_focus_step) current_focus -= manual_focus_step; - OV8865_FOCUS_Move_to(current_focus); - printf("\nFocus = %x ",current_focus); - break;} - } - - - //Main loop delay - usleep(10000); - - }; - return 0; + //Main loop delay + usleep(10000); + }; + return 0; } From 778be64c3d7b33848ddb15fd69f6f4ba91a96fdf Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Thu, 10 Jun 2021 18:00:13 +0100 Subject: [PATCH 20/32] Create Vision<->Control reference doc --- Control/ref/vision.cpp | 48 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 48 insertions(+) diff --git a/Control/ref/vision.cpp b/Control/ref/vision.cpp index e699eca..14e5ec0 100644 --- a/Control/ref/vision.cpp +++ b/Control/ref/vision.cpp @@ -1 +1,49 @@ +#include +#include +#include + const int ARDUINO_IO[16] = {-1/*RX*/, -1/*RX*/, 23, 22, 21, 19, 18, 5, 17, 16, 14, 4, 15, 2, 13, 12}; // Expansion board mapping +#define RXpin ARDUINO_IO[11] // Define your RX pin here +#define TXpin ARDUINO_IO[10] // Define your TX pin here +FILE* SerialUART; + +void setup() +{ + Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) +} + +void loop() +{ + int command = getc(SerialUART); + // command char, used for controlling exposure/focus/gain settings: + // e = increase exposure + // d = decrease exposure + // r = increase focus + // f = decrease focus + // t = increase gain + // g = decrease gain + + // Bounding Box edges + int bb_left = 0; + int bb_right = 0; + int bb_top = 0; + int bb_bottom = 0; + // Weighted average of detected pixels coordinates + int centre_x = 0; + int centre_y = 0; + // Heading from DE10-Lite magnetometer + float heading = 0.0; + + // Build hardcode JSON packet on DE10-Lite using fprintf() as space is minimal and library would be too large. + // fprintf(SerialUART, "{\"bb\":[%d,%d,%d,%d],\"cen\":[%d,%d],\"cH\":%d\"}", bb_left, bb_right, bb_top, bb_bottom, centre_x, centre_y, heading); + + DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be + deserializeJson(rdoc, SerialUART); + bb_left = rdoc["bb"][0]; + bb_right = rdoc["bb"][1]; + bb_top = rdoc["bb"][2]; + bb_bottom = rdoc["bb"][3]; + centre_x = rdoc["cen"][0]; + centre_y = rdoc["cen"][1]; + heading = rdoc["cH"]; +} \ No newline at end of file From 88adbdc5723072c4aa0872e3bf03f735536203f8 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sat, 12 Jun 2021 12:47:12 +0100 Subject: [PATCH 21/32] Correct location of credentials.h to include/ --- Control/.gitignore | 2 +- Control/{src => include}/credentials.h.dummy | 0 Control/src/main.cpp | 2 +- 3 files changed, 2 insertions(+), 2 deletions(-) rename Control/{src => include}/credentials.h.dummy (100%) diff --git a/Control/.gitignore b/Control/.gitignore index c7b130a..3074d78 100644 --- a/Control/.gitignore +++ b/Control/.gitignore @@ -3,4 +3,4 @@ .vscode/c_cpp_properties.json .vscode/launch.json .vscode/ipch -src/credentials.h +include/credentials.h diff --git a/Control/src/credentials.h.dummy b/Control/include/credentials.h.dummy similarity index 100% rename from Control/src/credentials.h.dummy rename to Control/include/credentials.h.dummy diff --git a/Control/src/main.cpp b/Control/src/main.cpp index 87707e7..710f1be 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -7,7 +7,7 @@ #include #include "TickerV2.h" #include -#include +#include "credentials.h" #include #include #pragma endregion From 5667ef67f21cb6b1bb5706c265b3eb305a807245 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sat, 12 Jun 2021 12:50:44 +0100 Subject: [PATCH 22/32] Add basic status flag for flow control --- Control/include/status.h | 11 +++++++++++ Control/src/main.cpp | 3 +++ 2 files changed, 14 insertions(+) create mode 100644 Control/include/status.h diff --git a/Control/include/status.h b/Control/include/status.h new file mode 100644 index 0000000..4b8b2d9 --- /dev/null +++ b/Control/include/status.h @@ -0,0 +1,11 @@ +#ifndef CONTROL_STATUS_H +#define CONTROL_STATUS_H + +typedef enum { + CS_ERROR = -1, + CS_IDLE, + CS_MOVING, + CS_CHARGING +} ControlStatus_t; + +#endif diff --git a/Control/src/main.cpp b/Control/src/main.cpp index 710f1be..cda14c6 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -10,6 +10,7 @@ #include "credentials.h" #include #include +#include "status.h" #pragma endregion #pragma region Enable extra debugging info for ESP32 @@ -37,6 +38,7 @@ Ticker ticker; #pragma endregion #pragma region Global variables +ControlStatus_t Status; float battery_voltage = 4.0f; int distance_travelled = 0; #pragma endregion @@ -47,6 +49,7 @@ void setup() esp_log_level_set("wifi", ESP_LOG_WARN); // enable WARN logs from WiFi stack esp_log_level_set("dhcpc", ESP_LOG_INFO); // enable INFO logs from DHCP client + Status = CS_IDLE; Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) Serial1.begin(9600, SERIAL_8N1, RX1pin, TX1pin); // Set up hardware UART1 // Set up remaining communication ports here (Energy, Drive, Vision) From 1d297354295b2439d9105669839b691fea318774 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sat, 12 Jun 2021 15:15:37 +0100 Subject: [PATCH 23/32] Update Command<->Control reference doc --- Control/ref/command.cpp | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Control/ref/command.cpp b/Control/ref/command.cpp index 16761f0..6ece01e 100644 --- a/Control/ref/command.cpp +++ b/Control/ref/command.cpp @@ -3,7 +3,7 @@ #include #define WebSocket 0 -int batteryVoltage, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Command ==> Control +int batteryVoltage, batteryLevel, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Command ==> Control int command_id, mode, reqHeading, reqDistance, reqSpeed, reqCharge; // Info Control ==> Command void setup() {} @@ -13,6 +13,7 @@ void loop() DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be deserializeJson(rdoc, WebSocket); // Take JSON input from WebSocket batteryVoltage = rdoc["bV"]; + batteryLevel = rdoc["bL"]; totalTripDistance = rdoc["tD"]; currentHeading = rdoc["cH"]; current_x = rdoc["pos"][0]; From 52e14dfaa825e46d4d72a550edd216a3c6986182 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sat, 12 Jun 2021 21:00:55 +0100 Subject: [PATCH 24/32] Update Command<->Control reference doc Add Rover state and correct comments --- Control/ref/command.cpp | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/Control/ref/command.cpp b/Control/ref/command.cpp index 6ece01e..ec029d9 100644 --- a/Control/ref/command.cpp +++ b/Control/ref/command.cpp @@ -3,8 +3,8 @@ #include #define WebSocket 0 -int batteryVoltage, batteryLevel, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Command ==> Control -int command_id, mode, reqHeading, reqDistance, reqSpeed, reqCharge; // Info Control ==> Command +int state, batteryVoltage, batteryLevel, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Control ==> Command +int command_id, mode, reqHeading, reqDistance, reqSpeed, reqCharge; // Info Command ==> Control void setup() {} @@ -12,6 +12,7 @@ void loop() { DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be deserializeJson(rdoc, WebSocket); // Take JSON input from WebSocket + state = rdoc["st"]; // State: -1 = Error, 0 = Idle, 1 = Moving, 2 = Charging batteryVoltage = rdoc["bV"]; batteryLevel = rdoc["bL"]; totalTripDistance = rdoc["tD"]; From 1e87e9b0f423fd2d904fb94298a9959be2734912 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sat, 12 Jun 2021 23:04:01 +0100 Subject: [PATCH 25/32] Fix incorrect variable types reqSpeed is between 0 & 1, batteryVoltage has decimal places, both should be float not int --- Control/ref/command.cpp | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/Control/ref/command.cpp b/Control/ref/command.cpp index ec029d9..0a65d23 100644 --- a/Control/ref/command.cpp +++ b/Control/ref/command.cpp @@ -3,8 +3,10 @@ #include #define WebSocket 0 -int state, batteryVoltage, batteryLevel, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Control ==> Command -int command_id, mode, reqHeading, reqDistance, reqSpeed, reqCharge; // Info Command ==> Control +int state, batteryLevel, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Control ==> Command +float batteryVoltage; // Info Control ==> Command +int command_id, mode, reqHeading, reqDistance, reqCharge; // Info Command ==> Control +float reqSpeed; // Info Command ==> Control void setup() {} From 5e6254029ae80721f029085278b199978b064129 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 13 Jun 2021 00:50:38 +0100 Subject: [PATCH 26/32] Add instruction.h Struct for holding instructions in FIFO Queue, enum for instruction types --- Control/include/instruction.h | 21 +++++++++++++++++++++ Control/src/main.cpp | 1 + 2 files changed, 22 insertions(+) create mode 100644 Control/include/instruction.h diff --git a/Control/include/instruction.h b/Control/include/instruction.h new file mode 100644 index 0000000..574d542 --- /dev/null +++ b/Control/include/instruction.h @@ -0,0 +1,21 @@ +#ifndef INSTRUCTION_H +#define INSTRUCTION_H + +typedef enum { + INSTR_RESET = -1, + INSTR_STOP, + INSTR_MOVE, + INSTR_CHARGE +} instr_t; + +typedef struct instruction +{ + int id; + int instr; + int heading; + int distance; + float speed; + int charge; +} RoverInstruction; + +#endif diff --git a/Control/src/main.cpp b/Control/src/main.cpp index cda14c6..8ea0695 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -11,6 +11,7 @@ #include #include #include "status.h" +#include "instruction.h" #pragma endregion #pragma region Enable extra debugging info for ESP32 From bbec96810fb125760ed40436e05008c8fd6c91eb Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 13 Jun 2021 00:56:49 +0100 Subject: [PATCH 27/32] Set up basic rover flow, individual functions to be completed --- Control/src/main.cpp | 309 ++++++++++++++++++++++++++++++++++--------- 1 file changed, 247 insertions(+), 62 deletions(-) diff --git a/Control/src/main.cpp b/Control/src/main.cpp index 8ea0695..637e9d8 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -1,7 +1,7 @@ #pragma region Includes #include #include -// #include Software Serial not currently needed +#include #include #include #include @@ -12,6 +12,7 @@ #include #include "status.h" #include "instruction.h" +#include #pragma endregion #pragma region Enable extra debugging info for ESP32 @@ -21,27 +22,44 @@ #pragma endregion #pragma region Definitions eg pins -#define RX1pin 14 // Pin 10 on expansion board, UART1 -#define TX1pin 4 // Pin 11 on expansion board, UART1 +#define RX1pin 18 // Pin 6 on expansion board, UART1 +#define TX1pin 5 // Pin 7 on expansion board, UART1 +#define RX2pin 17 // Pin 8 on expansion board, UART2 +#define TX2pin 16 // Pin 9 on expansion board, UART2 +#define RX3pin 14 // Pin 10 on expansion board, UART3 +#define TX3pin 4 // Pin 11 on expansion board, UART3 #pragma endregion #pragma region Function Declarations -void printFPGAoutput(); -void returnSensorData(); void notFound(AsyncWebServerRequest *request); void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length); +void queueInstruction(RoverInstruction instruction); +void sendToCommand(); +void sendToDrive(RoverInstruction instruction); +void recvFromDrive(); +void sendToEnergy(RoverInstruction instruction); +void recvFromEnergy(); +void sendToVision(); +void recvFromVision(); #pragma endregion #pragma region Global objects AsyncWebServer webserver(80); WebSocketsServer websocketserver(81); Ticker ticker; +SoftwareSerial Serial3; +std::queue InstrQueue; #pragma endregion #pragma region Global variables ControlStatus_t Status; -float battery_voltage = 4.0f; -int distance_travelled = 0; +float batteryVoltage; +int batteryLevel; +int odometer; +int heading; +int xpos, ypos; +int signalStrength; +int lastCompletedCommand; #pragma endregion void setup() @@ -50,10 +68,21 @@ void setup() esp_log_level_set("wifi", ESP_LOG_WARN); // enable WARN logs from WiFi stack esp_log_level_set("dhcpc", ESP_LOG_INFO); // enable INFO logs from DHCP client + Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) + Serial1.begin(9600, SERIAL_8N1, RX1pin, TX1pin); // Set up hardware UART1 (Connected to Drive) + Serial2.begin(9600, SERIAL_8N1, RX2pin, TX2pin); // Set up hardware UART2 (Connected to Energy) + Serial3.begin(9600, SWSERIAL_8N1, RX3pin, TX3pin); // Set up software UART3 (Connected to Vision) + + // Set global variable startup values Status = CS_IDLE; - Serial.begin(115200); // Set up hardware UART0 (Connected to USB port) - Serial1.begin(9600, SERIAL_8N1, RX1pin, TX1pin); // Set up hardware UART1 - // Set up remaining communication ports here (Energy, Drive, Vision) + batteryVoltage = 0; + batteryLevel = 0; + odometer = 0; + heading = 0; + xpos = 0; + ypos = 0; + signalStrength = 0; + lastCompletedCommand = 0; if (!SPIFFS.begin(true)) // Mount SPIFFS { @@ -63,11 +92,11 @@ void setup() Serial.println("SPIFFS mounted"); WiFi.begin(WIFI_SSID, WIFI_PW); - while (WiFi.status() != WL_CONNECTED) + while (WiFi.status() != WL_CONNECTED) // Wait for ESP32 to connect to AP in "credentials.h" { delay(500); } - while (!MDNS.begin("rover")) + while (!MDNS.begin("rover")) // Set up mDNS cast at "rover.local/" { Serial.println("Error setting up mDNS, retrying in 5s"); delay(5000); @@ -75,56 +104,95 @@ void setup() Serial.println("mDNS set up, access Control Panel at 'rover.local/'"); webserver.on("/", HTTP_GET, [](AsyncWebServerRequest *request) - { request->send(SPIFFS, "/index.html", "text/html"); }); + { request->send(SPIFFS, "/index.html", "text/html"); }); // Serve "index.html" at root page webserver.on("/favicon.ico", HTTP_GET, [](AsyncWebServerRequest *request) - { request->send(SPIFFS, "/favicon.ico", "image/png"); }); - webserver.onNotFound(notFound); - webserver.begin(); + { request->send(SPIFFS, "/favicon.ico", "image/png"); }); // Serve tab icon + webserver.onNotFound(notFound); // Set up basic 404NotFound page + webserver.begin(); // Start Asynchronous Web Server - websocketserver.begin(); - websocketserver.onEvent(webSocketEvent); - ticker.attach(0.5, returnSensorData); + websocketserver.begin(); // Start Websocket Server + websocketserver.onEvent(webSocketEvent); // Set up function call when event received from Command + ticker.attach(0.5, sendToCommand); // Set up recurring function to forward rover status to Command } -void loop() +void loop() // TO DO { - printFPGAoutput(); - - String FPGAinput; // Forward serial monitor input to FPGA - if (Serial.available()) - { - FPGAinput = String(Serial.readStringUntil('\n')); - Serial1.println(FPGAinput); - } - websocketserver.loop(); // Handle incoming client connections -} - -void printFPGAoutput() -{ // Print serial communication from FPGA to serial monitor - String FPGAoutput; - if (Serial1.available()) + switch (Status) { - FPGAoutput = String(Serial1.readStringUntil('\n')); - Serial.println(FPGAoutput); - } -} - -void returnSensorData() -{ - // Collect sensor data here? - distance_travelled++; - if (battery_voltage < 6) + case CS_ERROR: { - battery_voltage += 0.2; + Serial.println("Rover in error state, rebooting..."); + exit(1); } - else + break; + case CS_IDLE: { - battery_voltage = 4; + if (InstrQueue.empty()) // If Rover idle and InstrQueue empty: + { + // TO DO: Collect all data (recvFrom) and + sendToCommand(); // Update command panel + // Maybe wait 1s? Possibly prevent from looping too fast + } + else + { + // Do the next command in the queue + RoverInstruction *instr = &InstrQueue.front(); + switch (instr->instr) + { + case INSTR_RESET: + { + odometer = 0; + xpos = 0; + ypos = 0; + } + break; + case INSTR_STOP: + { + while (1) + { + Serial.println("Emergency Stop should not get queued, hold and print"); + delay(1000); + } + } + break; + case INSTR_MOVE: + { + Status = CS_MOVING; + sendToDrive(*instr); + } + break; + case INSTR_CHARGE: + { + Status = CS_CHARGING; + sendToEnergy(*instr); + } + break; + default: + { + Serial.println("Unknown instruction type in queue, skipping..."); + } + break; + } + } + } + break; + case CS_MOVING: + { + // TO DO + } + break; + case CS_CHARGING: + { + // TO DO + } + break; + default: + { + Serial.println("Unknown rover state, exiting..."); + exit(1); + } } - String JSON_Data = String("{\"BTRY_VOLT\":") + battery_voltage + String(",\"ODO_DIST\":") + distance_travelled + "}"; - Serial.println(JSON_Data); - websocketserver.broadcastTXT(JSON_Data); } void notFound(AsyncWebServerRequest *request) @@ -132,7 +200,7 @@ void notFound(AsyncWebServerRequest *request) request->send(404, "text/plain", "Page Not found. Check URI/IP address."); } -void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) +void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) // TO DO { switch (type) { @@ -147,13 +215,13 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) Serial.printf("Client[%u] Connected from %d.%d.%d.%d url: %s\n", num, ip[0], ip[1], ip[2], ip[3], payload); } break; - case WStype_TEXT: + case WStype_TEXT: // MSG received from command panel { - Serial.printf("Client[%u] sent Text: %s\n", num, payload); - String command = String((char *)(payload)); + Serial.printf("Client[%u] sent Text: %s\n", num, payload); // Echo received command to terminal + String command = String((char *)(payload)); // Convert received command to string type - DynamicJsonDocument doc(200); //creating an instance of a DynamicJsonDocument allocating 200bytes on the heap. - DeserializationError error = deserializeJson(doc, command); // deserialize 'doc' and parse for parameters we expect to receive. + DynamicJsonDocument rdoc(200); // Create instance of DynamicJsonDocument on heap, 200 Bytes + DeserializationError error = deserializeJson(rdoc, command); // Convert command string to JSONDocument and capture any errors if (error) { Serial.print("deserializeJson() failed: "); @@ -161,12 +229,62 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) return; } - int MVM_F_status = doc["MVM_F"]; - int MVM_L_status = doc["MVM_L"]; - int MVM_R_status = doc["MVM_R"]; - int MVM_B_status = doc["MVM_B"]; + RoverInstruction instr; + int mode = rdoc["mode"]; + switch (mode) + { + case -1: // Add to queue, reset x/y/odometer (telemetry data) + { + Serial.println("Reset telemetry command received"); + instr.id = rdoc["Cid"]; + instr.instr = INSTR_RESET; + // Ignore rdoc["rH"], rdoc["rD"], rdoc["rS"], rdoc["rC"] - Serial.println('<' + MVM_F_status + ',' + MVM_B_status + ',' + MVM_L_status + ',' + MVM_R_status + '>'); + /* Put reset command in commandFIFO */ + } + break; + case 0: // Stop immediately, clear command cache + { + Serial.println("Emergency stop command received"); + // instr.instr = INSTR_STOP; // Not needed as Emergency Stop is not queued + // Ignore rdoc["Cid"], rdoc["rH"], rdoc["rD"], rdoc["rS"], rdoc["rC"] + + /* Clear commandFIFO */ + } + break; + case 1: // Normal movement command, added to end of command cache + { + Serial.println("Normal movement command received"); + instr.id = rdoc["Cid"]; + instr.instr = INSTR_MOVE; + instr.heading = rdoc["rH"]; + instr.distance = rdoc["rD"]; + instr.speed = rdoc["rS"]; + // Ignore rdoc["rC"] + + /* Put movement command in commandFIFO */ + } + break; + case 2: // Normal charge command, results in no motion, added to end of command cache + { + Serial.println("Normal charge command received"); + instr.id = rdoc["Cid"]; + instr.instr = INSTR_CHARGE; + instr.charge = rdoc["rC"]; + // Ignore rdoc["rH"], rdoc["rD"], rdoc["rS"] + + /* Put charge command in commandFIFO */ + } + break; + default: + { + Serial.println("Unknown Command type received, ignoring"); // Default case, print and continue + // Ignore rdoc["Cid"], rdoc["rH"], rdoc["rD"], rdoc["rS"], rdoc["rC"] + } + break; + } + + queueInstruction(instr); } break; case WStype_PONG: @@ -179,5 +297,72 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) Serial.println(String("Websocket received invalid event type: ") + type + String(", exiting")); exit(1); } + break; } } + +void queueInstruction(RoverInstruction instruction) +{ + InstrQueue.push(instruction); +} + +void sendToCommand() +{ + DynamicJsonDocument tdoc(1024); + tdoc["st"] = Status; + tdoc["bV"] = batteryVoltage; + tdoc["bL"] = batteryLevel; + tdoc["tD"] = odometer; + tdoc["cH"] = heading; + tdoc["pos"][0] = xpos; + tdoc["pos"][1] = ypos; + tdoc["rssi"] = signalStrength; + tdoc["LCCid"] = lastCompletedCommand; + String JSON_Data; + serializeJson(tdoc, JSON_Data); + websocketserver.broadcastTXT(JSON_Data); +} + +void sendToDrive(RoverInstruction instruction) +{ + DynamicJsonDocument tdoc(1024); + tdoc["rH"] = instruction.heading; + tdoc["dist"] = instruction.distance; + tdoc["sp"] = instruction.speed; + tdoc["cH"] = heading; + serializeJson(tdoc, Serial1); +} + +void recvFromDrive() // TO DO +{ +} + +void sendToEnergy(RoverInstruction instruction) +{ + DynamicJsonDocument tdoc(1024); + tdoc["ch"] = instruction.charge; + serializeJson(tdoc, Serial2); +} + +void recvFromEnergy() // TO DO +{ +} + +void sendToVision() +{ + Serial3.print("R"); // Request new data from Vision +} + +void recvFromVision() // TO DO +{ +} + +void emergencyStop() // TO DO +{ + // Send stop signals to drive, energy + while (InstrQueue.size()) + { + InstrQueue.pop(); // Clear Instruction Queue + } + Serial.println("Instruction Queue cleared"); +} \ No newline at end of file From 39603efd3c2b04e7530b243fa0ccd03e944bd703 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 13 Jun 2021 16:51:46 +0100 Subject: [PATCH 28/32] Add odometer reset flag to Drive<->Control reference doc --- Control/ref/drive.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/Control/ref/drive.cpp b/Control/ref/drive.cpp index 8a59cdc..e10695f 100644 --- a/Control/ref/drive.cpp +++ b/Control/ref/drive.cpp @@ -21,6 +21,7 @@ void loop() int distance = rdoc["dist"]; float speed = rdoc["sp"]; int currentHeading = rdoc["cH"]; + bool resetDistanceTravelled = rdoc["rstD"]; bool commandComplete = 0; float powerUsage_mW = 0.0; From 0483397ae61855325a486e7ed5741f1557ff8aa2 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 13 Jun 2021 17:26:50 +0100 Subject: [PATCH 29/32] Add emergency stop function --- Control/ref/drive.cpp | 4 ++-- Control/src/main.cpp | 12 ++++++++++-- 2 files changed, 12 insertions(+), 4 deletions(-) diff --git a/Control/ref/drive.cpp b/Control/ref/drive.cpp index e10695f..a04c7cd 100644 --- a/Control/ref/drive.cpp +++ b/Control/ref/drive.cpp @@ -18,8 +18,8 @@ void loop() DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be deserializeJson(rdoc, Serial1); // Take JSON input from UART1 int requiredHeading = rdoc["rH"]; // if -1: command in progress, returning requested heading, dist/sp to be ignored - int distance = rdoc["dist"]; - float speed = rdoc["sp"]; + int distance = rdoc["dist"]; // -1 for emergency stop + float speed = rdoc["sp"]; // -1 for emergency stop int currentHeading = rdoc["cH"]; bool resetDistanceTravelled = rdoc["rstD"]; diff --git a/Control/src/main.cpp b/Control/src/main.cpp index 637e9d8..b592441 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -357,12 +357,20 @@ void recvFromVision() // TO DO { } -void emergencyStop() // TO DO +void emergencyStop() { - // Send stop signals to drive, energy + DynamicJsonDocument tdoc(1024); + tdoc["rH"] = heading; + tdoc["dist"] = -1; + tdoc["sp"] = -1; + tdoc["cH"] = heading; + tdoc["ch"] = 0; + serializeJson(tdoc, Serial1); // Send stop signals to Drive + serializeJson(tdoc, Serial2); // Send stop signals to Energy while (InstrQueue.size()) { InstrQueue.pop(); // Clear Instruction Queue } + Status = CS_IDLE; // Reset rover to idle state Serial.println("Instruction Queue cleared"); } \ No newline at end of file From f3ca90fb2e4cf2575b8281b0896d156df31ffa8f Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 13 Jun 2021 17:59:21 +0100 Subject: [PATCH 30/32] Add battery cycle tracking to Command<->Control reference doc --- Control/ref/command.cpp | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/Control/ref/command.cpp b/Control/ref/command.cpp index 0a65d23..e4ff859 100644 --- a/Control/ref/command.cpp +++ b/Control/ref/command.cpp @@ -15,8 +15,9 @@ void loop() DynamicJsonDocument rdoc(1024); // receive doc, not sure how big this needs to be deserializeJson(rdoc, WebSocket); // Take JSON input from WebSocket state = rdoc["st"]; // State: -1 = Error, 0 = Idle, 1 = Moving, 2 = Charging - batteryVoltage = rdoc["bV"]; - batteryLevel = rdoc["bL"]; + batteryVoltage = rdoc["bV"]; + batteryLevel = rdoc["bL"]; + batteryCycles = rdoc["bC"]; totalTripDistance = rdoc["tD"]; currentHeading = rdoc["cH"]; current_x = rdoc["pos"][0]; From dc927495ec9922e9154572824aa9117abcc65261 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 13 Jun 2021 18:26:00 +0100 Subject: [PATCH 31/32] Fix type errors for battery stat reporting --- Control/ref/command.cpp | 4 ++-- Control/src/main.cpp | 3 ++- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/Control/ref/command.cpp b/Control/ref/command.cpp index e4ff859..3a014df 100644 --- a/Control/ref/command.cpp +++ b/Control/ref/command.cpp @@ -3,8 +3,8 @@ #include #define WebSocket 0 -int state, batteryLevel, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Control ==> Command -float batteryVoltage; // Info Control ==> Command +int state, totalTripDistance, currentHeading, current_x, current_y, signal_strength, lastCompletedCommand_id; // Info Control ==> Command +float batteryVoltage, batteryLevel, batteryCycles; // Info Control ==> Command int command_id, mode, reqHeading, reqDistance, reqCharge; // Info Command ==> Control float reqSpeed; // Info Command ==> Control diff --git a/Control/src/main.cpp b/Control/src/main.cpp index b592441..52dca76 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -54,7 +54,8 @@ std::queue InstrQueue; #pragma region Global variables ControlStatus_t Status; float batteryVoltage; -int batteryLevel; +float batteryLevel; +float batteryCycles; int odometer; int heading; int xpos, ypos; From 24bd7db3a8a5b732da2d5953b5ddd876a39a4ed1 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 13 Jun 2021 18:36:13 +0100 Subject: [PATCH 32/32] Rover Control Program Complete To be tested --- Control/src/main.cpp | 140 ++++++++++++++++++++++++++++++------------- 1 file changed, 100 insertions(+), 40 deletions(-) diff --git a/Control/src/main.cpp b/Control/src/main.cpp index 52dca76..ead562f 100644 --- a/Control/src/main.cpp +++ b/Control/src/main.cpp @@ -37,10 +37,11 @@ void queueInstruction(RoverInstruction instruction); void sendToCommand(); void sendToDrive(RoverInstruction instruction); void recvFromDrive(); -void sendToEnergy(RoverInstruction instruction); +void sendToEnergy(bool instruction); void recvFromEnergy(); void sendToVision(); void recvFromVision(); +void emergencyStop(); #pragma endregion #pragma region Global objects @@ -60,7 +61,11 @@ int odometer; int heading; int xpos, ypos; int signalStrength; -int lastCompletedCommand; +int lastExecutedCommand, lastCompletedCommand; +bool driveCommandComplete; +int bb_left, bb_right, bb_top, bb_bottom; +int bb_centre_x, bb_centre_y; +float chargeGoal; #pragma endregion void setup() @@ -78,12 +83,16 @@ void setup() Status = CS_IDLE; batteryVoltage = 0; batteryLevel = 0; + batteryCycles = 0; odometer = 0; heading = 0; xpos = 0; ypos = 0; signalStrength = 0; + lastExecutedCommand = 0; lastCompletedCommand = 0; + driveCommandComplete = 1; + chargeGoal = 0; if (!SPIFFS.begin(true)) // Mount SPIFFS { @@ -116,9 +125,12 @@ void setup() ticker.attach(0.5, sendToCommand); // Set up recurring function to forward rover status to Command } -void loop() // TO DO +void loop() { websocketserver.loop(); // Handle incoming client connections + recvFromDrive(); // Update stats from Drive + recvFromEnergy(); // Update stats from Energy + recvFromVision(); // Update stats from Vision switch (Status) { case CS_ERROR: @@ -129,27 +141,24 @@ void loop() // TO DO break; case CS_IDLE: { - if (InstrQueue.empty()) // If Rover idle and InstrQueue empty: + if (!InstrQueue.empty()) // If Rover idle and InstrQueue NOT empty: Do the next command in the queue { - // TO DO: Collect all data (recvFrom) and - sendToCommand(); // Update command panel - // Maybe wait 1s? Possibly prevent from looping too fast - } - else - { - // Do the next command in the queue - RoverInstruction *instr = &InstrQueue.front(); - switch (instr->instr) + RoverInstruction *instr = &InstrQueue.front(); // Get next command + switch (instr->instr) // Determine command type { - case INSTR_RESET: + case INSTR_RESET: // Reset telemetry values (zeroing position/distance) { odometer = 0; xpos = 0; ypos = 0; + DynamicJsonDocument tdoc(128); + tdoc["rstD"] = 1; + serializeJson(tdoc, Serial1); // Send reset odometer signal to Drive } break; - case INSTR_STOP: + case INSTR_STOP: // Emergency stop { + Status = CS_ERROR; while (1) { Serial.println("Emergency Stop should not get queued, hold and print"); @@ -157,16 +166,18 @@ void loop() // TO DO } } break; - case INSTR_MOVE: + case INSTR_MOVE: // Normal movement { - Status = CS_MOVING; - sendToDrive(*instr); + Status = CS_MOVING; // Set moving state + driveCommandComplete = 0; + sendToDrive(*instr); // Forward to Drive handler } break; - case INSTR_CHARGE: + case INSTR_CHARGE: // Normal charge { - Status = CS_CHARGING; - sendToEnergy(*instr); + Status = CS_CHARGING; // Set charging state + chargeGoal = (float)instr->charge; // Set charging goal + sendToEnergy(1); // Forward to Energy handler } break; default: @@ -175,17 +186,36 @@ void loop() // TO DO } break; } + lastExecutedCommand = instr->id; // Update tracker of last processed command } } break; case CS_MOVING: { - // TO DO + if (driveCommandComplete) // If movement command complete: + { + Status = CS_IDLE; // Set rover state back to idle + lastCompletedCommand = lastExecutedCommand; // Update last completed command + } + else // If movement command NOT complete: + { // Send (up to date) current heading to Drive + DynamicJsonDocument tdoc(128); + tdoc["rH"] = -1; + tdoc["cH"] = heading; + serializeJson(tdoc, Serial1); + } } break; case CS_CHARGING: { - // TO DO + if (batteryLevel >= chargeGoal) // Compare batteryLevel to chargeGoal + { + Status = CS_IDLE; + lastCompletedCommand = lastExecutedCommand; // Update last completed command + sendToEnergy(0); // Stop charging if goal reached + } + // Otherwise continue charging, no change + } break; default: @@ -193,7 +223,9 @@ void loop() // TO DO Serial.println("Unknown rover state, exiting..."); exit(1); } + break; } + delay(500); } void notFound(AsyncWebServerRequest *request) @@ -201,7 +233,7 @@ void notFound(AsyncWebServerRequest *request) request->send(404, "text/plain", "Page Not found. Check URI/IP address."); } -void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) // TO DO +void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) { switch (type) { @@ -241,7 +273,7 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) instr.instr = INSTR_RESET; // Ignore rdoc["rH"], rdoc["rD"], rdoc["rS"], rdoc["rC"] - /* Put reset command in commandFIFO */ + queueInstruction(instr); // Put reset command in InstrQueue } break; case 0: // Stop immediately, clear command cache @@ -250,7 +282,7 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) // instr.instr = INSTR_STOP; // Not needed as Emergency Stop is not queued // Ignore rdoc["Cid"], rdoc["rH"], rdoc["rD"], rdoc["rS"], rdoc["rC"] - /* Clear commandFIFO */ + emergencyStop(); } break; case 1: // Normal movement command, added to end of command cache @@ -263,7 +295,7 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) instr.speed = rdoc["rS"]; // Ignore rdoc["rC"] - /* Put movement command in commandFIFO */ + queueInstruction(instr); // Put movement command in InstrQueue } break; case 2: // Normal charge command, results in no motion, added to end of command cache @@ -274,18 +306,17 @@ void webSocketEvent(uint8_t num, WStype_t type, uint8_t *payload, size_t length) instr.charge = rdoc["rC"]; // Ignore rdoc["rH"], rdoc["rD"], rdoc["rS"] - /* Put charge command in commandFIFO */ + queueInstruction(instr); // Put charge command in InstrQueue } break; default: { - Serial.println("Unknown Command type received, ignoring"); // Default case, print and continue - // Ignore rdoc["Cid"], rdoc["rH"], rdoc["rD"], rdoc["rS"], rdoc["rC"] + // Default case, print and continue + Serial.println("Unknown Command type received, ignoring"); + // Ignore rdoc["Cid"], rdoc["rH"], rdoc["rD"], rdoc["rS"], rdoc["rC"] } break; } - - queueInstruction(instr); } break; case WStype_PONG: @@ -313,6 +344,7 @@ void sendToCommand() tdoc["st"] = Status; tdoc["bV"] = batteryVoltage; tdoc["bL"] = batteryLevel; + tdoc["bC"] = batteryCycles; tdoc["tD"] = odometer; tdoc["cH"] = heading; tdoc["pos"][0] = xpos; @@ -334,19 +366,36 @@ void sendToDrive(RoverInstruction instruction) serializeJson(tdoc, Serial1); } -void recvFromDrive() // TO DO +void recvFromDrive() // Update telemetry data and state info from Drive packet { + if (Serial1.available()) // Check for input from UART1 (Connected to Drive) + { + DynamicJsonDocument rdoc(1024); + deserializeJson(rdoc, Serial1); + driveCommandComplete = rdoc["comp"]; + odometer = rdoc["mm"]; + xpos = rdoc["pos"][0]; + ypos = rdoc["pos"][1]; + } } -void sendToEnergy(RoverInstruction instruction) +void sendToEnergy(bool instruction) { - DynamicJsonDocument tdoc(1024); - tdoc["ch"] = instruction.charge; + DynamicJsonDocument tdoc(128); + tdoc["ch"] = instruction; // Start charging serializeJson(tdoc, Serial2); } -void recvFromEnergy() // TO DO +void recvFromEnergy() // Update telemetry data and state info from Energy packet { + if (Serial2.available()) // Check for input from UART2 (Connected to Energy) + { + DynamicJsonDocument rdoc(1024); + deserializeJson(rdoc, Serial2); + batteryLevel = rdoc["soc"]; + batteryVoltage = rdoc["mV"]; + batteryCycles = rdoc["cyc"]; + } } void sendToVision() @@ -354,8 +403,20 @@ void sendToVision() Serial3.print("R"); // Request new data from Vision } -void recvFromVision() // TO DO +void recvFromVision() // Update bounding box and obstacle detection data from Vision packet { + if (Serial3.available()) // Check for input from UART3 (Connected to Vision) + { + DynamicJsonDocument rdoc(1024); + deserializeJson(rdoc, Serial3); + bb_left = rdoc["bb"][0]; + bb_right = rdoc["bb"][1]; + bb_top = rdoc["bb"][2]; + bb_bottom = rdoc["bb"][3]; + bb_centre_x = rdoc["cen"][0]; + bb_centre_y = rdoc["cen"][1]; + heading = rdoc["cH"]; + } } void emergencyStop() @@ -365,9 +426,8 @@ void emergencyStop() tdoc["dist"] = -1; tdoc["sp"] = -1; tdoc["cH"] = heading; - tdoc["ch"] = 0; serializeJson(tdoc, Serial1); // Send stop signals to Drive - serializeJson(tdoc, Serial2); // Send stop signals to Energy + sendToEnergy(0); // Send stop signal to Energy while (InstrQueue.size()) { InstrQueue.pop(); // Clear Instruction Queue

      >KVijJ9mA}+qQFzAxtWpLn@?-L&g(`a?hwKp^43`1 z-Y+RTlO1MO)`i=+>C@TCP;T0!4VXBVGdQQGB&FAI#bX*y1ac4UvPO5j+VC=;LGAsv zGhGe?7NZ7Qp<6nGucFX=+v^U_QZ0hj4~93QpLvX8A~DVhY_j^XkTmLQRh*bYO5e;7 zX{_@c3Y9rPhK0f3{CSar{}#VOy3IH-$N(-WL(mDn2?-L7!fkvk4jm5+m1WvI8CMkP z;&cmH$(HevByT;?!*Z8h*!Xn3*kWG(?7G%6XjHSHYh|DGB+r1}r%Jb#5TM0u--Pp1 zeOX*~tAXNKAfo%y&X4zc<6PA)&&V<&=jpH>l2ql6hT}I9vk#Nk%}5r^<|7WXp59`- zcebU4^96DKeBtZPD-(1wMj8B@{w|#&+H2}g**s(~Eg^knbYmNkeOAFucYk&u+$}FT zn6(p}E?o6hFrxV^_js&xpSGBrM3+p6MZw8i464&KOT3mog*p$$P{2a}PIzcjPjX8} zl;xOg61@a)p*ta`KGn^u!+wd-APz7ejlW?RLWPrTtW1nA3A~9G5+SL;Sc(|jTO8z- zw?Lw!>gh!KcNaKgAvj*ZXMO-hl@mRJAP77yvKh#?XVueG)NCQJEyJ-Vub_0iPggd( z*X)4e?&WdeY>-DY7NV7X+CRngYu(XV!%1(a8*#cIyg@0T98?f@Vehs6*+r7xvgDY+ zgU9|9*RN(;8J#0ZQ?R$ObV4o*kwk|H7Z3zzI7ab0Zfn6ll-z`ur;*mLPS zkR@v(=?3MBr8|0uif9^I1p78`7Y)YvagMeq;72ghYDS~u6JDAPR5OeV3#Ot+Bsa7n zft@ohK~n7b^sDjzFz z%XQD5wbBPEM+DY%`0}xT^&`pl(59i&_Nt2j1*?FpVFg~MElbPTCS~1CQ^N!1bI@)p zh@G$-AN?WeqoOZ;GuzRxX2i6+kJIi;i^1AlIQsYH23)xElop|OGDTr=2i@oN10}I{ z*-BbS$S(_w0L+VE{#H1mxTuIoy}S8)J%j{EV7@bemY^CBeB!7o3BRwo;0O|xYB^6z zuJhk(NoWqU{8n}79)DDg%WHGkq`n9#vRbw@iJxc+RD5ve+hJtuC)W2Sak=#(s}mA9 zV>phy{p%b}D2{6~*$*;UIv!ap_Ap-a3#Rp)AYl zTUW-1r7XNCw6j_MJ?6OvCNUJ&Ef~2pTFk-6F2Rk^CH>^aBrWVo(vRRMkAkqz8vzoH zt9h6cP}S@g6ehh#*_Y7z{NYBh-H>)NLD~q?m)?LLWnqk%$Ys5@TMxYpwqDz z1KbW~bk26=hLuybe(aKI4HF971qEF)Qj+N^QBND^CzsY}k&L{MOa?HH>W2o7ME&e7 z$C8dFKLlMDFRJO361N6m?^k%^Hq?-ui2{)w8`-nLTqDQ*6Bv_kGi*JocdUGX3)dQR ziFh*}GArRKq-jCaED~lpS*nGnS8FJoG^KOvtvm?$F2r19^0+B{8ulkWBjrtx94xTd zD+W}{>QioCq|rY&UpPY{OkmehxX@-1byMjt3@Hu#cxm{2-ujyJxy___L;K(qW*E;C=L2v?4r*dI{kfbpW#j0A1)M#E-x3e43%-&T2*PD4@CdL^vLf#(D0pL z1c?%7ROr=M!zp#~U(jjNG4`TpO>C^lDeO4sEE&G7TI@~l6{wT{7Vl08=)4Dbm&6jN zUC>_~;8b~DJR8Pb`wRal zRrQH2{JKE7Ish*7v_AT~jwP^OWuneR6vwc^dEW9mA0ON7*Cf5cXF|SQX2-{%Zd}+G z-3ST3K5c|97PL|;#}uXdBvgPRA3Iu9(E=T)U;+R`wlFjlemAjfM_A3o@`$^%e*==p zEiV(%-cZ=oa-2fiTB0V- z#Zk?_h*7HBeI<6_Bayd`S+~)p%Mvmg@zS-7lS#Kzhd8j z*TAT;2^GL=0Y?SAj0(a#ZP{n@UHwRg6dE+ec7Nl&_G3(Q0bb-vgI4ixQh+4MXd+kJ z&6M+DJ{i+et)&;+*a@0TzG2Z*1C9MF6bi`@q#LY40zTat{q$}y6&7*5Wt)IngFY5n z7Wl;KxH3O<-zHu%dAHR>MI|v(@P*nZlPnBOgb_7&0FECl#RfQCH@AF(reVyg8-3|{ zQa4yuM|8-BKbVIG8{c>qx4=UT8O`TLc`X3ZCcCX5i;TnlbLDBlMj0%%GyW z(`b2I3)vZ`aCHnnQ?I%IE&-qRWPTWsQG(Zf;3qFYe|`pJwcDjqxFg7Q}ore9C z<+0_VRn=UqoRjcTks1*YW>5-;w3;O8hY}rsK0E)Nn4b#zDq8o`XwGbDYeLdStjkNF zgnM}g1XeWd>{YA<(}d=h9GjoV9y97dQGYQ0%THq`B*DL5#@@*v2lEX|5BL{Q$a%r z=or_swi9g66x4AfRvC&1S~cK6Pa<5(Y7ky5bcvtmwzdq_-XdcAMMv4}p&;K8#iui& z)FozOKZRt*Cdw>vH;KJuK44TpSWh{+eL4m3w;=S=dxV=;iqRt)e=)e{6av z{D@okQ7e#SG;3L$tU&zC+1?es$MaF*34l>zOox-!B6>WSAs=elu?ug;zUh-_KSOH% z@oV~9DH=j>?W65}7t!LHw>-i$i1u*8U5!e1pK-m&D_Jpa4_g!tE&5h&#}$WN_?UYn z1zfhODs<^Ih9Wv{t@3aT-EE8rP&emfC1U@WhHClMfc;YRG7GnZ;Eojt`kXJ$9m~tGX{EhaL#XhOd}?0Y9JNKjrBG5N^b9-znbvMlvq0di%_|zP+zj zysr>7oRe*;nLy%~(fTtuLF$K+`g^WY&qS&ho6ccj};`8y4*1g-} ze1rK-Oe%m^?r38TLnFQzS%_L5%(>TH%_--QC>un$_j)A2M2MAZbI{~DgIR*(X%?{k zGY5j!Q4Ei{d@XkH=%jquMgBC7mkJMZktWNORCAIaiJSmx+UShsFv(3-(36%05I5b= zr=~r&p%A&9SgYo|-W6(%BW9?a2iRXCARQXpmGH5AS5$5=mC}k$HBIoqQGNPVx_GiD z$+G7S%l1eQ0U%jqr>zJTbkavxRs8wT_@QeRYeutI+r-C~1CnAP?rz1;D?Fm@IIlD> zm#f(tsV53r0e6PETGG>$XuwA6mGQgdL}Rlmz|bX-qto6rgAFA^Pr( z)J6TX_=HN)o~*%m%*1E6`koTlysF+Wa@RxIGzixNFSaR!OwW`No_2)Rqs>g4f)g1@ zXQ(S7^GuAO4A#!JSh{OX223tf$z=^#tv|4X3xZ+PuQSGAfIJ+_W#-p57c@^ahYW#Z zX56rS>s8mh(i)Y)a3pr{B*r3DNNlMY>{T*;{QVk&skzF^4WN1-uVLE#IIA|PNn3+m z_M=a@{^|0&dpJ~9EZXmRcHbtYG#dt&hx!;<78g)QXBe~J)=hE0v#NC28D8P2caRvE z0}JIwbnYNT%0JU3Z|!#8<#fO?h(>;W>ERsHgA{7lN4ABeBlNTHg54yNdvTU@e;nWg z3`>%!UQvK?;!A&Q&BEW3v(qK>#6ggTc-OE?;4e-j?2n>WL$sU@jlrOaQWwGE(wjVv z!(19H9*onp**X52E}`k2z@bi612{tlc2`~PVhuHjN6szH?u;u`KMaW|^2FIU_!XiV zW@Z0TK?h$>ibHNBt)4v-&e*S~E^Xc(=#c;qpWD$FN}BGlXRk&C)yO z;D$R&L_muEh}Y~_509hnwPZ@vBaOYv8zc%dH2o$cgjHSg12o|DOXgB6u{%vg&o@XU z?J`yvd%=Z*0VT!eLI0;%{StCuo$i`;y}K66MP;DKvA2eX*V3hzooAI}tDoc%d#M?rmdlaILnZa*dR*!B>eIgQ#***s59O2F?P229cN z7Ef9+#(hY>bO)3aw^xWc1Bc>bo90+Q%1j7xOJ2GugHr8z*@FAfC{9w3+TsdUtHOu; zI%X#-j7OuL*-_yvp&reUMsk@@Jx|E67)`8|G@$b%86n2b6)s-0^9?GA?nL= zZM?xErukae;QUmooOaL!X$Rd%Apa^&Zp#y|Y($Q|l<7iA_4nuRYDY)nN9EtuzuCcW zOuJfdO?|@8)%G1dww*3e2veG@u{sDX!M!Gan6a#{}-6L;fS|To!^T_g;wxC%CiTI|r z_CKnlnCio4DGUQDN(yLQb!MYoKh|BKXG`hHA5g-S;$~~`i{_uZMYEmmWR}UXDMh_P zen}w3U31XU#jED;JIWqK5ngl6H}*wArNMjV0{Eg)Ztyh&9XZJ!03P!~X)mZk!Qj(N~x<{JaIi-M+n71U>RU$^5xLw=L#GqSeI}o`lk9z^sypSt1$rgOD@* z2hP}ARd+IZUCJ3Od%1F%L}*zPWoF5Sp|iYoy}ck(nJ1$WzbT%N#%Wlf5iT$8* zKQBb>p6B#0R|7)g`*^wd{9Zgi4Ti+-~ki7+aH^5$RXm#kAAO&XtPFLQ~a zaMO-mRoIe2T-B$SJAaJeR@&R$fo0K8_E^Y2Jv^Xa_Rs#T;o(fCh?uN+{N)|z7J~|5|)HpJ+LwN%siyuUgKT&T?DFornrYqWlNwI60J{dllj+i=+J9$qfR^|L&)B zK;y7JtU3VV@PY)qC>a5ma61bI50|V;lO-I{A^d?*Kp1B!uPpxEqRGc$Jex}D0sVKf z^}9`H5FevGh*W|o_t%bi)JNy6mKqj z5BPQPY+&>QXg)$scO%If7E<{{e=#j>k2Qum{Vz|n`C5j8a3>8@zk&XQ0Qsup?o{Hi z+UOq6(n+gMmD$c*sT8+n=%p1>07=Z^^0cLz%|AReBi_<+BlpM4GVD_1pSBc?Q^|W+ z21x~@*VK;S4MR7`oWbfI-vg;n<8X1<-JB$c7l*D~qZ-ePVMLc-EyzJTk$aDn{I z`NJySo?uW9p-zqcsu>K^kq|0W=pA{k12bWExowuUhQVY8MID&DfVEN| zRa=vnSK;oq=%b(#q%!LAEUjeB6h{*tA$6K)S@bma(VvF+LVU>897}gcl#sr9R;$(W zz5EgAd@8&n`F}9srZoB< z){d;N@Qb5&K)*TIi4S9Pep~x_9L4nIgl#0XPGoKdo9m8upa>v6V_Aj4BG~P!znuF5 z-ZUAJ&T)OVMhK)!vCY5H#`vDG-(NKERsbWhz9AY#cI_Qv*i# z-JydfT^qr5$q;(OKKuD7qQq>CO)F(Kl9h-yaY2xCV~$E;Pq;i=9C>02%F}0pPW_mF zQOUjLln@6imL}!~CKSE&F5ll8`%jpyPB_z|21qWOe^ReF^$ewJg?37F1SKaN=;#{I z@bQa>%qjdIM8EhD;VlAx10CQ$0(I&O|5_NrRV0`h=nC0J7&rH8*&Gv8ibPd+g;~%} zuqz+#5sKFaT+k!;ak!isUw=&AjqS<ZMS|U!m*K8#_fqiTxrnEQ{%kC2$(ca;Fkc6f+BwWK_YB-1;hFIlOdWf6 zHcr*(9NL_~mjYP_C<4s283~f`*qiw&7^Ct%G|Yi8_&sR)=@uQ?_8B}mUETmoNMd&QR(!|fSW$9?h(76P0vgn&Jk16y->5J!bVw-l;j2~UQ$1Z`{?fNq z-w#Wb|I{O@DMo^7TSuGK*KFtF;l`CGd4wP7=Y2+=ajMkXv{{0b*;j+uDr>5jMJyNq zPoPZ=rm_8ue{02T$WI_wVwl-jqoQdwnxAXbQ<pd~3gQ$f7 z9nHreJ|TRoYL2sZxjWI85=ylnadcVgToj%_{&aDrX!jR&CeE2!qzwO31aToj_d-%?<2gxGpm$f1@$s< z+=v5oAIACOV`I5jxC@BFTk3AgrIwWb>Nb-H3+lF2X?4!zeg0FGA0Y1O3$He@4b!v( zkb4_+BQiCi8?Tj^Fnl*x$0tK12o#+aEtfz)X^4$@2g?_Iv$aigXD{H@B$sIUp zFr4;;Pg#7veFsdoOy-{8r=(8uuUnQUuq@smQP}UbOzS*1+S*xX#KVH56Gc{=`Cawh z6O0x}^h1elyZw5$6F?{PhVtq5HGQA8*V&?g7@9rQ?WrdBBLH|nT#3jy!p=A!2r4YW zcuw|F(PL8KiCO1NT!jOyhZr$n%&vR_hqQd_qFY~_blD34+FZAYyxzAsx3%qeACHP| z%@kA67Ra$7%#y+6pnbmex#Vd`I`6X|$zpb9N|?h5nczJ(?D$s z80TN8h25g&)%LT zcoGf%N_2YuU&GqC%(NsxF?~E8&pdG7t28z0_wUH@J1OKOcyQxc#Z@aMUrh2w56X&< zdVt7!4?45FN#*0MFaS~S9iT$-4*G>ZLe($3D2NbG-*gu;=QPSb!`R_>dtM^GxWnO= z_k|+nEYLSI-@xi|3+%lHApcX|>p#-=|6k?36cvA^JB+(g1&}-Db8D`m3j>o9if=CJ(6Tvm!F(b`fjBZh9jts(_r#Cn>WBw zX(RsTC^6Vwrn0V!>BSgH@dT&4qPpB=h$*wo#m}yv8DtCW?|Tg)ooEF}&U4#=a;+~; zr83kF3PtG_S~lTLV2~1$?pnx6KZOktS=A-mMm$~847%l^GR2cRG#w2&M&PBa3Nf6) zp;&h6OIfo0 zx1NspqNus)36#?oK- zd22n*UDB5i^UuM+HqQ^>^zNT@eOuQGN@K-ZX6I3~3)a!Z#OCwhhh!f0ztC38y=A#E zbDX!}u@5uTi>A{Z=oT&{ifJ-1Ne`9O3$v>$2{HfT{PVVP3^28HVRRZ-f&QL+S!G2) z>LgDu+&Kwft7%_4xJ(z`*n_6J&j$hBB=D3JwZ|ws7bPe0jS?@mbDPICL zC4Ceqklu24Ed@vq&AhV`C1?j?*?bm=ETg^ex?`ZGKc0#Ez!Xg335g+!d;yg8T;Zr3 zlh0mUh9F2>7kDpv^Y?psFuLQkG3ta=A&MT{s5TO{o-V%!9aBoX?;KK`;oeY<%ul#L zFY#8wR=EF#)G?RP_20K89pmNt{kHWrUdhu1{*^&P&$O7CqrpSp!*c;}WF5%Oj3zaY zMVp$mQJ|Dxhz56|7rWW=yL{lGo8%ma|lZ~-A({tsn(`^6z8Q>M=n~VvW2b8jvTIfvH z>8P&cQqmOrY>o%=PTOp%8D0$5tvtDF+&Ug4D44e={ zR85T$BPEpE^TEn8X?M_qr(C$2m@b5PqQ8LVxju)mSEujJjcRa<1G}snPd4(|st0M- zsuX$d_bE7HDeRGVuakG-gIZBI6EIVqi+zi{h%W|W(i-?a~{F5`Th%BNb z$tP>HzYz#}$m5#t&y!lGBj=fP?&Fd=HJ20 zuHhSvfc|IYgVozRbwR-z0AE;)-?FHSpj?j@vQJ@coP9p{QTLZe%?`|+7etP?%`m@n z+iRZ=dMZR#JOP`T6z558^ao+#voI=pkF zTm$qoi?Is$$BrhWt-Kbacf{_dVk_xx;k)y`SL))NMd5`(EAWW6&*Ul3BX&!@Q z(e2OQ+XTXB(`aZ|s%O=BrTcpNMn5tr6Mux@&;!B*Fd5avEff+-&Fwkw5!Q((D219( z>;z?xTXAO|dLV?H-SB7TY;EDFd^+nnl9Vs&6k9DHY)0X(Xw2%+Cpf>PRfkfk^V?`8 zNf7Xwl{`>KZd}?=UY)eSz9SNe+{l|Kp|m67v^M7DB^?rd$XQ^NNZS5o1dCv@0+Q6J z8*tlCf^|Gu} z%EOLzc%UN9J=3YZBd%0uh`oqSOwX6M^&& zKkZIYjbCya)*KBYx8_3jA5eYTQ;J&2&DfwO%zhkXImKIC&clnn=mRT7lHxd1eI=Moi!ZpdzrPXTho*#`&!2JxENUTA z+oWGwcQs=MEE_S1%Y(YKEK|EK-knG3yMj)v4T`~Zn(W#(TDbI>1e8-*U3JT< zo5V%dg=izMa|h*1Rt3{X)r-fe$P4|C7si-=eRCrT0VzEfw9#bH|H(U zQiZKHq;`EGOH!@uQhZaTlqW2*iVgZa>Ri4_yolo_>M<3Hujq6!? zeJ;V^>T4uCvCctl?H@uIC2O|04eG}hF~I2NioC$Q{wR;&ni13ZC=<#u&v1)76ikzwq5=_hhucY}YPNG2SLw zvu~bRl71t6y1TIBbXHYZN}nf6`(%B|VDMNa6{G!0_}eLHNdD_f=zO~}w1@MD-gwZO z5EG=9GTqstv(4=(*(yu)7*VU;6G+ncIF%U49vf25G`)9xnd8XP!(Y0MhwW{d1eO14 z6^lcDEvJchnHZ=Az`7QQ+SxWVT?QZX(OaIub#}n$7|0d1117V_(xVdgImmqJZ3D^z zwgSy{?Q0H|>vnN#H2cZ!%y?!SN>%hd=h})uz!a98AqY;(Plqx|R%VQZ|NAO5A~ANW zUS;7650FceZc19b1@6s3)5+nFAd7TKgh!8t;dsH}96q}Y4ewEh-?eBGhHD4s5F6BH zAW0_**OK%yptbM|xT*;j=_LQ=;*?Nvd&1fOA@3ccMT@%V%xl|tm+#uPZQHhO+qP}n zwr$%s>iuaXsZq(ON}8$e8E5atX`HdvTyuT1h#6sC^>aI6cKysh{Xtcg26}w72dz+Y ztc!rS4q$=Y&+aWx#Ap#@%gepPXP(zO)?W)dhr1YbnzDC;q5*?Fi|5Kf-o?~;>+Ue} zSswYv=1FZi`aWWEnC%ag@Yuy4N_TJcv-w5=xxx<9VLx#mZ2mME z@QS*J!*276LT<=1ax{L@4H>ju0dXU^?>*cmG`> zfQf^!S4P5H^V;r$!!&nj0=rz%@XuSLi6ubV{A@=taBsb8{l86=1i{AkdwoiWbL@sr zjk2Yt0QP^qCboZR*_WR>B-><8U{}{1ss^X#VP_R}(@T!&(97BolL!Lk$3HCHfUKXX zFmPDGZKEl##JW#dv`ZT72q!DT_>L+5RY{%(tM*t{`kjq*!V`u7T=M3yW}(+~ALX$p z0o}~->m7FG@p%c9&D3Mb4!VO32nWfZGDIy^&wzcCg+UU^pHXR-!O~Ase;I=P^cjr% z1$2I_C_pObL+C?1!0J}b`)F7K?d`=`xh57kE;zh6Hjow?62Yf_7zt}M0+Maz*Xr?G z^U_`Z(kmiT*Hn<}0t{7rGM_3#kA-`2!B!8%G5|HH8ZkMx4oXj@eK%XlKM?FXs`7m< z=poWJ>`>dzRUH+kp|UU=>p9dr>&31hBB6A?Nd1hnE7!ulIMn9P?r}IBDN!~${JSV0!O&~^*yma?y zE}P)F@?s5{^^|H;oBOUmUM0<}=k=~TmpgkQ=oA}X-Al>B1)5QMR$=TYcT_b?$7SyD ztSTH4aO?u3z`?fLHI)iw2zWtM|1IfMeWZPY0XS-$jLs;l^UiRYF}IPSV+VsRjKV2A zOWFHs`IsB#=^J6@&ar5qV5F-PDHQV7>8;;EfiIOb(Ci$bs2oUt4Guo!b|qkvYJJ{#LlwvCdyQiScYvML0YL!aqVdUWnyo>p zb%^&>&wt^cAt%4h&TC5TJfw)D{>TO|=qW9mGHJ6qRa{=+v}FE8HK%)@bZ9v`EedPQ zf0lyQW#E@2Fhl-Wv)aM!;&{pr&ZU1d%0Y6Z1Z?}<5ruv=AlWOr)hh-Q7~DP95aWL? zeei;Ll?J(TW+CwcZ^0soX`fuM}6(8((b2V=b|{^YHKs~dD~7Ea1^`DUWzP-YtjJsh!6&dC>)C6Gpw?{I`Q4xDrHRh6`)MCMyc`zsq2^cGyg5BeqiQ30NOH)#p)K5^1g)3-D;yuE9^++jwT@?ItDe(w#m_>PoKmW7v1Vivz`M}f6ELA11l7If(K!3t9V$no)gGXyE12gos;>6fyluhbv5~-${U+IKlL!)pV)RVQGA~N zkqGghh}{2UMF?6E#(&zve`xXwQ2)E2b%y_3@xKOIXJln%`R}rWNq%_4NghPis2nb9ZzLo8Vkm;-<^u6}EGM zk&_so@#rvTe+L4D5`WjV?;)Gy5YVq0Pbe^IPlSbCifbOf63KKKmdDdD+YW{3eE(hm znty@`55q%eB62c?Hupm(9Kzz+8XZ|nk$wVQu*D>9!-z1pXIgyuy4-27T492DRlztz zcrKz0F%C8$@f9+KWpC0mi^Wexvg(l5-7(*~Wp=@d0ZAec-_oQfcq`LVk;CIOa*`6u z zb?-K!L^UKCJBwQ<2@d`oZ)Q>tgK;i2QzI9gI?7jH6wSeK{w|Ns^nV(>5(f1Z4wlK?8=McRVe%)Vz=pa!0B-_{0 zIIY>1;h<|D4ERfW(A}KLxZ+&@m8G7Gc{2GLc$PZekn{dHy^T-dx>o#k0L`FweF(Bf zJo6*mC}8=I;((f&_E?Zhr1hNz&^}(H)s#U@ks-o#C>IjkQ|$i2A*gOQj=y-cpNE-4 z*&WfaQ26Av0Y(6w#OOU{|E0a45*~Q=b z*jv7S#u8M5Nvr|DFL7RPom02N6UPa&y_nmE&3Hh{ZW8TSpjH0r0&uvO=nrT&|Sw$PYkw~Z?Up zO=F)g_&5@qZ@6aQ)}ModD2I>W*0gbeXM$LnUzLSAvDq=8BC=pOP%H26o^DP6?s`u! zplD>LEODewo9cPcYOlt`WQ^+{h46@$!({xD5cuGEC|0O+JKIMImC-rv8)ec6Bim&V zZ>ojJzom4>6E?DJ)YQqpy$_{mL4uC~hXqSsCM6dI^XTSoNDpCcmkZmt=5TS^uIBv==3fhP8G@w*FWe+%Y|JhM`9!4C5Hum2|c3_JMM=ZV31C=9g9rNFw=l*k1V z61iWrj#?TI2P217;o)wA;j8tCd@-2Zp))HAcJ+6t^8cBo>D7U2MFb6Dqf3&Jm;`kJ zaA8p1%l`zLCm^5;n=uwA856(WEC0K`4q=oxv zeu>Kd;l1c3T`?Jpd2c}&WH3G00(8+6Hwf=)%pJ2M5 zR)c~w`z0$%zOFjRxY>!Gs}zj{a8^MyT6`j$AD@R83uf0j4ZH#E=*t$CQC`Lz!L$T* z0h+=qNPU{T#-^6p=*c~@Zj-U+`+Apj?pa&=^L+;4^l_8hInaN}GuTW5o;*MG)gcl( zq}YN!3}{ZwCzv3_%N`l;56`P}K_qiKi&=n2)chyO9&N9?8NRz5~LSmM& zAsGCLiO6DY?S-@p#D5a3sFs*qA!3feGF-|UNc1EFO4eCI_@{6rs$-kd!cGF6s(Ze@ zTDm6f$co$*9U=CCn!*gdlBLnU7tlz2^EFE!0aY+Kj?}8`2K6;xV89M9=E6auk$^~EcEcg`yq(Kzm zhnyhWixJw9p0>9oYSe;z1<6JY{aCEe>2W*JXK{?Ek>|0BL(>3jQ8O;;GAl%MM4m57 zn+h~PXSV3Qj*iZw>gVU<_vH~liCValQe$R&$~hv+Ktfi){F=24!Xirv)&;-@{Y?AM z3*=h=nm%n)iJk}nI410C5-&iq|C1yzwI1?YIDXX*!$3f$fxxJ2*SYnn*W@_DE=z8u z2b!luVRv35Z=K>D?;C(RbAQ*k{&F(ErJd#L@rA5P6o0;-_S}Yi=2UyHja?G)5>Om+l;NWWbUbhYaV1i}k+}Y+kq;`CnQr>$NSUWVx2Hf#BHK8z z{R~nvs!Wdxb+D6@?uELK!$|P%-e6h+czCTbxzdBxoq%iupL&g%I`uXAW0WD8D0WTl zD_r=lQ_t*H@4JvrXIO3X&O?8Ndis)v)<%=*=uSW$GaN56T2xt0&w?yM?5aA& zp1BiA1g?$Zl98}usSuwSlQP4sgZGLd`r}!&W0izshAFfOOsjoU9zggHRF5`bN^3c; zlkaRc*#!+cFA7JFYX_w>0C<1Eq9`J{9%TGx{3*EGcO`|jxJ?V&CX6Ap1}ti2Jyvw= zQLumee%>>hv}I`bR5x)0S!6~HmrPK+or!?H_H8F{z`sr1 zWwOw6$m}TRq(Fr19Yp>4hGgeqXu56M7QYfI=0(ijNNzx%-hJI#?a>8gQmLs$5GM+< z>0zQ5LAq&5m4WP^?x~j^X~=#2Ao7xRKGs3t@Ii9A{;)58RSa2&b}|MZUX_3Cy!Qo> zjc@s)zR^n&>vW-muyMmXfyyrY!D$y3rITbYCAUzYRLg?`Z{1g61)my0FXx$2GCvFe zEuV&&t8YY6(@w&pl*ku+S5s)CS+TP`DQ(fB_zh)Du6(h6;w(9=JSsQ0)LJu*(3%c= zK~dD0?MDa9HK_?7nsHKylJ%DPFgmyd(~S04hc6BBhvVv&7#Bs1x;4vhG-aMc0oU5R z|LCcPFTSl(uK8%|`idbT=E+mv;B)_uh#XL{cJ>du<{VB;>eLNc{T3TDf)_yPoRP4-%$zsIKfl19jEqq6S*!)w zlO+YI7qUf9xRHsm_puB%5&zLmisVUQzvr1D#{mHf#&$d7Lkzm0@|KZE%}b_|gd3O5q zGBYStrC3O+kf4NUwhe}$e-1rTqrY0^&!T$hE+BRjewZ2<(k?YB?}x75j9Jj}<+mMJ z`n&Zc;CxPSu*{@8$@1HgS2wojhEFy-Liq0M8DKI9Kfv%@JSSu4HYSYboz=Pfj6roV z_W_T{ieJ(IK$wGD<+V}F()Dho>Gm0oWF$`EjgIDoRj&`t!P;jb+h73YUYMGmqVyMy zY8PJXh91jK5?)`EgQbSv63Y!7Hm^%YapcHxn)2qRB<4 z(`Qruko~jf8lT(Ck>Tdx{*iPthed7}U-=7Np;Z(ZsZrleUF@bsLYBnUP^ZRY;1}g= z9833X+-e`cw;{HnZuC4>_bwb8Fo1ll?(RROOA@-@x*!6V`>n`v@OO9{uVvHuMG?yV zc`g(BV$nY&E{9qD>#}&SG12cE#79w@k7{x#O}R@sp@G2I|jElLh$55Ewk;*mdHUriodavLujB#hLrOxeqS4MefEm zDN505z`A;6)f+UB!J3#ac&`=FO&1W_U*e+aNTzsptSF#&GHJ3*&$AmWf7SV90sX7jz07fM%dP0x1 zmr3dbwy>1n&EvuFBBxKO4tfvttd?(Sc%AC}z#5#S%B#brLe}X+-09)H5@8PXS4%qD z{udF-ae0Un=vQv*;;OD2TD1gz_+WJIGc&6;(jZbaI_px(x@XSzGhz{0UO&D%UcbeHV>!0w!o5slV2^-#|W7rO?J}~ci z6RIh=M3N}KGq~dNFPJYv009#^jL((-BiZ0TL;nBY$OivF-i-gt^lzqrvcZ2n^#94# zz(oHq1&)UY%F)TeSl=3Iai_4)g~(^%yRW?(W^-G5Ya)l|4+uTDihGy_dYep2#6>`w zaJ76Gdkt=_=_IJ60{L9pl`iH{nnN+@N+fzdtgRLPBbnVTb z3uag^cr|u~--U~7&6AIS^0Ad(=fz! z67wBl!-}%~O~qGpj#;MGC!4RytgaR+=NZBKie!;&_9(1L2t6!jNgU>5!c`AH@o`_f zbRzW3E3L&Kq}N>`GX@jw~m-UOS| za>Yj_3C1=no!Bur3ahZ6(c|s;saDWI#d7 zPyso{vaHGWk6j;(eLJHmy1(+e_MROZn>Y)g z(nQPKkc?%V20R5ExpuhMA}T>ne%4MMNT;@;-5V$k;A}gT!)2;jMlI+iF)LYU`6pr>xLfl+hX8{gq+VBBPgTTY2?%i%=GW|$v&4EMGNf0%! z6pS-Wec87AI9W26Rndrp*j63-O7nL34Z(dNlo*Shw6&sK)e%vF5EB4R*=+G?oc%6MVggGh=dV?kwTH7-2&U#f_p*|gA30ZRM zqWKudh>4T_AFiH$6&o$$nE5DgLT3%n@*Y^AM1El)c;p%^an$oYuT9h|R6qclAe6~^ z*ZV-xS+kS=)-&z>@C0JXeNnOE?ouQjZYiI{D3nmJj*^)9b*9vg2`d zB$uefr6Gtu1ZLL6Kj|~Ktzqr_DYg{NF(zt-&*Dcy{*Jy72tHhK6v3NWsB<|48ytMd z2WdYYLl%wjfaWeVdVzdHZp9vfxMMCJ^e-a(8(jmuaX}^L*Km*q&bi@4T=gs(F`m7X ztDgYo)#V5q+g-kNEbKjviCly?Z#cAO=WgRw=*5W~tly}Qpn@`dEovQCMKyY<$sHwM z99G;Jj5P@1JM~O;NQ9(Ae5!1M7=px-uIvfq$n6A3qIz8A9QO^aB=5BAJp7P#4_>as zDXZbJ)kLFsQt^x!ZPmTL8_uo}MW-TYIlq^!VJXGHT@Gyb7B+Lx)}Ryg)wCYBY+t*M zvs<97bZ^u&nWbo%Q$e?S)^XFVv_H-fMPw##+(}f-wB$r)WK6CWH_8;adwn<0F(Tuu z=fvW0sY8D)(VwHyYuyM_T=CH`JNZIhI|XP)ATJn2qP)XT93?eqqPC8Ks@@0|uWQp)LCBO{;heu-aC#$B-FW^XaYSlz=Q$Am*rJpZ3er^P` za?BSM95RJ?^&O^7_!YJ5AM%|Ur6q~}LqZdNkFWK|!#S_Z-P|DsjZSXG!&S2>eM4t4 ztI8cI9O;h2fP$+U`Cx$4d%gDnYo~rGG9VRz#D7+SMAot@CMSe%s$#akJx#)O;*m#z zr-jVsuURm6Fw^t*XW>j~I%=|61Dt@I-6wlWc%w?Fj@iU-L}%bhyc}glNy zUo?wHx|Z-JEy6@9i;mgUA(zc_0$s2M<cgggYD+fdrS-zu*yCZu2M~ZURj2>UC9zJRYl4>SKr8)-=h62 z{1Bg&@?&dfL_rp>Fu?ig**rDT*0{dg>M!E}L%3p+BRIm0$1)bhPiAGZF$LA0e<(z@ zh1}CKQZT{DNK8Lo^}h?FR?=pOG?C45lqj*|SSjr^A?Wb@zFzgq)}*q06h z&PA>34QS*2fyj&Moe@B1F`v~vL!d`g8f+Q3Ii0DCL{=ev-->~nS2_`dds4d!J*k0G z044qywA<_PapBCtoas224m|u`oi5-b7%*sKA`NVLy;f27KpnM$%3Ys|K^n z)uLI2nS=~oC>X%d_e5*!w)zuXEj&O43~Xor4PrlHcnt1srxsLRPm`J{Dp zy0P~8LXE5sMj41$wrP_9S>1Z^;*Ve?w;CRubBnAN;^sf;KjQu!YD@G{rN>53o@;Kv z8K-Z{TAcMAJe`{gaelr|Pzc1}IFZwV-YCdHEDhUH>|y)>Zc+aVj8q?&dJK{Cik12?JmoIr+UJ`Jvni2cZN)3>B1M6;Y|3b{z z10EMgP1Q!Zjm_UhO@(X1nvDWw@`Q?+>6gc(oB<4O@w#@~@*s_H{dLifxPa+LpDJza za^E$AI<$?*(?ilG$pet;m~>*@(s_TLf1m&|;w+498uvhTNiGFx%eqXQgxf;|c8 z%a>;?;9dv|iVe{1+b10u&=<-`wtIl4NK2>@1TqAyPf;e8j z5Q^WT_K#c~g{42QIv~|sZFNbdCIjs%4Gg`M)-1o~KsUxuV@`cHBAQF6HD3i|Z(?g_ z=lMj$^%B91_&id@_mrFVYy2awA)Ui2iTY#)Ct3{zL=R5LX4fkcNd|yD7=4%seJQP@ z>!iUqjM>$9S_kgcPoF|2-T?%LFYJ-^XD2Dk$xXNM7Se6090t0iP#19BPWNz^pKmc% zIWI_E2jrfzso6{8N+UYr*ha(7M_}$YOLaOw$v58lGYq=UG`*1c*ms3ph`n<7b0a;h zQMW;(9^Qb=1SZ4K{`*i@)r8UPShD)jMJCo54wheyH*QG4m!Jkc_A8Jur^Pu+fmqR@ zngIS|bix3|D|8S^esh2(#EM1e2;NxVgjbuWO(MLf$JUMKi@n_eyKx4@CM@Vuj9?h| zv$E`R*Mnr{m4>@4qohqc;fRdMwHcuihH9Lg4SCqUHYZV+FExobJUJ}cXjl?pim-1@{VgGf&O z)iBK`b7d^$iwoM!(`%oRVFza#cO2eZO+tzAQb2QvG|rcx0R`NWu(+D0j$sU+DLDWG z>t-xoq}KBx4}(qD%EgAx98`8^da3m7?x9|Sn{kmb<}m0A{tb&1hSAwE^cwDig@W!yt=5+JjH>tNrYZz=S@)rlBJ)wdM8iO;!+0p)S8ZZ};Inb< z$8$o)S~ma=VCgVN0IX`!k{WX7F7$7?$*3tctBFncVMgEtedz}!YF2l(_LDt|5Zxpt z+KlRoTf1i5l_xhDd|e1-K-#u7HJ>XRa|f^7d?0yJj7NFj$@gN{zVY5L*LudPU$CX1WF+fB5Slt7E_T+Sp(&WOh*`@#TE(IKlw(IK-{_v?72xiN8<-;yOgP3X`XCP^a6(b20d45ma-NI6olc)%kEleV?xAfcWc ze_W<5G}Qfa!XnUG%+c_yH$vTK`wsv~hAcE>YDqvTm-gz=&#^WGIg-oQ$I{kg${`Yv zR^9%eG7Zyz_`mG3lTBktlfDfs9i8Kl!kJjGQI-{CqOThiz@TOBZ5e`YASyw-%2>D0DH9PTcfWlV( z_D+qirZNK{;zvg~6=M52hY=W<1kBz3uCkoILVh&1gr&8#L%)|S;5BXB+$^$A9qIbK zQyRE7gJ^vc{hEDXQOvDE6frZNy6-lK@n$5iF$g|dbL}c>#hSZmY>hSPy8QPzO)+*6 zT31JSS5yuy66X=G)r-=vP-vw+`nsQ0$JCfC;Ibe##UXYN^8v=fOvAJaSfj)#J^^d+ zw@7R3<8`Vm+JEiHz9=Svdi@*D0(0Wup0Zubkxyk$aY?lU*YfK^&+ zWb9K6Vd`R=p=9IiVrFfo{;iCsYeu1^IR%qWFszlQ`>@2=Pg#gY5gZnJ`V~EJwr#J# zvzqDazEce})o=1&JBC5bm-0+>F*N?6je0=HU`;o^$C z9pu#tfsR#0YLU{Y%^i>zq#$B_XVY$Ufh7KO>oLLvn)@`4QG=*cReBI5T#8(p@K}6z zmr}r!O2cCiTXo?UQeIZT!B@2g-gCcDQM2VnNA&quozj^*YY$qTOOIo%TS%AE5T~61 zqkU%%HJNpv7#PSvn9oza0~n<^`d%FPo7}JRf+M5mDoPN65N7L8HxR+%m(Xt-Sl6)& znBzDPL8vFT7vM2SE?k%(hzDiqzm-i~0#zRgDEk7CG}L*Z(T$?2L(75d z+E!U68*R#7P&NV^A8<)=4J#{Bw2bj-jO)P|@)*;-?2k@E>--!ovVY!!OvCf?utBN) zUF(7TSr!%eRh-7zI0mmjtWOd{T&{=lY~D1CF%i6`MpoLc34QL$&^G{iu!D}Y+b$Ml z8VfAL?SJ({x2_2wOdT|B3-y}^0TCxvy~iLW?}AQcF%01^NRocXzMyblk?&`Iz`E#~ zm5hjNQsyF!*+y!JSy1N{XpOH&$DZwpdI}8}8xTaj^jN~g%QCuw3*9x`n^_~w$Rhm` z-i09U+uSVZ&QptS%Hd-`US&Wz<142g5~=Zxw1O8o^|OV!GV1?X-C1B{t`di~>mU;Y zAXumUQ{-T;qyb#hg?K$O@{RSs$5@l;E)f$BOUqQM$@6mJvhp75_9#ll95i`z{aA$C zhwj~qrVNgtCP-bTBcrGtRSOLr)4{CecE-Bq06z6fn53H+`9q<~r`8~4@uFul#wY?h z5>|ZBBixIl%VQ{t7#FBhch?qC$2koqwgn+a_nb0m9lE2$b;9Dt`ovAG-nw{{jbDow zmVmN0fJU-NHTmY04c{=b^uoJ+pH_rEXq>6Y(AKa2Am*)^Jb*pltM`F}YgWWb4b+wW zO$JdE;BkOF1l+E{2gyFH2BX{<>vw6$?*h$6(XCeDm@Saf?E}rW)qs(%kn4t|JMx3g z%EBWafUGoL*~zV5FHKrudjpH#6nvIdo?kLbYTm9nMrNk%ro_t>ZVg@S0#*B9BjM3A ziM=iwMLt7Dq)VneBO3<~U{Ye0J^|-SxdW~&OK=)v)Eha+axB_r$6hty{P7uc5!_Z= zdK8U{taN%qgxuD-Pd5YR}fenz(($1i6Qg|CD!C#%nln{dONE)9)5*N}Rka7Eo4qsf=_{ z`N8Edanp2MtzjZ%)c64llE~7&7K9XuU&<%U>P7NNYCnp9FzmPs%%f~rd;BH~sW92z zUDgNO59qmr&aB0MphxlowHFcAIT#-9OqB>rw`yPV9s#JMHaptTxw;Lm$h9wa{4-OM zF0!b{YSUWOWYB*OKg@>vI8q1gys4R{T6pE6Q}MW=GYU^NpzC`Bl>z0JR$k2fq$4P| zyo64D5E#p9XdMuPQjY0@3qX_-hN0_!Vi!61QOt&wnH?h>goo75geEJOc4c(%cv)wF ze-B;hUT`iK@R`Vde{N)8Mo~ia^GDg}J<2mQiP70hp+_K6(6<50E`CbCmeqk3)A_7f zNiT9~UO@I^QC4Q^cxMGb785y=8ge=N1o6(iaSpKahw${|Y0@h_J6P3zXF~2Y z2Z$s2WS=!4JP5+-hMbV3sFrUBAy2Y~kG<+X71E41saW5r)8Ao^rtt4;6K|gwwX=s? z;twWW735caIkW~o7ht^r0zwm>TZIzu=k@tz#LI;5{*KcXOl?-ZJSMF? zv%B7err){$T3oG1JiLH6?fw*m#$>@+B-!tN}!qojKR zlyYk)X5A_Mxbm9zt%F4R59H5}SMT}GsYXV^9u#lLNDovssWmdQ?P*y1_0Wr9*(cu1 z`N1Y>{%A%Oe?RA7;fjyC8X>dle)>xxh8^vl21lg_wN&Dn~9H)V0ad7r4JqtTd)H+3}u_Imsb1iqHdPPInk6J zynEV^1BWbRW^Wx74xyGOp|LEbh1Zj>nG}}($`Mk+(#0Tz4FcV7DJ8DNj~Cg)=gsNm zQf(fePqm)n#OAs}+x$D9&R)r+aXB*Ss{(eD6LGeXz8ZG5C9B_Np`E|b_1J0K&J2}N z^h6NG#LoQIqi9@~LM`=OZov`!=KFZX3C8BZJQgCPr=6JTuf_2Es;}JIDhy`ca_G&h z=haYMTR5~-1IVs&A1mH&GLkW64QTxx>LLxnu5gGw=hD*@rmAfUwiPw#xR~4k&C1@;JPh;m--#E}GH__vjE%S5zLDD-~elohiYjkvKv>B8)UvzI7S>kUYcVgjzkKjZs>dQy8)=pT) zh~wciQ4T2s7A;o58u33*=X!T=HBMe@PXIJL-;~G__hO(CnBP;D131HNj7jMIOhN;x zM>Ad$D5p6klaSJn+gGT$7NYkB?e}~mtq~Qv!rE?Y#D3%Fh>ll4K`5ln=B%3E2WqK& z#Cpj{KtE#}JogV}jPG5|d=9?-nc* ze*?(!{=E5t-5-rCg9rd7^_4r5Y*ZFhbY2$%qj*(k^(Si9bCFVVTUkWh(w6!kwP^px zQ2_qusV@xl|9Y+ey6G?HU~8yo?1ZmLD<>rKFWtu2%?bZsHqF1scE%1)=KsQJ{)Nj( z3o@|$JM^C|h5y17_@55aX+;(PaRk`@^ELnT;(ucWurSaw{CC|NDn7XKy-H?G_NAuj zRAuzvYaooQo)Ws6r231G9O=j;%uI3X5Qxx&t0SIIgl+GX09Gk$kP@~*R=#?h0%@=l z&%O7X&ju=-cPdsCRpw1>RJOGB1s80TbkLN1pfTk24xVxChXvK;`Y9)UhF?jH4h65S0E^}Km__alu7b&G=_C}v0WIXTnWkM663Wsahr*MvnChY zsV62$vh$O3&cgXfUV3DYTb!EtTg4@N2pnq|+I`SD#TEx&;m@0-UMpBUr^!J@D69zd z^kI=b1~6J8S!8=YR+|E_g0@^mHxV-?!{SHpW4VlTNhXGe$7W5}{+wW3X^^;Lv_fgY zFiG|lZ9uk^DJCQ6+^xx=eL@Aw>T#=-PG`)J`9`<}-4oi5)6kKRqU>6^-pnRT8wL0? z(XU>6|D@U^(G=&pK9~rZIU>C!LcJ%Ul3V8N*CM4QQX;$LBu7jJ3-L!gKeQT*z1QKp zQjV}qZ&^JTCU867GLIzR@7@2z2-@JPqUQ}7nq>j}Wsl?Mnu6NY~?-5U)a4-F2hG1f2C=+L#D7n;ALBS3b&UE+d(ozKaR91Cv8+vj&B)u;A6b z{8uaql3MB5{5~6qQm-j<5K`kOuDVx<$4fLtBPDF=&I+zNe%FoC0dZ$PG%cyQ+u{?p z`v<)|Di8$=AZnKVMH04GZ=s^%0kGle9C24`dsJ9K8D(Q-n)B>JozF>hQAx4Qy})dk z_&K|Cr?vdMz=JhV!U(=tf!36?ZtwN5o>o{bs(bc<{dAxbKW(n!a*rUdYsk8GU`h`}TBy~JxOV9m{zD*TzSDuo&)-^ce`85e(&Hj^{don%LC z2EAWVl-tOhOX+(T%B zE%+Tl%&S0PM0Iz&GVR_`6PL0WT?5FgXocd_J3zNYWn|9MCc=mM4}-q_I{dF;uDZCa ze~FhCX0^cjRv;F(d{T_H3JIA{Ps|zS#nQ$G&XD)-7EjwG(XXxpu<3jog%CpZ+K@)e zYW~j{?JhflVt6l%HqYDeKc!scrg`S2^Vy-s*;Qq~kb0XDCX^=!*nGptPzqRh=z~3c zTFG+Ya*T}HJMQ{u#&)^iwI8(NIrs}oFDdT$1J6|c=ohevr`1DYomX!C8!+b{3r<&m zMM9yNEkJOovp|39E$kF#r-z;r6Ee$MZ4dZz*OHA zmy`XmHvfw_zFoKY`7)Qso|hTZz~UWc@j1vEu`iAFXAyg}1y4w?74sgs+eJ;6!f~*0 zv~mNW(js!l)w#a1xV;D=jqvpj#iwVs&AnDh2jEwO2G*TCvyf+le8bV#sUHo2SWWg& zLIAtPspY8}*$M|-<_{nLZ`wcGDacG#i=6MG zR0w7|Ad{GO-V|T5DML%TzJnLgEu15Gd}?TJ@9WI7@r-?##Nx$M5L;xuIho9aJnvg( zgG^Dhk_vL{(o1)*`ilb7@qvFklLazzJzZ?6-dx9o>uq3XPRTqkH24$ApMuNJOaJ-o zxg1DLEZzk(9O1)`iiyVwQL|xt_kTXAa(kI2CJNoVKVDkK!n-XFH{uRdGM6^#+;P6K zpZWNfze?{iEbPr?GY)A1_qV1|Kmhp`L1IQu~hu+u22wS3_X!=k)&HSoScC?g0Sv!r}hTSV4Md^f$^!7ki>7 z#sl5{gSxHav^(J7@9LH;Goi*cjPLWBqJ-f@ulIadS6J6;Mu)(gkA@RRQO_4dL)2${ zjH<&U0*b%y^X|D!&Ud!O`_#3X1xkiBK%l&64O1u|5EqF~D44uJT|7^WG|AKlb727o z+|;NlGWLg~8`n@w8_p5hQki%ph`X&A&$m}p6>DdW!^}+Gu)&A_ZUY(|htJb;CJ_8U=5ZGu#GYP#T9EIERQhAE6i{O_?Vxpm2tz z?XX)l();Ri* zb{sz{jjrYcV}hhpKkfxqIyegog=+9V4OOvOWhW9#Ut}dm!V3;EN*%oU@kAx`z-5Kt zUdmkb&lTgNp7jK_Kxyd9MTYkmOgY{m|;1VWbM8x5B)$oZ9O8~r% zrJ8vd2^C+}6X#fOD*Q`U-s)HMwi8`j#5$wt0>mklB1HKHHO)f51=kbnIox(#Q$}-t z^^6{gK3hUG^h$XtO4rr1iDaXHoSTAxY-C@NkTzFZd#y~Q&N&)LErWX`?Bt<$1K)qCZlGWk>%r7dfxiEq|_#xB2M2_e7SR3F9 zyJ|aVe^{JDBNHs;7Zf@v;zAj_V;OYm#kcM^rVO`naY6$+m}lFjS~#6XANE=dN})R@ zseIc&ofvUDF~r53QXZU0GF3o_47^K>7U>;{)UL(u4)a4SH2iOTD`i|2X}Y%pB#Erz z&H_A=Ms<)m^1h%Uh`5sGs1c=cHAMmszLeVk&8=nSgNkXYf2Zz@Lo~cm5NA7NS&>d^ zdLv&wiWw43#-iX-GMTv+9D|Poyd=7g$o)XISo_lNh>Ebm@KZW-$+8?1@$XRE4zsgb zw8*gtPeT1;us@V^Rw$~iUqj1RPEV3FxtvKPV=XOUV(}$TEai?cr~*R~0(TKv+^`S=0alv%h*;H}S}_dQ zs%%o$q88ZJ#kKufUZe)uao&s>#G<)Q8owoWTyY|Dw!lu|$Vi5`O}mL6@TA~>JoQHR zBp@g7|4IcM2fm|J*^H}j$pMI~Jf!MowAWdv@lD0*I{$6=@*?pLMPL(}asl}G<%n$> zC0bb5)L}fu$PD@Y=>yBVHN>Yk?KI{gxHd))b%m_IBEM@w_o=$#90`#6rYX?HKB_dA zGfJ4$z3Bghh~sc5ze!Ab>wLo%!#{majvnbH_*Ui!T2tm40?!0S~sB%-n zq~(lza8?y)2DQF|jI3cGQtlpTjXf_g#$D`9SJr48{F^=>py)&pM^UewNjP?-C)wG_ z0?`z-ja!ipnESF^SZj@j?upCeobO#er73QtZ^i^$h~lz0NYa6UDuMOO5UI}dXx`Y} zuzIFwb}M&jj(Wh@H0ToE*Y&twki!qX6i}N#G#SA^>)N~duMziVL>m^8^Bb22DCzTb z%e}n9dsh@#W4g?`Q`4Ezgjm)DBg5E}anqkr>A9T{jgMsk02kSt6cOSO9VyK1*6YF; zv?Jxr-vd)VI28a3(8r(*uTsLXEv>>AGab277LMnO$4DZ36UQ^7Z+Nw(iRG5E=Ez{6 zzCN<9x`8c=hv@HrVDBH24SjQm6K@M^{(^=P2Sl#rh^X-iN0RYa=bIQC+{X55Futfe>xZ9$4JDk+83$LP zd9&r8?dFkX~M7Pr&cN2Xz3rLY%f=J$G7wD*%JOjx6ELcXw+xcqt|5 zTyOD&t-czRnnr*mJI@psjSVcQp_7xNAb(`fc)7L%>N5hG_DgNXt`#!21%0rIt_F5J{fDLWsgGGfASSII=iF;6U{EUy6Ig~JYvjapjFUk99 zc)YwQnDKOyKuUR32j{}T-xvRkhw+Yd79HxdswOXp_@pz?!a|Dr^$;knz>Dmww!evF zv<RUW!sUj_Bw!vMsOTB-b1zi+}B+D zOdO0hKYvq;Yl<;nsjr4*p|@yZC8MACD-V>=leh8SQ+tc)HM2kqDt9P9{kXM?RQcz& z<%w6|vQ~3eC=*?H%FP_&HcG`~pafn&2 zB`B^UQ_;^h;w=k3LpY zMu14C{s*Z^D%slOB+xuAYNtc&kUwe&`TWuk z1kO(bd*u(uxx#B{=2wa+VARPx4wXz(142RZh)?L8Rk6xae+@3~{s0%!TKwE?kXE}( z#$k7sugy?4bMCzlwZG@GBsn7&e>UowbEiY*f<9WCxq)Gn%#S(ldN_M7*JqQCVz*u&d zfqKAVTD$pC>N*W%#o&R5>~`w+Pu~6(OzUjZUA)qi!_k>$vO4X?`LJ<9Lt(tecqE2L z@Td}Pg8Da(5Q_>K_YfQtPlI+3Kvx-bAkUOr069MJZIo!{kO1&yJ09)e!yl`bZdozY zkL#Td3^eLMfWrQ-Jwo7o#J_f|gmEgY5{A=R3 zc|A{izbQ3;rFE>qz{TP9qby5b{t7YoO8^Qj6t~Fk(SzmZH2d|562iGl-B<-e@w1es zRDV4uZMsoW{zz`~JCd;>uM7)43fT!qP%~AVrLIzr zhaKiad(nL;1#WKAWViB=VC6Qq5eVm1DgKN|+ozBsRy7Ub4*y%cs=5PLxcB9)u~l~2 zC~_!vFzfL~mmW9!W=Ig-c3X(htJvq-kO42L(sa}qe(}uf0q=ioWfpcrSH#BSzGi8r zS>Nr-3XNu%DlK#G5>#g5^5tlI$CJY7nM^E+s~XvNd`|SQM3fG5syS)ai^7nUb$RUD z!Uf~2CC0!d1`-5U3T`72Hn~+<%OHffO4lr+PRLYKunBp8H60)Ug>WeuQU#uL=cfM@ z1Szou?(%V#4a@}@U_CQzP|Pd7%a)4p^ z(7TEgeU>JX?idCWp7v(A-!u?ylG`Z{lIHVD$8}qNW*CK41PlrUBR%E{N^@8bLGRut zLud_46RIej7r2riKIy2WQ_`7BRXGrc_-#L~v0_=OA5G>9ysYhxtFZW1Q*H?&AFtA% zy+^8uqdO2JByRJ6K6JLT(R#rk&F`sXoNN!Ue{Gwa+mdeR&vJ-LKsRdPp0(=aF?+mB z6OPHn^+|yU?_=QV+>Ksx-c#4pnr-O4RC+<{olo}+cZX`VogmGLVGvLI5WR68#94)9 z|LA(Oaz=FiHwNTOX$+h{c;4pA9rQptq!Q_4yv?UsuKXWl z=FS`>)yF(_65RF|B8AvRW9@6lh<3OuHIFsRqJT* z(=J14_wn#)bO@U08cY}Zk^xu7*qFBp(dQJWo3%1`)l&4VHGOg!u8h`;f_{yNDBHyL z-OZZk@IefA4)qngL1s3fgN0CjXc+@;y29s2)M6`*)nM?A*p|0Vr+9cWT1DiCbcu=f^VS zy0BkTQK`Qh!PmfP7E^#ty(59L&=5;ag$9e<`^BxIhe(lxi|fahGgc`m(`7bpM6DtI zZ?q65lg8m4n~GjWZM*zX0YD)&KP<~9je9F1ENX2f#VP7$Z}eXyf>}25W}w#0q9H;y zff%9fL`$ak;47-#l8od)cohP`d!m)OF1ei+Q%=S2=jz(Qz8PG~(PlHRpta zwGodQQYGyJtUKC|zu#12z7P7Yb*r{yvZ{2xF{<&_q%6%oYceRK2~HNf23EX*z;8Ye z)eEx;BCG=+({kU}s=g9YK|v5g%h^xXNO+W>L^?KGDVIZ#&6)iK+6z_Xcyh@Jf>Bzl zS<@9z#v9H``t)BiD5k>uB8Uxf64d$7DtS3-g%Fd%#0ma3sxlh0sRvmHWqA2yILi^# zH*!f|sEud<(AYThtLmEejOqOfX6jydhEXH)pl#uTxWTCT72DWF>{T;^8DSynXRQ$L zxpwIYistv$7q5Ir^GrzHtcLT4+?PvFlw6z`$RM=TPmW|xLF&hf`Tl7d>az-@qddd! zu53beh>1fZSYrOcIFoaa$|*I)*VMxzILKgXF*f~m?~OYS$_6k<4^WU~9L|JOExm}i z1a%4^mGF`3phKNU!B*194-s-A`e=wCU3-A80Ak~Ji2{eOqx9Lg`~lA>0lZ#BzuBGjzs!t; z*!0<&E*6R#$J@bYl`U;*fBtm!1fl6=+lXt1JKI*uF7`@S@cy>PBp)uJv0xki%mo`x z;^UIv6r0gdN5D|lYW!z5&VN9L{+}vD{?Bcke|`${zoz1Ui{Sm=nL7WG;`?7imHwxu z4l6sy|7hwcCKWRMLLHnCfI@&`Z>V#(veCAj82mamNyQR-b3)Yyk2~7o31>sJdw7Xo z6oM#1Y6tl8WRO1Os~S;QHkna7b;0g>XTBILPE*qSK$@s1JgVvKUexrOkj>^BI57l2 zV!HZ+aQ?9m>=_ug5dORMh^=DWnw;`M+=8J0KC^iWGsWe8O;ONGtPSF7e-@rwiywI_ z&Ofg##In=m`v^iIRlwr;EQvShs77k}+a|X%9T!g}qQv-vpS>E_B}aUP+*?3pJMQ;v zvsOo|VS*Yq4mrLnm4(OWcF^=LgQsvtQDP@lxtziK+gIADrR_iS=KeJ%hxdaBeK#21 zA3aN&;VpqY5e-V!X!4{&nZ`|LO|>>KSIqx2UC$L+V0-Xn||DZ#4TuTXX!5kO&=iY^#NlQtlQ$ZwgqqX}qbpgma+|ht< zE{Fuf@C0HNMhY8!u;2B4mN)r(>>3f-g>k*3oIuO;kdMlSUM(8aZ*qQrcTxXck~p91 z`A=}iFTL15)v9EYZ%O+Yn_BcbrL#*ibeujOoxUK^7Rv`T>=0Lcip`(f$qulb0?$#` z@`#-e-C5GjFfQ*M(nD3~83eZFn++&oYxim)ExB$yrE`g8`bk%5jgd&!Ke8M@5?BPu zf-mSgt9d|}(c1pNmyTQ!tb)zGkVFxhr#PpY8T+xAs%U>nfDixQXsJ7+!9A zIPTJ%S$XGR>8J(_9jR?U4nW`iq&xND?zP%2dG2!dq4S2gt78;CzyR(Vm>M6rP$wJO zjO4YxbinaBfxpYjGiwrhihGNq=*7FHXK(ps)**J9w+o>NQZ}xQLLm{}$tDJdzHEIg z)Q*6@QB)}=1Va>Z5#`kd&1itUMiz8{CL9vto2Wl(`O*h-;J`PB(3mFMcsXb@;f5Jk zJfKbrqqs19*8nTAFe|Z8ioe_mjHivaU_yYwQ-rFF4(E%#EqcG}F$ls00+~K`05{CQ ztHjlyu%nr7eD1hFjFX(B(K*vjG<@l5v@UfB+Yo_Mqrz{o8=81C%pDUY=Oa}^S8xbF ztv=1^dy_&H72-*IF4eu85+mfj+2GM72VMle>oOS$zF1_;FO21&BR%PCGC%%1-wZWC z^Qn94i|ZXh}U{}kSwO_I{BE1ooG>ru~F>G zp(N~iPeC=88hlC{vRYNNM>9FR`Ratp8$&3QuYM2nA+b7(808XNNBMJ!{{%9%Al#Cx zItNQS*;IbjXNY<4*WKxBxzcXCKvfq7n6faU(fwyTF)-j;{*Y6?NK3F)TVWDX%F(}Lf8&ag4wOJ`OH#d$Eb7UWT{VBY`KljaT4W~6l04D%?t=Aty zqDCcPR%=7RO;gI>$8gixR?v`k{tYjHN)2XIZ8XU1HTv6Oh27C%K^J*IQ5nh3X$?fo z<5m^>_KZOnGcqJT!t>#q&ZFq>$LPtM2yjom=OD)E^(!*ov_l$BL*Q`I)u zGldp&X`Spy3PwJ0)w@!G z_18C$;ilL8a_V^_2AU;Twah$N`#OR{r%mw6nwzw}Q!9}$M#VmTKmA4~kuteNoSVibG) z+ju@fG1;))of9kngRq6W9f@+{N~8YSm!SU=-H!zCT6}Z8v;Ux{MdkQ!xhd zWv~t#yj>WEy*vig1aG|RB?~6J*ZNBEWd+rUZkUhDSqof8F;Lo@zt&)W%(SM8b;Hqu z{y7n}eLu?(MkY16c!5a7(sQ=TQ0yX|dbV9a9+uO;sz$pl;lQD7{jZ3qSbv@jv zKxCy&2*?&|Po!=a&2mrNc#Vzav3=A^k9BlrEZeN;mcf^GEfUBJ=xzl7#_CAT)CT|t zLpD36nTA_FyDJ)-JZDNatI2a@K8xK{w||sUbeOL7tR=$s24~`LkrvRCD{cUaM4BrL z-dM=@hQpt>u33OOO7_2doF>QF_dc^*Oq?|V(f3Po_zOuOH`;qL%}=dUF}o3KN|&K< z39(iDfs&pf0}ZEGeU!Qyny?#>uXc&Sl0%7`cxIswh!DT}UZEyb8epo*> z4c@8yw2`$WmLB{4XD^cc8>&LP5)?*bceW&TpcZ?`OV&0IO62$2Y1`htDw_Qj`5vFo zeTi2{_%B%@v4Xx)-ouEh@r@J6FiktZnn3-Alvmz(fa33x{3Zp6wP`$-+`$5j?P-0i z(h4kIEJMpL*O+8^ps?l?nw~1y>zsX{&N+hF*55`>i&z$(!!lPw)6DBQ`_M%<$2`Gm zzsINVpla2M+WMFPu;$&e#}^{zwY^9x7W?u>^^vHc_4Sj6gE=ER`5vZKm6~&3EnKt@ zoWhr`JVan#>e0KEZuf9_Tq~C;l%x3EIMn>{|9-q1!tNkr2yP%t{FxA+!6QCd(=geC z33ROLyHJjqSFzoYjKaBbqRpHT0~_)xJ@^YD4hntgJ0-nInmdQ3ID-r^1Z?tqrNz!$ z1`p&WaTd$hti_VfGNaEfro=a^+ph&zT@h)Mm$1At%TO>9{>CNnnAmmd`juYzUYu+@ zq*zF)j$zLi>E*IB)$SWY*rN0ffOZ4WX}Bwo`eIF&mu8v4k`jct5%9@h%@E6ur*uT6 zO_Zdz(EHbW5tZtke5v40nT`z~=zWOD?nA9I8+e2w?#GuE%>wrOtn(GAUke}USR(=_ z(2u2$j5SE*Ay%U8`}wG%Rb?EB0iOqlP15G)QDYt{+~Ue0;32YTkLw6|F7-N~DjxADp2ZVm4qXd%IFP{^mbs9J()Rsd}* z=so>r1Cfe`3hbv#z#hk>dFfT=D12{Z%L95y*etN1=l8~o`U+>=KZ3#!;=Wy4C&W|O zHUW3P4trrJCK3+bVC?dVEVG_HRT7Z%0=-G@>~5RR-3NP%p8h?huj`epfOdDEe7f8r zhaa@;2R@T(dErOx&a&R~4fvSGTjBM_)a#FE>&(k}$R;14Q=0bu0a0^F&M~&#%@GDZ zvRTezz)=Qji^KP^zL=oTMME*c$ehHiqFrrz7vP}72MgG9H+IL{#!TvFGjnFLbBflR z{F_Of$7W+#yF2#*o0KFKug6UrL$|SYbA-g~pjM*h`r`?BXx|FfPM=Tu8Dy62W-3;o zmbbQ_6%=9$jygqfJVVmEELBGt^@qHNlVABQXAjkoq?wU_Ig0#ELg)t6jpZg-JRDXX zwG_jM^M*uM_~D^*I_FCrNXZxU&lHvl!QK9HEVKZoe6$VO zHr2yGHO$A1CJ7wug%ABmOpRyv&{Ofgng%xAGzDz6(ezli{K>{1WOqD*c#a^6QSY@U z8xP82L0`wJMo`U%MPL>wyrmL?+J)xTQcj4gp7=5(D4yWIy@M^Wv-ixWrFHUwbh_0N z_$g7W#%bE9oD4xL<*P-#d#HFj&tOH(5vF)Bg~d&n<7Xcf0bwWL-cz+`5)Ai0;n(p= zS4MIUXbpOOj(j;>A2v5YTs4VNyapfhc%o#OcM(b_HnjG$ymmMS zOhE322!Ti1_U|!B`lgd%mM;j7TYjB{eq?NnWA>|SfmL2pGb<1zcHG!Gdd+Z?sF2cOJYMI>R|-7%D}J&^ygs*K6)B7Cv*WfyU4SECMhCrDZc-5k+-Z| zYnjPu&)g!Y#8RPa%HGfmiopaP$sl4t%`FyHS-NtC<+1ws6wv@$)bacK7wCB=aEe&g zx!J7TaRii_mXH6VW)Ks!e}x)pEuHVIkEOV5n+!!paxsSvnAvDVEAL)VHW*pF0v<%k z`3+46+izN87?_ZfBzQ)${T2GM+Z(AwT+E&?Q-+nY#K;V(!oCdnDZ_@+Hdep#UR|6q zX#$O(el0wUdY2dS&pRN-X@B*-d^_p1*j{k?4As22re}*3r!UbMm4=5HWE5Z<I7CXo`!dQm0*&Wd*aHz4Y@QYb%Iem(}uL_?88#oH4rsPb! zL$~?Mpr(OX@d^Yv3}%XBW@U`sq>I|d{|sa~8OZwAzV_un+U#Y%DUf`oEx<)Jr9JCx zcp_%XU|UYLpDuE+$$DeihjkNhw^8$k0;39))>Fb%Yci#hXOwWkK$DY-Tybc5_h z>5mJSg&zo*Cx}hi7l%~PhqtI~uWqB3s>7OHC5(wLX1}Vsy?>CYhrQ;Q!0vcN4#hdBArtD_ubBPi45@sa- zGhswX4Bf*A^Q^i?`s86V<6r9?3hI$P)!y*gzjwOB(|KA;#-NFoim6i^EJO^xaqcz7 z{!Kb-S`WN>3ygzX&874(tQ;@k>VQK#Uutf(YJ#uYOs5h3mmpK`M6>~kYzSK0vkFAW z5&kQ-irps$^j3|`;cLHAs138J$-fD2CcNqqZ*(?{GCrF)@Qs+C+Z7jred}|h5k8H7 z?R*|%u7ob4@+jY4Vm2ejkw9^Wa{RRU8I^XF7)25?{P9=!3(|J$t0hn%<{2mDq-n#Y z<#^T{Pn~Z1#x)rQG9pIn${wA1i`_~&Wi;`sXvZvS@V!qF>+a5*J73Sfj&(U8bT^Ml zIdYH6l6RT$^tvxcen?ruh=M5Vlw@6q8M60sF#ms4EM?%-dL3qmB4F+E^)tv{J`^Av4v5jCp9{U?N*k zw2wi{=~yewdj`2z(=DC1+p^f&Er!(trb?EU0N$TWw~^1HPC|@(1$qNx&JfYs<2V5o zeDLhy!6#2ss=yC>Ws{j6S+l(xUgO2t1e3zdPY$aVG_z$~b@INDIRd+kwNSlY8yHs* zbL*W&J^hRwrp;8Gvfqg^l}&WeDEYnXjNT8Dy@F_!Pm;$B*GjM9&?$DdeeUf5@I^5q zcab>6OkW6-KBxgU9dZt{l|1v4`I#pKI|UOsXmf72A5cwT@(9pe4FrG8CF;%K5K)?v zvu*fQm0kh#^`}Lo_(8Y+^%A%}A!rfMB4E!j{D?yF$77;`+MRFIBO-O7#*4>P&^=3} zzUdA5YWF#2`*?CVa~9>Sbb4-zD zQ~($m@Uu~)7~s03f12}G5Mgt+_S9+4UHg`+&EB!N3Sq`u6`HqM()aF3hszD%GM%aO zC@i^DP%a$9<&aMT2UY-?tj6+~>BUsP%VT-cBtq2A@z;}6CkkOFKaAbhe&vgIqR7PZ zP%uR*ZaMwc2U8yfS?$BCcD#HOD`CH*G=Td=MT4yb$nyx2L8 z*vnFBx^ehJ;bO4-fTdb@Ak^DM87Q4mlY1-=!AOO`=<#;OpIOXJ^45a(>vg&`Gz z?EZ0m4BUCRmx%4?O!?HW#28kzG5wCt7O-4TrTz|tL-V=8A}qZy>52fTzptrX?7GSfW>?HG$%B>m-CjTdN2xsX+w)%y}lF9;adgxx!H-YLOJ93lqR`Y)1HLu z4(hpKtZ!N~dO%8s+5|zZ3@CB7>Jw-Du|b;e3dn6eBq(|e#h@<3yS_+ps8Tq8OFXCP zXKHZEQ?ES(2a1_Q$FsyT%BpRRt_sFC+`euj;EatDj1r1>J$5E6;b+z?4W@Z?ODqT| z#76&z4yUU-RW)v$$C50c8|Gf4iZKIZ0X_77A??n4(P`NRm1?&Fp43vcN z5i;RrqxAW-pb_xZA%0-do+Z*%3A6BfXj~zFE8?G7?U1nQVZhgSM} zZlWZJnKokIHH08@N;_S((Pz>3v84_3*r3Oe1LvT7L$<)C9a|)H70R{m=_PNxMqR0` zM?F@cCaU3pFeWVdns`RV&G0N!;E^(C=}o0WV?UXKS%zN7?QTS57Q@qa+QfU9ps#)dDFE7@Giujuiy(L_i3W6aSHZgvi0e|XzFT%A3MWdU^&@l!|9W#;(Rye zDJJJxbwN=X6C6|4OvPmB1doYRq22s-U}!S|{L(>?lElTRSe#Sc3IvZEqK&JFOofpm z6D6u$zH3i# z+XUsmjCu;JUq2y9esIOq*r1^WBkTW$uGD2quccDwszR3D&hzoRCc{)N z4HUpXgE^rRZAq?XRt|{jEC6LbST4&Vqw1G*y2>fx1D;KZG!PPP@3PS z+{`E5%>dj^pDTv$6w2oODUjbiKcAu6($y7igt!iFFW{Fe9I`A?Fu7^Qh>cXMeoio^ zn38%FnX!C}E;l%qtqcl&2iZH&0)JvH9l4LIkpFfXl_&4k<+W#avWjSFDxj7fbi6i~ z)JO@zUqHjI%7AAETC8JU+me+mINGqD8S=KB9%6Xvw>e24)V%WLX0VCcs=Xx(C=Ie~ zFBlCu3?fW3wz}*Q#*6AiIr~8IZ*L?Uz_YXLV-CrdZATK$8+S7C>eojautm?q+Tc&@ zpg2cI0#*issg7*`d(T6A$x~-ye#iJ6>)Z9EB;w>%0TIR7wBUhjinHT}hF_oM;w88} z;0enD?VwnZd}bipz$ibRVCe`Po?Z}4?ty+T*rZ+)7suMq8oK=&TnLejl&h~*8!%k= z+8DGIB_l-Z-a~UlnBdNNF#%Tdv(UQo97}R{I!Vld!~*MykV!*P&MC*7hDcd2{-QwH zKM762Vms40)d~7h<{w;JC~E&hn%?B(X3BmhaGsadzOp|T>sARJ$M7tbEU)%wkq%*# z1e`k7WHVHy%rJwW1gBQjAEK+a|65Y=!IM9_yYj(^g`^hgtJHSeRa)8|DzV2x)`H<4 zAbI3B1ikJ}?sk#A@j78Vm4)d%MJ3S#mex`@U z)7)!djYc2(iC12!NXe6EVPWQw0cq5;!XSA4Z0QJICY-1U-(uXP_$Bka^F$F>LG1}p zyqo(r>T4Dx3lM8M76hTIQK?Ap@V4fb?8O`cKCna)vp)~g4G(mDN@FAJ5K_j z9_d*bUBZhOGJfS|A?xdbWXB_ahCP`AF`dU5OfFik_WC*xx_)?|G(+YgI=OOm{rd$u z?uGp0dTn}v%e}Z<(S5Gjp1<%+l-u#4G4bHaBG2q@&Sby75?K?52J%;v6spkuy*m?c z!t0#Yg^EalORU&3O^op0I3-h%p~`gGSxWYq7qbSc_lWnDlbq_f{e+7ExSFgy!C&b& z@WQ5_k35iL*$3ua^X9K`vhE987WywTcs#%Hp9s2NTTmAn*K%cKNoz^*a7*fiLLAp_ z%iVBX6a1ypI~|M-h%oX_nVoIu!)03BDKQer17O51_|=Q0cxPsFK-e_~JidvxAPY|o zHN^2#&wT0r7_hJh6I&yG93pqeturuNo4XGjy9=21MM1fHDLYuNWHsh^ZQHB5 zQ{LSed7^#Pg~zv~yjgR=r*APe_@<|OSuOk?q-r5>Xx9jB*)Pd^^?EyH+kXFsO5;25 z=d2PuE<>PCYop;(lotY%*aQkd!W&Y?S@BG!HQAo|G-J>;(OBh_={;~#rd~bBlg@N` z9;nvv&>w-ePcEZq+i&LrQ|%YXal2t_Kgfc&?aNB3H$OdV{iO5!!5$rN`}Uj&$QBlk zDP}ty+Nj_s`LrMn+sk)~Mg|2=RyK|hY33yl!kifey4rd%OVRCoLhu1KPc*eTk8zNv z#c-J&g0o!`9bVESK9u7#hUJ>ltg{VIX#s@HhoGl*0}ycPOn=+%hoUN69h^_1b^0$l zRzP4t5QqSLXAAT~kJKiq+C4AGD~6)fTP$xxItPp~1NQZbrd&xJ&1b}S`aY8vk?j22 z(Yf8#9Z!#@?nQxl^w%iYfh6Co>c&`9?Z*z^f=N2RW*6_5a)pj&wmH(x!LHi_5mXrzz3c1!oO%@oQ14gN`u0V(B}7~%f*;fkqHCl zfK`ApsvSMV)o&`~>r4|~HtXUEAOTHc4DA3Khk1caz~=qEEhts)M)`9XajAu93;KGm zK1Fb4mM@>u_O&IgOK6ODRpYW}Tj&C+`7pG2@hxSvY@ z_~wy;5^__i55qSsM}?V9SVPq7+7;C3T@qjc$6dun@^pDqN{m|Oq5;nNW=eS755Qf+XFqK`cuTyraYmZw~~<>0FUFNG9} zMDlokh3@p@Z%|#6vfvi!#W`^tjGqhm;G_~bpvPCmX(KD49|#gmUYenAj&hrNLpL1t zG1(!galVj^yIH6XKU>L2Xpf56KLOTaWGITjxM+?i#bi;%y_?jpz4%|n=%kGE>4-Uh zac~5*H?p&a?L#+#um9=>STeJ68VE;TetJ3Njm2E7ZMVTj;FJw1ZGyI7*JFde!(BUmO)QLQK-t184Z91e_ucNOJwUttms(v9dm7YQA1wrq@~O z?$+EN42U|Y$JMEIKI_>PxQ!X4#XzZIUVhK3ohjpWWKKLT#dS5nrS6Uf_k1k zXjdcLNW#xvjQw;~1l|B|1Kd_4g~zYGqJ=eyHkX{?SjfJ5Ia`hqj{lqEc6YYe5kWS> z95|I8MU$xD7|dEQSoQlSB`x0wLcM?RX)J-p)9Ny4?bL1mCGKkO#LslN2O4D*Rcd-C z%_lNXtCgT!&9#XGBw)xHylDDS`T?N^>oYsXeZS6FSFV}UNxXC%VI3|Z*trmpM10^? z!$L>%sWCL&?ppK#At5(q*PgK4jgd0zVW=2c>9eI$f_xGG6TbOV)^ z#8CSek<+wc^6kymP?hIFVpdFU9a2hy5IBWVJg2E%-T~7M-bir~RwY|{>L0&sXRR^f zHR7drWex$}XA_=}4sv^YwA_I_^>K_-4;#B;DlQPHpuxVe}PXG++o4Y6&F0Xlq zsWpqKkw<5r!n}aSMWR&LcV97MY7wS!WStSVj#nILz z^K(=vc)^Rft!BrJXx+U>zt~#)#P-QI$)_w*B1fnc-{$4H4wQVi}56NFPPAJ=V#as5@c8l!%L;lU0!DXe?)Lr z@mFp)g!)Y(#VvaPe^e!zctp38ydOQ&0BZ3bLz>8O(d%^mOnDh@M5Qo`bG9pUPRclN zFfeQ;H4r1K0R>)F2naoecL$}j2NzI2h}*lZgkrArzRV_LK$`RT@L5%=kQ(#tp;N%) zP6?Oh1Rd8I-Iqy@_8J3GG>!9=hLo-5Ub#*Sd@Fzh7oo?W44RQh^K+wWAanL=Hm1#% z8SwI7bV!8Xha1z~lq*8<(H4{Jg8JE zHzID%HP13%u=Pr$9i2f0y{8%F@HD2aZEsD*fbN~EIlQrun30)rWIMZq#B*uvA(6sI zTeZ}CV`b}`T16s4rVp%l(1nK&JO@& zQ+iDK4Bx2h1E-+6*OiD5D;c1H79KXyMXiro*NU z0B`$AbklP1I>gI{0B1}Z3JCG0?MO}+{c<1mN(D?1^P1O{36}$;ol)T(-xE+9y-}*+^>MbMkA@HM*rNJJ>-ik;b%&< z95@;TMnA*d9xFC%&`_msx_Gl&kU<0QahYK!h|E2>w&kg%=fhV21oej8ky6pJGP{vK zvUS*J6Sj(@6R9+RkALh9^kTkTPtBntcS@>|pK-gw(krWzNia?lOqqdo?Rw6Homwc` zqBY6bvPadCM67mu=t}dbDP}zEP=%J&4U2bWe_3U_ILAW;aW}jWG#cjO@2Sczaz)c% zUvI$TF^DVI=zTaBT(QD_r}GipKf4`$I@P=oh9(QoDorb#fdE?<;_BvLhg6&INq?|I zeIuVoQ8=r_gseMM`J5kT#E=-P&I^}psESg`vgw2oKPYXXH`qud8jsMkTanp9(*?d!#n?X3m&#q2^cG3w|b7ew;v%xxE>@F!`ToXaA(7{~xja$2Ixi z<)&~FurU5tQ0Bjf{?EB7tPE`bAjbcFjf9)h*O4`5H?fYabcDO9^sRnOgEkEP#QLw( zWqvwyu&-5M2}_3Z@>Pe-l5a;k1>}958isV^Mka}#Rm6%eE=$+)7V@~5*_Sw3{)g0@s^D5bkJ*- zyn|D*SC;|4)C6?n-i?&D_o_AhSuXDBf!Ju*TIj3Q;E}mzFU02$Ji)uL0-&r%;|xR) z=VikRMldgKWu`W5@UskQq-|PV8FJLl<%njDW=$GNuOvWF=+nUC%CTg6`uo2c{J+CD z@suHbN3H};H0|)>rI1RAWr<{}IjH*h9U=7i7F<`E{hZ-Vqq%?kP?HIkSRS-NE0Z&6 zbG|QOP`oh+3D6xe;nrnc|`@k|hHueH_M*(o? zHp$GTDbV3?=aE^ykS_9s&E1ZlgcH5fZuQs#5WxEffR<>B+jTShOV&krtgjd=uKrIl z-)J|^!a9;f#&&AOXAE8HnO>Qzen`q~-3elAU|VkJT7bEQo53va9BPCbv@t`I8!$}6 z;4Trcxbt|onPh5;R>kYq3sV3et*+9GNQ>`x5SE2f`i^#wn$+o7rnQiR8OG`c!w`5t z${UvF83;uL?}_ipkhvMsJG+#y=-ML*Z5$){vqaw|RT_BnBwN!n^?$*$f@`Z(}} z6HA3g7Cy1L3)pt7C4IkY$AVTmZa>BNZkS3#6q3P-e4t83OGm11J$OV=HZd`QOS5w8 zIz?ki)VM=4uK6Pdy8b0|Jw|oK)$d=cOmY;O)b>XTxgk|ujGnOmG2mIsuErF1(*wmX z)?T_tO|%QrNZKEU`WwIUbd1vVQcE8$+9TDGI4iP3f1jl(f<&>NZYQtpmN24?HSj1} z(LkU$VgEp#k6@ulRh<4c!yhG}MkPy=7`HV`nG}>w6Qj=4EL6OR9q?+^mC=(=xH9aI zI^xDqcU)V3AuCGE+D+W+YT{KMbxBLLRlXyXOzDW7&SWZPJn@AF|hHGL)7U?@Cc zH36MiR`T1I$w}eXCmWSiTcqP$+u+^^0+cQzgw2McN@GuPm#4&%MorNX=UmH30O_sb zKRz^XdCf4V%BwW&re$!HMHDVVj`ubfO`w$s!4Wm(`EOc4(;1JiftHK)S#5It3G*{M zhTMZ^dqm$r2-c!@U0?oB{a=ieS7)XRKGYo{X(lGQ@5iu<9T)MF*=}F64rFiiIcbTF zNC?!i+3H=>uFfuYVE~%3w;9WpYf!4oOa__+*2RsOw9{688TFs`7crFvVaG*EZFlA* zNT9M-Y!x5a$jbzj8|Awkjc?368U5ok;2{~yK;Fz&HF?Fe34~2|y0QJYoTz<<;N@>E z`7LkzO_ouy-dQf{#SmTTEI=Onry(LLPw$OFC(K;Cxk^12eFt!7O{+PpE%+?t{t!fp z^4@q1f5Ap0&7j4&i!7a2&@b@QCmkGt@Wc=waQUZ6j%6(JONbSW@ecT!C?i^__#Gp@ z)B*+p1m$mS{Fi}@U7Zqw_R@+rXzzUsd}%D@35ZcbvI2OkShZaUYdsBHJI-SIG1i@%Nj;-ln2c|_q zZN2%GiNkZa63gtjEE_;%tZ*bfKQQI3g(19Tj`*Bfd^QH60Rq2`Yqu4X0Hv2X*Qk37 z_q|x$!y|GQxc#hyO8=g?l-qYmm)dG_>6PdVd$fbxb!u{JjE*mBlaG0E@KhfYXtYwA zftqqk2^O4 zjx?o6&({nm06+wP%tf8b$5du=3{Xnow-eat3Uq<0HB5YyJv=TihPU^pU2`5Bsob7(!ET17|!Ky(ZpK z##+r9wRvzN-m6TQOWKr5%)Vv1sx_-a`e1eG?OjqQ=%y~`DI|KQQx3ttT}HAqTIR)h zi53Es!R&4CG$D)Ef@I4X=)3ZJ5BM*%6z1C5n~TCkX-2!KC91fgSk|86C(_!YM`5~E z$(l14a!a;Q3w0B-I{Fn%V<7r-21ivun2#*#A7+nZFw64Ap?7CAOCHLDzdJbHPY{5? zw1Niv6n(P1&v0v4 zCEUl5`iNtsZKz`65u=aLZeapZk;_L_j+)A{D>%6^AJn zAOh(BQHA@F;^@Usk3Na6Hn)YVkF#=T@_}+i@cmho&(EazETzLUQ)YeBNR1=4`|&me zq}NP?YpPFyZ|g->{A+zkYD^5%ge1ejWF3tRw{{t4hpG2`KjO3(v@%&E8$U_t`5{)y zSxuT!Dy969xEolYXj2%lXgzZ+ScV)Sy=!g5eUii#kR!n#loBp&409M1d5Tu3eV7Od zJ0FINHZTLGXl-xQ;r~sD4u{br$G8R5u%7-A5oCnRslP23>fq})h+7t=qysT~#fFQy z8NCw_xMS26vYi4@r6lEA0nQwQbFh9Z|DK9A6Zm=h>_4#*xsgrElUyj{dM}X<<_4l#*oxd}eNb%4j{Pp1Q?FyT__6n4SP4qpssS_u0N;331E2-_o zo!dH)@8dgqjIn~V3ZPXtoH!NuA!1sERItl2OoH%kvXG6UanFvUC98ZhHDfSH9lW(q z916#T2iLAkw)4>Oj%obV?yjuEh*ue2{iD`;zOt=wmwH4(wPFItXP$LX~jPTuDYh(CSd$tLOR`YS{t}9bpuX(Vh?9v0rT7@R! zUUzb@-IvpG{f}4@&-q(%6ZvOAqCEy<*k~{!?5$CGe%`N~l>M}-dLWUGJ7#xTu~y(I zebXvnG;+i;S?O~UN$?Fp`4TCj3Ohb!82{WLG?eZ?>e|H>%{VEc6<38j#e?E;Nk?+F#5FP+D@tjsUXQ( z7iAf~#fw|e6roSoBu$VQqEAeQyeXW*Z~Y2Kpzqfb>-fuz?5SqaA(()>0g;l?P3pkhg-v^G5$&Vd!zvl0RPnHgoIcR!%24Z8R zwe=ITQm=p6qso}_;agDP2A^Ur1gZWptgl(6Qn@2rXYditt~%^1zc!L>Xupg%b$})<-A~j5q!Ba%Vm#T1 z8L!)pQ|OiqDJ6DBFxn47wgX$6``p!E(;lWDK7Yoa+beo55fg&o=3)7Mo{b=m6 z+`IQ_g49mGW!Yv33idb} zgNM;mYL%QuSLGN!6i&S>(D(=nKYA=Q%SGVJ{ju%#AhP9;Zj9c-T-y1^9yU#=1(9MR zO>BBh1=YDH6SXZ)AI#M<1r#o4UO5|;2K+OW4I2D|PG>d8qM>*oGepR=w#ACyscm;D zJ^j=-tjJClKT#O>H^LN`b_b;@)8#uGJxc3C!{(ALJ)ST=Q0r3F1wi z(w37}OA>{wN{+?bJ&Qf~Os<<$hupL#gfH{_M=t&gdFQkwN&qd^v2EM7ZQHhe#A#512UDYH3t({A=-a3fW#X z8fA`SMu}PF(mat1a3I7pdK-F8Alvw+G;p=&0P7=(AxhIQ^T<7nc0}qKhko69FZ?H zf8Q=8&{q=Sb6+ei^f(uPsXt*xaWw4~hE#EbaJ=~<{wor)-v>^rv{oEyH2)JldN zj8DX7C?8laxxe3^c)P^QaA{?W8I;Qe5nN-o|xxS(9#Z5@RN#J}82_#B> z$)e1J2A^}&iCa0f<%=6K0a8wIo?gIVchlE8KS!^yHT}2Q388H7Bc)H7-TFsyTjhpO zD8a5BI$Bf7;=cU7m=w_H{>_SsYCx|H{lhEDX3Jf%A$w~uwo9JliWu9CzH?m-=c_a9o1^=Ya-IOVtHIlAhDpI6QW5~V~#Vaz&o7#6rgf~ z@_svBVG7G|X4GGEOry9kkfKO4EAZ9FO3I9RuKDR}2%snQ82rEvcJgz#TNZaqSYj)2 z+IwzE`Wk7YD=5)AgcC4TR%83s1Jf^+ZjeU29;BY`67F{2NM~(8@A9YT!R`VQ<<4=q zR^COwzzD_V05D723VveVv_Ci(7w1c{j(oX9fcdo#i-QPvG6VIyV8Xn9Pl<|}qDl0Q za@6J8i&GOwDJ*8V>(g4R_6^jXjkLNHj=OJh`X*j;Oja1zfBPPZHGefawv|EHY!&z^ z1+cx$TYUc`1IlLEq4i(d;N)a!p}?}iW%=dHc~li=pu-R0k*X57-0d8hI@2zCYmm|) zv-vTMZ@LwVy7QU?2=bS`Xat~p#LN4WYvy4wm9Xe33ZqdlU7&3WIGQb zSQkzL`k^C>D1^EeVDe7*i&8J*>txBqrM;QRIRAG3Nqz6y>bH>4h$7i;2asb-w<23V~k+V2b3i0AxB`g>xzsPR_V2{=n|M2EZaQ zStg=*I03KNI%Y8?yQ>4Uy9lehwe%1j)?>JmSBHn>T*A=wW$Zs(fHL-tiTaX!*B$jW zId(})GTaMrsKP|4Bqr*>;1kKeb!=t^RFK3m4(%tp{oOuR`Vh(y?blSyXKZ}&T$Dve zsuo%OkiPAWc@$NDZ5;mEd94bD@_G8S`fwx)$HmeXnsB#0mf&IC-FoTdcQ>hLRruMs zyyTOW)3@6RNT(jRByeeAjG;3_B~T2GNrhg4P1D|;ktc-IewAn%Y`O~gU(Hqjqki-M ziMrswB`aWN_%})YpJM6%&LowS@jrK+-GsU@mxazQ0n;F&ZNNu)+s5B)_iK1qxj)5E z@d!1iN6NmvyLh40!ig4)(>;!&ei2~GjT%Ku+3O%F@w(V zwPH~7Ao8PI`9*92LGc~tV+} zAh>_!AbHqjr=?qRsAOH`J9e5%ZxazFyomK}kIV5;l77HNoIDQJd6G&n*)-=S$kBr%{6y0y+jqJ`$Mf?=B&4&J$VV0;EGSUzY8VrST>ivWfv|wZVoBM7Xnt_iL~|b@5zC z3pAO=DE|57S(E(D^tYjHXwJO3mbJL`>K!s22_iK-M#~r%4u~^Fb5baie<^@^Gn(LQ zuyV2I?KZ<6zBb;F_V||#lt(rVMK8H+SOE=5ggsN+=^a@CXgc)i);^||MA`x`kt83| zYCn<~Nvv&I^g4x~pDfJ-!j#}#IclBZESrU;c*XyqFX05eL1i6-#JwG~fqiGyW}sBu zN%B22RH{U^50)EyMr!O*9E73}nsQ3JS*uIAO-2p`_;bl^JYKG7oE$ z{Vi;P?i<1Y3*mT{uu8%N7Q(gADrVgfWLH`B(Vvoq$val0?t^^57H_lT<+Dk-Q=r`$ zlm-QQG(*VWhb%cZzC6LhQerhG#`z07TI-;%V3Atz*wuMGD6}FJ9Bmsgu;A)UV$erp zZ(0W(k_|~ImJ+LcQ`D2Z)^$tJbT=@971`)1BX>_o>V=+!o#))G&@%u!>bl>DjFsBc zM6;I!OYh}H5|dV)bSXrg^<{*435W?$^cQ2}bEUA~ychU#t^e%O3hdzvGeaO1n{|)# z`j}X147bK>{d$IzEGyHsNl^yIO=Rr(D$Ed$WsX0$C*HNJmVmkmL|x@?SVWkL+AF%e zo`>E~AEbQ=(vK_HVo7%+J|CRy0n#6t6oumSXb07m(}UE$6f%N-7$LCe%&grAmKo{W3ZwP32bon& zXlcLYI*x!Ys=&#S;-8jDv?Tl^rz$W#*#4s_r~@hvzQZ#`i6BPVZO2p&BtJWtJbQyM-aw6jj5%^Davh3tN{{1F+aFA zvMVvaIv1DEuf-s+_XJqWL3u9Tlmqetes%{eAmcig@3c{S71 z8@#HRAMG-cy{Bow#^0oNb4kTQR$@~G_wqpy$JsB27{a)&ObKqomk^j|1Wr1|6R@(+t+OtvFQ8Sde_Pk(EGtl>X({O#p5=eGxWNA77%f z6xzKL4*vIj09-fiokh4C*W7zHoN7EIY9zbntRGo2-3*+l=#3DNOCEJ>B7Y`ugD-0x ze{v{v9VEkeaq&+c9ZNt{%C^`_S%C~V0f<9m3xUQQp<4XHJ{fJ`qr`9K9{HM>@r`S0 z!%y=MrD4l9d1R|aCs{ni@b-6bkfxIW1gxb=5&5#D$Fp(^g>3*#`1v>&Zvp(58*$E< z`nJo&Fe71_=!9^;TAp#@{#`?s=WgwxrY7Y+pacW=Z;hC^lo0e->`_V9hE&OPRD+om zmGoQ9xN5|*)0Qp5SPKd#jiEGU@}XS)b4_$pv5!0JmAhGjxkcAfYi%AJMzh>S2n3x@ z#Lh7mVvL}KxAPK~!T|VS*|wl~6mf+No7-kvWIu!u9uq%vb-sOxrX&5E2RFxWk=Eo| zF5U)wzH}hs&*Z?NZ^JB{wL|-CEWxgo0nWm=g9jaNTD|UAz1!da8KfYe6kc6@mcTB3 z%XU$)h)N5wh)%S7b}{F(t-kHroC>^F1fRS4qk@GXsrWcWB8aXoMz=M-g{VUK(0M{f zV;SHmUjY-0dCT9UwG>{K9&_g;9!1HifhWS#u|Cw{herzVc@WAjwBk({1v*UIz=aYI z8C5rOxLoNpc55fdSi%lgrheQdhE`G>?|L^c3R2FxPLJO(&3|eeF*e@E3|!rv0qhRj zJq)en312F*We}SB2%(UX3XmCH1jWl8LZqP{N{@!;4L91J6yxPI5C8hjCPp$!IMKaw zM056Wa-!EC%M(FEfr#aI7SrDr5@pkp%3;s}mF|u=aqq18VVJTe z9jU$w5$u+=5FX}FcsXYu?Cq#Q473S^3TS}u_f=QY;=XtFnrXvL9k^Qd%ljTNJUbXl z%iIG@v#Ot*@yXSrdUtXR3gAt~>OBgI+~gh_$L4JVBcS8TZbU>a+p<51tTnnzOlV)DJ3UGe;XFJ4R9UgMx93&;%X`T>7`!iM{zgXO!)}D5q*H3phw&Q0M-A4 zcDc8QUJyR2ZcQ_<>FoAix~s8wW`w;6)hiSrFQw$d2&C!GAuLOtwZWYyIm=mkBGIHi!KZKQ_#!eryhwcgH5-Iz(1U34 zopM-wDdPy&-a|2qw7Lcwir7xsd>IuvPd-6%$cPd`z-{&I?uPBeA81_6Wv^+fGtZsd zv!BMM&witPX;=F3xemozI0T7@)U!aW50Afs&+)V=fXn0!5J5mc!Ar~W_<0iHT0aqu zWdF09Kx+>_?+6mC_@ycV6~4#Q@d$?o#Cw9xmPjebevk^8(PjgMwwcOV%pjc)dsi8w5OXzG-rzvDPyprb ze4B@;r`X+PB(KaP{n18L$lsHQ8=tej=Fj={^#-2$m2z%$z`=F0(wqo_O;jT1h2|K} ztLaTYa%=(NIzij`*3@g5AY&|va^TIeIs|R{kFv=THyA74>jTxauD0=&y&RpTNpu^U%I-(mRj@fID80{__ zcCulY@NUcICgEVPD+F!w2l-cXjy9{XGIP8OmvwZjGPlV&UCFZ!EYkD*xZwNhH!3HQoC^h1<)0d^2O%hs*|ib% zx3$1dZvSe4(`D4I0qpO0EDd^zcw!H zUZIFQR8uiBM3^?sqb;*8Z0RH&)En?vWQ@V8?7zoH9(MrX8*&FERH~C~fM)su%=Py} zQDg|UIcmtxEK!kGxyUo;=JBW&F;XXpYE^98JEDEd6D=8Hs47RZ+WFgSCP75>#5L0{v;r>734dv(lYLPOTK)Lcgh@ zg*wU3kxtCMP_W9TcM>|#Ux!;| zYr~|`Xdlku@B{WWKl>bH<}1{V@J%lJDN)Drj!GD>)~BcJb;bS76^U2qCyZlMQX@+T z0GSY8&geQ{|05fS#$U)EJngwWns==3&EzUu=AZHW9J|B z@A9gx%P`qj3{CoQj0aU8fPHUhU(xMaNAx2uzb%wO7mOp*s_Z>j!2TqvI8(3)RdWyHmgKN`Z;VBM2>~&b$ zJZxl)UA%6*{LtaZ2`ls^KDtft@cAU*l{M6i=Mt;xZJdDm)HXO=Ubgzdu?s_AfOHkb z6~5vY*#wYsk7G~aJCv>ut{udD;hq7&)(|@Gk52daAJPx+b>TI%ZRo+TU#*tQ1z)SM zk6)2_(#q42xeRZjMp(h+D!DIpF}LE1)F6H2320=sQtq+$GjpAGE~rThC}4LfM+pjc zW~+oKC`po??riMydq-nR$DozSrI;8LmRTs2OI0THa0_f0-)L#1bR?1`g@nX8_YN(ff7d*tqEm=kasP zcNw0MMxGk`R_La9u8pNt?bea=$>a70s#_~Ac2;C1^usucrk~abVDQ3}SWJm+U&UpZVowm}~OQCP*q8jAz zto-PuWaHNIpxhbl58n;v2E=8^exF^2?lvosjm;4&zTpgavP)%OhpX}pB1iCo4b}#w zAtLO#MI8EX2-;c<>Y+=sZy;^lLw4UsQ-80Pgh!d|SOJiCdF5WVy+p2qUfp>u)-#M% zh2$7BOu-u%@EC)?h(6sZDDwE@922(r|4NB$*-m zCeW3M)P-p*`1Z)MTEOcw*imHUM{euYOi9 zEh3ZF#Xp8Jgld~z8lAcebnZW>Z4ReQu>8S_?6B$;xE)uJKlvr$jsgJ93R~=E+lonR z4-WbcS>1XGEdNeLQPby78khR)(`o!R7Cc6Zp;orkDh0;L{bAUGrU4i~YM+dvI|7$V z88dTPT0Yhyr~j5C?rjwa1Tk80)>TDwVikW)JBCW@%gbiUg|hFI&Y3bFA-K2S^d}Sf zLXsZ_qvzH2S5>xg`Jh2p>(SzUs1w{ylNu-f?l=C(F#BcObOf9nG(SD#X`?!hOQg+w z)2|%aPD*JVH`OjX3rgRH(WCJTVux6}-nr+_VQi=9T@SVDar7 zbk_yF2g;cPSgQZ$-$LF@uY<;QmPX(5M_e+IXuYTyiJUiJ*0O|$84U89t`wwvPOF(+ zqk(Sz1+04<$&W z*09)8T9NA!-~^3%klh&F4;#pk&Z7D`J!|e++z1NdRJ8BKeL44s#)-sBmM&KvvuO8=&)+?&^5=DX89x#}0Ea4Q ztr?;VXE#wIp#k;vAf*unx>$Uk&M<-yMT*WV=h$rq3F#{A7>NKc zQ2xqd^utpOyxG8X%l7?OaN<8a!~ajg2^AF%0%qp_@IWX*(f?nSEHnShZ8H8Jl`}d1 zGq;d7h!(}PZ9!u=`0j}7{KnQ2LP-6cwCZ;mbG>^$OW(zU7vXo@TTW4=?iHA*3ygvM zu0XJ9gW(S@xNfsR$sEq2v;RxpS4lA4eL7f*1du2!j5$F4CCE|?o6ecMo0`%q5%!i3Crhc zLHW?~3Cryy9gmyf)O<+&#Zyv^@|{O^$NT$oGlo-h@u9Bs%6|!h;uRKr6l(z_GWSg=y7PWaRvv+l$bf;k*`hE)Fd%-22%o6kN$e8NA&q5-HISe^bH1av^kDW|l{x+ZRx9C2!EEZ_Jw2=cMb?j4B* ze84D{SL$<7Q+97uDn&%BA_)v*Xh594zh4U!i#BFUzC3;byH_8Dg3}e9R;{eI;l^wt#$cXJ+PZ@3{_#6-XL!@%~wwe`JAOcJ$#Ab1?&g4fL5P}VX;j^ zfNTX09tAA8hzJ683VXuPCz&yk%l>pyiu%`aj@wG!Jj#&kd<(nWzEYD{v(vB*2bI#f zGwRc``|v$@jjDyOJ_+E=`$EecLq#4V(|#%L;KIhbDAw2ioDq-M8k$TaELsHZ+h-wn zlAC9WG)XXUX>f4Mrp$~==;m`KW>AN(VSE*Wv1wJ zmW(O`;U)T+WfRtDv=6m03cb|M+lIwFuw0;`$fcvmziJp``7i=m5v-VBHv6vmPEnUY zolqmb?pd9X`Ss7X>9%MOM8ElmXtqf=b>sBO}>LOZVt_G!f zbaCk!93;wG#)4@Q^oB;4xVfzcwEx{RzVa}DgWOr*Y4mD4O62i9)Okoq8)$9nc_^x1 zIU{y()NXqic+~V#V;u?4_YmtIGL(k(-1b=(})218^L^iV(@pPE>jfrXF6h zz9v)Lu3>&@vR(KCfq3AF`a<3cC{P6ect~6D(f_6auq6OF`a@k_EG;G{LU*Nj%2R<{ zayXOwnW~p1Dg#e+S1fJG8|i>~0C08?pvdy2jz=w6w?O7iivqEUZj5UHcglRVkVZdP zLsEoU@K*6LO6nBs;P!`$70ofbYey36Z_8kEBHg?aZ9~s7NHI2X8JcFij30ojsgkKf z+>)+u!W%u^k0XRG^yvsRk80BW8T_ASQI*(IB=I3oF$(#uGUv`IzHo*#g;lkUF$aTs zG?JQ<5|FV_{cj*)At>8&Sl7l=r*ql#EFW&*PBg(OM4d1{<2DoCKpFc5`k+HcEr*wX ze~iryA~VCuV6dd5gN685;Bg3*yk~&Xk+inBwfESu-Te=Qsm{yOYvdSjs(m!EW;L{^+54HZla}BTlYQ26khL~NH zcm2X0R$^#7X(lFsg8)NgpqUv9M9FxVkat*-wxg-W)3n)hgpc6++o-bnYw3CUdS9ae z<%%}Y-tHinpjOeM?Qo-9=dGo=fn^r_a)5sOb!3d@IcAjB4Bw@7C#*C9JDYujQ<7x= zEd)9*sG__7RqgMKj=Ys_LHH9ulRX;Kr3^m9^TAiv~I#q2$ zm%`oLoIXGTEw(HH9op7EusbODC=<{_;pJpIN>iO`zdab9sLn zOIG`7x>Q#7BQlIh{bas7;3(((E8VyF8!49=$VsZWpGa@zL~&j5kABtFI-<(USk^-` zz|*Mz`NRNIg%UH*Ar|Tlen%xyzjQy2uK;|pwz6`oQ}{qT?XtM%_RynqEELSBDAL{- zh6RB_Qb{EToM;%Iyvut9EP;}@iUg~TNE0SN1b3u$u~lvgrkro8(w;C7OMhVbyV#jM z{Rvju5M3+mJ+m_GzX6`;U zuwursWs}V2DqeFRW76?V}CG1ky*Sdg%T_m@~16&BD#fC zV5Ml}Vgg_1a;+ z`|rI>eT)-I!0rKFB7$wAe#*R;iQ#OP5fEX~=tqRAO`M~Q4S8f1CMhx_iVtuz{0RUe z7YLBNSh)^8jj+o-o&Zd`w5G-a70g+iH zPn#fR5gF6xFpbu^Z75L5oYhWoD@J>7csB+uAU6KmCNE803|>PT7sx3PK>e>KTFDQy zDrS*hgmF+5dk8*<-K`zJgV@&YhlV ztH&2-v0v)RwAR($O?k9Dy{{@NU_Ea7#FCv5B0yY=L^CY1X&ZnR#SgK zX|Qi8xxp?E@74M0g=#usj(P6#gQ>Q8o7rk?Uw=d{Hz4EB6s zJSI}}M0Ink61Xy%sk|L2dvEA!y1*l-{jwYUGiq-@lH~+PF;<4Nu+VET&u;h2vaYLJ z33xhoQe~4k1!|tU(Fh_*MIMIRB}wTc%O8lF!;isJRU2H3ahp>t+qs@xJp1m`rgw>JfDLAlzi>=AqtJlGiHO^8I|arMM||4!Zrml&q4a0und0 zoog{`Rm}lCbW}KGAA<->=~7q8gF2O-)rn9b zMhvLkCNJ`LHZv!WkMR8r;cfS@P*ane$Z;r(@ksto0W4N_V@n#@t+E-^ox>o1N&ah> zhX=#eUqy{X4nJKuNZ8%Ny?ml$+wMt6j}2-NZemU4h&-gygNw5%MhGQ_gf9HixBYgd@P^;#-XJrpu`Pz zFPg@zN(li8V;45?&)$;uzdi^8ry)dFT~|6}x|G|5+v0d*WV3gg42GaWFu{N&#SnE3~r0Psg2{S41c~)M|jZ*{A z!-KS)IPnaf;#Q>^pk`YI9JvGWp{iccDjqE{zVck**u~iJRTsjeO;AFP`})ghq^j)C zeY+_-e0pnFs$GKq24HImVD>}KG$4M3E?3&(VICdokDaHe_}H#<*oKK}ka9cU8ze*M z*K78}KEVLYUTxQ$zAE8h159yGXktBkoFm5iYIPS`oJjw68j3vynqOM&=gc3u(6F_y zw0HZsK8}qZ5a8bz*gT^`)=Z_jL_yQbLsAoD|h^MHK3$$GY%ynB$)v z32*%(FQ9vv+JFWTYtIdqz%j5NZst+UUWga;C})SW6+0hpq~{Bea6{E6L118MF{Z`qDw4d_2eqipOaKZD><~~X zuy?$)C{T;{wq4coF%}mT73q0nonaq_{o(#kh*NohGua+Ng_1rW!2#|G3j^XT=hp$I zTyzS%whd3$8bwdVlucn3JF&R}YKm zesxPnQp0o;Q2-aKs=wjPPwdT}xLATX`K@@ocL~8t=nP6Gh##8&4q@TzBwBYrWpppP zh+XmZkn;8kVNg5>`HK1x6A+B`L8a?g+=Zg5McojK?Ql8m*~G?&!suLjG^2y4sb6St zo*J((_c?po@uq~~3Yo4AL%?BsWZxxz$|?FSB(5yJ#R3R{^In>WCeV#K8LNg8i-HJV z@krR33B)p*Tn0y*z;qFt^cT4-y}FMGOG>^T4~b`Ij?nYLP^XW~GKVESpDT=d-u%&n zAH|bfe-TV+EjD}m9Rp^JD^E^Qql(bev$K9q)xU_^6`4-~(sC&@kIuf#3MHQMzci91 zMVa$c~u7=qe7h9(m~6LmB>&b@UicCN){yK$8=#nTg>d8u5yf zK}Z|8tq3_8;o2qQod%?v{LW1f5yMSvpwn(bxm?g@Pw9Cb33{bj0uRxXAHOGt&N_b0 zLc(bN2hu)0`p=g06`Y4vkiFGEd2*{aCR(BxgdS=!#DdD<1I4_!f5~Zs7F0X(2mGFj zR|hx~l%ko0fMO$Fh-SG$UvR5cI`3P8mK-UT8Ehq2uc0>~qp!fGccE>+Yy0cfB7A>JZ&C2lts4)K8i2bOd7S)^%DKk53E zWdu+;-cglbv8Tu6TB_iZW zAYU)9FO1Re`hvJA1PhgO%vqCSvt(K`MjIhQo4Cq7b8jO7n#5_ihtW(DzN#Sdfs7u$ z1{uzD&$Yz*y9+uq@NFvY&M&&AqD`4j+0U+v{7DOzZAH z{#&R+i6U4S4O__7%@n|d;efoD^F~2w`CL7Qg45jtCw#lvxO_$K14OYbVW;J@*j^q5 z?WXr|9}Th5;JTx=O7(6R{7MuJw+r|(xREq%V{q~jJu!Uy9Qq#KyL@v+kXS8R5U(#{b*Sgz;VX=G_^MaCtL?SA1)w?-lqLU?_S38Qgcz0QCHFYKP zWK83Rpp8INyl*|3h5Ia{n~kW26A=$V5-h{F5<55QFD<6$Ekn}CoDK6@$HO$bXILZ- z?rfs!8pj?fjr-5E3^V11ds*#~joJz&;NLb_uPx4VvG_`}Zy);Sd+9+uy2Yv;hrAWy ztrekL|3s%MYQGnt(A0;Zsmu_^vLaA&gq^a0s5hI!vuc8uEGzmz>XP$)L%< z+Mq>Df*Y*4T_GolK4;FLrw)SyFy`>y0S1dd;l1APV88Agana^W#)el`pEXYWoBo)Q zONr>Ej|>q5R?RAy%`-tMO6d{31Hx+Y*r-CKP78h$ zhvX7^p4xL9UX)qrCF2_>tBbD`I%>!Af7&9zj>4U%A*Z$*5W-Ot+>azbB_oj!xcv=J za)Kyrf+S%-*=ozh@524jpi81&yJNZ-8bahH5ke1IyC2n8fQpv5U@1qH80V1N=Sw2* zJSbKLzXKN?YHsE$8H#MYAUe7jzp^x1aQBk0Z+xS`M3#QMUtgpR` zu1KVNogUH;^_zT^p8`!heSEN{y69NGk;3ackt${p|m z?uPcm{W3!k@76CasSH#3h=&$MgQlWvuDgC;GFiGdKO!RwSZCq%4%{lTii2@e&Z>$l zc%GXCp`YIsRzl2`rw~kFBqQ!-(WhL-zt9c1nKqNj-{AOTf{U1+=MmB-$X(9Y<4=|V%10+FSqg)lYy>cC7m7D(IH4lA)qRo$S*g?lrKC#zlEWwZelK3Y^yJY zaQ1gHC}i~n!-__;33Lx^F&JYKK|5^c?VTVvu`=3+aUf6@EWok2+QM7Te?x*ca-B{`~|zJdQDV^@COli zep~sQyWfg)8FREZbs0!tP#nA85?qRNBugunOQ-HrTtU;1?l@vQWxq&K6U9jA1pfqZH(Ta2S>cgR zdV819-!dkMX-VxwTS^CC_3N*=Jgx@bX53b2;?0-h=m0g*Euy2+FyL5J-c2?r)JbwY zek0Q&2dagGCSTwtER9ayo_SVL>(9t>oPXWp(9?d>5k7>ZJYHeciDPb$^?7vTUpu8P zAv9{~N}iYH7p$j<2wQ|^9!iR2=a37X_W3Ui`JfR97ZIW+9A{B`PsS=)z6%SU7~jnf z;Oyc=FE^@?9+>%6f_~MIUV>WP&>dj~;EpH4^<>~>v7qQ{kA&T)50o(4a?0M8^0=iH z^KLWt;Z0oTgAdLxn(7)|`W5+BW(y>y)Z@Az2&wc93x+iTDV2Obn_)<6Ca{Yfrr&MY z*)>j`{@~CQQoor23m>MEVjlb5*yt;E5rHn#jz!#{ay3h~dsP+Mec(AgD9}px4aO5! zCqZjn@=Bo+M-6h50&57p1$)`>ml2vD%3O@~Z&Fv)KZW$|96uZ$TxC{?XWbD!yzesi zfYu+MTVR2;X?=kaK4bFQeSt9Duei&qFR!K;ZQmfiI_80TD}l@2F9OAHc|mkAK~0qe zk;M3sF^X_@YIgH`F*Xdg5Tur>54i8{o!TY%PTrx~)TP^2`^xPT@B!>8Hhd zTe>5-S2+S(8Fp}>`Bl9XHGFE5yPfkc$#GTpR1(GK}anXfKSGk z9{e|^LGe>xF0h{5+7xuNHb~-`IYtHv7f)?|c=1m{2tKN34{kvffORWwof$28rT_@9 zGsjE}LHOjR53t3GD6x}TUp^e%#mQ)!Ky@#s$jNZ1C(59))KPB=0u9-;;1hAYO>z%d zS&JZ)Wv7KKhzNbY_f$#o|AF;6YLp6uH}f_-!hPHeF6JLBe1_gry4!UpTW@{QmH3`= zo1|UO_(VW|_i=7Ba81LzbsNMrE8$(*hg`_5UU!PW{_ajWYF5!C#1k#?%Uo$m7`wj& zSRFN@1zMQ>M%VX_Z~#iP1vZ1)eAQ7Hqe$%o=WFkG>8&$~2d5GWLb%FSlw6|G9IQcF zGuDhF&2NmfW48#r*z#j3QYq+#0eg=~3`XFn9uS(P@GeoyIR?-jjCh9ON^oLs=&W~K z&v6`E6n3oW#DlCLOXcBxn&_x`@=&}VR@R7u^>jjG#~`I1$5WFcmHO>ZZLX(GGX-gV zL!$uf*eB12?7L(6Iui`hbUuW=H62=0z+adV^8545vCQnF6yK8lWZKqU75w)F@A~J4 z9Y1s7k1?;(KGzhKyzeW1Y@LdPS!4&QAJrURD)4Cz3)#)I#d5xi7@Gr=(rD4-emNxD zICA#;x>+o{dv#$j57r|Nb+KXoTU?|((I$t7+ZPbBNm^!Hgoxd!&NzzF`vnLSIkO{R zmk2{SLw6)ey#WFtEpHn9%oU#DX3Q7vT zVkVo)I=1?Y5@q7S=ud#1Oz=zR4sL=PvPMc}2fF)7Q-*zyx_@Sp#_m%4StHsLU~(*O zjmFM&(e;0WPu9!Yft>UsuDp(-YO`2m=TV2jxX1+Fs5I z_(}`7`7X`=!r>>XW@BNNr>3R7BB4;no@yge!oBPnXugw4D0Mi2#~>Nu;%+&9?^2EV z7&l>Ln;s(cZG-0TPAGQn4RtoR+8gtK7FhZ}W1bE$EReb-uq&t}bLg72jhi3BFfy)M zAFpb1mz23j8vHgAWa+DF`3#T#1DRQ$Db^C)i@wxKdF!yCGUq?H0dMdt>J6ws zE4U%B7tuV8R^UI0=w$YLpnz&7e+9_i>DB+l(s_+jRvMOzr2M_h((YbGX4x4j*I8|P znnTAL)UNT@NKOTxaGx05-DF-T?NFQ4Xq7@!G*7Qv1&8n6UiBCHR~=w+ug#Qm4Hrr= zXk_R;x;rgF?jPonQ!I8vCPCcx^%ohYz4%Q?eEtY>$C&n6Qf@{vMtz@y!r60slKV=U zfnz9CTdSQc_|A*1)d{EqWyMxw^i)+;y;)A9T4ic>(K<$muH5z5WZ3{3eW#w?cn-_s zwG_#7=+JxY)%yd>#qgTp^>v=Bu~L$etFoVm46~Sy45W#Zr^+p+tSP<3tn&HB9-2u@ ztx8Jup795wwetqye~|YML81oXnr7Ly>y&NVwr$(CZQHhO+qUhhQ?8nGqi4GB#N6rV zm}Sr6U*sb5-)21DoA2{y@+3t`b5!;_LFVdLQ5>IrBN)17ymW59$HBKfk8paS$$YFwft6YSmw-G3T=`q9bE%+Sz=3Y*aqmv&TV&QGG!9 z7MKC;z4`395ec{j+$u-)B&th>bySsdW+LvQPLg~=edrz}Hu`6lhRmfNnR@{5T*O5D z9l(xruzRK$QcQkN7D#Im0j35kHp{s_FOSK?ytWRi!`$*S8a`N^-H?Z`f ztdZ=W!10)bv6DqkAb)R2&=`BZ^OOCM@(5Zl>{+c`*lg@~jI?q#h~9!fDQHwhVaGCN}h!R$U8*r69m)GY9y%YfbyDp{zp#1I|?Y`rOmLf+{}OcJ8~^s-M& z-Ovk|Yf6ublr3<&<#3!P7;$c>L%kLS6H1awH5L~y^lC^IK%XGLQm($op?gTil7-e=7&B;J(SKP|{J*{6i}4Q=^M8bL|D{*|>Au+h!Gr%+ zGW;Jaj(@BF?(zCx>?vkq`o}B&`=YhXU~H`jK9@b3|KCgu1bqFB zc{!4}!gz@mr2f>{`J7*K(nq)s2ga8d*+>nGarm@lM3`N?&{?8GwsyD4rh&IkXKXdQ zjf3Z7z)d(3;-RB(T_;U|L14w3Ue*3%)O%?Uh^q#;I*(8(HIV_%%f73pYY?H%K;hv? zMQG&Hx73uL1-~vU1<>WHh$Q27?u2tqcUSLyz_}lAi#~7TVN(9$Rh!poF|yk$N`Q>l zQLI5lERMOD6xvpQSYeEY2)`n!bS$H+Ou(xR+5J@j<9uU zF0HsjEhR8=D&8Lj(%Xapo_n2-DbnGO!3P-YpQe7P3v5|*SGZt5jJT0b^GoDXCnwzM z1I>M24)JMC^Yi+g-t;cmQuCP7=X;F6wPOQ-5tsbyXfSZ{;Q))KPFYI#W_zXBI+X5H z2t-ZV(G@WE?YiB-b!H{RM_^DKA(3UrMu(=+jHY%XY|67E>S%(SB?VO*6}**Sh>JqKS}lY2xy$0%1jEmJGvos2!7PVAvyd6E@e|ZdihV99HM4 z3&s?)YrcsQ#svEFB~2V$6=+vNoU#TNF^kho`H|7c#=R^l1i*dp z^Tx!Lw@<}q>_r$4Of8QXen^P z30&3^FVopcdavw>$Gka?l=+xmqTA5Kk5R*8%*+Q(bsV}`cW<1=OrIjKF5x7ctaDx; za14ll?I^}NWA~oY`Y;iuE58L{5~SN!gy>E}=P;I#4#xh7zGlAt(DDj2CTi7szQ$8f zULVuEX2OE1h2#CRh02w;t4|17kYkR>@QX(UnH=_;yi1>{48@&u*@V0i$hZsU1uS?) zB706tLmFSoSb)Y-wiZ-!S^YtLnsTv&C{AKt$HlI}-X{IfHc@FS>a)~IPUfo8{Gn?t zQaSzH8?OuJNS(svFG0Koc1&dm#jr~AD6N>kHYqa{32GEl$@^A+04H3LRVO^1b`}u21<>j8%j}D$C zf^m|-V$$Kz7Aud#NEu-O8!5+{4AEI@e9 z=j+}q4#)076DT&@2SJVbCAG3KkPjO(x zbunlX<0?;OYrr|_F(vmTe(-M@$6bxj0O(^%hQ0}_eAbZI<4LeSm8A~w?)(hOFG-<^221gvIDT|J@fVg>MF}78A}OjCZ}u#_f)8i=4;l^s<_tF4wr9wWh&gn z6<#oq+2XVof32FlFKS+S2}_xDK4b#Jh$zpdJtU%KH77YM#%&_eu?08quaFV=s*JmM zLvg`1X_rA`x}McMpU{Q7iW_Whl&0#teLZqYwlqnXUmVH-X*9Pu#B!3J!n49^BJa?| z1j$~j$cJZCEg$xWYB9auofL$@iby)N)4|BBy#{=UAFbmrlY|QQ_(s)F!KHr*qJ2P^~r4_~;E>lJU1P#BA|sI@JdxUk<>G*3cW- zMQWE0oCyt%cRT-tbIUJUg8*>0w%qnsjdle|LO6Mb2zR4nF zxV!Cspj4>0VmVE(s{L#dlJ8AEa+_YJ6cZ3U=D0N$K`V;Fh;RXs_P*KMDnU zmjsUPvc!Y~ooi17l_opWswz?0@A5eFhOOUql75<4(_I<9)rH<)B(*K_al30+B|UkQ@5;xi{WSbB&)ecy{NH>ve77jcvSU!JgAw_ zfPsQOC=D2SqxsVGo`km?2`vtELxaHe*UZsxS@BnkiexR8M@mJxgC+XoqF*VUe~F#E z2L9}qdmqieud9(WXDVy%LGnX5H?$m101BAgP`syMhArLcy&@?N%RVD-82NzAAjLY=m;<5lfZ;c0#XnS^T{p< zy!z`BeFeH5YaY_%92FfoG`{CTok3UP`ET1qStw*%ZN^@lN4CsV zM?boUG;ET?EL&f-*t9u}IF5;Y-zRPlB6hPsXYr-A33?J|TlGTG_DU$%Eu9h~+5Og~ zaEwnnv@^5HSyIE*93)jwSUsWyY`oi6 zb3gr#%|8o+8TnEKQSwB6QobCc7pjk=EZ7exqa<)Y^*)K7#^4f4yW(kWVSV^HavKoQ zf-i$A4a*&6tpEzyMFDvenME?4DOuYR%(rA_C(pJ8PmvO;v~^K$|6GffX6VQCXs6(( zg6QcOP4l1$+>(d3$i(#or8|8EPE^f8??ldQ}%pZ)V zy$$H!HfOSf#Ur;O46^TOkgV<4%8E1xFrrlu0&Ty;|1MuWwx_c!h1WhoO|>Q!8q-;ZP zW!d(}2<#2aGXyC6OIKX1m*=ACKGN3Rdy`uWOmy5Sd%HdzO8dXQOGt#rh-gT!X3{wD*x+kWk*!1gGZrjQ57^Pf%945R!1}6k zy+uw5X?9{9Vt1l}vajm7##wP|I(i&zIlsqbeu5>Y3Sl6tU;~ zsX$di?V=o!$3b6flcH3L(sm2Iq|Y|Ah!$HyH1UoafYL1b+ThG5NROy+#So4dUzXd| zIaJ)|;oIxh`LkGv-aw&|0>n*3uMZ32(7L{!riKq4KQ+_33E2Y=N%3D1RS*f3Gm~{I z4j8&h#O8e82bWPs0w&`)f>RbrA4C7sF%m5J_}r89v4?F?15__~QzY*RZnDpq38(#X z)ko-T0Xlnfzt}w}|8P2!>~BlcaR)&Fr9B1UllC}J9Np;nWm`_)U54qd{9v$~e-MOH zgVv4mc0T11eYzo z=7)$jfT5TEQ8;T~l@`C)`Sq_V#d}ynfo+&1&b&I5RDR?Mk-;v7L!zyN9dTti7crGN z#TXzu^xZ0SfEuGrD?l{!IQx5FI!?lic>o4ZQy1mHeYQ2=hhV%O)uznIZ{M5Wgej7S zO=_T80hr0XFv-J430^S~)E?+^K`gkJv*{p-t$B4j`S@i9B`O{nn&2Rmz2!WSz!GAj zTRe5XiHwxQr>O846{ot^_>F{H*!(YMR`w5Ha*k`g8J{Kl<#u3XGRhnLzWpuWU+_?J z0Q*{IQ08}tP9M%#h$CpMqY|!b()AA2eEm*9>`0{utdY3~(Ag}{1-iOMC{2oL_$M15 z0ku-=@BP;Fpt?~g<9p}h{qo+`)8xslbGpBVKu*stA%6y`K#3oJJlIasri{P}!OQJ< z@Z0uAvp=M@pjV*Z))oRzks8CEx1vXr8}XvUkN*)h3!R5>!c5Zy@f4HMN~SnEh0g`z zcjMyxXy9Jg?LhVQo7lxpqp`LSfDt|>D6>kC0t6cEbxxCHReKWq0q|9;e%XXE~V>3kgOgri2x*3*t6$fr4 zdWU!;Eh9&J=!#L`>>#i)2k$@dRn^iSrCv9&#s89l(aNwR+TNO=7}w69ESLJgFMS0@7V}2b!absrw#*ls_i{HlH-w}sF zqrTmK6_*u<>GStjAf58R2-Y$FZ|B|n{}`-e{=@vQKl(q&_y0_&_kRo4v9YuLCpHZT z(6G*1=G6#|`cWJs2W|1R4h-!OGkbd0nGFV{aHXv8TCpce1XT{RMiqb)|^8<60d%U?oXmoFg}kXhbr;| z%&ss3Mi2sc-e8RW#!(>ql&YX4@Gfn60%Hf4+;wCXcfbZ)!Z58$;2fl_l`hJ85pfyE z&RH!Lv&pFTiyW}>%<2t`6F4l^+Hb7aoqAxP2YkS?{(1d&%s`A8#AP@9;rOi+NHU~B z{3jRC5Kco|>8BIZs;S$K%XvGs#x?pu1!4y)zVeZ+)$1IFwTuw$&|#p%XV->X6=e?{ zqjUcn;jut83AdL~>d4K(A)_@denaaPpS*0Zq}WxN zahjyG<3HQ_8zIXQ_jI_Yhnm6CmHUrX-NsOEdLx1d$sotH8_}(|e9i<9XyK8}umEn3 zzD;a&8HTAU@0-WXf@sYu?lr;;s)m9EMNtuNfG1>tf)8uvhkd~tCEYr~0z3-?_es?F z#B;=3Lv*hReo-CV^8YUt?6CvFa80ZO;Q-s>Ra@wi|VXk8;5CaRi440RHZ@8)cY06 z$1W%80QLh^Uh)klQJJ88<^zgUkW{AWZG}0fQrv4}hO5+sDu_@YxS%+#iP0CrjC0l# zO!~+P0+~CD`0h5?nv%Tx?PKA0tgXs!qU(#`4aac8{h_vp_`1kW#T`82IA88)Oi(_3 zugO#0aTOVDCchvwaHwEGHbCDVUi0JZdsX}-MG{^j{neDfj(Vk@<|Jdy;=bRLppW+X zW$;lJa2pSU5MoV9;5K#DaAEn@be3S&u>~GaOWg`wmp@R5!O6X!PaszjLGQn8jD<*t zl0SUPKV_Mcz1vZUoFa4_bQ}91VXhu^uX?Qbn=zDZcXv{(=1pP=Q@|jJDTLDbt!wKn zJ3b$Hxd_xvw zVr2NCOE>V_Nm>AOr?aKghfcpiC@3O@a#JOz1qPSYlma7u0MA(x+oP}M%Gv;@xkydx zkIskkF7i!A?~rz+S7q@Rmjxc-PpPMSQ(p4~^EE`I;4+mNwk;!=Jp@Q`HMkRU6n?2& zm*fHk{@R3diiYh5w`0-kaU)BnxI4Z++e zjn#Fav3XWdslvt1C*6{%{9Pe=8DQ^D2Q+@PVb8Lsk;N%PuenU3D{=Zcv#$b{Rbix0 z3kj%ESkt`n`mg~JAoPuC6W&@SDZ2dc9l;x&+t9b>rt0iez`Xbm2B+V*DG=hV03$q2 zX*k&jXJ8mXDu`Y&&M|_sgfxlCPt8G+`7-@RB^w_c)b2<;%OR2`wo{~`d>4}m5mz8Z zaKP%SX$W>Pb|EmZjCFoqhZg?3W%vQiES^VrLV2`Fge5@uG69`_C|mO~qcRwx-Aiv$ z$TL^x{b^iHwYaLDY=)f`;QiMK5nR@t$x|en#wH%eHrguKy6)$Gpr3Mi3+p{voFW7a z(HjB_IreyWCCQ7{!5sS<7P=q-04PxF!J0~>s5Jd!(($K_bm0zq#+gOX`f5 zJ#3#18pGI4opx!(nIUE+K|EpbOBE!6#jw-lXfxCiaf!#aaJq%e4^jD0)Ze&j@dLO( zV@NFLJPm{@hIe-jBy{PX&a;#h|33Yz5`KFiJ^J$?ylF)GAokj??k7aLhj}#yJJX9H zjn#LEayDEdlgezXBqv@(7DD16yvMc?C-UtqFR3qXEC2^9J}`=xqD-FoFG1iTV+I3) zodxy7Qz>7KS@+4=`PKQWBA)MU=O{8GoQWb)u(mrFyhMfdWMsc&r)V-@eQZP)OjYe# zUC-0;<){@vrZ2f95jV}gO8W(sxFy@^IhXL5Vv^4INL(^eOrQSRqB`Z}yF53yBQti+Zh6X#L!HIuW zqxib+s>{J>BZ&wzS(GOTLnfCo37e6krQVLpM$ zftkEHDkX6X{`8IdSO(N0vM@dk={2D!Y$+w0wHtS~IfRlIKzkma1Ti8 zk?GOJ?En64#bI90^C#T*9l(-8!2dn`xVIPVXa_2;zr9oXILaM=arv4H?F!rcwmt0I zyKE@O?tPkJ?*$}AuYL=m<7NTEko52-TW%H{wB>8ZQ>fi=FJ)5g_~0+ALCJVfcCLufc-gCSSLNBOw9ycw|JH6U0Uq5B3eOK`UST4n;w+Be7k6h)c)T0cztwhiAK=ez2$K6a!?*v=Awe8SQQh8lD^Zwaycl5Lvdq4kd4UWW~N>2`L z8b&|7lTUL?KINkcZAc1MdH5>1{x|;LsS*_ul^a?WG6a|KP@v+wG!PJ(CiVVb?F{3) z4h6<}9iQ+_QoS^7ZKWMH05aKDuV8)#FF^Sl1p=Rt=iBG;LyG*Qs;`!Snkf^$Tr{16 zMq&(AA+V!?Rh|2(J-)SP)FiaC-{7V)8^W~u=Y6x5IUi!C8RF2b=Hmz@rS$JtlBc}_ zMr@d~0?mApkv)!UA;jjtm7yu6l7@8)Ay2L7N)NQiIgjtdWV@Pk(C zg#vR#YNYu7Gs)J&1p4}VNu28Dn-xbJABN#v%7BxKRxk^z>FK%4eM#pHyi5?gtLABMd^X zeJ*8ucZU62%XHKF(C2tBu~>88Qdg0lF~@t$0F?&rRLuuhsKQ4K+m9fY^G8G1pOJ^F z*ZrTy>>JkQV+|7Kw+t%GSG~!@Iig#AGn&HW)p)sd)v|Gj)n(^ev#aa_FEWb?NkgnW zgs3f3maAn=q+Md^$?N_c`4iVNq5iU>da1$(XlM}dqJ?r(tc8Qa`W;#ZEK_9N#_r8c zWSm=nF;!czmQAd_krCE3GY&p)wPAP{i zv_U|-0P!{(k0liYFiVOP)$-X>u_=0ilZ5_Wgc@v=e>)}BAQCW{?k7g?DL}3!J!@Rz zs!-c|jW{r=-{vTEe9Fy*OjlaV@9Kr}MU^s@u1Uo# z&q`JF=k14bZYC}mDTGYj!QX|JeJcUU8AXXuPL1Y~NxU_pR^6+AYP`2#`r96EGc1q4C1l^{cg{#-zadx2!l*Zz0$`Jg%7<@a@^ zHwbeP*n~$_t@|(sC8ezf)=WPbueiinw0=bAe{2uNrzW-K`aB~sQ|puM;b1Zd-|Ws# zi&0>&F-$d6LoqE693h1#g4~gTf>3R4d#NLp^VGY=5gSRqx5aXHe~DMrd$-^<-A+zK(ajOfICbrRJDvG zEMwQs)Z5NNLi(6{iR@pe5G6vf@?^{=mSDNK(Dz zmqnj(J|u8Qy_HHaNw8yjWYMj)`$@`tTlgy;zc#^%|pz}X5`oqB)Wr*kLmn`Yqp zwTISmr|vH=A4@y0X|(-u?wA}Q4P!N^y?3^h1cKie^1qRJBe2>^r<2-RcW>crByRCT+e9wFZSH0<*Bkw% z!$#~Ro(qok+C_F{_V5a-kLX{>=V= zo&G;w@iB8S)Bh*fKo6Rv_*!}XuK-Dzz*vP~fT2Quiw)oDe3+WwF8FjsuE>j1B!ov* zTz>*My!FSSomd08Eub?shqix%DY10&wZ5e7wmA@0vSXM{7U&N@l6?-O+{$?^hvcUO+A zQeEaL`v*eW@ z(~5emkS`p_`^wNT!l%YyN6~<+om1zF0WJwMya@g1xIk=Ec;=8J9o6t0-pQfP*ykQQ zx8zwwo)5$~emA@7F+KMo`-eJEoPa&Rv>B2QBO1)R|j9kh+nxhNa`wNpd`Xqd%N zHXAheQz$WDy1!!o%){)6n{avCs@;7^ld7RSJieks>T3pqmTuI)3x6mIxbyXDJeQS6 zV&NN9M)Dz=kK?#Q8XFKx9~3DJoTL0zrkxZq6PQUdT8-ZLAb{act$}7tbekwaqoLSx zdIkCwq24r=aSWiqFwbvP@=E=OFi6-4IEo=-_Sy0BJH*GG#1wbnH`j|NQ2|U8%koHo zb646>cQU25r*5gkN^R~A3*ID`quFt%nH;<_DVgG?q!%$r&`1Ymgcmp%_>?Js@m{t; zdqM1?H3fx#I|+~X!u^aKaeu4Z!*f>-)R=~H#Yh0cAzT5TsctPv^W~nD1e9zh$ZA3_ z(paC@H(3swOdN!;xlL_!5)5G%Ut7(CEcs5t(L++ywVwJd1hGT@+1SEx^cho0 zSp!Gb1u_O^NIeI-OHPA6q%(|L$-X>uGP2T0vU77QF5FLvz^rVmYQOe2Yw~!5=uAEl zO@bT!%F<3@AQ+1X8#MkLh``BH3Gt zFGZ*?i1QJ9`zz}2u^y|{znfWKS_<`Dy9bdXq9X)C9s?4GhH2&4rdkkbM1z>Q zp8(NkeW!xf7dgO8ZYLI{uANeSj36@tX4BfOYe9r85-6LzpBFm*m+$-4oFrMsTK-k~d(S)%%*J ziHo;cOfU0nE9{UiYz~^m+mt3rOGQy$SGJrtj+ni**>0@iR$C%dr6t{!gM-j*xJ!IB zm-5`RDE$y<+J$R(!0hY|fv&XPCj;#y_&VuYB;$FS`y~cmTxd0kh)bUnn5ryb8S|>22guw&+ z3$q3FbNVLxEhw|cCas0XGd8rok9Maz_e zWW)HFK`3Qg(%$CXHLpRuQ*?|Sc)T3#)t0oCT4XZ|GO31g!VC!KgAN@%Nrm72V4i0L z+j3_V{$-kH;7;oZ@wU3wJb=P|6&+~8w1h#!CkS|5AvWjKiH$HZe7Z+8HtJ5mC@cll z4twS{wyEDrqAkvdw+A9OsWT>O^aq|c83o_pt7;?;2LU)A@Q=w0KdHD|l+I6QRQYt+ z@J2xJVASd_9~f^;=wpjhXfW5vJc1Z0l`@%*@uyZl6lZqp4BNF^xT7^qJ@$3%3i;%1 zObBML?IQ*d(4gSrC65*hTqKHyG)9@ypHOVSKFx6aZE9`!PJRD9)HN=<&=T!gk3b_o$ zNG<%=-LYMrL&DBp6A`P|c>GJkv*n6~Fl&0RUPHP7uYcZq5RseF7Y=SJ6?DG}$c;^n zIDr0b?J;4;`_dUz0o$H`DI@PvU(9h{Q`Wf?rAQxI3hgo0)18b$Nywl{zgd-5>cwdM zgk?aofyH+hHhnIuNyD()ty2~4aS*c;yI|4W;E5G;h+)h)$Gxzx^~a~yJjwh$^U3Bq zEp1?%goh{zWcb&f7CdrDjM(M0-!9a-5MC_ zACQJbjcgT9iH}S0{Evf6Wd{e3>>BmcXM9~7C+U6 zkS#o=APa*9pRvu?Kk1iRN>T@xLaW+&`d*kpd@7O5i7^B;K&{FL#R#{p^Xl|i?;YA% zw{M(ecGMu4e?^N&v%5$fkKtNsB>o)9FTxanvQ?sF?F1Zx0)Q9B=KdXc_eOgg_lZi# zVG542qirdVSB2<5&)z!kZMRy>C5pUDoNs&R)v*)M%j~{OD<8#&9!)7v3!`n0^PQ1d z@M4!;S52cB48!XyWHk~7?$`#?#6K4ZJ!Yp(BB_yRw@(+mdj~^;-nihnLw_y-6%Uyd zdc%#iCyckN;~HDk*OfRoFoYwB_*-JFpDUX!5U{Uj712&ANv~WPOTyw!PBZ^ULztRC zM!{gsl~er^BKk8wT{{W(SBd8FKDgoR1j(6-C`xo_@p z7*+FjbVk~82Cd7p@`Bvj+BL;UvJoa|omVY=p#6995IA>}HuGE#K*HP!aEu)8G_M>a zKs6dH<`gd|vR(aiF^Rm4tvhh}3}l=LDCwW%i`4*-o+6W+2?CtGX2{&`9W=b+db8zm zu6yoQJOx4fvhv4ss+pTEJqBqdiI6aUr6VDjP}iSes9gm%KX?^9?koRD2~MYU@U>fo z-_oFHScxz>RWv$sV2t(AbRX^O!*|QQre%teJ^2>POD2)Rm5L)M6#O|eOCnf}-!=J5qd}tfpzX0mv}KbY zq}z!ime3c%u}HMjbdZl2)-YQy8@&y5nSo1bndz3VIl+q%*R}4R^nOD};CDuhvp{c| z47^|LhK`9}<(R~EFnwHbKvB!LR;TRf;Og8%wZ*Q40fQzZnT!O8-@K;urER2?(<~77 zkBtxee(47q7r~Qzo&I8Q{r6LhYih~E{`sE|xpA)m#dxlDD>H?zsuk26ZqMoh2{mO7 zX(`GhJT>@5Jip4>@u4&XXeZg}Dw~fL9PdD{;(HeAK>;D(d1=v3T9oNV&=CiCx~Awp zz&sL%<5?{z`~}I37w}BJSK@NyQytaTZ+>>@z0(ziY3Y||7`An7FlD)_8aI!yfn=0d5(dlrKe6}F=KEMgixm~zSuuJH#*oqgt%q$QKMDZfzK zC4L~79!zGudcXVNyHfs(GR-d0qsu&vGseeA8NHGzsmVuvPmK* zIn{HvfI6IZ_E@%CeCznGkx2W5F1JLUq-m=obuk9Yz~K6>F>21UxQzE(WMN8m#zF7z zZ*0Ia5v%T){40L$-XX)gHnm+*Dcb!p_UljuwC6$U?ypdN8FX$tPdrj{el)e&h!Xec zB?is_es!Tu(r9=gLvi~ByRTJyf{Bq8Q8OQF_)eCv(c>O@rcNWjS(H9rB3kV2!jY43 zfgWc`NgQb^7D%Y`Kpfy)VwD3RsM+}5>+m*j=Tr7ot^Ei`2Bd5%^N`Ik5#0GBAm>#^ z{dSOiA~74`8&K)QY%U=E0eWxBXh~JC^0l9wA!vgSTD+|+n3S+4v>3;dODk|)gRx7# zp&2j&#O=aRz&RfFepld;#FKXe-FyrU<+n&%IFdM4n zKy3Zf=2UOSMCc&=+&!~so|^f`k~rfgDN&yeJF}eLmECif6mX)t08$-4p_^u$BJjzi zRAVfj(4$j=B(+>prLnyyMGcr_SE(%wt`udWzx|~eo)GBG%X1lZ_}!=SpZC6K-2Cgl z`t8Bld;v;!3S2nrbce&;E&j{ps1Wt4saCe3l@xM)6KLove83m7XcauGLEA`(tNHV+}=0Dw;ZPt}Q(ID}bJWn7@%R zb2eyw7~elvkj6pQxFNhK+v|3&Nh7dprdCCu$!mE4Rqa{E>>L@*P9=P>WluR-)HE<) zQynLAMsoiUoz`@JS-i>UbtS5b&~W`QqvG=jmWy=1t!cp)%ycUvdGqHDXdeJwjXe}~ z(^8tK4?h|t)@AsojHftRs*aZ>V{zl5BxSvgb%~i4Yy_G8A0R~jsPNwtEIY#-@`o_M z^iWZwx@?3Ph7`MD*46>511F`C-evF_T!K7-nW_q|Wt(!nt8i#UHercL!3M`7&qBu; z{(Y1!k@zsCw4zaq%Zy6hYgU zfo(_Te_4ZdpF)*kkA=)cU#*gjw*WY2;hn`6&Z0D9lkh)p{R}ECk%c3y^$WfQ87i!U z;@xwg6cYHjSQ58`Z( z2Jh<3oeZlct#-{hIRJ^8q-kmmo0}k1Ow-Ja8qEj_pWswBdlzp9W>-|~bBC;_5hdj- zX3U{bYNw4k-8M9Q;Ppsd%19SFDBCN~9p%~AVi3E#awc4m$2u%Uf}>zaNdUHa7Ixxh z7%7^BEnjL}NB8fTkVF?I!hqh7TTmoKi$&qNqBXrz*-W~&r~b>4F>n>gKnqR?OLgeZ zdaAK_AFUyMXV0l`jCA+2^Hu6MUiaw}v7Uhj`V?0Pl2lGSjZRF?>wai{|3t_2z#PwG z+PoZ{S%X_*Hel@C1Bt`T<|6itIYGPC+s>bIgUHbFRh+Hl~APprocg|BbW zm5$~98f+*A^V`IWI9elio{Z>A#o7H|IL|ad4mzmhRYcpFD#~gCss3=VdSPD}!l5Zv z7dq+eE1*wu$T@O%xQ|viJUi4UVjw&S+0~Y5e>>5x`bRg`+3STw8hAP$4LL>h&cNOq z;3x4oXJeW%4Jl2AQp3uJ5weopef# zX$~dwTzq=S)8MFN`Bue(1_s{VZJ#(#wG9!UHae#8MC#~rEUo)u<_+x?{_fy&^(d^4 zUI$%zjzfp_0E0ak##&IEO#m4_^A-{uYv#|@adjp%s=BL(4+(LAz})YCd?ouHZT)K8 zd+(@QJH{mYSf4IvyF&yF-4hK}Zn3&6Qlj{=^Lm&l!Ozh!(0cSm$SnaK7(0}MZ^Xix z;)8S{szM3@=XPhsOfcu(@Uv?Kn&W5=m#>+SB4H=C-50aY9!U=daMr`mx#1 zBPs*)Ud$|xs^<^h&DPocSG4m##47*)5YzlW$mjof^!txK{;$$a)_-*KKPuY(=jo~c zSGvi{$j0)Y=q6E{tZvWn>H{E{auKU=;Ak;`%^Mjfz7WOq_PaDtMvPR*)_g?q--D+s zQS{y4fl(vEQ>lZ&jDw!b9vH8wqB9)P@PrI$B$%R|nSPa|!CxdnjI)miK?TWV3&}3x zv&r-8Fyv~{G%-jTivE(Te-Zl=$ZYA_@UbSIpCnN$feAofBWu2E?-5J^pi0YkaGPuy zJIp|S+~6_7br2f6{LHLSeZw<s1E_fXqyptNqDE{=8HfMLKYIh^YrR-rku! zZ#yRd1fq?kaavr_@?vc*L%)=T@`(;wn;>NXWB(Qs>A2Z9$&H3Gz+$EvmijMhYnnPN zvuoL16HJ-fu^Zrj?+gtqaP?K5JtjL6YHG&AgbDuVHs9$lewE$noFy0n~U}FMP0%HVRHo4_E z-A0{{;Ma)!iW$5Smhhe~dC|s+FaTO--H%K=Hv^c^55s)-UOw|*PF@hZ7$441d6H{QvUCLekn=I8V$p%#Nt+k>a8dmwE?&>0 z6re1eSiU+UG0Kxv1obnpI{;736$i^-vZScu=?LHzI!~epWoZPzM%EIOE&nDf-Ya>? zc-(?+R6@hGulM6B!_UC>bn1RENCe@UT)M9Q6j{&I%f>=iw&TXphPbjq*Zhkw>TyvR z49=Ec(tIg|j*@5M@gAJ{xM_t1^H+D)t%Th;DTJZ=5AIn;od+48ATh)br9wqZG}%rC zEKo*}ei~36)6r*w`A}4kW6ZFHjOTghxt1l1LEM-IF%u52auMKn-g$9q(@l$Eg_p$B zo>8$9#WFP#K7#H{M|f|a>Q!!MaN_-N*J2d@PWxsO;EXnMMed{5Idm%+7C`!TGV>HmYg zcWM#^+Lm<7wr$(4T4md|ZQHhO+qP}nwpXFoe!A!3+>Yq;*!>%3j2JUUW_}q`jz0=l zfbn-<)_%<2?(>7_dpJK>sRUl6;rbpEEYHBEDI66|nma%bxt^v`9_W5?()U2Hs_$pmCi)dv^gt0r%4YG@wh`Y8HYk-O zbx4~HuMKld7-HuLClo+&lEUX^Q_;Qp9~YC%=)c2F{-Hz! zO}g~*w>%9_YGZdK%+CE|yd1SDGWYexL;k{2t>`Ck_a=Ix1~OtYbw-Zch0T|!kz^KU z{IJ9nHJXn`>*1lXGs!L@LGOxd;8eGNQ_W!4k_FIZ$^M208FbfAREp(@?)(6n#W#h$ z4i&rG*^Ou2#b=!j_$aELReH2I?j>=8v2Z8jx@#fD7de)79dXZNG|pp9RPKWEp+)=~ zYvQ%rg8mZUd4D?D)jJY4k0^rcQqM+p&#%dOcRD^p)g2#PM|%@x9NY7k(k@C@o+gDk z2?2yO@YqH&Cw!D#RVOvAgwJdb#^axhT)FX^$UlMZCtdk}voI0)7Cqu^Zt;;9DH>V> z9$6K=)_837QA+A((481dIpH_yW6tTtJeEEKaahqbCKI^`(Jj^|rPNDsm8;3Kw&2-w zHI-qAycgKc7EsuQi2~uTMVqNG34vD*l8L@9Xj5?!o#pUc%ACn~>d1_Nz>ix!G1T|6 zv)Mp-(5C8(e+LL?Dq^(68FVD{(r>BmMKZ|rcJ}7xkl@W=>hg-WN>HyJXHs+X&m17r z#}}U^qBL$TEovS}I|K+03e4GN@kpes=KS|wSTpXI95H+?YL%*2E>BQd?(r)kLo#bm z)Z&Pc32lVJVO0o+0lk@Lq_x2b?ilsUdSeaMEVu>r#SyfVgobx{^+Y{d5JgxBvo>Gu ztwv%|Ir2il$ZDHKSkBHmCUKC$Y!0)kqfgIF-WYhY>iP(8$7+-a#%Xw`sP#28d+Kjr zR%gb52@Q5?Ws=`q$ZlQi&S_Ll^O#%&-r!W&8+Ls!&tAB)D<*Ttg%OD30n|#)$dl!vUeFy*+?7O1UaO7o5z8WtE_{ z-AS*bgIuyr4-f|W6j`(7iK~_|%;UjmbqAuLfYjMTSD~i|V+T0vP5^ zdLN?bKU4`u1W^)lS+U`NB3g-Rni2tx%o587k{4kc4tmQ@>-sug0<9O(i`2s_1p1ki zKNY40Q`YX82qea+z^xY+A}}-*5^|sjDQ;g0>-%{g<%elgowg)~o2O-m8I7n=7xILJ zfAK@OmL)-Ovw6ov(IDhZ^~bj%z!(iS+r~;YeSmM&IXDV_=0=r!_$FxpJPk|?#=z!s z-1Z;W3(@?!Wt=mIsaA20<)@1;)&4#SD1v(%a01A3R4_?SS(m{ydEACI2RvR@PxRbO z47g}fYw3WKQCV=c#|bT-HcHP)zxHseyKAG=l5%w3 zaWfOOrOd&JS3~gTw@}S2Mp6-&0fbOixqKtZlvOfbXQs`l3Q`ZNLm{$~S`r+(_R%=o zu{BfWmrk8wyWNlFZ+6;rGN}CN2gWX*oil%G6>1mxgD>KSmyGo^i(hV4A@uebhHYyH z5QZUl)zj~`{@YJ2z9Qk#0ZWvDKkoV0=kkqe%%3;J!mgovHQbevc4kb$)uNoOr6roq z&X|KD`_^<*GA-Z_JppKz7L==kcC8vWmb|C^KJ@*Ax1AeoWzp4go=hCl!XvYxAwOrmofDsw$Tg3H8%8@Eb^-EKpy`#u=X+O%j*NteipVgc&w+ z8;wGo_k^h@_iC#j`;Tr%rgKP#DI=doxAW7AzuX3rsT;FF<&*&vx8Y(}bO`=l*A?Z( zMcCF*hph9ninPkC7QB2^gue@F7Wuukh+Tjd;X@>s1et8z=Q!{v-b)k#$c+1LF3k^C zWp&fxrtHM(=~2)uuW;mLMJ&xEerOLJl5EuHpa0TL<(Y93cLgo>Jt&dBI*T2T@L+Vo z=X4Z7ghmSS$sNra9QHQkI?3H6$1$88&d1ZCzbg*b*sM@mdXCMNzM>n1m}sSgut-!wcH7?t9Q$@s>iuK4oW$%fV|aLy5d(sA zUuPq$G8*dStLBX%PT7t%Lm;--?r`1SoOU3d%C7ick0wbmia4u3e7!=kJW`F}lCuW4sMI5{Pzo0;%QDqGh4dp3FyXt|d&5RuViX>;a{cUZbG10E&-1SVRzpcC5*buk%^iGl3W~u~heq zpaun_+XOi^elj(GLV|o!_>&!q3z3#&jaW=zF9oN2~YJr9GCctPS0yfqtomH1VDC z4p~hG(w3yYya3t>j zp+=JCRuq>j%MDJ6)1L^&1Gk9SW$bOzTfW_`t?OP$0n;A>2;t~->wioP1RvU?%-BAx z=VGp0K|H^C6eK^_x>uedbT7LM!)iq?nRO(?_47fExARym#uGCI2rL!2;pNI65P!1c zumP`!fbAcdr9907dI{`mocSvV+Ibly#s*XO-y%W)lx$ z3JtJ9$-aZX0wRyiSf`kn3;^j;olq!P)4XttZL3Myj(s3XQri6q6SgV|`O6xs!X8QR zJI}1G$EnRdWfH{znZWDi7HRyTFv2sJZTZ6Iv)ytBKu)F`HSM*p6_AVQr*97DC#iU$ zRdB%&KvFCwyJL|VT}mW@$*!(_$6y@xK!^Srz0n2FvP4DXAX4dwf>ih8;hb!=tQ?M7 zgeQ=C{4bs|xx9j(#;$VhHY@dvqc=0kz=!>Op8a4;=fFr`hYH>?C218Fs_Q1@y_Yo%8JoitLgjwBHE}OG~z&e4cP^N`9&;SiQ^u z{rAV3{;{p9vg_JOE>Lp+9%g6q4G=bk)uYl;n=83 zEu_;m*>-Mk?_;ueTzKn068PH$;A6LmIABFx+h2A8c_2nj|3)^#TjSk6$tJc}YZ3xF-L5PpD37O{5v21vg6jXwQJU7ysP z-Q4|)nSGT!*t@eLL2-ulh6`4oI_SvhfD13<@OrVbRpdBPZAUY?Mn5}BohokGiy*C$ z+|Ku)?^^E{Iuosq!fIjb-Y3%z@P;bK*g-(jG3mCRHfhMa)L!bw<)ji_K%J`mso*rK# z#bU*z(AESaD!P!_YBgvd&rBYjW$klD>fq42cK}-AM1*|WRMt)zM>Q~Zv4CWGK`c!4 zx%xH@iuC>_E}TtG$5OZ}dhW$x9^6!u_D%iQRJHWM&19%-uo7`x`!0St1lWFKpr9t} z_m*<>`CoizYD(3#emdav0h)QC|Jw-4} zPaI95qT(MeR1}%HKXpqz;v%WDu<}@*Gp*6tDp1VO8*D^0J^L**n`)2~T`;`LiV@wR z8gySY-Ew+g0UzAPYvZo_6cJJ9(W0ZxxC*P#;M>`;^X5CkvyoivSz6&lTyprcMSspv zP|`{kv2Qe_kgzpS3R?NreAlh0#y|L7;0&%>8aH#WGUP>r5#fRx$hc< zGykTdd`Aw00r>@Ar_)5%%-dG?4>LAtrjwmak34+omQ~SC2Ag> z$y)3oPDaE#p8|OtM=g$O&bslokciYdRYsN4{m7ekJ);J+%}?{9xlAKkNjPkRX(4UO z`e^CwUxY?vawZ6>(n*JxCQ!%bf@O)k9Ryu)_92tqrBvO$S9PHXt+wlU)oxIc zgXW*yUE#d&>MVDNy1tU!shUAad52sn>4ZS!%YPebc&m6G-=K;g=QhkiYTS`>d%?0( z4&KyEd3C95hf;>8lEsjDZ;c!``r8r@Q8o9NT8yJdLC`RDwj|P8HJYbMlAV;#4MZA{ z>{P$OJ$6Y!BP~gv=PTgUTJG$=Dtq+;B1QHu%TydHYia*0&i%IE(_QUti9zM&>8rk} z;}ZS)d{2+wa4Uozst^P@9VyO`zM1 z8e*8x;fLGx;d!o;2+OLEGE=2g^#1slfbuVUZkbyQiC!_8cSa>ej?4-Bc@Wqf>5^jj*S;NyER_bT^(prWdLjU zIlXRhU>}kIZqCqu1q#}D%KF}oMeN!gFMXWG`;;R9?i+k9(-s~(VOv25b!r0e3Lo$2 z{!cW;5XDB(a0Fu>FYKRDzy`!e9W&c+)-fqLgB#vAAOZVOhmD>W-aW;+VaRmJrjb6^|$*z zgVXc&?&OkB)~<-{pKCU7ld-K{72gO_>OX5wls7$3k-GlM3bSSmg{XFTM{Mj}CumId zG{$qmtX;Yk-WVw+i5X!#c4@6!O~-a3?iPz)n=|a+bGndw_`S{Z5@k}3prNcQ*yg|$ zB*(Y~DU8dV03e;qQ-AGY*2&<<2h+KmXIMka?Ef&KwLzxyIVIw_xuY?|ygy~42T&kc zsZD1^*r}!raCk@#r0E=+nxw^`e3UBC3Ro$q#_66P;gxBeAZy{`O`c^7s&OMT{5jDS zYi_N|($AE>Bl|Mkpe2hO%2o5DQHu<;0*Y>0W5=|jfz-jP4$U+PwEOqFo&~egwL?CLKdkr0AGP^3!W~(-m*7sxgP9t2qPuJ^l{)rs3yt4jC{Je-(c2ai zx=dCe7`miOjq@^#cGn%>TWkE;R#x2Wq1e+X!z(Mb$}2r%iNO5pMC4_vZ1;JZRaqj3 zSP55&3%D28{$+l`;yGnHCj%|Rsg07%IgdZA6}_-vj>7pys*j8TKn^g*mRm{{Cp908 z*pd&PCtghWI-~>8wzB~h1;zf@2SEM+IFIgAoQ+>W1x(>P9t5xL+REttdY6}Qk~y-y z`Gt^0(`BLQO=nU2xs}5oA?_`x`1lPahV?@hZd@L5`UNi)QCoCeRF7q=Db&}jVJDA>^4 z;j7~hl@D<9zk!%LJV@pcZz#HO19b?5&1v@}pqcf#Wn0zSo=R>=7AGd|d5>Ej14BhL4 zy9A4Gc^@7GWlxtFC6@|8O^RwXemD=mez#R48hJC8HLDzG564p3*oC@?--v<^7ut0q zGc&X<|2cqlB;V?aU0eetji`0J1v`Fq_|i|A>^l%mc7dLV>G$l}%_o2}l;-zJe{0vl zT(h!DVBHJZ)Zjo9eAFtCu6+y3kzUpzw?g4KF z$Lok6-<;g#8+~oO`8wY{sqNEa-9b;naC?gUbuu*CN^T0yzXQaK@gD|m#E;(sP~t{S zck?M&XGd!dQO6PllW)ujVQ=DK3sbU^|FHqU^U-@eGjZorzxhLDhKmnCTQ0~ncelf- z;OJ3ABE%jZ{qa1uX0rl62)9=X|GhREEq=}6)rE+FeFVr%efq*sXz z<$59MRe=`eW6rgO&{l#UuuZlx7b5AB20fX*a?oJCRX`bKJVB#*h|K&A3svx3j{6l~ zbV>Pp>EWut@W9Qd^x07 z`r*!TDS`U}PPH_!aMI3oc4=Q=^V4oI-TpO|Bro#hP@gQzlW&>1ozVEJeZ0K$W}N8u zQ|)p8?|_YI08_%wTJ1j5)>X(sa4dQ~lX)Qf-+vX8+h>jCb=LBB9cFlQP{QdjZvNDp zIqjo18ICKFU^I+AJFA;P-@(JH9Jg2SLCc=EM=e;#6TAJ5fKq@yNhZqb7Ih4rBjybS z-(hTIo?=$BivBCn==o3$b%4Zk`!sA1O%)QOf^FVBx0Oaly$<#d=-4Mj{0zAe7NEsp ztNjR|CQO6zd<3XiF;KLEK}QN9xndYG!)esstCcH=aj3oMrnOlEdLUli*0+ zpZ^l`y3!Yyi)qUnxGrGnFN;O47zm=^&^jKAJut*O!Cz<%)%tKC{LE<+yJZk2u;hPF)t4hK8pE@FvC{S5whq;WrU64AOsR%79>z;FuJ zBoI~HR$fCGy9DoN@MF<5aBHk>HJJnt{@OK(iRZVBKyUaeD0Hq~{fkpj6_fNWScN2xt+Y zsZoW|32c?us8k>4rc4&Tpvg$1W|nTv@p#6gUs4H*++3&CJeEn2VC0hAYzS3W{lltU z;2UrGETZkCCyjix8eSnW_f`3z5Yra+m^F^iUg;b?xN~js1FlknIkT=HBCp zt9-gnHKh2F?}Th608e|H$t~rE+YK;VoUM+WFFF!YcQVIw#QBY|>|N6zlx37I1@j4m z15OzfAyj}bF-qq|o**Lu66gEG#iU$iBk?YR$nOx)WY=48zo7yDv(-Z>1Tz>xAM33e=Yj!7tNeg`zq74Y4qK zHA~YM)yl*3B-)HPs;}V}{0IYB)t5seU*8jIn(}#<{t5{SqD6tZeU1541L8aJ50t0l z!8A)7&+vtQ*2BD?@M}$`A3|WHi^D6g&sz{VjB~Vg&KL}bTo}D3+U781|Mm@ zQX#t$t{6hjp%HR!QOO#Rcgu*RXV;qfO(l9a)6_X{k+jVn^^K(*auHom*v0$NzcTXl>QqfeS7_C?505K*5f zFTLj?gXc-jw2_egZF?KOq`>oYbWd%@NT7YzRygn=RPvpyQDQt`8xfuIq8JIfDjWT? zkH(HLkxksex9WTZo;8};?~#LX@l@zQ=;2|{TJci{VOeNWa0__CGOi-5G z=r=hNrpjJuB^`)U+~x^hvsoGJt)1s!cyR#ileqE!Cw=p@9gCuGhgcdRj5c55uR;d01TC;{ZXL>X=@9q9U9 zltUD}C?VUS=@ELo`v{T1dLTyy225u@^|QV`YX9B9)siA-976xD2$&-s-a8$nFJ!0h zBFD6g`Ru2>Y4fc3)<9o-Yq?pZLA}^OOCC$(M^xfJUUf1KprhFw^_Q9>$yr=xusr!M z-$-TS1@KOiPwlCbg5A@5gPhwRVRWI#Tpdrxi{$ybzA^jce9jVbHkjU;dg7&k+tIXh zxYQ)|rTAK^^(@2zo(-X5r)_@I3c7{0dl-e@qi*19GqaKkO1SlbLY%#+{OQpe2JvHs zkd1!PFBDJRxQfhdg9n2_ESFOvi9ftvraQix1Cog>+-^VKC$00};c$}*J;t@bW>m=b z!T!_U%h%bYf&1k3D{K(4*AU)e=avPh$c1BuE!J>e0!=tpZPBtOJY6&Tj?c*A*du1W zS{de8(&DFHL;|yzL~Y(x229XhT}(kx)7=Xo<-)K1^lNvEHws@&ZE*4LZ9XP*`^vHx zNZ8hSUJZ;#T?tqzDb!eC+flv(bGLteU`Tp>FKIU%uNL51AymjTn)v?h|_ zB<(e$4qoFp^%J;C`>-ZY)1;e|94(DbS4rUJEGFvZPE1oA2#E8LIKS^+rjY6ufF>2EXXe+og zP!Xz6C+tk6;aZ>1VzuZn=s(>Q*`paGi&d%;qDGx@_8LO3Js-d0h}pP5H5v2l_8^6U zX3k9lQnUk2lIZ*7-flVFqsZ=G-8LSpU3ttJ^)PeRdh`U$#w01oVVphr*uybhm{Z6k zum>_Y^YL6Ar3aRnu|fD3QcxwSzxuu1r4=RN!02UrO(LyK7lt#U*1z5orZ7}&_h4?e zz!@)=1PqkheS&a%Bjf4Y3?n6PA$nM-y&nCFcdLRuYb&&y?V57SOgB3MKTq7pIEHf# zwC2ICc_Kkw9M7?c@dfa)_-&(VX2dQlWuyFh2Z&3kE|c0&ecvR>dE*`?ol{CjjUH?! z!Z1%0eGMwc(Nu!vn4eYUoRru*KTg+<;B%V3qYka`Z2bmW^VSXd>4(4yiG;>)*H71a zui=e}%js%2*7S7(O=#V(E>%CHrD!KBm}s@rKP*T;h^Q*G3!@Ks5Dr$ER12KZIwO`G}g$R>}S zD2y0_hZq2FUBi7e(jQ1lC{iB~v)uP=OXPoHQD{OJO+Ph4yWf|joGDz?Is8M?+WgV4 z=t65Pnl0b7*Acz6BbP8lAuCO%oV#)u# zH|c-jg#S?-frEgB`9EOW|LLungYCbzj#UU+eQD9(Q7JGRE7diEfGL=mFpKRtm`J$g zHYR8QOytFVs=U4BqqaaQYb%EZT$|q(P3BzaTuBlwNHf1;co&|mWb6zpQtP75*o$q< z;c`RvZIDc$V$%jXzJf3^lh}DEF%!A!u}j%+G%pg)E5Y0jt92I&YTwm%@XY@?Ezl4N z=dkO3b-+G3kQsAc`=YJ5lzH~}Juq6IB&gZAwu-xbF|z_vAU{f~ERAC_?ltk!5(+xA<*SU<0j@4RM*#QA0DK#v3hU}FUsAXKc+6%JBVP%$vTrZ zI~rtPK3Qx}V*r4ZEf17~KjQ(ASsbr2n)F~f4zLL5^C8RQp0Yr4mm8)W-kg@lya1`1 zWl`m&3s_DXo`VA~M79IPE&DVYH~m0L6LP4QV{gu5$lNeW;s0lQvFidJGXz#g`XK8% zD6I&L&-WGGrcr<3#OM6cFNo81;;xgcQ;1nBt-6CFd2CI@s&I{9_H=>Bc;`{D!J}*q zXPO|fn>(^e@5j~nf=Tg$qKgLCRfWnwSl<^gBc1Jnu7TJ+G40)A{F!S1Ju{xy!}03TsXik^SHax@Ed z8Pt5M>^tL7iVsr~fnG@dJlbYsV|gmCJQX*Jc=Diu5$Zn4j*lvlr1|m(0Tu;5B)jB> z5TGbG2%DSvn0ca~Q|U#rfaOh{8z3MMh=^n?#KQ93B(DLz%7k`K;T^Qm1>sbU1EFqV z6>!&3jq-NTP!h$yWq=cexgw&QW|>M7iW#5Q*vZPw-8--Nuhr$B>nD!^1AjiM9(+<_ z$G*SCE6*D;5@*O-{asWf(|AK=ZcdGU5(n(#oa?eKC~e|6dN&k8M^fTm!OY)B@SM|x za8EF6YOuuB41X#uPbR_I zt4AltFUocyh$nXtD@Ub-PmE>X4$@?Xo!V9W-=r+o#kzZf4Ejd=GzBlvIDGnZ5;=IK zQaB1V_w__pv_&WVD6BUj%>{ijezz{E6aaO9bXej#caU7JMF=JW|7?s4$84OVQP={ge)P82SLm3D{X)_d1BND6jl6N+b*} zcRQBg^om86_$Nrz<5dw}*@WQVBn7~%!M;_V z#Vw_HTrAKPfej>dn@$^i`j-O74AReR=7|F`XFuj@LFwPVB82Sk-X%)};Yk&AnYL_e zoZO`uvuF3B_N*wlPNSltX};&)v9$#e_78jJQ)e3U2KQu)%K!R0Ath5qHg(z7zu6#40YdT{o@W*yp&!K+eFef)ay-sbn_&-L1xS`;>G|%8Wx3U_2i|q z#m@9}a%s!A%|HAL5uWa20i=1CjBi8=Wp|T>=GpM^eM;D41JgXY@Ut;*loZzyQ-PeV zdG?feetFJ$>mfH~$3YvaKBD9!FcZN$o4u(f;NABhk_rkws$WNRvO}2LeAwbQv6La{ z@Oj^+*s(|?mc4jgwT&wHI>(EG0D2+6iemdrJ%Xuj_)6@s%Z z4N4lur^TKWmSoNb9nE6NvE{Kg(um_yg@hY-llsv)XQ!Rax+Ogob-17XQFliNR6RYG zdgg9Bs}NiQUON?!c|z%llzv;H1f7aNQcqKPgQ(Dlu20$Jgl~ntpC(Px(tE;IoFtv~ zD^Si}r)SAy14Tsce2C1`d*IqIpd_?>qjKI2d7m!L8{U19pxTCGCYg#LZMN#BG&vH?B$~ih}=nx~zt<$|CL(D0j|0&;ZZs$LHuVBe?^MNUAWJ?k_cA0J~ zoSyXqjSnI@;F=eWG7me9rx-L(nnDSb z$GA7{IJx7u4A+e`Jh#Z3=-3~>aRBP5ZHP3GV1pGbp|D?2ZpqT(89HwmIm|y)qy4xP zn0HA~3neoNWhL)!hrhT<`%Xwn`j9PBSiSG8ij8{-K!LGP8$1EU?*Lh|BI^ID6jdmy zx&dAGeQ?rVIwPYXtI+BZrJb;M&qd;I?rWRD@jrCWt9EP2Lk}FzV5W=D4k=|AB_v?K zk?z*0FO(z5@!lm~BakC(#`ychh>{`|%qhLWaQfH64c6xKdQgFX*@xbyG=rqa?C>de zkseT_Cz!xp6sh{1ADBKdGcrb}Xh%$G$J>1rEFZ&&*JX2^ z7jPko$^(-|u*P+`SDv=ap}QonoChTa-5LksP>@W~#I5Ymgvkzo+Qfh&yq}ub%z59} z)EdG}6&6KR1}=8bY5_%Zq;D+!F@Q4*7G=o3+MF_tOqAa(w-=LbBpq`+_~UMSZ=>&# zQ-}*d5o|64Ow_7h7=!Fk)z=%A=%z09LTM;A>eBj)8_N}5yRAy)_!dF6! zpvr*H^|r+ectZG}nUIGKk7jerNCi4?$Id7nY^tH9Q>w}W*1{2v%A!K(2p52D0xUo@f4woUv&PoQ%RO2mhr;bSvqkm8AD7)Aw(aNi@;NnI(4yRjk-su?v^_%UJSO12Jam|Z%K zXlt37mz1D8OLs4=ys3F>R<@s>jtG2|{b=oo%<|Ri&`MlK^i_Zjjs{rz+hfT7xf*#D z2|H!kOf;jv2*m>mAlL0u%k%euUrr0BFh{AN0`KN!>)`;}rb3Z@ydTo>hKIEHh?r}7 z9a+-L+Bm+(rNag}HK*tMosulN?b_Y)eWN}`#Cpj+)G z3^eXAsCHf5h!{?6%O6Nq>V0C?%(|+--Ezbk><9ZIc^m;C$oh{j_UL_6cX^%TPpRh4 zQ2|-&)??Qf>fx`^C<*OA+-J0$QSS$0DFcC%A(`zviL~$e|M=M!WZq3sc$IjJ6g6et z*g|RyzT5%4H&Jo(Qvz5a+&nGm(oXiSmuu8A-zjnKN@EE=%7GMDsOw?LBR4u8W(tSB zE~=6E4&Pf{xLY;*kA_uz7jZ6l#Tf6Kl_>%hw{Fe{Mx#YW^nr1`#PI5S)A{lxZ5ZkY zj8UF6xsm?dShj?R@>pSSFwJ{y4rN)k^>UQrDAZrBH$BXm%S7!{iY=3*mpvz8|J%Ta`uX;qdXENA2 z@_nwkQ~_!Yax5U5G$7?of8T>MoOg0; zAAb|TW~vYyi%WpF$?QnwqD|83IMbVXw&e7QWVk2x=N;oe6(BQJoa7YzouMgD`_rvT;51Oa4xj2 z|Cs`j0gB5jf3mWLN^@~*!m6@An78Gt4)ck>SN9&(iJ}?a1688eRYAT|?k^(#GJEZ3 zknZdgrRD2g78@Fplkdl%WHhm4FGSyiOCwLYYxB`^CLXLQt}?(dZM@fnIg2(fJH0k( z3O{|AcFXtNT{jp$hJ4ggQrONH_dLX}WSgNG2zD1o&x-m89pXr}*y?X&C_xcfQOHoJ z!2#e~xoSc)YH`v^UFEO70X?d*#HgMPKx4FD@HeIt?FM|*T*n;0q-LY(CkFrsI169b z;#(Wzmx_#-IE_gf@ahq}q+x+22#_wsL|pyh9QKC>Z$EX-nX5Zvhz^f0rg`JsJC}VaX>M|~MsC+LA?~_NqbF|OO-S9N_Q|fj(I;+)Ai>uAl zvU=Q0Kv8pOdIeDb;UR1d4@J(deLwY?)Jk;}t@Evj>Pu-RrXXSPd{_46Zo zUAWQPMwS#EI>^%me2q3nsUTEr{5KTfrV%3Vt>clT@&l_d!_#?OJmS5k+}l&rI%lU7 z_ymD(nZI)lX)nuyTKulX|CvD+lqzq-l9(i;-&P=iy6pDh6jEn_VP`Ig2=^Iqig#w6PCv{llQ3Dv z60X;oY+6|Nd?F60x2&0D>vNnepk7*f{9$|px}Q{{{N<0`_wCJ5kqR5^k4W#EFT@1S z#>!{$aO2(QQ~~^%uP(~y-POvesWfe1Eu8Jqdw0^s3dPMam2L=S15kf;R1L4`>m_m? z1WKg$(7GPX@XVB9;+LT*%XHZ(cs&oIJ~}LlAoKPmd>Y|?(goWFXbFGjPl$-Dy*^7unEED}?=4fzmV;QgZUr>kgFK>kIc#mu zBCa}mOKs+)8c!WE>yu{V`@=&av}ZQSICNepGE z;vHQPg&r4W9#h74e-)^B@F3$S&)5`dPNb@=JmOm1L)lr~{Xfgd0Y2Jv>8B9MBTLjh z*o;VtbhjEQl?WZk<4ADxzXA60dK_WtZyx=^e>95IjnR#_`)gmMZdTWH2t2EE>52O1 zM4i2RwDM#VvzSt`YFIT?WY&3>tPLuHUclDv3BD&852($u;iB=a-kYAr#F@WZ-|JZi z4NnQG-(VUwOp%&Q2)tZ%3UI^?(ex7s3ma4ao~ISq_$*BY zL^&fO8!jfYl2MSf*PS-Dn34@NwT@AbU^n7CuokD$iagvW(WJ@T(FZx&hOPH|3j2LUHP}A`ijdhq6vebg8>kURJY$^m=1m;_!5mY9>Ay`$38e-cr5=ioL%zitislsBN@PMRxLi^V7Tc z_V-XzIhuzzP*ds;o6c8Q+zMS1I1?T*k#@v*lBRc23%o1ic?K$TI(gg-3enPW!lo?L zC!WPC1E;uHus!9I-es|=cO1Ug$xDu+C~T06Pw)-;Y5PJZNM^KINufnxc9x*N>DNv- zC%nM@BV;FC%N%ob2so@l88y@&byv-O>S2~!(OrOCxKS|{s3UK^II4GfiWxG25ekFQ z)j&ci*?i0{o@NIGT58o8zpYBfzRQ+qy4snZ$YB{7AQ6Gc>v>`f{|())6vS>IINZi_ z`0VdXHmBj$@|=(>xRQN2m%C_ZWfGmhP>aAskNo~ zh7$ndgM@{}E#Bgy+zc=L4;5%6*7x6t49x%OMf<;6WcdH=?f(accFuny!+)sw|9g?) zzc$9PF#hAp^YKABIXjvd*g!FuK)&&0Bf7V;HDwaz^&89o4*W0f-l<6xC`z+T+qP}n zwr$%sZrZkO+jizn+qUh#6Fm`AHBk{Y-EY&6=NFvVYwzz{A!MHD?58v1vG%b>tL?^> zKg{sch_T1+Ju?I=D5{4H+e;j8^QP;b!rCFULOMz{ueaj(A_X#A&1^m}3w+ixlb!OZ zO<#%F8;=+OmtGH|aX7egCu`1F8PA5erypb6;|DXyTs#ChUH`TPNh^?3!p%ZXJ5H2f%-$RMlk3*ncNP2$wHhBI93kyxvv19H1 z%`8j4^L^L*q4C=pq@WDdX;@VGOyM@%t2^+8uoZRdp|`HG5Y@G!L4SyYZ!i{Wb- z>Z}|D{aTd%R@IJ|+8WPAcPc z1bvIs?X}xAani>HQa0o`sB2F=#5%C3t+ZYOiJTM9L&#fFdB zvoI6LLqq3G$}0Ko_Zt16Eo8U2$r-*j=yV?^by-1c^M*%q8;dThdCvpq(zxW-CaKQzZ3a@t zuFd=5wLy;SOLxq_rLi%Bw^E6!b0N$g4aG29j?FqqC|&ID+I_Y6>xgFr3rQWZj6rHJ zep$d4}$H&3`>`|4RoD-SaQYgp*@2gt<^WIJ+D zHVr0ch z829c5p~NhRMZ)MR=&oUuU3j|0bl;Xc?S={S_Vv{FQAe|{;mpLEx>eCe@OZW+@`fP;!Z`C1U>|Qh4zHitm2_zi@UGnhQgB$?uyYb|?gtzAEAZGeR zh`Jox_z506oU4j%g#*cloLZIQ*^@p!x}x>eK9XDbj{j)KzqL2Oki=&vmW-p75pOlT zj%z@CwlbnB@^u1Xaohv#B3Op0AO7vR*?4hHBzlP{bCL-jo6_`~UvS0d^e6km`|W}~ zKe@c98)c--42kDp1Up^TxTllbv%3&AVZh@^>TnF%6xmr{CF2RY|CMXHfl@8F*t7R+ z)DKgSW^R0Y0{LYjwY`jlEl2II5-D$JU@~9|j)vjaNyIZub6I_HdjN)arY6{+>QSq) zn3H3c%)*DYN)U0`0pf?}>s1c247$ucRPd@9fv`2$J04O92UT~!nz;iAo`B+b<{Z;X z##7>kD{WCOl)-5Qh9B!vBuS>lOBZp1@>T~A5WyxJWs?(8dV4GF*Q(aP3q-81U@zaB zX7eByyH`F;MG-N+{nwFY0NY$Z+#O41U>KT$Cu(V38XxNnAvKQ0YK?5*zE-5G{d6QP zdi$!8nJYsX)-}PN9xHh7NT3)6l}nv|o9Qv>baY@M;of0=Uv~u3Sh;ddiX$na5+gI6 z#z$dB{u7>aQT9&Arc-oUGbF8^>LgGNjX?djPML%qS@k@Sx5b9r%ZqrFtO!GW#vXy0 z_WVej0lP&W8?JV$5;#ADbAyy_WBz@U)Ym*d4ZCN=Qcj@BG0KC1I1TQ?)|rra1uz8= zQ|H(uBXuq5TGPvT-gCZ!OQ=|pLKvl*mtvOl4t%pNwT0=8ql@RPgf+c+C#rotuC|)V z7K2kZPhe~fubHMODmNI|C8UBsnR0NJ>^GbE{#Iy`Pzuxut`Ob;xy)T0o(}hBG98yx zugEciB^E`o&Jw zLs;8tyh5N#t9P=$ScAzDk3)ncpy>(+MTAextJG*RyCjI5V_s}%B4%74I4~6IM|}xG zI;~b9)NY&aWul0HH=Wk`UOc~-mx(5{kcb{e9Q9w_x!>E;O0h6&eUbG?i+=~J^dxxA zV7qynr|%ec%jF5eREjFxC-V-vm5G?O7e0ZG({q@=R?h8{xG-|(HFg(iUbC@xiEOU{FWktL%4B=*m9;nsiqPmc0do{wt&ykykkC;M}Hk@XVDw$1SjPNk=;M0({+9 z6qxc&K$MdoKsXE>iKd72q_cr5y!CEheBY#v?uoc7Dvi=>_FPmi{a&@p0AccbK_dHj zuQ7;`2d_Gr%9{KDkZ;>K(WH;e*b_G)VekT82&-S68onfY!tEmkw(}8_`Qr{vCCP~@`Ycl1K${;ZtmW7b?9v zO?41-=LqaOMl%NKao@4=#5YCrfQZqN;E~d^-&=s4Y2vE5llc-xARIWfVOJ-&!HGiv zP!q!lrFbA5FTcIPM$ZqPwc5nc(-N< zQkIUEkEt$)$F;7!6;bAs5|q5^#FX&a?1;}%K?P5+o`l7T;8Agw!D#3W$R{0|yDOY*r6J2u_J=vkfk=#OWUt=H z@Mqcy+Ky0agx55h>6@RAJ_nF6cYTY9-icf%SDoWM?ktn@(FJH36_Q0m<+xTf?RcH1 z+ajm7hT^z zk4zG+BxPxZ(^JXqDl+4@tq2P{6r8Zu*pDyYy0B1RgU}-5@X18@emC59D0&iw-np=m zi?2$@+naQjprcln9~TZdmJ%+%A0By3ZqWvr%HtVrKRj_ovtfU4Im6K4AMQjM903-y zr9i@4>sY2!QHD>*i!xsXb)CYyFp8?ct;WuBG^}c8ok05b0!B93`xkR=v~42@li4q{ z-CU17ipUMF6tNV~jeC+U%}qz}G=7yq&|5TiNc@?B9|O7WEl5y;QnQ|wu}QqNIASl; ze?x4NIcpPNwS?nyr*X>-2+8XD@W1@VAtpt&w{0-JV)+ux5;}b|?+JX@KBeMt6mIo) zBYg}o8)0D}OUfl$tJW+vMLI93mzoZHUecSY9}S=81=4749qXvTAl+EVD>6U2rR(ip zXzz-|*Heh*AP$RpE<*1@)XnI;!AMP*2D$7=M3QkA_ejJ?dPuz_iEZd~Jmsj!UllD1 z0;$@9NGJWr+iUNdg8riuK|cFqb6hEAXIps^mv2Z^n&Y=1>?`HrWl2;^pjZ zaq-#Q-5+b}>!M*E3f>SI1JO#y-Gpr~@!x`$Euk6L_hW-JFFscgNs!0QhOgbdVZVVa zAyFs4Z6r;JWvit?;QB3jph*`n-P3BoxlL}=kCFI6gZ;f)1o!h?BI&X82?M!Cmw|jA zet;jU)m()R1<hS{<&bW2O-#ph2}qF`YqCjY}et4l??}-7#}%zh^so?{p5F zBRxseU%+OzowZuM{jB;$dF*9b@R53|xRocM42z!tN!ngZ6S@Ixep^%&_`_mUTugMB zQsg7HRIy@yTd7b2XZ?7`ZRp@eOuz~HYZc}_ZZdVkk$cvMNPF6KjrPCZ8EZwZL`PbW z*1BgkRsm!>i4>i(lHDwzT74{+%M-kGcL6_nc9bZ(Z@f}=meB^FoZlDnS;h2Dr!{W z6m4@rX6$_Z=sK=29;b7B{B|Z!c6zF6zT0s$R`$0pkUxJbLZAc(tnsQwmvpkF?6fA*GvV`u+aWJli!tEec+8v@oR}i2}Nks$Gfs zj1}xFH2BJpxvthW0&a$vJ8cBIs%Is4I@WFblxa&kb+d_PALJ*HGn1VUc39Yts5&k~ zz$4YXLQkI+iQ4P&=q>sV$2hdYOXAHDV3#k0`x|{t8wL=K0r>TAr+@fvOjY086kWF* zLNa!I@JtM;c}#JabhKxuh3uM@4Y^jHmi?M^YMjIHR-df4nJ-UIg&Q8?Nar2p@Gdyv zP8|*%@3d!BR+^c)LnyS$h$t?TC5xBB7wAQ*2{tpnib}&Ik26N`7~pcEW?j0mRU)ixbeIUZCVh%Z|IzC&lTMU~rvGvBtN0}zJh%~YI(koQIT z?pSCYPjFC!MWT*m%loP~yH1*}IE>`|?)LwTSrC`;jQXA<077k2sHKT(c^&~B>u)gY z1`L!xz$<(DvIsyfx27A_nx#DR;c7H+Zrb?jaHaA%Z6{xQZe_0VM$0UsS6wmu-EZGT z45vK?Ox2)5_~XD&!5WNl zd~1fi38JRVg;?b89WcnxQp0HThwJW-?4G>?_VHK}7(Cw8;lV1=UvfV_N|;|>(EGAe z_~kB3Mk1(>a6}xBYRoKa2{83po3??L)FDURwG)SbiE2Rw@8;2C@X2%zq~nRK?i!D< zlRdoIZ!aC&8H|);;8swtj)-Kn%*e(a8HYRMM6X6l$nLF92GT|g*2|ZS7;}D;%M?>C z1uDYU*QzRd%R^Rh_(Go$_cQH!y?dz-J2&33#y3|0p7(yuPo=D406uYDJ;A&%77W-L(f5cN{Y%!y8ZKD71NAa|upl+Iluph(YRK+>_xn`# zqX8yH?{I9Im+%b#^fx*?5@d+6>##ve4F4~Kx~~mi{B?J%g!-M8h`UK1XJ+Pdr0$3H zved8}gNn!~dJZ}IK{I0~!ScLTq?N~72mQ{w?UP1wK_X9S?>7hmVU#9+r&6hrRl&B! z?U@pJtpo{X9|rsrr!}A<;>}M~ertn|{Mc+VtC(rLTWa}=V_`W>23d)-jdbz9aifaf01>vibEpnRYw2PV&c@$s4!*P}K%oA?u z$0pT29-_0qzRGdS;6aye^(zS1>!I8W8kx zh{b!<>dig4wVyyd8~OY3V26=7en65iM|LT*s-~yDi?eYFAvlSE!D@c|)_+I;;C!vl zy%q){_V7^N(O*y%WnHrB2GNmpCjQmG@omJs5|olW$V;$QP8qJ;TlIc?Ig@mzp4oM{ z?uluvXX@CBBOfw&A%HQW%7fe7JbJ%V=|w8<2WVG$9(3`s2pS^a7R6+CN1TF68-(RQ zVeZE#oxuf;6l#R@dHvl=G-~%`3ACtWxDDRkBGyG`Sxbu~#^nPW*)SLGK+L~yo#9+h zuDb&Q>tKLU}QhBT&F#tt*YKswN z-e~GKd4~HaG z`;LWW3ixZ&_t4=95f`Yw8;k%T!~>BEu$$RjKR6BK4#S!gQW!P<3QBmxF|1RKXSbHB zyLuLGfb@;9c`mlij`oOde{ z<5LQYy$Km@aGx9M`Se!+1Yq}G*IQ+u_1K2Hf6tKXGZmUEc%(3*K^|1tF-G`KG&5O~ zC4&ai7h&a726ctR6gl#+qqEfzZ}>VBg#K6S_qLk+E5O|Gp3u#b{QfxkBQUj0QE%TB8Ma*?{e$*kUVEtF&7v2-II33- zqjzepNcN$TWW(nuH4{(CEdK@edB4I;T2eGr*F_T9H%r*@sOzYZsOT3BBJD?F<=RWX zOvRnZ`g6KglVj;S^|U4QJ|PCCQD-&sh8|MIgQ=mS@qkkGo&ht!7kC8qHS_?o?X(7L zQRWjic5g?`Q71enKc=^`a=_6n$D*_FS&Kg^YIh{tUk>2%#LSL-vl?z{!fU6OPpp!& zcI4Aa3^+Pk{&eU`__fo_7jj98<^A4k3che@XFGzJ>2`HA=gR z6|sTZuEm*5DxK|!xWL*)HT4wd?bLyK3Omc(ZWZ}5&-KwjwC%rt^mXb6nT9h3GdB?- zx7R0?{Q??Y$2IQlWgPqqiv3BUykxuPojlC6-JA(O;gD1k{v{DK(Wq2F;z004J>nsu z?emy^=-ry)JoqC>ZENjUfbXo~T`Bxj-q+l4@Jv1GyjV^7E0;)lzyp!xMIbl$ z%8%oV{6+3a}C>ACSk$R_}dATr?K|L+?S}|5q;|!bQD^ zekh29p*N2s1BJv*M3aR%fG+K#E9?hTwcIr|uYCX_(}IPU#ft1aVVa$1hLzHU5vb%l z34FjvW5h;tYm(D+E`8g_-Ve(`CW{y^^PiKT1~i5{zjgrAs&n!KagwHv@!D(R0{|B0 zYAS*y#c`7mqkHL!K@?w#B@~t&n3JpOfuH(*a}!Rrc~ejb^*r8pzzR+UMSt@n7yv%1 z`<|1}%;ZBc={3iH(fgQ?HN#>(12E8oKqUb#5N-dgdJpobgmYVNmYr_R`ZB$d!w zQ;%%{-hNQ)OWULTCI&~eHt4hkUIesPuMuHVdG>+$vX~!~9 z+GrtyGa@i+Bn4G6p)UFWigma6&oM-K096h90>H4jIam&D?LD?Q)vnTtIM*0otFGLb zvI#GCs;+ib5=rFn<6UI3o4qXtaqacKT^|*kGnVKrH+@k{u3mmVxwSc97=p%YG9SfV4OjAj&#z4`Y2Pl`V2eUJ<)Yk9@fQREW)y_R zxTA&;I=v8YcWn1wF|vJ?KdCMhhwWz%Qp!U$sO00$NCp^Ubr%V|!*WJl3p>Y^=#BV# zSanVkrseS5-V95*A?Ym9n3RasVbDqEgQCBrCNRHYa!}jFq>CNFp8Lo)Acz;g23*!8 z2q83As(5Y?!B7oZO`5rK!dR%lEZCK#_t9DJ+T-3mlq0w)-$C4;!~C!;^?N7SPf9$Q z@JHa>^qbYA$}`aHH5@&ppBW=^t$T-CQy+(%wq)24HQX-}msLhE-E|o;6W9R+Uv`VR zMY;2lI2yEpPK+PSEBv~R*#H(Cx^erT)$=Z>A`sJU7H=!5;Kf!L$zSY6Hc6=hPGzo@ zhl9p1j`YtKB$(^DVNeWH{_{e(GV+o}68-4hKzk&c< z+bUR@p$3!AyTh@nBEze!zPD|uhzTTwKW>AYs6tlnQC>@u^OzMi8+|1ur7*;(mBV8G z=TF)1n8+(ATO=9NdG6@Dh%nO4!*s3S6qHud@aI8U$g@T=OWnPk=UOU<18<6Wu|g@b;_>P5X9;w?5341l4QI1Z@Nf--(piaM}R3@92- zY!V;z*Rm>5Wo3_o8&y}n8&U!^o;O(SAKKI9IXWZLQ@ahFail>hFcF0wgG9@;6e$T> zT)~7LbwMo4g+Z2v1_%#$z>ku?tnk7((W?q)7 z-c~`xbnJ*eqkqBNsw@?F08ZS-JF8PBiBBK$BP0x>W@+(bqwu zYQ4PFHJj7e49VUJcny+KXoEdDwrD@}^q`7TUkij#;7Db~mVddj3CS*${CHi=$m4#=;i;V z)HrRj_>tmfeZD58yxqKf@yU z$Y(oPlP_MB<$nG~UZcrR=>Oy`UE&jyx|tb4c~mhD@}Fl)gWwOr72OKzRwb~*>)5Y} zO0t%uCupgnaKU%Q>45gzRX(4K`nA>E?2yhYU9*sYbGSipE;%zI^D&pUp%GUJxfNH8 z|C+SBZ@5g)Cj^_Y0yS4Ujs)%*xKlQq_$$1zf&@K9u^4l7Ii79^X9timTokd!lmLEk z(i---wk5v8<5DcIZuS~P?58Z}u{Wy|u!4st@DtfahC}g5oadQJvfB5HV#hB_O4maYvC z-0`|JOIc~J0zHN8Y!Qs!rkez}bPz83nwj-!R4LlK*X6&0U;n?gu(1#@|KCjNAJ*dd z_xPX1m-PQH-u1t8cErN?k9Ym|sfyO>!x8U6$B;#zkALShs=Nz7Br5${6&LIHOB zE^&y68t?V?TZu4&y8#J6pvj=vz|q9}TR6U;II`x5h?co`fgTA+u>?8W>s_Z(7%F;} z;1#0rXYLD3h8*9-E%0*p^UyPep67Z9!HiQ#B%KIw1pZT25U{=21K3aK5`Ipu#eM$( zCCy2Np|uj-d}uov*p--@a|%P@!OUeIHf_MZ7`+?MQJw!5RQd%v2(+Z(sAWBCk!hpl zB9{5!E-Scb;dd#NFey{r?_9p--6g>_FcisR1>!K(2oIma7==eq2;sho!>_A8Ck&+3 z3FXk7X|(%@=Hz)fYr4hSY_Lnf$uBMceWR+Gr%vYGnsBb^R+-=hGg!nj&3?%v>w4cpGc|`(vZy~lh z;A=pp8v>Ht_l2G3^^mtp{0yBpRiLg?68VfWEmDcz`>kz?SUF88FbDu)mp|dwh=r~^ z7!twB+^KluSj-_&XJ4ON967pTmsJy|Ausu8-Q(<|v55;-N8u!kRfXx+s+71AZ=Ttc z>M(N+iA1s7oKsgp1GnYaUA$i75FmOAYc-#efi8w z!__7Yky8V_rYoBon>pvZeB}_Y%b}ttkqiAIy6HPA+y}L3bj9(DWJwMm!(jkap&E5G zKo3qD$sRXfzw0$vDHWG??EnG;f|CX6V?KqQZb2T|Q!hgfPtA8HX>YGyu1uHndK!@I$@!t znVbXbyRMrHZ7ZSuSscpJCS%bKnqY3If$bvGHyhG=MxveEG%X7_D3w#V+`KUwb2yYI zYS{ps>3kKa)R?ONSe$OEe+i1A|9*-5-P0<^jjc_Uy!K1dRT8<9RQVX9hstveo5?pP z+Daa-RRU$rEQXgVkj`b;Fcx0fj(82ozryMKNYtmg(sQ&V`E0 zvCP~ar&avxx6Zk`xZ20w(RCOG;`}ERk;{#Oh&V1U^)sG?#GX*ZxJaJR5K9~Mdkhe? z3l?$<}Khu`N-W!s;y(M4XA+$}?VD1<9A{o7b1<*1E-=YCU) z%$6tvkAcHeNQ9p-KF?QAH9aGf@%PmUP z9RDU$>t$y4Nc6`qXgkJ4wq$o7FGkf7G&^Uq3@RCr3BqGgi@{rVfE)K$L&Q_-S1%l(DU>%J@pY4t7=rgZ6yU7+7o_yS~4XQkcXGufykbBT?h!t9;v< z5BV&ZD6_XC7>1LVdUr^&n0hBxIyq<2z3xSXJT~w!XZRZ?&}#=l#++F$(}G%vauDnj z#I-jQumj4z7D7>d{Nur&Jc~_J`%PmkABZKQ|E$PcxL_f-)Q(Bi9Uofm4+2u6y)kUS zZ1~sxD@7gvz#_WIrK;|73@f+%Px4lM&q_IJ|#S1 zrLhh_FE@FocF3Y$FPWG%YvmqEHQ=QegY=ysuX1l@(bley2{164$x+@hZwxEP1wuV+ zPeI6H7lt^Bau^>qpL0^$6%yBw<4nYH3gN?Y6Z&cxR~c9vVqslJ|-Ty_is&I7E*YsXwDMf?u(9!&4l+p?##U3 zM^NYW`TN!pODiz6T~($x^*4459J$i(OQ^{`@Y8C|8h&7{3r4*;KU~sXg#1;&GltqC*gfUK49X@^o$Op7MT; z_Q&QZ#L!~U!BhsDFXsGuz`Ef)I{5+g2>$G$eJR5%Hj=~Z-aJUO$DN!)TvGnDlVcF9 z?36grb5%eHy!!48Id*6S0IV_R%#7 z!EIw(gZwc~l4Nrbw7mTMOA2t}8wf?FhBW$JQIp)ol z$~BY~MIIMy=Sr{s!gApBJ#;MRvMTf?txI75xl+8>!#31I5~?t(Qpb}v*ewJbCRc~$)NZW_&peJ>o4UM*<(jAMKKwT`@!v&HVf8-i&zNy^g?VtVGb_%HU?*L z4H*v%*$>Iu@yVJ9jYa&!*$kla$o_Zv`Jh)S!*oNuo73$yVh6{bc34~pcgxLQ72b=c zGKS;a8FaVO($ElF@1XiPJ}91Pi|uce-QoH#`tKn(4sAFM8vO)M?|vFsbtR&Pq~nFN z>wA8mI8vdJT3X>O>Dv~sXC#`Em2PtVb&ykX0fw&_=T_Fw9dN9(sA;z{i74~?<+R^$ zu{{aA4FLi<;)WBwOSV5E zqaZR{B_GINhww?NjE$CJYG}lf1#|71VhO(fu+?iI`uEG|_0m&4#7I#BUv{cM1nfS# zT&SrA2tsx1^v|M@;xVP$afnPUl_Dz5%Hj2DXspI#$JQRjfDOGvaPC%GTSxbic2vgJBdb2FBA6xLxYk7`=U$zMp!;FkqFA2WhQOG-8UwKLiDYC(hW!{pe3~Tz>4wcRZn_z$D;lfoK(a96P@@VU%aEXj7 z)k{V_ZLung?J*U0la5`lZhfkDOuAgK8Z>O#i#sYSeve)mXVib zkvi6e1nN4%mENbFk&KudT=Q8I49ZQI$9iL+{sDB;D)+p~tJe)O{I6!=|HI`I|IZ=F z|HA19)4x&pzgJ59r%{;wKaIk~#I=stjppSVTvyAPQ@0nhi&2YvWQYJLxe`*B8Mw6h z17&+3J^QAwV4t|Ozd=ajTDp`Ar1sv8Y`kNCZg40TW8u|u5%r*2n{NUYgwro@@2bzp zSP6t^CFRto*ph-yf6oSh<+Za_BoN|i4kOEW=VT86g108(#F6f9n4Ih?;c6jJ(d?(C zj>fUw`qos35H}OaJbuvsMf61kP$B#DL-sRdPd@y{?XmaYD)OK6oy)(JK?k&Bt%IMq z*6tI)fB8mXa=}$14%)GNItL}9>CF&l^M?i;XU5a#pzbgE|YfloCD<`*4YV2$-n-8W7q%X|ch435=c{~;&IAl72za(oxD&_`}}^f?v~ zU|6ha@HP?9AgPD_&U8ZggX2y(T;x z(Z_5VYLN;h-ldEJIOI;EfsYP33jxDZt6!$BlL8UyF0aRVbQ8H_AV-mO&OlVf4wzZ?F#(9Jp|C%4Y7Z4`R7Ock9?eZjJ_)BCix7EZ!D8Wt5 zt0;WVG~`2x2MDn2bkqTi4f%g;?JJfA9+?j~e`~ejei%sJdai1ohHT_SCL6nGsEvwE zjwn4wJf11))A9-b$O8YWI8$<%lDoAZ_-Yt+Z0_JG#Ovh$<(h`37LAhkFz~85qnz8{ z?cNAtr#{Fn9wpOHn5|~biZIQPHmKiVmB#VTZpVAjBP#!O>H))s&W1$vc>oS2MkCA;w*0pM%N_jz=c7V;<)`gK|O!G$Cb z+9XT=ZL+rkmVZ8RKjQ$VU*7yxSXqHo@3(<_2= zsKBgZ%phT5s->^`B-TqbIQ`#7HazjAcHfvID4m?GvD*OPZ8euL+f|qotti0 zr09o>pNX&v6hfp{7cArJn99pj$}A$TfPY35WCkT=TzxdM8J47#H2%w1PzNjV%9m&{ zy6n)FR9q$GRGb3rcP{5`>KoQP=yKpKQE%8r*2x*OBAsC-ulMDl9MS7vTD@GXYR2BF z3?#*B8}>7@WA>#Q$cK*c!_)_>k_xivuv6Rbu53fcCiUt|8q z*|TSrA$Z`(@(8 z_q?Lv6{$KXNXRe2Lv2LEj!=P;9BxiFA)ZdgWrQwFP72#M&oN+jWvLJgps=iE z>e*0c?y@hC$dQDtfGRIlay^~4P?~j2cIdsk?u*P=D9^yB<%RudYoqWVi+33z;NQ_; zeTftuf{A1%lTI2+p-AGoe)F(RpnsckJ*W5)|C1t312s;;wjYif`pm-}yW4XWpI^zB zARtj{jo`qt?(`uu6s>X+{CVwY>`Dmj&&9&^rfZHe;@eb`#}-ki$HMX%nVBFXwWq3| zE*@udQnMw#h@SS-`XAY^2d~J8N1qqZwvPv}o&2_c;LNxHR=h)YB1v^1ed{gC6N5+@ zs&3z@?L3UL|Cln5GOQYM{zlr>BJAFmrMgmt8}QPf5e5=W9Rm0PK1Yd&ppD=)F zo}&RDAGm2;^ekh61#V-esJqYNy zP?DRb2ieBub(2X7q10Z6Aio|m1O#&YeRTSs(xRxh=B!wkV(z2=7Jyw12ersXU)^&> zz{m$(r1}N0jR{zOM`XpnzUBRck(#*G(6CyOQy}T~EWVEAo`1Z*dJFvpa!;)2Gd&j+tiyH`H7C2C5(crl zZ^5wiajP@CuqLUCJW2a_>s&2n)5;Ty=l0rc@EHLe-0uZ8E zPlw8uizgZSgBfjY=%Ntgv^^q)Lw5cLw4QS6uY~e&Kh&hH1gpd2j!g9VUg;* zIBGzAfZ95%fUSGZ+^Lso;b6Ymd{)Y#8xZx={Y9b%>D@Yb7X;42^722bwh-r(W%9{d zWW7rxK^|B*BA-VrYhNFogr$|2Ru=h>#W5vLCz!(B=P_pW{N%_6^F-vg6&LQZb|2F6 zes~d)fs18kg!#7}&4bAt{^rI)yOgd&sirOC+#He0z z9I#xnGz=_J#93(J_)t`V*xU^~r=pWOX@w0rmXDQ%s0}%->*WF2H1w+P&1$acEE2ms zQN;h0%P4T_R9tBC&ctr;bAO``5(<7CbY!mcs7lLs|q`^mpB#lnMbIeg`$8+ zcWFvA&6`0(N4Ly9N?1khN`5JeY%rnX00cFNtrzECi~sBOp316req^0qdGVHT_ndbr zuZeF-kCR%LlF7)M7#6IYSjBPU`iqschlIC-Q}+#Ceeb(Rp(yV}lU}ObluTJGAT^93 zGwFa0+#30LlaiQ_fdlJX0xN}@K1xU(r^U~XEU@NRLZ_LtCG1f9=erUbZV5ol?#q&G zzAtmt$6s&_h8f2fdh?^;sye!ED|~Kzu63k^`9|jNiihjSy+(>m!*nTB^~78K!{>Q$ zDT{Y~o~A;dXRtIpNpAYU>HtIFIBgx0-TI$J(wb0l)IH$?K0efnO6GcN zQM$!;53p{um=fe8pqj`YjCOFX0(nxA56#qgkzW2hrGTX5AF$boG0`PJw&zS#s}!uj z_{d+%z0fUM;M3k}J=}`EoZa0qke&X58{Y+Spk6=WpJ7K+rtCR$+m01oU9O zu`=a!d7DiHs$Jg9uY3d;RaMA9{XSo?`1)5l>E^BeK4nsgEG~+yvJQogzAmx;zYLlb z+d_QAmxH$e^<9f_T@N%2m-K(}nH`L_l6{PofxE_Qytcrxa~{jb**TE5X3tS-aQ%9y z1Y>%r0`6gq_A^p+mDuV$&H5>N{gcrImfWDr=6e93pO8+#T<;xl5n%{F@YP^9FMYo( zuITVjc5QI%|HxAA$URjL%r&NP(?0V(dQv9zl6`E_u|DWa>gEoJe=15r4>IrRMYdoP zS(tdU6BkwLs=VILxGq1ijH6+ZDmkUOh!302E5>7%DU+JykbvI85jQ;G%0{fuV!*I> zZzel1N$T*yxGGTeMY-KxB~Y~^JH)uAo|(`oR84?yOJ!6)`bvBANN2dl`HCl4&9u(c z^0xZmb^8Y(Df=wi$D6tTSJ>eH_wnmxOa7#J`{$Ve zf529t!r5W=Mc{RwcUnu1DJLFq`H2dRY&tgb52iOTLC}pue@tTVq`AJ{`VuP4O5zZg;g)QeB8+z7fZ;y8cON z_f+FzGgLu!-H)N*y!3Qg-)OG8GOnb(u^}Dj8fm$09P_3H(X{NYgNTYcj(3v+(WCkv z34aIBW)gJp+Gjn_47?Abk9sO}$NU8LXI7A+f*caEY`$=*d+nIWZU?kn?XlPlj!$}Y z=h_V0Scl%~l6}Uho{K#`XGjKm1+L;i+CjDGJ<2+Q$&uN9!Feq^gGb!gOUGQvx{%wT za}8%&QmtpEg+c6QuHpoDY(y3oCfH>FidE*ed#M!nKM=X--X5Q{lMnEK+UYQ#`{& zJzZyn>H&YK(VsguBS}^Gp0m=7WpeZt$lIYGZKqT*q+raR#T5zO;Z)EjY$Ra{|3A1p zhak~{Zq1f$+qP}nwq140wr%s4ZQHhO+g9H{dhmMiB0Apm4Kw1z$rERkU+%rvYA?DX z*Euv&lJ*Xc*BMZom%h7SPJ9Ly1V&^xx8`T{8r}cc#NnBT$i-&J1<}n>!u34yQu8`k zb+l|*Y9AH}f=(kobROwEoN`U{@05oyfsU*F1NgP?;m`ZFKK9nCPOOZG4akzjyzsLJoO1r@xk~cQkTxuMeRT<{G(!3 zBc`yrmpugF04OAVckssNS)$g6Ad#-)g5>Panp)Ij`e$PHUk+x)%E0Cm>uYKwk_)vd za{fHWsVn!wCZv*QKwfL^H=`>-Mk$SlBjuQQhh+57;wOO#4ft0K=5MCI-Z2jlWW8q3 z5&g8<@9)M)4SU^dXfsziid&@{+I1QSgS`UQ$Yi+<)tuUe;IgXH^sDE*4+QtL&2@qS z&=n>V65{!;rvIe#sIiNK2660;)^k>PMuwZAdE-=h(IOYIyE#9|pjUl|V*hl9eY#hH z7SQUNEFpw^Ea4UXg8H8Z?9^3rm67jnSJ%=AqnO+94J{nT@cg38%-q5DV}hGu%l7nV=;k(qP?yl!ch#k~ zcuYSt1&h05+Yx;R{B7YSZ9(Gq6q=V3dTqu2@A|C1P6XMn_UptmyMIqtk<%ZpxOM4E zwC>+Q1nAZ0KNtb6ijivu^dkJcI1`Xxeg|VO@d($b~iZUOxjYia*Wk{$q*-7v+e_?z+>*e$pvaMQX3WA zTZLVZ(}j;*P+2IanAYHL%v@RVAf4VAX=sQyYR2!6Njo^3Nm1CXqLr}-{)XQK!E_C+CubRP; zT9aZxGPSqLu0%R<{+;^~_t4yfOX%`7qZ7n?t+w zv`4vJzWn!k5{tMWgx`O*$H_>FFjWfcbnDuz1N{lkwb9gXhq z%5L}}Zo`GEHf3q8p2Q zcD#V=drt#e%xKwvXM5~$DFVMzVWaw2#-D-oIlg_PUyw4FuuVDA++-6NS8DFH&4`^9 z0NBLgW2(JzA)d+%%b7rZzR2}F_9oI4Tw^U{_q)9CU{R2 zp&E}1wKD;c9&I{OGTmcQZ*ExWd9da48rkdGHc+ zo@*MR!*7S3T*fa$R`|rKhu;whmike4G8sgw?m)mPj*aC*j`izwLeI2=YMaQJyBWA( zNGlSMcYd11seEAYR$bkAPSrp(hlf1v4TnOWjjGOC4FyJIODm>9*arGr-+H1t(FBJn z?D%pOE)%L*|VHq&`yr8L+F~_5xH!9h#fjwx#9YhuWkH(P^ z6Y7dJdo3?G&vho3{Q=SX_=gUXGrS0M8s{5f1XajA!b*N5UrO*;K@c` zM|}a*w8|+jV%}~Go?C#Esg(0YA)Ksh2i-e=oD*TbAmnUGp{L0F8BQ~|s$r3dw?7wQ z@q}Fjiz}POPAGUPOHB+u>N9N;umx6Hd_$}(Cn_--y+?m}F40$;tVHmZ*ez(_CLr7) zPU4I|BljE@i?XVP=;AhXbsrutUJCSrGRDLvMV7i6(CQ@Y!(Fh-fjSVoxd46z6Jyi4o*z!$y&A4zXV z)Sq{&0ayT;Xkn02G1`f}lH61pns~KQ$qYd1Kgwddz+={?=daY#AC?U*5)PaV)w$sL zc6PD3PVs7#NRsrg)^C#Z{eIQ;A*gcj6&_H4wSJZu7Xx^Z+3EqBTlg@R=iGM8NB4(s zV}NYxK;{%AmL<)_8W*{StlfH-kDL$A4aZCbOGjGjk_dQ;4k=r%zPz7VS$g-*Oy#c#Q*I|A7kK;$&R!%mPmiJHo2y>98gkTF^(5n?L2A9C46gMQ>B7Bxq)BX z1&uJ;+wkRSMME#Cu`YBbee8$X#VyF51@ovG14rATUs!TW3T>QDmPgY?5w{>BKJlOO z+3uceo^paIzuzh^l&;Jo-$f4Hm$;fsNT1V#6b}6KN_J5R)qq?Oa!3xCi$uQLux&W} zZTa-bdra^Oq3tQfN zqTArAQ=N4E`y)MBuFMDf%g4a|lEPYgtZw)=$=GVCtv^BLb_u({idQW^mZFfs7D6&b zyB1i(w0hoBQP?i(#8anqmIjdAhNv3~vVhpbQUo#wf_wTX-(@={y8^e=&#UAHz?fC{4Ba=sb*lV3D(cAC&8LtsoS^C^k8kNZ9Z*zl6u9TUh)Bq4F^E;$w#1vpaia>oZ#FEeN1e6oU56! z6*akwFLL|`$rLZx*>^9MGpaP0JNds!q5pc8{})pX{s#qXmVdePe};@?W?}k&Sp~!v zsG3^N@CAnyFKSkH+H;`AM^uVV=W_@fd|-?n+95)?A5G{Ws%zcoX$AMfbh|~G?nf3T zzVx)2du`92^rpAS-lawWaEo;WmJfUhT~2j<=dRyg%zZpI+x^D{rL*g#ur2j^DQ6Pi zyqjn>2kae`Yz~QE)uv{9fpHJH8N+lsDXm#qLAmK>i+0D7oCqiVxr^aD*bJbOuvnRR z987JwIDvA>!YEbN;&}c3Q=~^V*RM`ac7c0o@t$@-ll8v&YGiS1G)+AnZGF_a+OB-& zpp`O$(&^L38Zh(W9;1cFMgSqZ`rmeBRJhOg1=f)jEaf!c%7_3BL69n6ch{=3=NXRf zm~}Yw>?`DHobhV%yqRXy(4IN(oL!z13-C0D>pMOsQBKXKHLcKBF?LcX_zPY9WVoY- z6`q(?m#LYCj8@jJjh=60y3C4;r%9dz8-PURN5E#mKy6@@pn7a)4qXxtgShoRy>k|Hl2(Oif8q+tGte|K zYje9I`%Wq4j?taCYR@Ti;D(uuW6~K!yy}#+Pktl>^Z*$28Fvw=x-I8t92edr5Js>) zwT7`o0_Pj$ZgWlW#|4&Gmcs^wn6wl=4}Yc;r4yJ>Y#ULX8Y4Y|&|z*rDUd}IyWvL1 zlXCz1404+r4Aqq1RM9`Y?P;q2bJ1N=Mp?%fl3-@qmW)@WHHzX-;J zz4bgV9Ex1p6>t_qn@=srO?I}^*6oP`&?)taGDrPj?0|RNMhA**dcnZZPgk~(%mvef zmsmUc5$&KvNGZCAR=o6_<5(Rs0#;j{i6aG0Ehvopp$b=a_WNh3|D6`fG;)O%9me^C zr&h5i=RE02AI|Tj>oVLY6uMA3z_iH)7vr=WXj$+@=~L|1@bTKkLpQP#^h^-^`7_n( zyS?ywJLj!!WlQ!qlR24Hjw%SVwiJi1*CgUIuz=+hIq6;dGZyxCfiAKW;6NOc^ZI&f zxTFO!k(dg}Wd1nhLFF6Svc%QF@K!{~qVJ=#7jt@BQ2@^6{qHNur=lc5$iyt*eEQcR zB?bH5ojcP)@d7UETnOXN{4@Fsighdbh?0{macqu3MTXVeCiORDXt8(pX@7ZfTGZ(Z z6={Q$o+NogSTi4UuLT~_FLH7vhZ(|T;|_JYC{g`6=t$QmN*{2>PZ~s8@2GB%OtUbMhhACj(PuijdcX0n0w~>3*sAa8GFcv8NVuEIse>D zCntxYy-Bs9!t^^P4_4hJ8+)T1WdZ)IJAc{MAADUu>3kNUwVy`QKMMCn=%jC|t>5#% z9kl@~dA`cHvSu{treY$8bn?@tlyGu*6fgYiO6H6eo3)Z9LS2x+`dW>RiJiXRqZ*v3 zkYHvX;zSx@b@BW+W*yIKg&uk}G37hR#Z-?kgkSXKyz{AYaG|nCtYXA;Ra8EoIvt-$ z>^kmWg$6^vxj{MuS z5lYbz4Lj%B#mDkkT|H^æX%Tdd5h6v7jI3J!q8SW66Y9E1$+oI``Vvy7?YdEll z^w}DlD#<<1$;qWUaXizTXNQ+gkH>4{ZsAv@vOxoS_Zl*c;|rWN8HHgUIY8iel1Vw} z7I;vRA?P!|%tI^jb%7488|jE03UTuyuitT(x@uoDqFLQoM$3gP`?tfXIa!Y6n+Ap8 z_mIe2PM57UQ`v}pEWTC79Vrn)V1Q@LAcrg7uT<+_7949L5h3t%iwWfC6Zh1*2jXMb z+{;zZii}`nq(GmZgfKWGXDup5Ea7Du4#X7UbcnoLcyMRTa;&4l3B^>L^Uh~0 z)OzR{QR#TeYl}lSs}wW0%pY>fzMG)shhm1joQk!b9Wv~fwF?zsQ=+nSparE@?sod( zzz7U(9lQn0vK!4PJv8TajS&^lA<=GAaHNYJD9u>-C)5a&tz8uw%>9F&*sOUe(21knFssYO&tAGeCd%|Biv$eN~3&nOu)@m zk&0Y5;;PGrOhvi|(Xfy2Nu35Ja`6I`K}T4W)coH~V4D-*gyV$PXC9+g*oWv+V+8b8 zEcDA@aIw+aYceH^zc!Ps%v+A}D2t*3^;}kOBm{(xfW^fX>G!#-_W*CaEormhTkn>a zD|r*d?oBhDL|_9TV5I~OM!~o7jGHPA;t%AnPJodlu=$Lp2#6wxE)3tC-)k8dn)I*+ z@{&9RTcFNA1mD@5V8_jJ5rdKN3GpdOt{qvNS*jqK#|}5Ns$Zlhef!0<+OzKC5JYZ0 zft(7hAe0LZL^GNMKYK&E4(fc7tdTA{T=Or-xqSja|Jd*x@W9)EE8YZ<9%tGFOG=|D z2pfo|gagyrF&wQCS;V!=&@RV4KvMP;t4LUhHv$@-8^H<4LBhcc=a&@;G)%C$35` zKO$8q2Ufw;7Ih`g>!lw(t6$W*AeCU%SN$z5QyUy~3@wBX-Ui-w+r_F%rT5jj{E@NE zDA-t(g#cJLZj6zO>G`_z>toBgX496!h(%^d483{0K zcEPp_KkS2^QGmv4X3~OUPFImkb_0C4ncCmueJ{3)1ygVu?Tgb&->wFu`fm;5hEzeCMBWYgGmsw1m!7x6otq;lO|eOs*R*wV7-VOVE>2 zKAq?RKUJHb)Q{e_CGN$-5yI&3eAO>9sm|}xcsUG}(RgPYhpRO296 zyBuLoh^fZM^kiKN`O(02WsHs9u4}KX+F^QZ#d{DiL_r7_4Fm;}_g}C@{GlHO&0|(< z!AbZ<#rCcA5U#qVC<@QBe)*+Px1*1)^|HPy1d_f5AOPuCDf1Oe>trr9cro$bn`5F!?fl*AA}5Bt85TMhG+vc3 zekc_|QWYsK|3d$iLTLjC5HXGJaEOwB^)*h-|Je60Jd%8kz$9j7S1l>Dg5A@Z;-YICn} zh;ilkp1-A!pmOk3+lsF%OG@~G*_sge`OrW++EH_g{9GsXD$WSUe4Kv5QM+=7*{CLJ z*d=ob=i1iU;A569u+`3DcLviJnZx3o(eti5m_Z#Q+9YbTij+EM1&ZT9zkrhizOiX0AcLT}h|4 zPGC1a6e1^^Dv&T4j>?fK6+jcMz<`5%5W(e0A4s(hrtXHl20fB)pS@}{&@FXQ8H*p~ z+B|)H?E^z>O{O?p&9wb;2y?+XdS)npvvEm9f1o2U8aSdjH62JzPKce+5|nRXGO-=c z;#eVT{E)IYVlDq`lm$YCrahgDBXMRwhq?3z7`B*!z#w=ZTIs;H!XY`}0gFH!f@iVt zVOF+g0}=jq#IkfN!ejuM^MP*$-1lT3AiP>$pQ&2C+}8gXoNyW9)Gc` zNLFd^{W_9_Cewf&aan$Q?&5$9f{Jkf#CUL;P_k3axC(-%9N1tUEJ!I2S>?Zrum8It zeE%fN4F5)y|5GVeg8C1J@4rf_|5^Q)fcZZwP6B3*|NG?sAojBUi@pDUL?6Al^1q!q z{`0f{qg43!ei>6cb7u>ZWiZqp zz)v~kg)W4=yCU*V%N{TQ?POcy{e8}((SVUlZPXCMAl^y^@rMa%Pn{|z>|VPS53+=b z{k8(1-PI;bA&iyrtSlKwz%Xb8?h%zy$!vk4h4^1EtVmZUWradia=)L6BUM-jwf)`My6(v6jGT?&V_Ytcn^OA^_6>^t^hhnN?TdmDDH^_}`x@+7SpKS0SX6TQw1$$IC|eX#vl2gcwf^x9 z#C|jp&E#g7qK_Jt_9&W=`!FVxy04I^s|WGAaZkDr$h1&?5wk_ahVELTJL&;O?Yjp6 zPcnFg%aTHkRB+0$2OB=ktL6%-2@+DIxKbc0Oo*;2rodU_Zlt5yDVOjxg^tobXAJj1ePd1&AlkjAbAt0Ve)p z@*)P$J|I@mXu#k1!&Z=#$>@~1*3X$&4(mcG|KV&?dt}ZfEr$}v>(o_t+{YqPD;dsG zLo^KK4ZrszhCyOA($!}49lUXLttwy(Dq*|QyliNB?EKRn(MFTwSwkjMgTLl)JIMpU=V`-- z*~@u>ZB+*#j<13 z%|nYU=`*6yXKP4dtQCE+1VC`*UUu+~8v(3oUdqdrZtLxfR{Z8f?t~HxcvTbkGb)WJ zxm-IgT3dP+TOqohhU|BC1&^-`x6dY(&nT05Utb!tm1WFBE%OlNT4sP_oXdMvy)}J8 zB#AEUN0*a(HX74c^yF?aBUM&C?H1wt+>!-$nk(B1jx&fTV-@e1Tz>zUK3C;4D)5n{ zY6kk6Om00^96PvA30aodS&y?b@HJ`y$x(5{Lb#Qj^ISAevZj4?GqJ0L7mqg{kO_hU zTNB&0X6_|Wy!b(%s)t3IiNr66eg|b_V{Q2a#KopxA19oOFeTA?ua2W&k5Io%DH|#_uEdk6*BJXqqq-dhNo%VqdJ;w>n&3o;@F4_g$e}5D($$Nn#rE z^{PX7uFf~bP8%87k|C7v7SYP)AxK*z2!<-pD65hEL@)O>=P+bNN)@3pQT9mVHvXgR zPAM*1AQLA8_7CCM{JLK=9#>gg=2phl@wfl=R*gfP`*F` z2uljHy6r8Do@b|(q=^&N#Iu4*LH?TmGWF%LD(9jCv^E?5^Dv2h07y(nree!lGIM_> z#ax4H@Uo}Pm%U-Is^Pjv>Jb-^!<8*=C za%>YXYlrG-Y>I8j7SAD7Re8{NXu?Gn13`Sm={0VND+)ES4kqha?4w_o!Tj%dY%^c* zWCr~4-TtsGT$Dg7hgxv(8>1)AAk)znf(ldZ;r=*V9a%~TBk^H!$F1Wz0GT2RQ2mOY zW?BXzM5y{#vm6N6tB^e*%$mM2cl*k`1Dme$&9iHvJda*nYVChU==&D3otNn?T3FR| zs{q=AMc@||1#C#Qym(zg94-nF#bP}T)hSR-&v6#XI|R#ICGBfcXwv4Np1fT z3$<#8Cl3Fx!bI7T8J;Gxu#+z?3C2@FUjgiE369s(GS4CUoA}|0cS~r`6D-s zl9-z%Kcrc9-R8{%ZKLQ@$FY=M0|>#IJbfrC4Q|)C92?Y@F5@#8@HINIfbBPuDcD;c z%{?cEehm#|f-|vOJMJQ4L|s89d@%V0kJhIddfhJJLTT_yP|w1b1kdabJB!2D7{Lm> zp5^?K9obwp7=Ou@8q?X0apE0`NPr!8{qUC0>F7QEoae!QSB@aZuf3Fry#vDQji7P* zRZ_AS9a-zIxH*_clGH*ohCebcvx+N+Mah%B>>j0qY4+Dn(5ET(gR-gspjGXOr1M_W z#9=rd$Z~mJQ4DA2RF_*N?{KY-r6N8#eO1lrpdR^W3mU%VrUTRhHOf9*=ak6LzM*zO zZ3fVn41$*obettuF=W62!qs?_o5cVj@&nnFPiT)&$j@7UT_MsG2#GKTx&qE8G?u6v1cth@EGAwvHBtd<@jUT* zf&a%@Eu#K(Fk3fywv=N5j~1{6jyNi?C<9_3N{0ZPl3`d%aQ9Ag8NmFigl~5~{JrZI zKV(rp#0@j^NBu`0`S2VZQJzqtp>|xPPzoRS)0n#8l6!2yAxDhi!6te7ucPsHq9fCs zauSJ4Fnu!ovZvY-zLWg0>Q;H8+?XcHV3F2t97d>81%b;5mp@;N0D}j=0+5_h2PJh# zqJy$4C76;}%sxqD?V_`*T-ES)qPp{64qP*ZaK6@S;mHL zDjRuJc^9w@rxofHLd0kma>Qr^e)dA||^ z)tK3PyY<4@#Lm}eGQO{zK^_{8sUwJC0VXP3YczMN4prY2S%2RzT4?cyXqENf@%`-k z$=Yu>Q(+DKbsrUJSYpF-LPpeYqTooq*ITqWQa)tHuSplFQ6hj9hhV&xl98;0r6m8beE z3gqSvZ=q76rrnhgSCxNd%G-H*3xe1ksH|_6p!Mrrv6we)z&zo$jeJd5@nCpt+s=tc z6W;CD-ke_U&#pY04}qn?h+jqcHcBYA48P7&^oGbKmSa?E2}JM1?}MS4Wd-Y)j3Dgg z_h^MPn>c|Q$k`vrX=L}-DneTiYIt*YzHm!6vth`>?p@JM<~x;*Lho&H48t8aH><1hAxm8yO`j@% z!w1<&5bpQmSQ#}<5qlmMytDr`SX!9OfAaQ#%Y8{3P;t>jI8QWwX?tg-8kwS`U4+0( zB?H)W9n2TMupVkjGJb-yN?W6!y>f&LpTceO5V4U;(evwu(7YvAVYU+@K8 zSV;uxoAa{IxfNrtT63y6b);eHpO~SJa$HUyBjJQ0TtP5;@@O~gK8|jFKhJoz$wv}r zlSY}+)Sesu;JspD!Q{anEY~3IYZpt!>W}hPl{s7Hog);-l{C}^1hvf*OEroVEP^kU z??)?B6={#7jY0bRykMi`JqDqD&2YYTf70QlSeT>!%Zbe$&T!joa+4OAQK`D*`bz^y zWyc+(+ln{7F_!Ke5?GH*!D74m(op?mKhvpt+J2DKpiLYK<`?y};S|-_D8KHUK2{it ztjW%YLAgO2N2ZOEDQM~KlPsE=<|gZMJe_+$RAAMoo#`>KIIkQty`)?hvDLV%zZN8H zQ8FOvK9bHFia+w3Ym3SUT&uTTQrX~!bhd?v<__TPBoDxZT`>p;{D2v-mWJ^s6rNtF z3tG+k=}LnZxp3d{tyKX)wn3;2*4DhfXqZJM<^pztXk!7}WNjHPFJPup^W^RKo>d{* zP*(p0r$JR{<{7YR-L(B7f9EKR(1pq#G`qk^isdeV%N79E6bU{c8T`l`3B`VR+TtpP zm5|CrV(_~63t;?oy^^~=GtjK^5Tbu*Y<(m$EWZWoSxqgH47jqLoK2D!+-+zFRjp<4 z1@D65^_at78Tmu~0u6aO(mKYf+0(I}aJPLG5KG5Bvk9L}fh>v0h86V>q$h_N1}g|U z8n~MDFoaSAiX}yH3gRm($5^;Qkr-vw@|16@EkL(g653iEY~mEN4hSVSDj-aQA(C8~ zwy=#$#vVR@{urw~z|WpVG{^S)b@7Dx5m>$x|0E@XEbff(VlHWh1q`)-0NRZRVieHa zHCUPL?A(5?aCyn;gjQ}&CawP~wFNaU3VW>7G zXf`B$n2?;K7*{RV-tV)>ZxpXU<5Q8%#Y$hFNa(KijKK*~#-Am{+P{s7kJ?SEK zcXFh__3|p;cDO@y^PwD0W+fFh&R$yOV*)wLbVm|87i5@t`KdBG+plH=)ik?fIM>7F zQKDi*0M=tLQ5?s9Jx?We@#626sCHX8W5-38hLn5TCn_7O5$e=?6dY(I<0EwiwX3Zx z-fk@y#(3>$jZ7-FU*dW=ZWMyo&O{|l;BJ`PVx6*vstetjb#qG)415$Y{UJ85R{RP| zOxPImO~y%Mb+nC@2!rJAF)bJY$IuIUuLv2k>( z#ZvCNXi)7VUypY+!L^@dFqBv0R|&3QQ!ba!@a3U_hB_%kr;d*zKkXpaLhuz0uA+hO zkb@7M&2>xPIr_L$Q1d&2{PQ-I;TcA$~`ilD!4x8V|1Vl+=hjfP;Phh+gzvtGcr9KeWxSk6WSN> zg@;jkeDT;5Ith+ccqsx87A&?5ziH;vs4OM?qF(sO?rfgG#%{|GH(Lp$%k>d#Wi@z0 z9)X}f(7N4?^V?pd(G);kXt9@=GQ%)=2Ov6aWh;*yeuAE;O8YZu2Etn`@EB{rr5NNJ zy`qj#r?=f767!UH^@hK-v)=3gM{Hzl)Qi202@-Ntp&sVv(Tg_$71m>;w(lNDO95;dJSmn;NGFbx2bGU#7}*shZ9A+hIl$S9 zTQIDab&GWyB$_^EOLsfsLP>iox_u3!B3XLjeRAhY$`oRE1&UUQP^qh$6P&Wr0mTtI zXMk!elqAmrIRNDJTE$M6yi~sPQd>h5-cz5<)3Qz-=>o(m8m~v-fX?_awfxV>tDKl4 z5a?GLpxBU4$r1){ZV<^^?tr`PBjqB0gr1n=Y7TB_&d>X_%t)BER2)3}c4Tj%{xCC+ z5#)NmC8@NpctKwY2g`CW_l+prh4$ZYg!lNkLSI?As4AVHGmCt0yY5Q>*1+AifFEBZ zo2;Q+4bzf|h>ATlzaNAnA%u<5YsrEA9TjuDA`7H*}iBV;ZTVq_=>zdflGp3@WZLXa((SVqUQTjVl@C}^(!RgIok+k+`C zykIanEJ&|RJlhrAokuyW&!YAv=x+`u@^_S^?c*4eUk%mY(&eSyIxw+379AwIcoQLI zcpUsOZ}qUpp|zNg9-mgb1gcfLB@rycrGqBPCAcvVa!r3_Mt(sl_Of>HkJN7QzsP|` z!Hve)W|$Q0HT?kk_MJ&*uX74wZ2NZAA1iqS32Y~*bpciap3uxcUMdkC7gbVB^h*ge z24`#g!=&kTJ8Dfxpt@Kaj}KeYa^F_UDG@6JYCsCCA2(G|69(=cg!*k`sC4&wLF|{E zNs1E{Nx(vYfZqCYaCzWA_Ih^G3oy`q_GSzkmp&g1;#gmVrRLgHCqkha5FQ zdt}uG>+(oXsqO|}=?H^`u9E}es>3pc%vIsGUe|m)%B$aqMnH?|LkJCNmnYp7_zqj8 z)LxT5T)e{H*c3}H;AYVJ@;T|-)29YXQBj6i{y9)3WqjH- zV;;n~ZoH&$%7`$Ed)+9rujQ|q9#*?kF|RO4tuD%ooIxir7nZ?jRC6*`3@=C}wBX#} zWXW~7qaDd0OPx%hq^VGH{Mhp#0nMBFY9IEiL>gERpUW?}!ct#pS~dnNox&y^DbG4I zLQAzLtm7~da=3m))ZBgw_tHi32MEsudQniTXq{IZv5fRBM!`5BT;aWy^Od`j7&>!l zRFo5gb#`R3xOLk!&w1~Hw@A=Ddvf>H-w7}77S!6_Kt4Kd)yrWP3a_=s(BUmCl{MV@ zAHR`ZO%qhfsSnGy^#Wc7jA@Hx$Z99k9a`q4z0G*wzrEETg^{oF9rxt4i#vp{CrPIE_nKUitWPA-gdfTXrXd8PZOO8?n)>K*nX_(X2j{Ye27pph*7ez0||J)gLV(8i6?EEv0c7#Ip7&wRQ7Yn0-(Lx;v&HzGygaTV@IyBS-JX+0ol7P zhTkjP*sSWY#_s| zIF{}m+y~-Tl#_=m>{ce5FYnc+JE@A!a<>fM2D>MNNvucnixRni8}4gThN+~-T0R4p z*%MK8Mq;&v?AUDfXUcKPP_`;!IHF1`4*_q_Z=Ifz<)U$9gM~L+7uVEk;@@isYSRNL z3Jahd3e_=0{|vvwJ}JPr+?GbV;BaW6yQs-%Ip;cJt-so}08xP{nuum_qR~U95kiu|)epy-FN2c|49zr(^L zKG7si%QQoQxH|~kR>(|MahCoQK{l{^tPIDBiljwYq2aL&dUa%$4(WBTIBlH%a zTz}mxt4OSZi?7plEs{=Yy{uNfWmT>n-VRYO6+-05=pZhz6c%j6xOZiEhpyq8;9J^?%~vkA?$}wAty1XbvP5^9+n^>dnwFp&SrUxU6ah7pZC7CVAa) z*LXu#5Xcu|onir87K{;BVl9r4*~|i&iFPe~b^GVoMZCZ~(63ie_6hhUn-HBuD;(p* zb4R*XZ|pvfn7=Ap4gls;_?;NPeOV}XQ=$-wI+!Z31ZiS6_M(P2lP2 zH?nGJW;&ga@*(9a7($g`VnGvc3b*F5M`ZXz3Y{oJIzVj2a4gTsy1RshO+;P1aM5#0#F)38}>sHWQ`8HpD( zNzIw{#q+|oAFS;{Eh-@V$}+8W#UF?$mvqH8OFg;2R+>amU%(toGJ1L>WI{c6wYN`I zGeYJ)(Hx`fWYO-qYx9j{E6nH&Nr+kIX2=~6Tk)`MJA=vmx!53`I1x^Qy>kd7W86Xp zt8dZ>yO9j|`iBAUfQ;udvJbw65G`)t`nX;O-EhSjFC;*hFnGM1&I4(DBVP-sl(nd| zc6tgT3X-}50R_sEwSj!EtKmI(OECDlTbxIC0v?@V7m8z{vob;vMkv3tIMca`#&EdU zQzHRQ2(xg}qMX3nfmt>=O&YopQpy6<7Wy^NeKmHHr{nQxUypR!_gUll{oFh8W`Zc) zTMgRL+!+?RXwwL8uvq!L#0Ruw(&wPtp#J`Saz2kxtF7Y4L?>H@etl5q}J|GV;S~vas_O;yUe`u9Sk!a1^Zoa4z zxG9NV2zgbYhJhH`!ldd;52MWxBy`mt%G)qgP})*F(A7lF4S`dW>r(r z;I#jo#>Q=r|1qFlsH{U8T+jsVUP`ipbM?73!rzO6ILyo!;=etZ*s*sc6Jid9IlZ9-XM&=Y^C8^b(H zNga2yWQfw4#ad-1d7B-_57~g?01LMwt6S1>loaU(Oq(wxANc%ESe@1}BzkigR0pp- zm|hbKk<{p0&&h_a(G*Hy1<-XqKjX{pZnQKRq4Z$d1tkY6R&R|~2;7cDj>_kdb_GKK zE1`iBrqfprXKDqvrL^+GU*=hDni#%nw7G|TUAc6yKPyY@A1hklXpE?nJ>_&-^f=se|@yA@N)~aKG3YR+3=deP79ck#4gb~L` zupdrgD;cvj7Um*PjGjd|6leaCTfQxNk) zVUM(a%q9z3CLjr%4SJGN7OLc?=KdgvW;}MpcYJuHef?hm@->j3)F$^NE(Ta#DD!5! zX}o6mrrq>Nhs=lXOyj;!VW9M*`2uw9Mh~|_JU_*Wp&k->N=t^%s>X8-CI6jH=bV0$ zXM(KM1%Z?A`t}XadR{VwhZWuCy=iL0kh4|b&6t--%&E9xI7+ehms>>y1Mw&fH@^$c zkb5-i+$4C!0AKc`ak+xr&nSH}o}QhzXmHt$U24)1hKNKK$N!yKWKP8Iuwq)ZeuPh$ zf2`M3wSdi%+VD>@fXZ>}px=fKDrq)jjsqA{c>)67V3+AOXq1CZ7)_cBrS`UXCQB7Q zoE0Q@Nk`Ba&{`3)yK(Tq9gNGZ=l=^qoTPn77&q<>`w0*5;v8-RS%)~?3fo3}sQjbr ze35na^USkUXoy^>wlhaXP{x$~cH#i)skZ+XkHK+FUu}6V72>aTKBUb$$jiRVdKNRUyGRusbxG@{)iAgK^Sv@ELcn2zF?eR?mSl;_GYDEn_Qa6+N#rm_F_c zKLQn8uY}4$`tBrIoZf)*_~q#c`Rl3Y!sW0#QOybiHWDU@=dpVBdlE+OvaZu}79x#s zV;Zlgm#2Bub+Qp2lVkkWeRQ5V8<6yj?aT|5o@c!$yLFM#@liQ4r~3voJquJ`b^q_` zWxoBHt>7rtuYs#QvV)k)wIJxs0k_CjycOqYToUPTKV&x;YY|`$Z$~SvLI~;ElVv13 zA2Ec;CN0TcH?AvD3t-Rz_q~M;KV&-t4-$4J7+2b$9a%;>=|+IQLI|QNK7LnnT!8B z_o!%P1kTPV=X8Zy5E77&tzp$iz)6+K&2oX;OOXTjYtkFEJ}PyJ#K3ue^STFgsa(*- z)FP3mhSxv#L19#%6O!!xBUVocF?>^<&f;160rTwNtGsgr`4AWn8ZB4KT-< zo5q%0kkn8qJo>6H7H-H6k*9loS2j+i4t<{!DB6aND0oncDm4YVhnX){r@9R6`ET)y zFfWGp65QaBH_a=rvb(!M^<$gBy;=5ZtVp?-SdY{HN-^c_9W zuCU8eYa$O7Rxn7onOnpHZP_f@rj4NfUMo%jPuUnxYZIVQM%Anhp*DE#^kF61HXtq1 z22DhTgebq$(@YO4f55Xi)VWnRO;Q!Qc*XoPscioeIM3Is2sS!2x^6b{@TX)6n z+s^b)eH#6TY!lHzkDflE!NU<3TRVdL*IA6HCcOB0g@)K7pcHSvFZ5$v#a<8S5S|>2 zEP-0iT?x{2t0G`E0u_3#ZThi*7qdd1{-RBXvM%_fp^1Hc9!))(9Yk1xu6i$6=Z->? zbM<;-UFd1`Z%z?qf5J7-XMR|!r z6)wJVao8Piv9g2w#KB#uDR-kNtPm89|E<5U*h|iuM3d>dtzN&en$brr{tB4Dd~gSQ zTyueM14yGyuu_LYc6`$B(iC*NLzbF8?5i8CbR;hTPc*%*C3(ex*u0RltjX*$x^i)2 z4*gYh{Tum+H33K2$R3*CegU3qu8n(wt}4$ij9X7wxw>~dR(OTQEtn9Wr)VA2?(R#g zeQwW20c6<%>$m=_HZ!;h+bEKS+gR8&$0858e7Bbo9)e2q?}~kTkFAw>K@+ELWm7nH z^EUV-94;?T{zFfHx4WBH`uxSi{!5yVmLEeb3bOb0qCB31Wo?vRr`klQzb2K)Lh74e zd7JEJH`^pi=h~sC69--+kub`fA3)3l_necvP2tuG9xs)KSiXbi)U!2_yaF>Wf4MAy zMr}6iCav5@$P_fCFiyZCvwybY{npulNwf$vkKj2gXGr3}0Ju5w&v?<=lL8D#fdUws zJvWfy?G&*+GLgOagE=!=>N@nKdHTQT&f*OA& z=yZU(RH70*KmjE|{%&@)J59QTkNjYLcX{(GMgIVv#u9cCk*vT8l^iTTlL_Tw^A%TY z2?9Drs~s^h;~`cQ6=wFCcz_)TEi0o$*^pG(DLYJYTP;J+d3YsX@T+qwo5jy0VHZXw zB`Sv+ir>044+UPL@|tt0FJEruW5*qn#k5AMVjJk|0ngPCrS*!}&g9J%eGK)#MS2vp zS-yyXg--`IE(SBp-B_=92ce>mOZ$(_2AP81f`>p$BRm6&_T8~wk`OD0Qf;I!Au`X4+2lAdI?)xA*9X1gD z{Y?Wj%Jy)F_i~I#fgCZN`DwQgk6MO1+VYiRKC-P$p*G+mYoN-#!F|A?8FjlkNL5CD z@Ir}IC;1n-#X_sa4YIrkXC05nwept3QrV5; zPZb{i5S0zz$5BVgC9AF>{4OWD(?0lP#0B`}QKm8OSfSrWX*#49 z%(rBC(kBM8nWdf=!l!38VlOxdlUp1D4S(b0U50K~&N0jksP~`<7r45fWVy*2ES}v* z<7H)?8_w6w$_Ds$yw$muKO&lc<_lc#<)Vdl;k(%(>jvv64w<*Q=9X(QrVJA-4+c3- znW)6Bw!+UgKtRq<7*wA`95hNE%S&KiI5?(WQD6I*uD;=kC^cKv%|__;FnntL2zoaM zh#ydQ6O@4IYeIkv@xewD(7&y=>%wBRzr9n2Q@&SA0Y(+cZ9Cu;Su{)!y>xAQH(9(? zO?K5_G`6&Rj5T=b9y0_U$R<+BQJjKh4f69*h;%6K%?>^^1a!2VrlK>XTazJa{l>vH zyrlh~6iL`_XV+Ts3HX@$|Ku|}^i2)Q55^Vt{aI9&d>KJQo!Gf~y-|2QmK>@E7?R>Q zlcxrcRMvo2SeQe3+~c-YziH@MCL#HK)4xHzwfboL^3~>tWIX0s$S9qm;p&fc2`-Ib zURLH&BcQq$k@kjycZAC^H#=m1>l##K1Tm}Z<+&!qnCOc+OL@Lxc0QKr@Z=^+C!**q z(F&%bER&2)|F#ca@IJpYTMLMPyIFRhS$z9z(@tB5y^l8c=>aaMSc7T5ItN-u)e91| zjHH)zsmk5xBj9jzMI(R?N9#X{UuBHa05t(Q)l$-o4Z1*hIEJmv>u#|N-aUF(LQ}eqU=J~wny$_TBU@S ze6l#U!ZV)FO&R;x7Ku0+I_Z%KE5ojTfgDy^1DyZW}?+=c<( z9T+pv`rR3ppqiA*2Z9gs0mJs$^3i(iK6!jQdv#saE6I3zrgLj62!OM6d|m-Z_sh*e zNWpA`GyR@io>+5p2NsLYx6PvcT)G7}3NzT#@~#7Y7=adE2it)3AY)Yi;cc9E`MVcl zy-*1h?2+jsyah~Z^;cVjDR40xogd^D6URzO{IUK$)3{CkZ;1HqPE%SG!#1kzLx5cF zpqs1b7)fA8>?mNnDc$yy=K^-_%6260V?wvjuy`MJP^9pa*v&sr>t$y<7!ot;&m&1w zv@l%w|J4xhI6ka2$qR7z`4yplvLQ?H<3M7TuCg_h5lW3Q@aR z#Bx*iLWu!Y+LY@^SaPVgE@vFBsk4I9neFR*hpAW|G;o4V!1=~;P1X1c_M<-%72kTR zF52)&Hw0E%CBZ%JTeN5PICwTNWDAt%@Dv7RTC+Yi_?97?oEt$s+;tjeq;WsXpj3y2 z;R(Iezm->)!4<&7gfjHlY2vGOzcR)#xI{_g4bVmuwt4BheR0r&Uj$B`k)+4*i6OTx{0Nn;BZ zgdugv1KufbKQ+#Uxke{hoce8q4J7hvc#*LSVHOkBvofAg6R6?L3>Nk*1ec|ycmWlA zR3Kzf&Y_UiB?5MKuU}aQ_}ROLJ_TkDU!bi)r77b9XFxcf_DaOR8`A38L|<5m(pLn) zk9kM80@{s(g#)@Sj~eK;ukn(1z?y!pN(!|TqQa-@EFtu1w4%Z8mhdQ;?F)`vWg7yP z%%t$B08nJd#;$k>22JjpTV$VHSwP`qe!6)kOQxN@2n(=%XTH+!H{!1oDxMA}Hmxp> z@4!0XlMxP5R!UWwe_R&KP9=ov@cjgMbN-4m?yc1yyT)hzvlh)RTxAZn+`J;?8PL}; zCyi+6r^n-6d4J+sI>`@u;F3VQXrsKa*>O^cr3#&-7NX;Ow!EIhLC?qiX5S@t2dU{1&{HMm5NeH(Uq<@ zY9Un7>VI9AoSJQ7N33`U<^tl=aK-VDsZ}2Qm9{$tf@IPT{VEt=q`2X%<`?sbBR(dw(fAKW*A)EO6ko!DibT-|;wKx;M_Zy2L zG-HY1|_1)D<&ky^$_JY3K5Pz|4HK67gX=LPR+_GX(P^AdQv(LsmNBXoE@JF z;4phOxtj)M6`Eo22okURNzOnZS&T5YqB4BJuF{& zzQ=)?h?P)7z;vT%O%Qfk`vDXKhXNibl9e-f)0UA$DW%BRw1|{YV6`(+{}Uj=3k8Gm zp4;yu!-W1B6?lb7l9Va@TipyW0U z2?cvya&^}R4W8vtV`xWfXYeDf1;97!8st`SFrHFI$1=11u8`_?9kw#(CL=XJy6UYd z=2?ovam2h5c(7h-`}{h04_1rc2?oI3%ng+L~~HGx~z?iS1K|V(&*9;-Q=D@kW0E45Gx>v15Xj_GG`)lGxMJ{ zS<eKLqRfphaKgW!ij9l#!{stnLc;C_6ffaPu|PIo+S@~?Ix?PWq1CHanSTq% zLP9;2NEnBj$G}eai;*m?aVC7FQ1|awV6+UUZi0;M1Y}9>V@@{(NFfzv}4Y;Iznw1~4qneD<}0U0G0^F9@?& z+==~u?5M96JT$0Q%tPv%yW*uBFAZ+WnlYeZgNqA2E`X}>fPyIq4oc{p+XLj7^f2RT z;BirqF~8875PL{xXqAFg)Jwr&kzvq((yRCKTJ{9OETKZtZr#MCPtI{zP-dtM_1OT( z6e;iWd<3+-bR~x58GkkgQ${Jra=rRKdZiBKF*D519-XdRGFPHll;UX|?G4925#p~2 z&I^lEIbNwO;p4Nhb({=-Z7Hy>iqeanY=q}+VIb9c$0?M= z#)MfMg9)zH;aPXCrkqEA^+mn##Sv$?Hkt;nd_><@@yX3GX4#TxjBs2(_Xj@l5R(fL z&sTwQEVTmeSID;BaR_Syr{Z;pDmbtPyPOM8x%&A2O6yzzcM&@VVeZ9ws9vc$KYOy8%>cQBKc|U0uP%-t6yqPA2^f0kKRtr#&5Ov~_5pvenH=pR z6Bpe0eL!c3V06DaQ6Moh_WZQn(3;k-H)qqC`$uiJ8(&yo4cJF68u;y@@VIRaBH?h} zeHk3_*XP*f9ehuXxLc#coDWv$lkqLE$%^eI-57hyo{w0J;)vtp!B(_RA4plar^-FJ z+5_)mFmS5Yii+W48?Xf$x|l*F4Ey(Yh(E?2(E>I0tlQ(Jj8!S-iV$T`wFn4BUf$Zb za$$e<*Q@%h1w6`e9X*I`04_nWyi(Xh2d>$`lE7JLn2-h$284hRSV$3%;fLloIr& zw<@QQ#3(%vyEuVIt(-WQX{@1!+>eiCv>5E-TqZ(c0$AP+PHYJmEtjzQNm+;MtE)3E z(}uxsYCZ_5E|1azVeK_0WSev8Y*;i_AtIm;Rq{N$} zhIG^CpUdsLZfn#hA+WgS@iGdsSX24U^+Le|#RQGpVy77Ifk3u=oTPuO*DU2HZ<5eb z$ubS6SX9umsyD}hpMWQ_X5RDrw|CP6zeA%V*T6+EU}dmzK&FJ^XLA$D-mx6n6^f_J zJ|rK8%WClMES&V%S4aS^MJKY?+oN|-#A<>i=3`KANvi!lXqjV0!8|SG$I#0PoSPch zgaj0{rQoU&Hi)qjOsSvIj~?9!!o9o0lzuNa@n&<7s99>F0!TtP=gPY?R(ud54T8p9 z%U5eO?y^QO9T9(#W8r!zpq*yk*S-xRslJi*d=9T@-tV&ztR;_7MF8u6k}vp>*1AX5ZlM42XQ1A4H2sxnGVK1`Cm9-;n|iU<u`H>RsN-AM&}o#LZb_t z&6_MM-Q>{Oxdid6WgHTEqG9SumU79+AfGj<8mD<h8y>PPwR3FK zFmdg{K_y2h?vWfjx&G|6P*NJ|GxX(GSX>O35RkTr(+2H+c9(g=73%TGPjkRAsZ3cG zg_rGoG@Ww{*bLRKF;7$it&lRr$LOO-F1?4?Xik=2Gsm@zawLsKAU7to*@1}-2sCBz z*Q`kGE(*{6%^dvqve_#?%JdZ~UODIz==O1`T-|pg)=FqH$oAF{`4oP>{O^=HZ9A^F zwki*xB!mz8>V^CW(@ZCRSXuTUMA)rRLJ(Ta9}FW}q%`}jsA=sdCLBZ*#n@L*u`4_` zTxYWP?ogN~_}JYK$cdZdquQDAJC@0v!ARv~Z@o zmg?VYbHb<%c&4InwWZ^_)HX}2I=Coy0f0CY}sqTfB@1e;U=QZK6FMH!9g%Yot zUNj`tj-7_|RE^fSKHq_W;C+*4tlQKcuoAneV$*?t*Hd?_? zuu`{QAMm>!1iZ~Efb6U9=aCAio&Eh741xyut_2>4o+j!uHW0%%+9*BDN*UZ~UsTE90h(XyrfaP_%C(TUg@F;L|GP2w4B;9v@bilfm{ zdptjHjdf)ccp8B*9`LTPn2`0n3^IpdJ0IIZ5Wd6pSTocY8*-TvXrMnUCUk{};(OYp zjl&&b@^m_VGHkDpV;KURn!eWdmV#Z&+Gbpj>}#}j&T1zIOwK0xyG&6}YCZ6tG0&@! zD@ob4ViIn~oNAq$)@DF&Kn2V}+WY_sYelfVg*mNhSNz|}N_woI2 zs%X3CdXG(GF}(*j{Gd`XV4X9Yb)G95V8x*itAKd>HNS#8@h4p~!j?NoTph4#6w(fZ zaKVRK4!&hTwS1hD;ql){4UR=eNc=k#HN#G?IFD^q~+(qq6p!H@c>!DFUyvu7%kSjnSv{a!^bIA z_4`qH3hvLY7?J`8_Z#n=`FP|jqXv0^PEG_Uw!Zebf1 zq`+orqH3YaICB?~rwTW|*v8XCjCTw_r#9^<6&6u*y2{yN2!SMB3y9R|S$!)U{w|CU z%lMVCyw7xlr>)R=xjLmejN3(lIeRi^b0dy`%I^uh_#AlsO&<5|Tpqz9B(k-0b-JV& zN9x%3J`R?dnL<4?+vxX)O*ZFUH1)ocIQzMtzm|F55L~J!7xm&1yTip@p z0gX+;4{(b?>H2G4nsW70%x}gEv>52bSf(~)m}-pmTm$157~BVs3yF@Z8#?5+&o*F#n4 z^rkDwdjg-K+_}}LA?Ha(un=R47Y}ns^j}gVE+ldCs{4@IgYV}*snEF*KdmR`%k%Ig z8td5fMTy#U0ZP1(#A8a^5OM4(X!i%IGb_8k`!7z0KcF}b z@ggxvN|~QfYncjAA=@^rq-hzqk2+R*7-U17o^{RHMZ9uZQ%oDCTa~7TWji*C9k7mw zW2JQ$kzfm{3eaHc_a+M4QGM;hwEK>$@FdwycOBqmz#%4wh{xJ{`fB{)1$T8t23E_2 z)LJL?(Ew|BTlu5M3O#qA$ERLfopRH;q{8)4#+`b=-5nMMAME^RN*PmWS8PqigaA5NWG7goE4V9)1;{cj=JvNB%Po-y z$#TFtL8`}>v-y{N`i^l+tFz|AH>X+%AAjF=Wi_2(U=-N6cw$OqSKBK3!dr5m7Cp3& z?KSWonGKVE*}I!mUIzjCF9>Iq@tV13AVZb zl1qvrRx#k@6#68R?@moPv9wy$Uues(j3hS0oOBc=5V@aLQCivL2ayB7%flw`!Ot%9 z7K1dy6e`4pNUNUK=*Tl=KV8@iqvU_riLL$6!Ueo7pQTGVbKQG3Shm&0WdE7yq-cRU zn30X$v`xY?aZpJedrbNf>0s2)xXDoS;4u1i%o6i(FZI9gtNggD=@$ zKzJOyH71^YOO%^6aHu|)=&m(X4l}6dc5O@-6BeSb!Mb=QOBnNh zsU)F9P*omm*W2(tvmam&;b1w}*)d zwb@&Ta5g$psM43#B?AkghD@wn3s_)w!i)~ro-OI&dfE=kr1Im7Mg`@AmWIg!pDmOg|#s6b;l*IvT6?fM?tGr*sHB&Y402 zWHuHE>3C=KuTLVpc>oFlPC(Px0a78ecpEHg*HosG)_xh~CEb!-P~cZJ7Y{=9EsS8F zZGwl&5?7+Y;gw9&V2_Fxf-klXxd7k~uAXC#g*DueZD3b<5;R0&I>Ny0rdy|R-{JdS z{*UW~N_?9c6GIp^UDS-&Gr(+Al`?A<&Te&BUHfrG)~g#GBG@jD0~oUDR+eD$fINFS zIaw*@IB3x4l&LOcaY7>Q;9C9xx&*l;CC{aXxZT!lkpo?0{kq*b+1kBF8N#^NlkKmM z%}C7Wpr}<3gdRI*Tn2UldbcpBGboEjB_fmG@%mISU(`Ddw#)&`0_4lio2-4$H1DYp zF??5#9tzT|uy!#--q~ywFd}s6e46L0Q2_L;7zCFZ2D$Tpr2d@8CxfPmS)`#K_vX=t z7YADuAw}fb9%WO448zOASfWy_K4^4QhQ3>SvMgYvV-)(EY9ZSpKpwttm4S2|cr zJH29u(#V?rv~567F+jY$ZXfJv#N_(Ft<{xG;1&s#i>pp|W0ES|@;6J$PdnrP;-NIK zS*=JxG8O)w2Ehi9YKGx}9(nW~25SV9#w#FsX_V+zA~r2E)C>f4g>B`qFerLofboH5)!40mrK`FCXIvx1_g1{TKCcnN074BvP+KAY zAjQp5`_N3xoAv|wms7(=)-Gcr{?Qq_= zP*~%uNxcm5iEYzg7<{hGP3H3oJ&v-KFPEl;7^ka|0(WyC2Sl*xo65JjAAyG!zR)aX zPNLF&pp0RU0Z@0jCDWtxKAf5xumfoq(`hkZd0VqO1oCe@H~*I9SqC6u%y2P9u@8P% zT|6=Flz>@q!<2j?B@zQm=yXUAi_a;{fTum%tDX|(*o!JSrO;|EWJGvdcAa6)2Hgw- z!}aQtsw-GT{6T49Wr98@Th559#b);GDU%n2v66wlDAeLg_ypfu7pbmT(($~T_qUf; zu`6$?b<&L%<5t7*VoO>Np`AxGenn03)#}OBf2E)NA70kWK)}TIf5k^;uc^nQ6p!*4sNsoGg8=!=Ol`5X4>Z7tOKFlear-->W_021arq)&m1+AKzU?AXlaX zv@mnML<0`Gf4AZi^IWpca-;S%p-PJlBqJ(!_(@Y*k^>f|h&Vg|7o*w| z4rA(moF%f{9~ZTrD%0k{9yLRg^g*%+Q6AQaZR{sJ?_s=)LCT;Xf5L3osVTbB)g@gU z&s2!L(~NE9ScXcpq`+>IgDt6SniGi$=p`O!?(PUR zTmM%qi<^4q^AAzS4Fk*IoIHA4&`0koE1vAj<8V_Z6Q+0>ts^-QcO_dL#)Fnye#wMO zc(~tC<>x@QVxuUoPqV`srJgd>vc^E+t%<o*5K*9oD_Kk=K9g z9HFqd=__z7n|5`6q;7`Xs5u^P{i#l;o?J`#_zqW)*7iyDHsc=??T83>E0QS10P30W zOeSLW^qOC>RcwbnFxbs1=dz|m`Hg#ppXd)@tuBOR`rV@Be*A~H9UF9_zg>6ACpG-N zQNaG}pX7ep#`xN?ETyjujaT#niEWP8-ynln-I;e$wHq|Tq`+=!>9B|2I-x?U^~fI+DB{>%Wi%XkWLCEtZR;s zjv-59q9JrUQz8t!kddqRZug>+Tqj8uuPuVsXdG0O$mjGB8-hbeSG~E&>izwEuZQCl zF`?R&bp_^=f}L?sofH4^NOG>`GDx2TL&0H1&7f zDP8oP5DU3$O8{9%Sx(&h%<}xO!m(NbV%h3*gAx5n!NmaO;FqrMyo>3pPtKC@LCPCW zL5NO`7?BkODcj1p)#Skyjb=PMgtgZ)=aY+?tBr4HqkR!`9LFdZ*1h%>^5sCbo`l}m z%w`u9)n|4KLES1Q9;4NsU)ym7(mLMilQH`tUW!HvfLx4OLKs?DP^7YSL-5#`z(q$x zD~hKR7@@i+a8!^0A87A_y!wxuw%4q1Vz|?e64YQ>Y%^k(ofoQO^%uBeBYGkDC}C0K z?vNMWvJmXC`YyxXRmaD!NgoII=d7qcz0si9y06X!&$O7VFj+jweVyof8lYo#$M&>2 z!7nQm4Z^OOL#BIND|C7)V4qaoTKTi@3dZ3HmQy4(n;pMNmB#zzcn`_pA$7#!D;jfO z>8&7G3)`IwZ7igVZRR_ieAEY!@e5;Z9Hn<4X{1HaG(>~ce#Z0WGCFH#@_qUR>uRS7 z*44qm(S0^sGVmmghh!#ZJ>Sbt2H$D;fiy1!{tgm88UvYcTg!eum2|ERqywI|RUT&4 z=0hj0uFU-2_KPr#*BkFeKL~g^{A>{lGq$J|-5KQWb zya1<^Mql{X;aUe2Wz~HT6%gAt4`yFN#nJoI$-q#>Fb!)Tk7-t2 zhU&Ubh?uOWCN)^;Dn)B4e14^3h6G|4ZWh8stPud8p4&B+Z`ns>nAXr#XtL+#^NZ7c zQxF1E%34agbKM&kRa;xL`xJrrSx-hX?R50Z5@zAZis*)f$Onq7cr*ECk^rqP%t|KfaYH|mspT6gu z#PUK8*%g=f(w)pjDmEDi#%3wld6sqY{OD$cnqdL$4?h3lDP=51*EWdl4*$j6-o~k7 z;@b>g&Wt%4Gp|J0hgZ0rBe?jelVfDB{1u*kYhY&F6~cUL;y4dPtReek#YOg>*B?_K zCb*m{!uE-*k%Jta-dwID@-Mtm|o< zlB8J<_F;$7^Ey9=^?FKzGQ)M?K3C;G}R z6lK0CzlLy_>%V!*D48-N#zAetN%+PDLQJgTjqO^=qHghz!yYx)BrGne~LmGQZ0ddDUvM!fY3G4>W;H<(z24 zwa?Cpj#CW)Na+H`WkZlgkJR8pM$U)IpA~Ui+lmEUp$08Iqd1W;tl)o1Mzir{3xxBG zsJ?2(v#>lFqIVn=ng1>tq#7Zb5-zlnaGSV(LThPnmuDLHk>bX)-Wm_gq!2eGFVN--V^39$Wqhm!jtX|9nupa5F zsoC?mvaIATP(M3BcP&$y$1pmpH(MQY_dY?ZVd%}EKJ;Eb5#L)8PsrSqjW$7=cCi>O zES*%A{CG~|Dz(~&Eo*ySa36NhRPQn1_iLy7f{SLy2(^su6kc!h2J}i-n6-$(`H+<= zR;_1Gv#mtwe5`;CR_-w5uH2p2aVEssAi{;oo(ojkco=TL)e2G^jh?jl#^-OVG4>x& z90e2%6|~gUOU}al$w+pnqDq;y*Fm8&_3jc?LQ89Zlqe6oiK8kmSUH+H)ogNGRMiqd z7r(vr`UN1^GIf$zsm6Ey(sn~8|416&b1^GTt zZ+_04S~(JSHqlW?U3M{YoMNsV8|@^JfS)EsVhf&cCUA^Uq=Bt0A{_?%p{0~WgwbaK zB5vJ;^{6o=MexN^7c)`_CMxZ-U3pmlLb4Pk2RLl%#R^r=sjNEqi;xyB2)Pj!pqfe% zAf+@7pu2z4p>b5hz?x}$Gm}5qm#~g~A31K33?mPyY!|x;4Y|~Zk_nO+Sb7!+w{2g% z*}osqcS4l2$uPPl6Z6il?SVPF$tF$I^Oul%IQW2-w}Lp3-5+2k6>{ zFYrWto4jO>s+tq|2Ab@$x~VEgT#k}Eluf+$DDjIltJ>UW8I}8{VS$Np?h{=5mA2m7 z+Wv6;I-_~>m9xtp5&C>$KvP5}l8Z4pWSD&?y({Y`=Wx(Da)iPnY>wqf%_{;Hk;WPTw!?@gF$h4ONj2 z7ThDN37i*Db)o{ea;Hm}@iS<#_Yc1}&esEhtLWUh-0;T~Y7#$)4FpT6M--8A@O&twJ@z!xNQ1PZWW6 z$k(o?inG&RmxW|TRmf%T%pG7 zy6|wXoMP=KqC26LF%mAuOt5(cXQW_sLv2SAfZ>@kM+)9f$yvh#H>#c8qbXY9)YE4BO=C`=NJzGeBvv}{`VYN`DoE_vyFm+pzme=LZ%svbVQ*p_*b zc!FPW=W%rwdU`IQS=%rvLX3q;{tH~o@=s@~jlMb5Uoa+OX6a~TPe3PP`4^oD85!6Z z8WGSbx!L|*Rb}=!{iNfZjE}eSo)rxw4+*l#sjG1Lz|_FUN$gq z$!ctZMI}i%LJB~y#>PXYst6}J3=GNZxaaaOY)Z9K!GCKHC$7kXug<0(ATrn?#4;VJ z68w70B{mF;c~Gs47O=OR!FpX?Mce{4M{XB7=VtvF;smnzQfV{|e#pL)?I z3$Czzk}KGHj=Ds1rR>zm^Z;J+2{ky+F)dzyu%UR221Sw)8bw(QW)R8r+Hap#HQ5a1 z1AL3%0Xg|iFrdH@rL#hL8Mn~ri&Lm1!+*mhatZsGT#tGbB`k{^BzWb9vPyadf?-oP z)SJJf;p)?-3qkNjMaS zELlBh_)O?Qj}4Z#pg9%-^w4j1UB`Q-2XO4d>1n~S*88iGOMAQdSAZKv`!|qsmhg)- zdx2E4&x6w^4+FX!ZHtz-raAI#yca7?t_WsCNnp_ZDgBjZI=2yV$ukX@ai@ZQG&B2x zFf$=Ty((pPP;`R})Yc3CHzq_Ok5MEwghsxp&N-hh6CvG^=Y(Bo#{%@FZ+%e23oR)o zm(xe)>x|*nqFqw_Lo^i^~26 z2mcx0qzD$OpsTbjm{S_&eACiu;|Ol5tzP0B%Q$(|UvMu44MOEr&uJ-koSq@=T*Ap zhBYW!<*NHg1tyH~i{6(9Ft=^mOuDVgum$jIwr1BU%47FAM0h)wc_OVbxVD#F1 zCv0^Z6e$IenyM8@WX#c0AFuXzM$+-rfp2R3kiu(pDabi4y8>Z5c_MQg*JTe(G9LZ) z{&vpE=9u==%k58lmR2K=lxel0US2S{rbzy1a=-hA;0V5oL=~d5NJdc~PC;GD6rJp! zIzB;KLXf@M^Ba^WC+XvGQ9>w@l8Wp$B|(sr3#sYyOAQFA)l?{i*o1u)YV70$nZ-!; zTdL1wU4PS2?AG4Mh|4oGU)Y7RzhQ&``qYIk#x9pBZ}Kre4&61%Uk_wwD=@TY{UZ4)yeKErl{2y+Y`& zwL|_VQHDWwyY(U9J=A-M1M{a-wL7rDL}To~53+iQA?BpQ79fT~`U8a}2zFedl>qf* zt0b4y3t{kF@Q}=~w3Sa@$8B<_{6?O2HFRWpW?Su;|X`3yQ* zP-`9Y!Ue@Z;7FTZ&T2K9D}`V`Rq)cR)nm$b)wkX&x}5=F zDyEL+bS3R~7NI(zS1{v#CQ>X9PPldZs zRmV9&Cq8YwDU&7lbAdT|D9j{|h|x@WzpT}>+LwTJ2H8Lq_U_muD>`&Xi%Ez6{RQn8 zn2EyZF+_0Q1RZA?GgguuO{aIAip83&bU^#{n^8U{Nkd{HnTe9Iy!lHqLPdq9@o)B@ z@Es=ut=Z5M=db=>0gUDk9YJK8lnlyuZ$&44>6Lvr8`9=@kVw4xnFO_QjUeU6^gl%- zLG|nEKABLUIQeuE5;w~cD6L`Q@txgH7?g!j@-1mD9{GhFFaF(AD&the6K>Yl#`MDS zM#;(EU1W~LXp6tkRx2lNP|G;o*sBaF%aR==KXXy{2i^#YHz|N`-&6sR=pO7xklGS} zkrrKI&&r5KBCcJj;VxzHoTT8kLSG-n3tl9;5pTFRPqOh>l8Z6 zmbEbfn=Kq#0ae>y7N?ibgrJZ`BRJk$A>YMHKT~;?6LpN*FE)+b?xBEmYZ7up*{;K~QSp?OfpV?3YRVB91^bDm3Tqaf1C?B4 zHNJh}Y3`zWJT%(Gv7Qc^ON@y|NzC;w3#OB==}sl8wD;J96isC|ww&?pIRHUGys4xUbW@2C6n<#%X^D?BR=C0STj`J7^1u1>)0FqtT z6%hGHzj(Ksh$=uivljrL{$H4Fm7XK4C6L(%HBdJ(6M7 z!a6smt3P45$NS4+ePFq>xq1XKp!wX>oMQ+cLqg`83$dnJcks*BP>jArE<;8^Y86p6U-5Y8 z`=pCJx-|5mA(^8EUl0)8&Ds`8t%{U)^|c!-jCuBgc;E+W^bf4Xto%B z+#ihsfcOYgo)vtM5F$&@C=lvNzZ>_lRray7%DoKu=i^+U^;2$WSBD;-KxJ-EK^!@B z39!)D+NB>N@fhP5<=^7OE}iPdiuo6$r?Cnm8nQ@ zwcj~@owgUY`pNmmlCwTV_EV>|NA9|11|xTp=)(Xb3GD!Lve+7_l(7XakaE_nFy#*p z7oSbSqM`_{8p7`rA`&Oq-_S=r&d ?d*yx^U7QrVG_tr)|JIN;{*v7v67Omt2Q8S z(P2SrEey?ju|KuB^Xbgck$i2iJA^dm^+l!(NhFO%iHUI6-Bec7sm^!wuyC3Dhc~zu zby8lo_tz_$>xdKj!C~AK%uXa!F=nUw7xw^_EKdS-YgYWu?xn4HWPyR&hU)Jmnp60H zCBW5bcooi_G@%*WL6$!a3zYk2V2!S1oEBuZau{%!GodZU99{e|)Y?!Vzes*cl5J>M zD#yxDO-coOlWUl`{@j+5Mmue>z;A$G3M++z+IvS3oe>~Eh-@y;V~;QVF6JX#_+x@Y zQh0{vS@JV>gY^xFAW>6n{d;e>hc(#$nySrqw{1Gp51*XcaIJJT5>3p~87x=wo=5swyB_alLJhbrU(~?RhL&Ry^7c)NiStAr zahOB2P3j@YLpf50^1{8{PDIu|LT8uBx5B}&;cRg)dsRCt#=S9PKc3idHl~YP3ex^S zEjr4p*+~bg=ueh7N0k`|DhJYC2tp8!&`QOjKJL|$J8coqFG6vO4#BOJ!eW+%2}9e7 zwB|~%P?VZ0Y;-<6f#_55U%_R#B7X>i@ALqp9l9-npZm|sco8iCnEg1t;)UVS5TtV& z9N}}6MY0_&M{iXYa3{N_%x*P(Qf8*fsn}rS(^3iBFT=l-HvldZ?PMV*b(qH;DyCT+ z(E$9+FV&55Lz$T|G%y|YbSo%3x?^&STdNH}KMN6Y+r&8s%{|r~ zYO-MgJKps49#`ebr6aK=gH~ou4N9REEAG?+V^AZz#N#3L&vIhBC(en$VJMl90C~|D zFCzg?!fAVmp!Ry?Xrrh-BhSw^ykTSStjXZpV6E zf}gB=KFpdzbj_(O@NqoWM=*`u#MrbksLyZ+_GzWD8cSjcS zzF#QUi;kl+j@G)cIn9!->elo(b#*3q&R=5ekORg6jaRP#A1rc8#TFf>m%V8^?eEOK zm(l5s#%geM(hSTh=kTHNs7Ux z{xj+uR=OBM=!8*cT zsN7Ua1PSuNMjZUdqnFO<+_^cHaXT7y$kU6kjN%JSlvBneodYWjsf zaulwySQ@>uQo$-2M_zjrjB-3cJ$S|X_9uB^_avWzp|B^kMKvD34k^0~4Ie1rK6JG$ z+o_Ui0ezaKE~RZlW{jaPg+&f^eGp=|Pe2-oGgRXoLp4k;n6vm(W7+%bL_8XX2d*|u z+HlnP8^K|aHn`srxR{yrrfOxf#m;}bS5H)4T9xqHz%B*V5~N(IjK8N7_cmDrp=mx! zKTTpMfPiQgb|vHtsb;VFoTjD+4N}J!Nv)KFsS~K^xGm?hcrhi!zQgT2U_GOw#||!i zNl^MF6fQ)GN$dYf8Sg6PmFYyXw2h{01~||OW0dmx5$w#0H0tz@1c&CO)t{Y3oY+`% z3DPF9VNz?7&i)XcVuz1dJTWoI`P4W4hG%jpa*fMS>~Nb!+!Dy-$G6KL1G&9GBB$Gd8pmqw%9hhQ7b);O zMpetT@PjvRD@#~OeiVH+e-sIwBOPbZibvDhJ!W{Y+1uwkVY-JuwMg1S3Y$yLAdxUu zw}_tLJ53sZhBXyp)PPA|-I`t*!Hz*gJKOXAZe*7z^E8N_@kjOB)NuGpQ0f0WVpFx` zz)j{`)dDtW_t=WZ=g_g9zW{?$Ms7-Q5GVkgFb8X8Xf>?T9^}$X|Bz9bJZbqpUT$w7 z{IE((B(t(l)r?t3WoTrYI zyn*_?8%?P5Pd+mA`thg#-4J;hLl>SYuPr>IL=oZeZgegE6-4Q<{xUD9nP$AuBG>F4A%o;+mDe6-p}uMBWq&G`Gh)(QC+*7 zD|DUe$MaBa{SNSlLvtw8z7swQZoLYw+1YZ@&`Q3@3EmjG!KOTVHF-MBVG@Um9{CvX z8{nY1#Z3mm%bP%BExYTqx6AZScF!QEIxlF1IKG5)otsFGUqvC+yYvK-ClY{>YrvZg z84qfZI-q-6>kMYY*`DK+*UU}!&!Ti8-*8A7L)K48G6xVO1Wn98y4 z0tj4TtP*IH0~L+ITg{_IMFY>4dE_IN?-^f7Y<19BIg^~jMS4bn=b;T5lwA*T;h|}v zbxuF#9HPT`=s&D{|~&=NipPl^UW; z9tsvY>7movlr}y=ISl|8xB3D7TIRzIu|;vZIZu!I_~NIi382jPZEGn_zx5;->j$(7 zFxjTRTc}Pbe@c@wH>!x_@|~%Zu!yg<6}XkW>zm-b75?U{I?@7u9hg>TS2A+lLkjf4 zGB{!hnj+t8h&{PBXBFg({-l?gU^-YBsUfmmKI$-{e!|mzOfooT2i(Jayt` zwOI$1U13%Sd~WPnociRLK5-)2o3%j_NER#4Q2JgHH+l2Wu-6}8V?zWrTY|4Xg7sE3 zb+TMbeF`U_frmB**U%P|aATbu1}dIExiywqvO_v#K?G4A!U=2|NvaPPxoPDd7a>QX ztaWoqjP{v?6(@dHZl1q$@9-YNI41&_BcA!VcdVAl%pBN#RMKF2_|nR32cg#=DiRML zU*x$kWZlIByO4X}m0M%8CNx-C$fn&<*})=bRd^0jgE3DO|F+yM;RPQv_Jz@_A;%b> z!8Z0~T44&)cGesXDeA|Xbya-?qMRXr$|4RdC3u999`BziJTy?OP%{a1n0(y?M;SkG zkrU!?3EoX$*eMr`ZzEmIQB0`o$@r@^>AJF%-OETF-)>Wx82Rcym){D%%;_5~qq((S z{-O?bj&HZL{6ZiM7KO-$;(y$y+SR*XWA9Sg@l|H=+1IHHoBQ2m@FWH-Wz3QVA~L(c zQLiSc3w}5K<_f|qRtD7<#W=uA&gT>?-Bm<%6bo2ncLaX|HQ6h7ElP0&fPARA;~L!a z=dqTt2Z^W)AN|$rdcj;&r!Qh>Ocnp|c|sb|!DeZpH6K(WuJ%JP8fQam`mkLg5?PKm z+Zb*VC1(vK+MI&<<0tf`v%-P)FJSiZJ;C{k>Im!O#uNTehzECC+=g-t9Fb?e*E5A9 zw-MH4qy*dkbUjpXW-3EObw*2-#^QMEmQfwyuT}Y5n-B-PhO-uu;b3Mv*jgX?xG;Dj z<$M3Wgz+7ZVVN@ip;9(v_8er&ML2R(@lT+-AmWPv0W z@LBPRc^p%06SkMx&505cDftw1W>d%~)qVPqzBtSVHUm>?QqFDUCJyzAbjA?2H^@|0 zZ35iQwnv=5`!sF)e_=`yR^Mc5gRdAt`D~kp3al+deQPOYRLV)7e+#vpOTMm6O(Vc+ zpRNG^JyFnq3cz+Sl(08Td0G1GK%qEMySVog44j_(ZL7+H^|Hu- zjYXOWwWG=1C_p%&G6I028e!TU!*FI7yj*G#qd>pSA)r;Okt}}}e3lD0dSnig%n}{6 zxj~Ph@@9Z74!?1bi5C|KyM&Q^G44aWl*q={jXKGv;!GARJ zDLq{zZr6aaPU6;jWL=UWikx1w?qZ18#WxXqQUNX@)IEGHy_UUeUH>Uf!7=1SWWlYi zx5|w+ey6(t=*O^F4LLtA$#hi(aT2hqSr2ch&IUD9SJV6bk~Cj@qn(?`o1T%*ZotfX zrkZxoVyX5!PKA@3tiwNAN)SVtl)*qacfjvV-V&a(L>+iA)3OEGufqFzTBhJBs{S!3 z(h()u=j+D1bh$=82RNNlMntt^4p6b}NfA%v{219SPN)o}COfsb!o@DDzrFrl)<}Uv z(4Eb{0zi5Wmc`c^_auYz=$m27y({(wT3Q4`Qn=olTqx4~Kr^-M%t^$Y-t zamr5(Ki*EZkkdP1_%8Ap_%jJ2uh2%w(;n3b&Nex*q^0ZJKz2p2Bv^f?2cDK#-4MFs z9ayjoq|IqSTQG zU*p?#MyydC_$NNyTHin#C^Hn{$aUQ?__AIZ=TkaRM#tpA3qhHm;!3kd*l>c~85GLV3^kG%G=q7d{^oVLB zw3-#7ZcMQ)>W92~xDIltR}Kuc2Z(4e1wS;Q`nh9YiZ1rtkIP@ZEoJ^vKuFPLu7uhG zO^5%DxG{Gq0yC{bPA|04=h$5NSQsElg5ESMH?sUfsr)OV@6_Mf*>-7xvvl{jwK4>P z&-4Q<;W)mN)3Kg!%g;@0CtxkYhhz9Wll2du*D%yo*HWdGRF2;~i*s@xwFxTgp7^t> zh3#3J+B!9Kit^Gs|4O3f@SW!%b*Ub42OB$~wrSSi91tGZ4}-q^HXjfO-3ypt||uVF2~ zOMD)7Yh{-Aa!l4V$z)#d2YX3ovp@OW7T0sTvVi_eN+Ek_D9!Ld+u&ZD2q;)!DWAB_ z;CLxlaL>9CH0onX0hx{m)DAM7x9CPq$TYSFNvtnzc6D#%ANYyQd?$G>%g!4_BsB`5VGgZ9qfTC;Yc_<&U!b%z33txh{(pSt1AA%; z9g!|=i%4hT35kc^%IMb^D0W$rVFhzc$}T(~q_!ZdAaYOgY7m;5n6Kj|n#Cw&_lykL zHzC+INs$&7y^|hqv*)ZB=ZuWZDXVNjQ0;O=m*!99N?~`SvJ_XB(UmX_L(TT`|b;IwHOR|UzwS!he|77NEy^X z2tS-s7yGA*>i{lRnUHdqVSE=B_9Nuno+$fsJN$98#j*Y00VpjR;LH@mrY~zd+e#!| z0%&cx#MX9!Ef}yIVIxGc@3pcl@xk+WnrnK>j65uShLxFF+B zd5A?aHm`qKRVTljoL1gHzXGE_QB)XcE!Q3&zfrAC!i|lle}M`KiEC)zkiOs$crf&r zdc--)$!aANiJpg2QsW-MmO(HG0KQ3a(Zna>6F;N8C!tUOp@iiD7a*%4Sj`I@;hLD1 zkix|;7f8KkH=h!Eq3a5jCdDyV$Q>fAyeV#^R&$IqLjlU(vPTlbCw?6e|A@O-l(fz^ z8-T;sv>5yoKV#s&aX>6TgmH#ULj)UDxH`XS}5XGx!n80lc|1vvc{8Giv z6AHjXSp-@VEwB>>ew_Y=A*!?A$B?DWC9Xj`!>{8=tu%c)a)Co&Go-aJmwJUeudL}? zJN9b+gh>mzHt_8nb9z67)pCBi`Pg#YRzX zq0K5|2r%;bfY&BYd7CJ4oP-BW3)E}a)i4K@j_3IrPH_LW(&8niU2fm z6(Y0EKA-ee7&j0ZE}ptv5S--$MA!HYo^Tjz?_YTZ|LAxA|E*W>zm6~btjMsi{-21# z|Drp<$iem>+<|k+&%b;-F2r)lliGQQGt!lq=s5D1Nk4mUL#@Gc&=+FC3xG7^-DO?G zEMZi5>xO7DO*(23^B8~hv_9RAU=A4D%-@O-fx}7^AFZ0^zw6Aeo#`K8Sabw4z_D$r zarLRHbbG6D0j-01d&ZcF18?0b%mJA+(CX*Nix|UYszwyNsP*bw{(ABWwF@;HHpQuk z)LhEt7l*?7_k{pK$xZ-UT>PO^w2^_#T1#MPj))J5BBgt} z_m_!llTR~TD10(Fw)NvIG=a`uThg%{jgF05-SGDUPJk7rbcYk6v|c^b*1fNU=Ep?y z5@6OO8spNi=Od{13lWUk?YQiF!mkYPE(WOD8FJifCFs7}s^c$9AUC~FURCBu0KT*a)Fn$|SGK4p9Vs(9ga^~jj%vg!uFlGR>+B`IT(^I9!nChOFpnq6&3)|@xcPM_J zbm{*E_|Pex+nyvV>4*N|VGo559kB^gx5grHi&Dc8(qNF@RN9b!j5+LzZ zd~}a3Qk)LdW|Pih{vrC#?&3bKq5SEt+rzUZL*iuMF^>rHfP=#q1sk!&!IYw z1bAN~bAC-nQKcoGcq@Q$l?m5qf)vw(#&5Pz-=B43Zi+HMo+5kY30gR)c5A_qO~WJt zgTWWLRZ#2r0PGxd3|u|YI?EiB8uXAfhO&a58t`lGu%@1J3#E4EDyNd{!H}aOPaX&y z9x#dA)q{vry2{KBeAp^I5muaqlr_i#zPmm=`M%MFtmSEX3UM5X{>{7FEKoZdwpNrJ zr8Mb}ZF1#kA-eBQ59b1;N4Rs*fC_JpS6>X7(KY5S+aehonW{R2gYSr!V#s-X0%02T zBj;uSa=fMvW)?U(>YY%?g>=PhJ<{clS#9#hQgMkO8fa) zE?7#=MvtUwDp3Fpsu-scjsanzcEUcqz(KkmsYh%Sn;ap?=8LVxKneESEojh7KPjJq zW5?eaQ`BV63nXGle3?HJO1shbo#47y&_C!eyPieJM$ue+-+Dl_x!X#M)PnKQ1Tt-nqW=-VT;XmAopx`*yMFf$%(~?+!n^m$L%){44T`m zB9cXoi6?IQZJ&9_oCnnu57U1Aj!3$tx;52$AIHP3t7iCj?6z+VneB9yY6 z&76~uFt5J)2~7Bp1luEQnh|vijCLpx#(ay50r5R?he;}dD*~{*I01LFxtSU$gGP%J z3=f(gA!lk2CmfficII1JPuv_&Bk`N zR4LmulD>VRDyGMx#4noycS1slS%WB7nfXD5%u2R;06eUkCD4_ic~$JqaU&6ryqcSt zjN4(Lat3GAwTZ*!S_cH58cH_2(P!$CLL+b8fT18<_D-F_P5S7z)!1kM@4&2<&N~~`a-`Lt^QWV+D=jU605z*ftK@=ZCRf3sGF_umT8DyX8L6+>w>Eq8;8z>Q{ZRoJt7 zPlGe-O=3e-{buyDkg?}iY42ZR%YOTHTcfu_7ip%H!6#M^&s}ewMO@-?-Y5qBc`sJf zJ43BRhlH%sm&njjA8d?@V{II9lS0B~nUge~`!jkmw$_Cf`LUqLHPG3N6vY`oYl$HA zG%zdY0Oxwv@oc_J@qDmv->9TaST z@A<=Ko?WrZFi8|oYO|=_>4iTUei24yo$dqc0_Q{;`m+LndV#TjYRHhG_M~IN6u>tZ zgq0U+e9Vam^q+$xXVWh>KOrnF5gm8*aW{lz-GXiSnP5z9eXdX8Wsgb-j$w*tiJOtD zwJ8WGMHCB@a}PAIs+S7txt|<4^Y)GMsXr$EO(i~gFPE^N9=1Lj8Xq=_Zf=FUkIL}6^s(fMe9D<`I zU@6guGDD-~OsgfDo4Y{z%x7wkVrXpWt!FDB6ha$VC=$5W5_PeRWJK|Tx-F+5T}nz` z%B@N3(5L%ZFDE2bYMT8pHiS@#NkUFYN;v$3isPUTG&jG44Vd^7aUgj+XW} z!llfLN(Z9V*q7fL!$H3a{f=|+O-h$Cf!v#a;WFL^-yzb?ga*M~i}J>Lk806bQtFU1 z2r%nW$ohHueFt`B5}w7rpnyaOKMI-w0z?Z*pfh<#AP(#6+3XC60!b~cg>qRRg<3yc z%380B#EoH)Wh_>4IeKYV7+v!vD7c#AZ}g1rTD)e%*kKc)S)AZ=k7eM@s%bstG4K%9 z$&2axQDERy!W)MKE7jGh-|rgogXiD*&d7Cdw9kIbQvs<}={O!Mo6DI(03rLUZ?nPi zcYGTqQD_EF*ISXn@%nv+G83Tc5|L5hXBz)E!2 z0vN1NHk5CqULNg>V`L$0FKCGcxf7+-%z%k%L$&xB*NZFJ1srpYd2+Io-YfUmds`NQ z+y2#dTkL(=`YyKQTj(FCx>wWa61wE|e;;A;L*%I;nNO4H`-15VZjJG;077;s98q@I;?R)9cYr%PdRWPC-QDHw_ zqrm(9RU*|OWnneBY!Mn|d{os44G8rz$+8G07&EXr1UW))s3yiz3kmXEm3gD3Bm)In zG=4(~aK5Ejgy4zOD$@~3!b`$%W~REAWJO>`Hz6Au$0x38hRh{=tOv7@ko0LmpQ>8L zk-%^SUUqCz&09b!UgP))URoLZqArxl4jeYT4*9oy_qiuA>btRtPmEd3JOL0ytWleX zcZge=EJYWABw+N0qY0pLS3o=93}Q)v8YaK2{wq26k7V2b4mtPl?7%-pt&%p(KU(S^ zD7_NY&*29&XZ?53T+)X1=kV_Yx}?od5-;07$b|p#v>$w&?cbL1e_r#mna}o58sNVI z5zq@e{fv9r{ykDy*y#swXJPw?)cyIapA+NH>7Qcnf1ft^pV9LFAnE@v65${C!q4@x z{WEO%Uk$2%F4oZ8RG8r39wqAWZ}4YQP2yF{3-X z@B4JW8L(+9E5tv`1P7Nmf6=*{I)|jRzF;}+sd=0FB{o-YnHG$p;eF=TFgL-Zkr*3i z$k7Gag$qTG?b$;HhZ^@%kV`#a;hD*?4ghR6c&x_n&~YrWEa1bWaxa>I>douYIy#@H zbcM}E3MD+Jiegc$aqMa!{K|w>^F0?_=ER(UEW(T_qkH3ZHm=y5XLVxw;|`|n3A8H{ z$8o^^#XyE5gcHTIm0BjkCWQg&fHXnGCg($(=N6WSL~RFJ7`<^zkBfff9j_wdmhBt!BZNF)HkRqb z(u>aBcT|O1p@Ren%G7i9){>gk@lSaC%UNMRs8VfFo-Tbz8o8_x3^=RRmF&pxR7@WR z`-_L$cEqoJ?1rXy3z}jI-Whk%%EiZWZG8{#ebJpFTrK6rE_(xddM5~dl}*CudYJ;u zq|_S{hZ_AwzxHx}c*6A+9~$Ox@cDe=R}y9qNGEA#77emkPomUI4PjL@!Z}W&YK6F8 zeNm0s&%|v)PCW5qwW&^G*3j$;2EkxugEK9LL|GT8+3j*(8D3l0F@}*C@$@k}!r5RL zrt+kn9gPmn>U}CCPq5%|hnw4(()o`&kN0PD@b`7&T*fC`RDGokBkRhndbk;j#`v`o z&>NDO15-?cMRxGmQX!xMIM!U3c719xE<`$rgid8t@a|oKTiM$B_49ZS2*#tEIT`mF z!`-r!f)Ht9_I2OniAsY%ADu^RS85ZTJ|n`&1zv^0*Ym70L_9yeEF*?03RBr_as66L zWME8cC`ST1zrMZ|T`>a+7y7ddpL;_xBA|kVhNLA*twkF1hZlt7a8`q1@X?aG1Pa3x z3cP;z;Euww#iVSXvXIotUujP0l#P<&neJYfQu;f2JOkn_nKrA&ED3eX5_V#%SZq%H z#edlJdKjwp?(&L*R+lonqt-u=t#@Z53RQY2UR5(48u|$N!2XNE2@j?q?Uz?vAR{5M=tpORc?A|w#r)T!}qji>~y`cs)UQ67{ASIWN7 zSLamQVTc>+7BZ|aAKTN)o|j^~XPyMIufQ8poFX(j+tI-6wxAoLMo9p=fK|Dd))#?lO1&!hoYySe?OY^4jpBcefmLMI1!VQ=1`cDRS_V;j$ewK(d~^ ziWXrkxOoNd;)x%=;O}p|Iq<0!&@i32pUsrT;Npp;A!B53VZ=L3$mu6~lfvZh26bgY z`g?I{B!MHE`kpWyf89+e6=!@fe78Lz6y@i^JUB$;R9NppV6tcN%j0%-bqZm;V%2I4 zR>k1759DF-Gdfj~yD;3%l0NZjQ*GeY2?q3F^Izqsa0f;%&f+I4g$#&1+IjHLrza2xxi%VSb_J`4-f{ zY#DT;tWGv5;+b406j1r22AwkJolGqg4i{Y3C6b*^L#q?ICEhQ6KXqK3UZ})wFC! z8WycBE@UrMb5~z!V%3qImxM%1oo??Z@XA#%@m-|VaCRQ(y{`72p&c9jCIzOHq%b0P z8uwHJ2DM1QKSzeC1BgTr&1(3@>_}?Kh=KCp50=E!m%e^DMsNTw3IJJmud}O6LI4xKpST5Vc0mv8ma)F0ST;>0}wDYI+ z{u#7xt1*K>E8$biCisZRq3!nyUe-P`Ve14o`pQY@8ocj~lOHOv3!=HGyanc`Xh97X zGI?~kRnPTHEGBszLHChp@rhd7ap7~#;~L}nCSBf0Q@_v=mEoDRt5)DAKw|xBIvz|` zQml^-8zJE}bq*k$agXvIPLKu^pxN;ZI1A~8+Dy+En^u+KLDWiucvzChQW04P9!Sx3+6jhnQh zZMulq?rjQ|K2IK^!lFmWZ{0#CzS<}{P2;L;nP0wJ0NWR0dE4IR^P&(+g(z-?!|{d4 zlhmtIW%Q18N$=Z!AHFQx?6BGwG=YG85KXwxT6)6aY{B*0XFwNy&g5f+T=;K+%^L`^ z3tSzWX~YYDeh<8AqiRAbnS+{eiz-ZxB+?C6KJjo z61~eK?E&Y&k#Wv{6PVM)+qRgkc1tAXu00J)F+!<6{}_xCa9Az|vN)BccJh-eO3)>+ zIS%#-={pQVdxe);z3*8R6?>gGsnDFPHBWq9;^T;sR)ik`UTP2-3^-&vGtrGmi${lD z76a`6@S0sqIyxbuBE^SIHy10?_r?U21J@{SO~6pb0D#w=-+_Ys1a#fRnPPF5`&s-rCV?Jo9Enm1RdTj|;HHM9--2JP)?h5S6s3Tx()YZr(0OMnJV~$o zMv%d`6edYujpt_S$iR-4v?AY}x7?AmZLAhzVCKrc=>S7A z_l26I5;N|i_3n}C39edTH*XFgI75{2ixJ$7&_m(lb7?gCWQBJ_mFhz|Fo*og({3ku zaL1$Ctneq&527jVQ%-lg*pg>!yS{ZWgE`DZpkwwxfn+TNsrk=3#N^S)O7 z%rQ9EIT0iSZ=ci|9+vWv(5ux^m8KAq#zOtXItRM2%lNS@&FG(^IP42Pccb}5Twt*>QF zD#4jsq^d4~Ew52On`FAF4=(;RG?m!cLGSXslmvyohzXRzL7&NY^sJiLuivUCnA;_c z4+sc37-s66n7>`fl35mB>{O{fZ8*>!gVuU7@ zs2Ywov{+X@WU(86TKMb|wRksH0&_6}Kb9)4xTS)g6CxcoITB3q#jkZC>ERFnfs?GX zGUzSsnLp4CRX+H@5Ujz%@K|&Qg8{dPy$5|lsUfB2*!1=gnYe=2V;P{-06d0@7*jAC zM7JDZ=2dtE^??u!&)F-_W)c@W(k_LRx}lE!cg}Tu^s1<&+F|wq^nutL?&2wX2^Mf= zoVG`H1Xyw>5`J{Qs7s;|7M$gT^OF#nvNGd`Aa@x=tIxBVkoG)K^xSU~*dfW$2^CNR zro>@S_tkhoEZ~=CW_a5umND-QE?aJ4NX1o7SB>X6T$*St&q*FgTfr6#SD102OB5cG zk+I?x$6(w_BrO$@c+@@G*xUzp+F{mcI#E95@%3azYsOArbpQZ`ZpXUY^Jde0?vKag34U<5j*n{g zSwZWv9~9Zbsk;O;dhA96rvKf_2|!u*r*VlG4d!PFVnrt18+63%gD%PBJar}mPV}{$ zym6%rz+heT9gPls$hxE`Qp|0w)a}YnjHT6`1V~DvsxvVDA{ud6w}zB}{H)_c*+w`Z z<$i=@WN6J}UiraE6_`j;@zg+@XJwBm_kQiAF)7#(PlwFpdMF3j?z}7GMa&4Tw7@!} z7e|$m)pu;Fw#1Wf?#Wp1%B~MAky8Gy@K*gcJt0XY`+h{idLo*A8A^5$yFusmR0S!6 zsIsw8L5EP9BjEN14FlQ@uI_qFQAQo z<<1=Y)Y;fH(jCj6MqF!a>HWozgY9Hcw&fjlPvlxWYT`qG9 zwhbLl9`I7IYFgoL&)1%rv*@-ErEhY5nfID3JsfkvJv>#)H_!Q>`@cRHlsD4+h<-9P zZ}>??61DuJ;6WjWph>(> zMKlc{Ry%2!m8CuHXe5R+;zodE%zi_&=RJr6kZ^V8U-u?#?MDxd80qs5t3!*Egy$~J zi=X7+7eqhnN4h=6lRpm-Z58J#|~^7$jd0S#4xzLJ@Ve_hvVGMUglP2qMjXmyav zbKry$5ve#^J?@MCaCa$S*E&@%m?1!bM!4@auD%F* z)EXtMvZ9cWSJtbbe8vDD*T4!=EkPzV`ewlWjV*#jx)E>-8yDIfu9oIQ^aj&Ts3JGB zPMSAk5#ysdsQoaenytv@k|vtef#D6sO~vkmo(6Dx-;z*jUfB~=mjG2Q&uGM_s@VZ& zahAXKs*F-08XvC(M8UH0PcLJ%6+*qCR!lJMd=2$_$)R>e@ny5vl5#psXXk0w%*d#W5g%=+_?FMwx=4RH6=mN&1MK3yFN9Xbre zn|az6{FHEm6MEz4t&#ic>#~LJufx@6RWgpTVpW8v1HJVl3`c~TL(r-1f*S1mv-IcP zmqDDnS!^-_lzlWDc&hm;Lhr(Q9+=h$Xh=ljX^7@V+z(s?yN<_|oa*zf33Le#YFkH- zk;t}@uzdgY%eAbfgRx(jHa}k#p3Pn*4g%Z)xF~n4P^-J0_kGO}Kt>7+j`t>9dT%Hv z+Oke-fAnWU1ud$YzgV5?Io-10VLSz%N(H6bqm>fBz(DZo$=4N`%P{S|^)MAi=`s|r z#{`O{O@m|Aabn%92m#|I$O*!_h62g0r-%1M!9c~OetnspY*E)KA27Z&e#9+dvSF+F zP^JqfufrtuAr&Tj^mFZK3}}BD_1>oKWTo4^Ki=6sS0P4lUtjy9(D3t%%vA4NF=|GQ z+KuX_j^$V|YL*L3VexFbO(sD(+?nb2N{simW?^64Vg@@V|MAqBO3JD?iXR`w9=svf z+w>Qx#dkp&dH1Ya66kx@Z8vI0t)5{NY$~qa6wLm^Tm~n;T*x`nMr7Iy@zAe2%Q)bC zAsv1xIB&~BeHvTDtzm(RZjV=kb?}i$8kDUw8h1F%4ECKQV0CR9?={g+ z0Tq2@dFabkqw<~imNt)nmJ#Kb!F0_)DGdoA$jD6~BjQpS!O`NnN!+8N$?*QQuwpnF zoqh{W4?bJZITU%3Fsu8Zp+VtuGWX@$OC*y-%X1jP60XI{r*NezgC%uP_ovSqpWukd z(HiQQsBlmz{JqE3-*=Oe%;?tw=Z29P$}A<%3BQn@_D$gL)}A+SA<$rxKnY*M6vNeD zqhH)?0Sq5Hq}O-*>{Fp(0WOxQq~NnJjD9Mk*cg1ns<|?l)^TRCmf1|qNh=**STt7@@lU-DB!R9$71^e#AA;{&z zE2|YC@dY@pxSQ3=D8wy?Z0Rdr4XbP;EdF|N9Q{x;^U*A@!lI1DCw0UDWq6n(8T8~Z zXg5xFQT3^m6@T37X*ZqWR@p;MTGF_tYDKH|b~gA1b~f-$3t2C1d+}X8d-NCk3|>ct zNbl4{}FTbE5Vv zg_j~msYE=u>{$-qwplI9J+TBypIklDbkD9i9~+8xv-G`JmFk zC~mSl;eI@6%rWCH?5>PH&@p)$>{N2>DixWZ; z-3EThgdVv|g4(OEc8L`w2}1wZ>mB7l$Tz}1FPBbq)&ZMRxRJOKBy;#C0Y=QU+QFwL%V{23)AoR zr`FWT-stiue#~z4VoB5;Njy{8rTf1Z27`-fhu?i+85*{E4i6VChY=rSJ_APgW0;Om zRrmbO!=-MqcZh(C?jdooP+=--*Ym99>DkO^-=i!8FB6?arkPR+Oa@$WRH0>94`A63 z`rRXgm6y2gc+217-|N-!+VxPFiIp*|ISd2T1sA9z%DnmVzpN2OI`v-5)R2;4+HFU& zgn_3c8TyGnYm>rW=cm&2qDpAF2h3-{3ElkFdxUf2-XCk3sFNbkF$U@!&#jI|$C&8wOpKjY+ehLE}DZ_mXo?Ef;n}iH- zepR}QWc4(ah$`ZNunoLJKHLcu3rrn}&?$dd)oO!9YOWzvvd=M*L?ovt691xtDGER3 zdWqpBLi|&;u3h9Og5#--IZ%-1C44F1BblJ?YWfzAs}ehy?r#PHA~Xfugd&f2kb#Ivo;<+ z*Bq*VLoBtu4ZO=h8b|_X1h9_aoK2aQjM2xgkRQyKG~Jlu#LGhnxKpXX zkV%#>8*<;vMZE~!EjV;w#!Hhi_Nxb-l-o)F_Sta8Nd@M8I65OOQpslx!uoBb5<7Xi zhHl3i0E?Xi5g97|@;e^9pw_|>KMeZ*Bn_8^AU`@D3pl%!AnsQo=>;exoy;;Wv5Pxq zh_3bXm;y929Faak>iTaE&@x3PS{(6-!($9kiAdE8eU#K%)>%7BZA@$)GQ*C1U8;JG z)@oTTnK9Fm_{>}Vsx6A%`EwZmcbP*3we%b;fofp>2-RD@l8=umlY}jCe&}|Fl{le4 zE~WK4_Hl(E?nE7U%wMM{GR`xqe3nO;wqpJ@)rKmobKBZX$)!%4*&$*p?LjE2YA{ze zJh<{-lbZB!sYx7M*}WV1{9l*VSUT+(r&%Po<@{LpNr1&E>E9$m-1V$V3Wy>+5tPF` z6Qx4U5{dTCg#t(ZmXQx66bhmf)>Cj)q>IbT&A!DDZFcGV&VvTY?KsC1aLUsfFqHiZ z(vUZ$02fxr8hP=54E3;*uOc?;V9&%Tkw`BsnxuDs&k@>z6AiR26v+WQxMpAI+nS=u zVUG%c30Bi|=d8iY2xux3>D?w_PNfS+V2(`Y!MEc&*jHQA-#Qzfnb13aHp*=IC=zFb{&sMy^$Zph8k#mn&VZ1lbl z50IPd*5lMM4By6xyvc7oO4!OpEDh&q7nooTeO#5z1mNX_*z!WGm@`6eL!t7R@ab`i zZVwLlt?`1t2l~G7RVH&@Bh+?6BhE6O!T6H#(W(+7tdob9(L@Siqlzsw%^mZkU_o{g zd#Q>!YDy`LwChsL{x?&{ZrNfKv%MlT{-aCVb#-X+?K^!~KIdN7tds*gJV(k%QD%$h zFG%5#z1w7OwemZv*YVPlIrmaaMkS07TWPx&oo}tr{AP>C?(2el=#p0E4S+#1NTSj(O>sH;DBIDMseGzV2F{g#kLCNZ0-9q&3 zt5&Y;dM{dFy!b)5(2!%V;!}a^^~eE-z59O;YjzU4rV@~P=^UC7WuBk&?bS$H#`g8R zdC5Q%aeDg@pJ=b8>4O)-`6{=EqRZ@|j5lLpT8lfflE)7}+oyva!5uSjo{ z^;P1+=&#*D-%F5H>JfG4Pt2QVW=;7V{>ro%NDR8J6M5}aRNQLi6hPM zT*aT@t6a%?-zlFns>VcT4`eJAyMQ=7&fDs(T9d)dJ$M3>dQ_l^FM&UVp~LNPvx|%& zUG?cFU94|sC^hAGX{?yUuf^8?g-U1t$3*_WL#6*$)c22Q|KHc!{}nX-2TN!9X%+r| zN+SGE8k*@pq3M^L?zKTxVRrXJ>r01Z$;0&Tlo-FQy2Iv5*F(^jdA``-q-cKrcnw0%b&Dbg1h8nNy3tdh3@284BjSFw*f9gx)J z%JB;u`UnC(EgrM(v!+bT#ypu?>lh?^r=ANwY!30x50kck4xeR6Ufi#ZSEANu>J$smSqFB*rW2 zf%Tut>R)D3I-YHhE@wf_HSKhN|E@WwyV?j>U;4nzb)o)ku6std#L{UHk=7D;!^_1g z3@yyu%O`o#IA;$Hlt5;5i3k-0o;i%`-y#7Q4E{BM&x{`0+>WbtpSm-d?iv$%SzVa)IDx`WNEo!5itGr6Z{ZZNxc8^J zE2sqDjmG#DL}-5AVpAuC!ook%U7uzRlJ~R>XRJ zq#hPCyY4sAjY2L~RqK%2xG}c}J$jX2iVRwnIF45#;V8z9Cy>z-Q|PW z2?0G(q1C>oNN3u-Ef3BHJE5;-8>=|Y<;~uH(j*44 z);%N5}Vi6sQ>bw~P-=en{D;7yv@ks1ys#{=HclcHy*}}Tlyf*m@6Ws0gOoQmO~!oE6eLbpQ}uf zdsiYQe4Yv&kKyUsB|t0^-eben(T!3FIzllFS6#r~jv0+~gUZ3RB6V;Mm#)VpDl8<^ znbHHKaW25OhX;Wzcq-!MAiYN^Xfs|K6kA~DQpX8qJur@_q&9bRC?zOX!zNmHmWujm z0WuHC6l1%F#cn`gkRf4un>)5bqSs*xG?`+aG2F@ll%KuW#V5hN>L~ICJx&&PV zx$StYr#ythS!;V|dCUrzAF$f&3QU>ARgi1qC(P(UP@j>JhDN56U2twoS2vC22&i^S zbcF#Ua%s2<&lddSy1XW@Au4D<++0%F`Q#%^{S_yis1-C<>I1Mw`auSD0DCi38P5B5 ztQ!eL!krwbnF~B5vha*R1f{5`nw{53FHG9TbZF{ogK;zetjE^E;dBo_a~HDc--=Tw zOb`FXMhdA~CJ9o133#_>;wZE7bD#DIgM1>$k^-m4>QIc(`;+b|jgeavv+=D^+#)KH zHbDf|SMs43<=#0ROHch}sE=WP+>sot<@HBsJf75lFRv>k@%Bd6kwKF-HPyo4`AcOw zCuT2U+a1w+09M!os_u?SIK|$XM0-Uvc+R2$FJMiU&1Lq3TaUqWDHV(DO#3=1dJgkC zeI4j7e*ifFInYH2O%rZQtm`X5dz^;U$2()d>MwI+59l&EmL$3zK%WC>85D|R>qoO6o7}lYlsO1V*WQyuv!zFN4?yY{wvYg-1 z?uvaNHw!t9;{hbmU4j&6vV=$1~oR-%Ao$UN4a#S0r(s86bcEXgZwSoh?v zZ@I!r605gn>Fvu}uR-4g9zg`|T5l)hR>P3H*16E?ADh^Lur`=8Mxt-g8H|zg(|MOc$EBuzp4jQEqQl$6ti-4je)jJT zFYADA<)yHPf^zbBZ`hxjp=WfLI*#MqaAI}>RztR4rkL>6e|V~&=BgMB3RH^qE0Scz z_v#MFFfTx4{tk+A6|qu1T8|}Y5+X2k=ZP(qM2pw$zJhEh*IY)Lszz=lux(hHvoHFc zE3th_(^-ysT?<9b0NQ!5nYu8@R;E~Y1k6n6m?s52=G)8KuKV3dtB2hmQJe^~%_Yo8 zn}zst=u8AEqG8BZD>Wptkqh)a$!*uO!u3gNRBe?IbbJocq`tq-)0m5 z%i3YY*+}!c+Oe++aTi>{sh}O2M3)t`jdi=kE-&}W>4rK%Wke*d5m9mED3@;(5LEHb z9RGpgI(EoLxPt+j2icoUPhq*aK`D&HovYP*SAT%yJ2l@Y#$P1$?v2y$_r}vlJTiNg zSs)`Bbt~r%ZJ|UK-*D#7rH4?We)0uhV;M`ng;aa~x>e51w$!@51d$3yLoMW-JuzVv zqOhVnSU&s+Dt)R8s$1l;b~)%bFUIYnq!g=TSF73>HQt;bsufV&%%l|;_M^xFP(*db zjCB|qe*I|XPDM}bUBeIMPSb0`Y8#Ip*Bb0B7KB>>W;q)ibn0N>tCsVrA?kBoy+f%$ z(g0UiMI`!ekRj69Anw&mzR|Va;Y{ak3@2%7_dBB>9z>?VVia{lcHQc~F+^{17lhorAk zp#ycmQd_j-GP^q|*HIzIU7on}xkHWS?iCIO;)WPz0ZgrVQaSGR@wR{a)W_PzsE%2+ zC~D3vv46KBC{?2W>?FzwS!X^HxMZ#lLwr~gy22&@>&va(uS-=3;DA9;MK1ku(@EP^ zz_HbQ73j1tV%@l&GG~vz&NKtD+RK#|?Q5LY_bBzR&}=kAk|MYdhut{9EHd(hO=HKmluWj45ZQHhO+qR9oR$kk-ZQHggU;K(f#H)y3)qKs<+`Y5r8f(n7 z6&I{Lgh}b^E3C@Z53Gf=)nE1mpf{^J+w5>~>?7u)D=)H6LIlRKht`<87yz46| zkeQh;xYJf0zYX^hIgCRG9eplv+3N48eh{sR7@S-P)GJY`E4++bij10)gpfWBj})-Ymun6pAuPb;J<#y*u^svhKPp%>s zY#8FWj|Jv55Os(ygn$;sPzC%@Z5rOuHMvF-&TeR1%;h+8)v8PrZtCm-Y$qz?Jhh{G zU1uGtCHHDguuc-Qb{RdUzlx=D<~OgiYtsy`J|%`bL=tQa7B)3+Q;xYN?P&nWEt8kG zVjsb*ybOn>5z>o;#A9Oxek8sRON)jLMiZFrfTNzeKkqh@IwkF8U(l_R|qTO};y3dD&>0WD`rIBgxvd`ErD)Wo7{*@~^ zPZdh1j-8+qU#RA@!+&?1OHi+aP)lD~`|`UwV9cv%=swI_bA`JUDArxw(%)-<-SD7zt7 znnokJ0e_NLZjl1pBR^c)AZIcAO)A(djJI``U6uC0D;}qy7BE4nIlaid4%ckUZU0%d z*yxmuq?1eF2Fm@28);>?Oa_zanl#w;YfGfvj&v(W?~hC?_UnD zjkI4cBeQ>CIv;{e_CqQo;73Es1fzz<*H0LN_Wk@9_9JvL{p_aa9cB4V%zj$ISGqV= zXq;rFGSzbfoK~58glVTHWOEos3a_UW1GCAcbowwpbZ{^yaFexe_NTUxlvNTOwDr`o zt*wzInvP%o0JLi&NPIId@^Ow~!3t=bW!%!MW_CcANKGQDP00QJOP( znTbdnjRiw28%vCI4iTkeMX)aoR=K%4S;90GG%rwDY$sMbGYnFVYp87syHYvG9lNF{ zQsovI-G7Pvw8uBqgU22dF1Cb%Jqo)|0lc6OL2Q0qxAOsM8vm#Ut0+*wcpFh=#U~nv zA0m>4%!KZnOCODC6M%v;?|-vZQjkS7`CwZgPrWodGouov-DTgWuZTXtWrIXq+l~vu zEx=#r;6z+Z(85b$R_o zHXEYYw{&=DEoR(6Riu|bg#3wmRfROlJ-`LoE=aV%SixlhT@MIZRzh@}Lt&ZWQ=1{c z7wukj2d=0zZJ6Ge_BS6yA_mf2mhTR}XEV}qPJXu({wAvxo#)HBUc(_H&3f1QRPUP? zHa%#Z91So}8)$K2YoAg~wg$VmIHatS>M^6MUCZtB5uq@%npSh!ae!!_JDaly$fq}T zrBI=qJBupAqx?z<&&tGG47kQQ2*;b_KrM%Ww~B2-aHfM73qHqcbuDCsl*}PJLrVOx zqoN!cSLw|-U%@P<>(osW{6M`USa? zs+`avb5Nw$H=S$?s*t6*tl20;*a7yD^m_FKdHkb_UX~oek9KJ*P5o9y+#srVYo!@A z*=+}tWx8Op`K^R_HqmPFCNP}O`yyfb^`1mcDqx0*uiFV zn|w2Y4VGRQP-pn17(O606^C}^o)x@&>%mbJo=KBEOPV^{RlflaCD) zlXYkBDus(^tM!ni_tdar5$E_g8u@1aY4R(LW0}-9-EeCBSFCR|W1I9AU2^Aj9D19x zJbVXxAv#>3bf3Dl0%}{m7bJ|B&Z~}7+M=cRAAEA0zJ}iV*stNQBiiO#{Z8vC8SHvG zXqq{92Z{AhGyrZ03`G#D$wg&?EowoUf!AXG7c)819&C&>?V(2BD$i2hvqasoO=DI} zQRI`?!zu_1{oq!{!&_gOINCotgI;TfpqoxHmJj_2EXrg~}9s_p~t&yQ5u_$73uGukczZmc7jD;Nifj?%=vBzCK(5!&~j`g(PFZ1(vlE92Ru{e6;^biERTEE+s@jTzh5qN^A59k7;O zO#!wZ-vR@}Mx9v|8toi2KQUOvv{_r?(E})9w2If+|K@@cKOSCE2dVidZj$x*b2)ac$VcO18ya7mXI7Y{wzbNazm*v zyOxd&=OlsM$SVMYOd^W4juu%3IM>E6krwjBO26r3zYnd@c-;)J1oj;M^vuv8P>cA2 zfI$E|)Q22@g4q7a25>w--0uaeC-u6yZ5>|GkBYo+=IUrSGCo`D!1^IH77`{ThY*0> z-a}I7wBWz{#NJw18UqgBX0?j?h5%}xw3*G$VgZSO9>y$m8Rx13vK zi-^=OHdTj>H%C!mKR5vsTQvMbng>YIwR;#m*}rRQ@H~HGOQCaJo=GcuiMt+(Nwt0Q2kdv|UMi?Q+iHg`hcH zyakw>3IgW@2!~dndzN3n%-6_s2rY5a(sl?HA`h+XH_WHuf1fM;3whk7Bk{yz7dQuy zLp%+y=QJ>umhu;=E2}D+xOQI@(uPF8_{G$u!e&T3)6h&F1{5wuJt%M@96$icX{7Xz zK*_NmhtnUdh#7z9xw-p0QP@&c96F;zl5l*T->dp1bD!oZBi$HkegBTd5Dof69pbmm zAbG!-oV9WsKZO(TKDD|0jp zU?{o(`?}8AH7GhZ?igYb?(Z_CE{A1Uy+?Y^0%zEj+I%2x+0e+3o;-_`<9$PdWGVSa z$?}yob94QysO}-b_mUPnF( zvw(u`Alj66_$rZ&c2q_*&lCjFJi~sg<9=$G9MbU0`wzt_Y3z<=JI7t08@l!Djz1I( zs>zaj31*U8m^oCPMdTIX76btOuz)x8ztvV`Fif@y>LJgbzPR8dPhSo)6MJAme&w16 z!PD>Hn?ZY?1 zl?jQ;2A5ZuWZ(?Q2q{BB#S~YfVjNGuen?WCNM`)QM_B|c^xCmCfzvo{%g_AN$2F)h z5ygW4P(2${L2et(sY|E6y&J#p_SH}?ec%;EW*+>Y#MaE3 z@j{8CBn8y(wz*`75ZbxoN*-C1FilE|CsDLe&D?!nmN7Z9$|g;Q5$#3P@%uF4W+u74 zOB}!bz`s-&74f2;N5dy_4%G3#NvGv*L<@*a^sM_XUpd{!+83tR9_B~1cnK_|hGwaV{9Uf;J(TdZ=KXPKU^VC*HbFGW{$s_q1|iGh94sa$ zWL;R+vp{9s;g<8h$a?tTCS4yb(F<92jb4}5gNG!s3E$;s?58EebFNp2$0zx{Zwmk` zI0#rUbaX)*aMVrhqgoXJH~7M9)yK)so|1i8p@x zPsXpl0KvQ#v%GZwGTrLi-Z>uX1K3kYp87ObJ8s!=%}6$+5KP_rc;PdQX9Rn{TqRLw z7UzN*xShrhfUOj7J*BYXY=)=>gK(fVA4oa|qq4g!5WcPS;6IXCYcPe#WAtnqrR> z!xmCiGTKLnyTucI{;k$j;&kI5c>}3oh=e*eg=1^AcXe%fNfkqy?mH2`A&*)uM4LwN zi9P~7Lqg!R_INh(YbyQ;cTl}_;SF^#fmfZHCZ3+}+n0$7RH&dsQBnCJ*3%m zZo+SVm40qBpc#BUIrNN6)XZ*I#U_nwaiiHiT3vChF#7xJ%j9CYBVWVF3hI#<*hB09 zXhA_Y$!Z)60izd-wFueVmVw#b@kHp0SxN+S;T;5dFB_W+ZxJ+vez?TZ{17Wooi z^9>rYns62Q?-Q$XiXUjiv$}m%J-`_cl|~KymSDb2M&lcZO|g`+I1Qb!D`l|tnmr2C zKZtm)3{Qy|=@}S}&-B=c@qa3@1|Jye1o{T7sE~r6$$2sMLFHNMk2rNn2FeO?=Qt)_ z(oRY>GZ>Sjgw5^GP4(>M-92p~4sS1LQ+yvl4wKKE{TDUq#a=S%LmUg7wAn(NQBDom}LIY_;9TI%V;fS1_Ci-cAHP(7z#0i31; z+J}G6`h9+G;vQHWg6L&(%oVn{wYr`2f2?j%EuwPpQI~4{s=L8V>;*Fz`pKPVz9ji| zFmb(xc{Qf&w}{Krj|Qy-r|8>$by&{9AI}#bOoK}xo9PO|BQyA4NyB*g&H|G+$>Ft} zkOW^e^P@SYt)s)^VP~FQX*RWkEqK$QTl8oEG@WRwQGI>sl44;<0T3xG%#=fr`_;31 zBm1Hd{5Gpvb9F?IFc#sipVXJ$KNjjTqNLiixu_vdQVPM|Dmapuo~|}WOItx((_22z zF)VXA>m5w_TOyCWAhG+YGfao?BJH>e{Z zVVH+IeVx@AE?k&}zgNElXb>#`W-*G2W^d=i+-Uv!)5VT|$ao}@xYxjx?{&1-CA^9S zw~X6+ydRtey(|n7zPGRsei;;M-}ifC<~5n){5!Z`5)^oO89w0$E)tSjifcCgp7>?* zyTL;kVDC6I4ec_40Cfj>Z@|2k?X3~P)a^#;NSKxFYP^$FBe^QELex1#r@uv~NvD`- zW`SPxSCy!kTi1#=f^f^HgeG@}5=^$csUk{o%qN2QpP3(;Jz|HioS`>z0H5oPNkksR zn_26L4SW6Ty`tsUv|`%+ge2f;Gj(h3{XG&jcRbB1Jsms5&l*M0M=DDA!Lj|%Xovr} z+W)VcTK!9>{-0=ve^LbY|IY~VFVgxyrc?hb?ZCqL@34Z856aoa$<)vmibxLj=k!ZV zLXr_E+gEGwpZ#agTZfVJpaf#>gn`WdDOA$%ifFW=hk>3?{fwsM9+4d{T)J12FVF3z z@pvR`f8lx1V6u~8@wk%70Q6(_EWBNf9iUrYoGK+LdXIzcQ#?G;m2^}L7>oQtubB3) zy_YzQMcye+TphNFeel6RpCc6;=-W&}!{8E(WE#3=1yT<|ll)ED-1GqT5;bVS4CGg;n55asU ztAD|>qi9fLPZc8yb;X=-qM_Qmr(XKlrN?Hgz;Qg&NCZ}b-}azE_R zwiXC6jJqTD##eGh)xOM}8D4d~CtV>; zV0!9*;o+0WhMg2L@bj(&$5m9sSW2UOhQ(#J1N6B`&SVR*?y;6n0o}6_-E-bw-d6MN zi#biI+V4}cojn>2p&|oIGi?X@6sgkb$7wU_snPE zIvcSXWBTrQ_=}ZWI*v*&Tlzw=Omvs}D`!PH{&m*CEZX}l4h$8}O(d+VchxWsg-_qJx~IbrB`ssselFmwQw z4;x8rfDr}Ft$yGhDPo6gXq8mZkrp<&)!4IF zeV0^bUPo*b3;0IBNN+gw(cV0QW&!&c9?trzn9#Osu)eo^Y-eN~+_dF9&I zNuP`S z<**kXt%rUUo<1OD&DB#M=0t4SZO|{PL=~q&p_?cYG65Xcu*}r2u%ouFkG5C*UiD5a z4dT!=9-qN6WSj`kkJ_LdgTh_VAaX=4cS3b$r8V^)RG)EqHD9|N+& z8S8>RZ_onWZz9WC>fm25m%q6QJES9X?T|)~xwpy!8Xsfu5$8&(6JuTB;V5d8+xIE* z_3UIjPZt3ucm3Bqu-P7w{$=Ru8{@pbB)b}pI)=!9&cvvB>Jvf2wXV2ck8e*A|@?k;wF;Jj%xWxjOw-b`bi$2eR^(;Ou; zN3z+-e?u}E6sO13k#J1X+)kF%r7dxWRdQhaRfsp?_$rcoFhA9XN-Wi=ikPv;_u&-V zcy-g4?WzK?fZr2={uG00Yn6kUr|}&^oOII82h$}CVdj;3H*Cgmp7J`uhIHV5r2n=`uDRj{fzq5$ zHOX1FEbJ{KQT)jpR)j1i>@aR@cWLHOGZG=cS-K9e`h&twW*E`=OUHoGta{cy72$Wz ztvu^J;?BR$W5HukJM{pFjWjS)b zB;EUSuyujlNi^1mm zbq{)v`T6VmFQ*xuP9w&l^V*szK4O9&&NLJdHrZ6IB}6RYfxBp*#$X1(!EN8j4um;m z5b=XE!qSus19jrDe}<4W=7*}uHU5z-S-41wPMGq;cCeT2au&aq7WQx>s)Y!JSGbAf zNa8gB2GUt|&SqvlETM=x$KR))+*aFlUSQJ*=nypcKQa8Ag_m7WMGMC3wrP6~`YUIx z8+LHaVs1BRME!jy73qyE42L+ARcINE&_C6F1ujf1Vz@bYs#qauYscLZVqYw>&wRqyclwN7@w-RPjz#5@?)KgLV_ z((cr{nO%XQ`ok64{Fh-}-^=iDvYt${rC;2z;i0Df#Nxq}Ad+WAqCUoZV{UOyiwYSy zc2XhdwicGX%dh~FW~t8IH~E?Gf9bG@mr}J2W(heW5qTk`*yl|8t8NM9=WOOISv~6h z)@92mVTCAtwout$)gF2X`wwaMdcWE9ZtPN@nZ572vA|nBn@)9j8-}HKmNdTo6fi%# z%C#`BmEsW@MH!hE$Kd>Syz_Y>@Pdidl!jma<;)U2Ip{ zcVz{lEuA{dt|5p(4!Lp?jE?z9akE;>XCIR$GyN^EiRK_-Vc%x~WhC|} z|H&v+_kSWPxX_Fsb}3_L@_5BKg8O?q&^b}|1y)X) ztH;EQc~C@Su1F(CQx0Z?RpON4K7mEMF9As+;uDDZgBa+u&yHD-WI^V30TqLxIA9Q@ z<--l~^UOLdZk9q+Mq$@};Ny};rC>K z_5sTv=^NFV!k)uU4(b*fwa0Ll>yN=4)E`l8helD#bdAh+Hqv-hG`XKkK7o2lj;jnW z9Y#_X99wS!4nY?U>CJ)S-f5_G4Bpc8BK;?Fh9z#hNJ+hYovwg(rbWORHgLVJULD7) z2li&1quNQVBe5r&Z#Z(}Y1S|PwUG^teH|TGdz7e;|LzCL+ND@D6mn`veH-a~cNzR& z!GR%gXD6`i$j+*uvw;ep_Tg?i&=Uw@)UL_3HYF%}%Jc_7f7!muA$_+45N1r2J z`%cm^zJXqIJ^>v1-+0UxvqgLY(d$gQ$in{G`Zg~q-J*oq!ZZ%sXFW4I~ zHw+!TWGDuY5|cq$m|MV>LxvMrYujv&45K%CR$=;Dxu7KocW)M1BT_?v@VVgNuh6jT zMcRPME1r~qt4KCfHIUHCrt6b#ZOvLvgozANc-F2KYyn;=O$I2BG^{Qk=ytt$xq|>) zj(-M-6I5NdM$0Yaa=A`O{8s`WKZHa*ue|HSdW~~z?_V!8iT!Rla%KzdN0cFZah^r+ z*;S%4uFofsln`K0Q#OE8mDA@04xUXJeH-AIJ`kTR9!!Ojx&zw41##EYpkWhXY`e~& zRDzoG#zvENoXizEgNNVLz;)wYVd&BIkH{` zat`ro?PvzaIIF0B#|q-;y7sl1#p^WhFT`ChRAxo+=mh9}po8PTSOf18z*_0Af0}SR3p)B42jU@>b-1d(`RFox89sLq~XBW)^b-O zKo~0x>D1$3eG7?;tr@T(RAH!8%oV2Utjig%03D|1+UG+5fUJc2J+7rr=YDa-V+03r zAwRphoso*-iNz3R5^WzAu0I-%g!sBr)p_s`qp=MNiH782&}vK}JoR?yOX#{#$U}vl z*jA=72`|XsV{Na$$bnMSLVv;QrdP&Gy3ffckVd*m4|U<}&Lsr5wk8-YNmU@${O zaFv<>Maxv)n~NC#2($b-TR3s=@(0$C!u}STx%Wc`bV-UY6)1n(_neOwP-i`u}&5IRPg_SLsxi*4I&w6U{2H>;%QdWMSwJkDmp=9>T zlruz-k<_()+|Ko8+Ea;Lk9Vd2(ZHwVvq44Se$A^4NtBn9ugh%E5;C1h67hXgqWjN+ zm;X>O{Fe=0{x3kFe~Qij&Jz9qjaryF{|PJqXVgL+Ki9^bIZ-uep;^}=3{BoIPV%Jo zQIpHT?LGqq2NcP)WpvmxsdZjG1*NoN&@9;m&FpH_6YavmCpL~%FaFA31->cxCQ6n= zITm}bixuB51lt)Pou}QWMUhQebqya-$}v{_PDE}Ga!afv{|p!FsLC+8^X)<(cl?2=j26haxu>oG+WMGQPEfr>Fh znvG^gA7IRWgV9O;SJ-Q(+nZW|lZPIp_p<&s7~M*F3l#enWg|~&dxdlTugb~TiJ-7E z$WC@?hUM!z>!4>+J|2G=q`R(`U^81%)3eg*+~=s8BYDOL4d9l(!HCGgt9$7J3W-O2 z9~y?Ofz|?3P2fJXn#BBXeBhGO?Y?dz&`CI*fi@Eev@rPyVrNz&EAouX>mnBa5K`Bz zjTft~q>SBO;1b)*>2PP5yp6n`g}-&XiL$n8JC#ur0hTO9ZM*)Hm(uGH7@{4*QZo(0 z3?=;lu2U4)5IyFD4mr2Ep3qP>8D-~8b6@xNsoq+L=wRGH^p-;cx!>{esrN|Tj?0>@ z&dVQvGQ>%b2H&=bD9vQ9W&KEL%71MlV!qkS9uuTpHzHTE@(2|2LOZJ2!&Ns@S}iyR znm_lK!u)g0@M=MlzVBFf7N1bziU?G}bb!YO?b2sVeN-`t6^=1!p}P|)U}i56+aOu^ z1%T$Ya{PP7Cxf$=4}uuvy#H>+hg<}M-9Ds43#%_WObOyW`_$ox;1(e!Pv>^F-j=Ko z&q0vXGysLiG+)h+qsmMC{97w#GFG$0OpD0Nf&u%6^A-f9*7YpI_p_$Z4tCQe4_j8- zKD>5dKsR5u(@1yhW6mPjH9yZEOk}V!&KZ`FmJFwRV6qk~VBK;09wkWl;F6y>*yGt3 z1f66qu@ZjYrb*xhFCQ*2Jss57zEqJ?Ia^EA`RNmN3(MgBj52ZEq5~`Wm3nJKmr3Bg z?t?>c26hqzzDHGm_GL86MSLUMpQ1Sn|GX3bs{GzWtO}!wgYA9`cp?2$;HR^R%Fs(F zoN%Qw*|kcH=ncr<4oN~_h~3_CT0-Fy&^P!f0<+{hQQGP4TS*cjZdxCEH$=i#D{zat zdHF03V_L$a8Puu$wrDx}MAdzp>(fT@0(kZjQgqa7u4#UffB;cd&=0)>TvIh?^)APx zzllT%?6^=KeKa!+)K(?YVlF}020ZBds3sS#wowwx73kiWp|;T+GB;7Sp#Xcv)C)A- zzxAe?4vl`@X8xK07AC!(CV;z{(emdr0ivdw_}A+ow{feercgIe-hn02t*ur+!94wl zTZ!btvXKzbSL8!wa(>aTu-GuXJ^DpPFoew{>?5*)fLF9+=ZQ~lXRx`s9%)>^1M9ew zs@VgF3JTO)Yh6+Va-K;v_#Om%NQ%VLcblfbvrEg*3#h({upy>~r#p2xK+Xhq`rR}` z_k><7Kmw0)H^_%=XC9{CNxpfgLPFRb{B7Fw_P%{?_6SkXeqZuyWOWo zJeTW$Wc@LMPYGpx)SuIL)C_$iR`B^3NY1OMs##c(VGzDxk#auMPcU!}pb+G}r{qE3dT32$xnWt9*)9AP4JKR!nM{Tz{gKqO@ zQpQ>*uP4cr?fK+QB!})YFrgC9pvI+O-Op?=7ZC=6G3;E}rIk$I-&ID4>AdCD;C(J% z-p{oMD@?EHkZ67-R07U^&pV~GHXspJ(#mkVjy2Hd8^|~+6VyQ!zBaCOCrcjSAf)k! zp!AwJhb=`xuV5kqX0+&(s-|BnryzsR$-7I2oeNGkL;x^o0oh#M~8W(S@lazVGU$!M&Bn z>k(e}sn|sXIWrT&l9>$WFXt;qCF4YR$3MacN4kSK_a!W6$5$%tZMN@doonQ%#}*RM z!e8^}^-JWt|9zUQZivPu8hZe%m3LtEC)EMnH>P}6-gJd|XhAJ7Tk;+^bqMD&nEq|{ zsUMa;k3tiq{jWVlZgdV<%&aY+gX9lJAF|fp$?UHl^=ahrPaTV;Q5uOeJ=CgvBKXZH z8!xhq%g_K%t|_^p7OZsIIOgpUar}rQTO`%h-JTifo{;VC4hNadEXJI9S)gLh>c-EC z!<5XLWn?LsTwrHQ8_zp;kL$J$!(u(&xpMmyy(+Mx5)(RFXn$`XW(z(xd=X58V<(%h z-pZWUM4{|c?}J3F9IgWDs!S~!U9_!$tn&_9TBY3F_HV`<>cYayK17_xKl{YH%>h43 zIDWq!;%zavzNtVLRC3u?!ien1n$H)=Qq8SQs(t6$>={Kh2t}cRY8n~v^OH3n+q<)M zG>rRBnsntj_2Gl0K_=SNnqFp6bm=a+yEfLGgK4wz=I%dyX$qf0=^~y{S=+!$dRVe_Va{!_EbAu?0-h2E>5KQcmK9GFNKzOJ;n?ZjwlcI~d&!aK`jfq8WK0byxW zo(M1bw`pSdOvk7vK*T1D_dpXxyiB0uA@?V|1hD#=ozg*~I#Fa2_tAt$;Y} z0`^rjEP=PtuSLxOYun%x>K6xdoQL|!Qwz7UTr#Rypc9_DiY5k+@{TxDs0!+|_Re-^(X}vVCn$v?XMDqJk!;qnXKSuvML2YzMe} z7K|rkcBS}vM$dL$krgmD84?Ut(9O45m)<73R+4dHldehcfR$5@x3D^Iqp|tu!ZuEV zyx+0C!K*gYenca9-X`Z?LxO6+MX!vmc_K)ZtAP`D`U93T1y5x#Q=#|%A{hATuYv{` zpWKx<*q{x68~!J@dSj)xO+}RLyQ&wQV5mJdHEO1HMY-d$<5^*<6Q^1fAJkZPh%-fM z?drwxT1WG7ZIJMg9eRpm`fEY|;#qAHZkj-ytxUDc-j4UB_nVT{HN18Ala;4g(zHEH z4tI}#=&VYPlM2qXL^tQ)Q*unh3~ht_Oa@X4N$HCgX#PC;p>AW-80ahFQ@ zOQVC_&BRKWZ-0;90j<~rek5d(%zu?yN~4?>rs?CDNHuZp{ToQ>JJ-?WV^R$jnZqp zvQJ|bE=fV(w5JJ_*`UD=MpPZh>Ytjd&tqQWwd|uFIQqS=%-tbie?3~*v&*bGQd^AR z>QkGOH$`hyCs$<$ICbRNPHyM{)FYB|n8ksAJOZ5oSMJmf2@iM3Emzp_YQ4_NiEA(n z@xR={c05In>IqQ@*m|Y!fO)+YHO4wzlHiyY$y|7;TKfZ+M;=j~FuxvTDAA}N-NhPT zOttD)D4;R0oz3SS8+TX}F~xmWd@)KW$dqyc@;TUGMhXO_zO@hC1Y#UD{i#+YVA^)f zE8M4T{|h1=kOQd5AthZj=S}k%OWA1(Rn_suAUJS~?^mqwJJtruXz9bf zP|ATd?D7fk&?46Hj~M;Y+}~Pab=Kb>phE*8A2qAI`FF*vr%^=qinU@L znx+64vpFG(!i%Y(pU?;f?mD_=s4dd*V!>89a(fb&@yWWQXCRLjjN)75i#zzC+KV*g z5RS016$?T}*=`Hcy&Z445vS*2NQq?X7htmFIWSFWP2H5-3(ZE7->p*{aA2zCizD-Q*brLC zS4+K%t5QnOG{zYu+`;xLpEK4#S$G{4J^_GMpweh>#qjogbpse7{RId#{~8>bEwQUqu@GgZ4Br@l;T1cQ+FHJF!tiiFPwmAA}N_iD9NL% zoQ|uV%$sqj8Sh%aA6h;1!|mjXd0k2kUmHg&^8h4W?4cILzr?uOaShLNY^iV<9-Ci3 zPpXF(f_5N7W)x1rgzoBtp2?Pufu^g~K#X;329iDppF>E)$wKwVT~4?;a+p!}82FBi zilJr-xOlZkzM)~Odw?_HuVI3s^Vo2UY57X%542eO6kc-A0}s!pZ}6CDr~!QhbifZU zAgWc#7t*|m9@PCW6$)a?;hjygsF}**pX$e;F4`$70oI+vHOAgD2bu+!5b+AX+>%t5@IhmtsxGI}q3m9#N9#m^3>abH)xd8kzaOQh{OOmpuqfx^Ozqz;o zK3^j3Ey5#Q>UQU1F#7FBCyb*ddM1vg5T7in!G-#@R^25-ye#jM3muqmqt~1c6K%J` zvtVnYAODz*8lbuyfUjut2l*c>rY)9&|9)^a0_`%ugZ9GMed6d?qb>VgN{gco*^(fAE&D4DAgT!9$73(iH`V?6iEi=py zSdm*dL7|mc+lU;- z-@X^BUk7gNLbG4&Gu-JO=6H=B@|3!(_`PwmU0&1{_Bvpv5E{b*EG8ahDsxrj*CPC+ z$vD~CnnFFo%`bh-YJYiL-ma|)^B0(NHMws64v=*Ct!^Q z@LX$#XsbYi{605S911;VE$8S$^=Gvw#>Xop9phtSfOed{(4x1W$8rBfu`GBC^u#3U zS-(4?Q$L$&-Xi~*e7<`vSpdw|;Usrt@4@m_4uCH-B!8v6MI>@UZ=T8Fa!$|3x`5uYk&MFO zb@Qys@HF_yU(mz8p;h0sRx8K(hMEDhIPNG95ia=GRkhk45e3)4Ey(~wbGRsCACY-T z!@iLjXkBPSiGm*#ExMD|!eMube|!55Wo5}Chl80&GULIj1si8s#sRPD;`8zk_dXbD za6QrS1T>OkkZZE<0dQo45?mZ;`5N7Z0|}#q?#rydH};0*1o7mU(>xjsnbHGjiJc-k z?~sBaO%iqD1YC!XT&L(Vi)BpHM|oq?^eKiEn}UJXBbp1r>UK-ZWGcRi{9G6=pv-~F zO!Z!nLskNNWBBPyvPCe5AFtD0WoY>T9P=ooKLlk|vQt^3fLauxf`T(G;|*+pJ3ELx z)9>Z%4_-F9TY-Q?P#m?goD^-Kf=FF|K%3^j_Lr8`NbjUDod8ounpxwHV}uhmg=b0) z@KheN-7Fc&#jK=Bb;b>{CUZw7HHmGHJ!V-~CCuX8Z92Ci4ku;gfRh@-BN(C2tGQI+ z&hu{P6X60m9;AsLmlBg2uxSI;yIetr{Ua{nR3jm=k~Um2_84?O3qF@oNKHMt5^uq4 zVhsBsn7?c+3me+P$mPun6VnSmlsgHoQF21)me4!x;6AENyzGl01m2gU^;N@|{P z+hWB$yCTdU4M^o1rKX%%j3a`%y=2^+V~1`ar`Pgu5PfR;A3~HtL)YumHdI^yVU0d_ z)y_;*^i}b$Y6gWHO&UUV0^+ji&5C8YM9zP$a8&aZRG-Q_*1fDgEPgyz(uP5k&-lfi z4S=LUfgjGvazU8yBj7v})GO&$7S-u>A}c@MUUtEMG49HiZKi1viW+1}%cLv%vJ~}d z4T2iiUI#mNV%f`(3?2R+-qXF{!68UiGW9C&xYgf2_cy4eRcct{U@%^Ced(D)&adS1)lvc$CDpPP!7hB2RPV+VUUj3*dZ`|?8MQbYNW zpEzhPA{Q6_bPYvG6(InoO>HTe)30(xyS%J|AoF)OQBg{f>k-AT)J5H%R&BOSc~fKNypdrsa7o+E zC`pMaP2G%Q9lZ;bTFLE3>-uoNDVPMyq0?-zh-TCJ8!%d$P zOlhC1CZA`@JENE-jb&uE6cGEC{xsX6${SWs&(Lslj99xxQzajB6yic>RYAgFq8ge} zJDiq%Sj1P4S>FE$E}fSSULL^GYqlvwd%mH5JPE4dKOu`fa*x;#$);sCi4qw&Bsqjy zozybHY1Z(ao;qlhESdQkLFLaz@m{8To}JE2H>Ac%Y{98-8b4gGziC;as}}Z+{nS`W z$BI{!+ltGv=l_XMf2$qNy_kZ)AvJJqq0f~dsn^;!e^qNUoD=U_)%e8kDxwd38^3nIwVONtd_d5ulIB~5FRHocGg6YTX?nqR_>k_Mp&U@_ zgfDm&H*4ZVb4Z5IK8CDSk$B+B#0IBJ;rxi|F(3(<7TDlFFCV;qv#COmaeTC03gG;l zAHN)m36W=%2E11GzCtlMGg+bL7}%EPv1OFXp1$0NKcgwwp{}GD!%M5X34eCZ2cBtg zLx?bjms@gDJD*ba6o5e~306F^-tsOKny2#RC%+3D*-w%_{~VTNhpQMjP_(m?a2EO7 z4WI=URH-4LIzn7?VtB}0zTgG7vfW(w0u)v|+m7*)q<*)6u5U!6uN>U<-L`>v2xeoB zoRWuSbv)R?FBx^DaVt#HF1yz;oMsNo9D=3{(r$S8$RRr2}x6&OjlcE6r`-6dB@G z!j8;cyZ0i9+_uvOn0L7EdDID~8^Os@mqn-xpRqqaDL%Lsiuy;H@2I2C=}=9uYerG> z*I@(Xnbe!sW;d)GBJrIxRD(g_UqkAurX;#5=1QRq+N9hDLBOIsEt^!|F4b?On`zN6 z4e7HgEXFj+)z?=(N{bA1JkH9I5l?0PYGcEU1wfRljDEJT1s36@1P3=#z^I=RSd_N{ zqe`Dmc2NrGVeuc8*Bh-Jc*hVIdkLxfvr7w7EM;Etb+r^=NYF%uxg1i^V?>XZ!1}>r z;yHRLaaFlXe7(4G8p;HIxdwDk?E*)0?%V&y-8%(~5(h|s$F_ZsZQHhO+qP}nwr$(C z?y>EYyOmUBtFm8`P337Hr>5p*US_)g-M@|@N|eELC^TNerXhW~1b{IiKsY>)D+E+X1C7so;^)NLuz?9_wI8rgT?OGXm+er zQ7Zix^C)VB79;O+>VBlKQ75-}ph!mV>MO}|aDCNF@5Vu-nA9_ByiWA-w?R8ZC#@wK zmhzkkrV*wzkLHlCxE#AxVXSVyP$b!;vDpbzd%^;N%0FAJy)+rDYpRektCw>7O(xuv z^fKP8NvAtUr3I-PY#2Ii;-Mgm;h6*hvG3ToKnk5;F|7mSj3Qe&&`(T;a|Xo0e;z%$ zmO0GT;;N{b1TozCE_ln!aMCq9Yew{T>e#!OvsiULmfAA)!l9u&WJX=DX(bY5hLN6k zs)joW&y0U{6~v4}ToTE>-WOZvn^nEkq0n^xb0U4!SxjB{-g0)SoU-?RgxgvC$cCe| z`I^c1sH7MrW}Euq{g53Gyh+&ZHwOM>r+Z)OlV?D7mnr?r)VYqfe-9Sn&dr zoWQ#C@2!y9$2C;{tse~7*a&6XCDj?-{@a3u-WYzOb)9j8}Mjf)}km; zF@;LExnP~SyBCNyex*j4eFBZRKL(RzFt{RhJ`oVzfu(dtLGE5CAeSPmG)C&%$)Gzp zRTMq`NNCIGIRAXDJGXVYDrKb^e|$70++@~Ec}m%c-v33mvUvD?>QEkBC~0ES?!R=1{%12*|C2-X-w49| zTa;!0ZxUA782@wUNM3zFLR>yqb`a!Sz1cfHlT63vLXe|nMa|>gC_|Dp>A2)gjCju& zWR0lUr{L#E5jt=~S{O+aGgu%3$*KkGZ~x_U9w~9%S?$cRG6@AdO<}tp8hU;-Y7y;y z3in@jM+@RYCh($uh#=%9fc;UWY=!9)2d`wYr#?l-hD53pwH;FVxR5Lcm|%Yx4xy1n z7|a13_+Sg5Ee3u|f4ir)Xev$)^UIBFDxWYzu{Q;0FHA29huE!Qb8|O2IpfJu_Ps3b z;ncZJDk@kG@o56FX%RIn+O^xna=V64j9ddaXX)+10~0;SnxwZ`c5Iy#+*kJCo{;uLphUiXjp!3%{%q$gQd)6W${q zoF&ZN&60eNlOy{PK@2&HFh?=Ju9nC%GQ2^c74Gd(LXIL>sZ_&tuGH(OGXP` zs|3}>1b2svz>{@~#|NbSu0z&M6`ZWLgh&`x3D!UMXi-6y7|1U$qf5TA73eK=p`0N^ zlN1I*Dx-?|my|Fc6R3LAu???hH1Pc}GtKu#u4SsJ*1C09%A)1#zeKtfuU4>E1<6f($E1hr(G^}uWul1&Ps8zJ2~FV89| zW@Ka%uLb#L8I;ln0WST9c@E;SvPd9{c5^$ilhw6b&I@-S|I5rHlXss;NlY%YAI7httIL1qb<+YQ~&WYw| zVHMUTiVAP0wQF3i2`p>(_{(Q{rmOf-7{a%?NKWlazTzozFL~lM2bcENWp@Rxp65`5 z3@}P};oXGhB985t9acHMg5`IWpU1NLwmGmqzqAd()tGiEhP6+OTq*M^Z;#jm;KLxM zomN!2=WV?Zr)>Z zN&BV(J@;tbw4h|M9Yu0zJPx=KO-QOy02YLqJxR!@Ps%_4S5<>^#;gEA2{I#7fnvAN z*HtE)LCY*B+13Dg;wNa$sIkqVx_Au_$`NaQL;+2fZ3}hneoWyxde#c1wFg|E+$`8M zzzkj}11|+6KvcQAeX+0%s|$J7yKY5DK**tR(p+^3iqgRo&B?iX|De{J)sWn@t`~cZ z4sZS6Czfs8keQ`-BOX`y)>cB04eLQ+=G*dcfQb!eAZecYZ$kq-3l@Y^q@lU` zFkGZGW7a~(Wo<$EqZcYi5;-kSBh5A)-c@^7K*BuojG4J;Bu95hQ+~C+c`uT8m3p~i z8gbm{74Hqv#3AI&bi9LF40)kcvnIm8zU*%U3K+$JWqrW?NeNp>;uKa72oLEx5%9W9 z=)cI1C-T4?IGU_)ouQFaBDV)|3TEI`U0Y=;VmK>Z=dn9guYc!eW|TP)MzeSez-|6X zN?+P+T9V9bXMBb%8wIb&REq5-6$q^aN4I_5ZiN!L?84hJMBu0E8&a!pGo@$%u{)ok z$FQNNAn4XPG8McbDw4B>E0FVa2^MULY^exDJe#!AtYzumZl~@^xuNrf6`vsT3&;1J z5(nN$RkL;BL)EuJU0vE+6G|vF_Hu208m1lRcBc`IT5XD&qxva!NDG{-b3rNqn!``X zJa@!I2X23m!-`iGc^YoO{O~8chDh3qP8WJ+%Zw8?=V6l6EI-qBG*f$@+626z1$?Qq zv=7E>97$dL3Y`fj1BJN(2M#qc^h_K{yaN{sZ#(m&?zC&rr46p~afLJSb|No(n*S@N z@*t3u4kcsgw0h>?aUM!U>1)5wnV9ex%~oJ~)-{&Q&BLRM@A0wuX2TAAB3n~a! zogdzAdmo8q3FgR*O5WzBR8FK3nHf_>nW^EZ1v0g~Ez7);%oIxnZ}izh7z$`_NWd`I z>&3+tH`1dk3~;MH>BjGfHH5lM#veK0K28{g<|y5RP2qe5_0gl{ew8f<{JO;z{~zG# z08*X^2FQk^jBWi%rQ=gUMO{)nPgh%ITCl?jZy~&0seFV0@k>w?CR^*pRHMp^J&~kt zW?HqaFRAAkVWq9gp@SL+oUsGRG!aWq9g^=G0)8XG z{DH>8$y-+Jgbc>QAs92nXAFmC^?B`mh-y%JXi8?;Fb4nkcCKSbJwsZi&XqX+fxOl;RFR_#^Tk#jV=Ic?Yp*2rCpf}Vnrclk4;$aInxxiu&Y0S>@f4j zZ~fp*#@D(ON^{n_<;;iSVJ3u=r4TJ%srp%mJ4l{{>&qL#aQned6N8)53>Ge-Gk!mm z?Z&d#y=D^VbNZSnz7rVhq&9u_3c1!-eX)njlrFH7p&Dw(q-8Ly z&9}Nj598{h&xYnO6$ePw(VVr*S>xKCu;M$y-`M%cIoqHsOpIi(RL2ziHCf%LYkT#t z0sv=WYAQws81oBqo4>&QK$XR#c58Q9b;Bc3P<_N|(v!h9Nw`?b=Bg^qY}&GU&r^N)XO*7DhrS=*2e-*^5*d3T)6 zwL?nMoZ<;HmzunFQ5We9LZyR_`~Jm!3v79c=qX66eb7JI; z`1u88DMFm4mTWTvZKa5?tlKEdaGsC9&rn=iB&>vRZQ{T1>P zgtcRY9DC#b!S^PnDLeW||Lrjw_RxcJOR>7$tNrE@Fc?6A*8-<6#Sn!ZfK0wpqP<&r z;%BLI+Fl9bldJBMd5gS3tEYXRV859`|e$>ww-xz&iND8A&u16C5bt260U_0a1?u_1N1c)b;6R zFRahVTcOS$W5SY`8gjfL^SX%J6;K+!aYb@GcG?Pn*EB3!5RSN)!_)p>MMC~(!*Tz= z20#9-ud@F?Lva5yW`yZK9{4|lADdz)sY3wkFLLNYq;F*hEI?H-&+SvmN9h>$zBq_0 z-+nLzbJ9Q1TP=1?rz!@&8l3Nb_OKqW1MDJU`CEXpFlZCg0lw!x_@v3xtV^Jl1?g_et*)O~O$z>%d*X;14E*F56Sa2Uvo8K`2V2WY{5A zC(*=nd*UY_=A4Pr3jx*Y&*U;Xt|(4J_y#t!6PgsoQIg6>m4b_KnJ#^r6$we2n4A1*$O^eRtHjHPh75> z&N5ZoG}iQ1PQhIRNg`HK?n=%&J+&ay!Wp^o@SzQlf`_!Wv?^C{!CJ0 zncAy9jI2A_Yk9C6^Mi72XW}9o_9bm^y6zjLx9b*=IQm_;?+}MH`xhRl;Zwes%iT@? zeBv*O=$1N)Pb|tXhCZSF^>MraJ8i+`%?|QISU5qmo;{ra7o%QBu)AvhA!2RPMiYrb1oIC&Zi2{eQ?+xwZrqDGWkp@Z*F1Y^Lci1@>MbZ>!vNQ^#! zeZVi=fKIkm(i5FjMn^e@bS@&i9ee3=b!*w{lz^Nw>pB`hF5WwscIHY$>FQD%%H3Y= zuL2bjzA>Ql1n&NkRiS{}%vko$5rsPSIuh1DPP3E}E3c9@brN#Vs!u$zGy(#f45obZ zwomPF_Mf9kxML8>WzQ;KT0 zJDiCrj*4=c5{of1WW`OpF|>!3{OnI%9saUDjl&tB(G~0B0!dgq>%|IB2$)BB7@bjw zdME`}L1!bt%e_)1cCRU7ODxGf_WjHQ<&d#9{V}`b>7r-FuJ`se1x4-S3h4*rOzc-z z00TH?;!w*U3N^*N2W~s+^JM=wI+)CSJP%-nBHQn&7Q}X!sANM=#j8rpSFf8Q!EO5N z+*qTkEApP7_*b{QSCK!2u!ATzUze4Nh^#Aew-juD<0V7(0bu_I;?o3fq=SG>=AvfD zxIp`ClU~~_en|(=--=02~uHtZ)59Ntjy#K7WCBU-N=WQiR zOsd6n>eEYzbqUC9Hcho@nt_T};>AH(GZn+)uy!OohtY|T*;hUcv@8G_VW3XiC{M?p zo20enmD%AG`w~!>D3SGAb7R4a?M?1Es-*9vC@ivj=maOaTtD7@XjRb22f6Xx>ChXeb> zwHDj}8)-?Df+FWUqkyMM$UuLtk(p9$RNRIZ*l8jD&@;E_N>cA^p@?!hd-+9o$jHR9 zC6u%`%L7lm*#GEEENxf+^0trcG~?Pa-BLU6z$aV76x@hR_B;=>bzgNO>ys%L^x<=` zaiu{pezm9t>+r2^;CefuK8ijo&WZLydi7Wqu1PQ+pT)0BN7`1!9S}u+x&a~r;#w{` zYY76gMX}gYjIH{B_88|Jsg%Bbw#byJ8EBE);Cx5p)m{!CQx>Rv+Esx0*EtT30KO3?9Ex{H^PIPw7lxmqXrO{&3IQ!QSp0{2u;c|b|_E=~o2 z3LTi(QLe0E$a7u7CnZZ=K)uBN)(QgO%mGfgzB==-9v*8VGhG83_IBKhvT1cnt@YaW zYE{-yvQrNtkmnU4l>wcv&~*K~aQ~*)LO}&9j`cPzaVmZLSL)~--yq(f zzprcIa{aF}D)9IGvK!+-WU8-W6e4n+p=TKT85hi3RN^rI$p#)y-RF>KetJDRfk=21 z{Ozp|V zV60UHy;AA{F_>}O)7|3ZRQex=b~g?8omM40jSxqx#yYw+qHoSb%tQs_aW5O+Q53Rl zRK`wxK_!8vj^>2C^7hZptr!#`Il-|LGHwqao;S2{$;0jtS2Ny~cgUd-QrDfvd2?+% z2N3viVT($5shRde(u%eFhDWl1>l&9B#n?P;_2aX;(uMhp!pnSD7?QE4Va)`h7u%m5 zE|H49!nZ!z7DkGM0y@2niE?D0s75^(Fl0bFMvWUW+<~lSl;R@*MfmR{F^I*#^Xya7 z0-qS2mV1hkcPXmWzP(nvk4R-KBRg!|g8<)aau3$)UyX|W?Q~IMOW~*KwW`h}Qv>w>W z>7?A$2PnYAF0KjBXGK4eu{Ey#7M6C_JfIwf^F{`trGt#1U)r@N5`B8wj17$;g62VNfdok;{6Pgg-lZbV zwL_(vr$3&i4>7Eb?T3?p2bYCI_$x9{%qD_Dd;vM>6W_qgBE1pn;uz5;&aE2|9$fvLd}~^i)HXwcsHQf$ieib@b`1I>>Q$mC5tEA<7IO-(s!-Av4(J9?%$@fY zjMNTAKbbv|BL)jnsM$q!#GJJwIVWz3Up)XWYV@UCc}lDD4Fdt+<2 z)2uykBAZhQ{d2K==ty6$c6Kvyp1Kv#6@TH|g*n znK)u7KRtVd8S?G0wq)tGrD12zOLVm2n*=+&b$#81g%?P|pFLu8a7mPESro6Ky<*y| zU3s5V-w!}ECLVNV6jGCJ5(b7l)|X=4jP0?=2I5zCm1pHikN$c^Q>c6b`{rUkP$Bc& zvJfys_15#^pAq!Jr6*({uPTIC@xPrKirxmO9;j;}QnbsOtx(f15Fs>H(O=GND6;0> z-?L00fl@!2vf57kqf8dHr0kr2IEnXs*R74Dzb8h_v5)sl@e9qa&x=TO&tqF&vB#+I z4p+xM!HH_vrY^uo{L9*=kP$gxSG--^=NE350e-`Euk+y?P|`%!{)U)yPo60Oh=W2t zQJk&fR{rwl+zNy316;SvLN9U|LeT`CbC=oDxg|VpQq!=x5eOU`>?83oFHW?6(ywiN z#@VbZ!pkt6{VZt^8kY;1>M8zb7l(Fh>I>0OgX9*+eIPC>Lr(ux2=sZRC+yHmTya2v z#Mry$*h6KU$L}Ht+~aXt?JL}6vKtO8@BB@CGd+JHc8rW;iSe$rC>xqm@~yCkg8S-E z;~%vS0Ow;2%m}Q?;RAtNmXkn|(D6|gtn%qXcAFd6!#&}RD)>v0C`ewJgV)MOZVsde z&_=bc*uH<$Zn2ZA1~24?l#ZG4!>y$o!PD{lK%LUJ!0skSSRv*Ly0BqwvyW)t zs&(t5VVHVKHc0oQAa5iP#m!)vJL}|+^&?}^mN5OcAIGtF@Fpa7DyeY&hX@}BtkIbz z7Niv K|jV+d|tHf?aT+MIoHoqR<+kWEOYojG$+u!-$3i%#wY>FG5kwYi;3Crj%oB8NkWNXaXWfT}94AYq9?;A^bm{w@HuB#PlC*3q`2^_h;X%^#6qL ze~-7x#K6M#pM>zukbybAXDVe|rJnhfgM}=%8&vX2D#cE-34?f37y!hOFD)Y{rV3IQ z^VSv1dq6vjFs(k&bXr7PJa6mB!(>FCY&b#AS}ra9JNjRvR|Y0ud=TG25`^h)fBMf; zYU4|COKkOy%#FBJH;TP}FO;sp%mngi3~HZIFbkKYEC7RvTBr@-Ds4;{!^eJlpqMX%f7U}CokoI(N_LU29jMnDCum#= zQBY%3SqJf5WXMukzhR!Qx@E#_3(2;#LKw`#`A-pO11x>tY+Lqvrp>9;i#}q$_eYF5 zez*Orl;?vH2n6FT*9}cb+@|g}T#tdEN03~glLE;`Iy38WQ`@`*g2-79JH`ARa_wx_ zOGl&f{KT4r=fyFjD1s}m8{>J?{1U>0?K7lMk|7g)i_D1uCC{!s^+T^k0CL3s5^O&Hs#k!ZUn1DJ6c zZsz2JE7go}(uoh_=F|f$AF~4)L&^bmy)T?2TWLqk#coA6IrP7L*M;_SQ65=~DXo^< zhko|VJx__0LBm5Xsd1c#We1+}+qbE~xJ{_g0S+%B>GHd~4!!-V0^AoFtL{6^5^sqo zvKMrdUhV2gW3wU3R6VFNmr#ubn4B>~sxJxb{3xAx<*S5I3zjW-q{f**WH>)lA#%y& zVqT5ngli(t5PswgekBmqq(fXa=f^c$#F0~*5pzcKWqeBjU747}K+s}de}4lLE+6sH z-MCbnXOx9K(b@($qz}}&NP$3{mX()D?r7&Ye+}&~#@fY0+mOB7DxECWVkeocclTIZ z1Gf|YwEQ8{9S_XG@`k}v->KhhYe6>iY!mF$s+jhm);MCHbtJ$sA)@EiA5UFAz4^OR zE&HjHljk?`k`jxno2U_+F*c4{*Q`ENa58jAqo2#f^K~83>WGY`*&t+v z^Zo6v3$kiE5Q2}1=In7|O4ihIK)8FidSvx-X$nXISX3{wSugZD%p znmT~xm?ZcI|XP=WLEWFK(U$@lPsu}Vsj9#-hLqS3=rhyK>J{=j<7Ho!9 z7UVwJYlFmrGVP#v=O}_)iMpZ7E0qK2MA$H}8ThUlu`TX!SBp{6YP2p3Egn@jmHXY3 zy^H}?0N*WlL(axLJA?;L+hVDl!erUJb40{i72tsFS<3m%lt;!~bE&?z4nlFYw|E1G zek-AwMwI>Vi#o?F(jU(TFG!0@`<48tnr|g*)|r1{d^5dGNaI-!hh}sP($H!lOKj{8 z*KX6Lo3o&V(DJ|USe@umIA1@j6qKjtBErzLCCoGEpy)Ux=#Cv8A5m>f(*&am&d7q2 zju)n6q`cJb5z~03tshkK+2;lPev$xx=$(WVAONSnOv7=7pKT=b;HSb|f4`YaFFg1B zGWx`$OZy>!sNBygjoGp_#}SGnxCf3cL`;&a&25o^Bj`}ndQ!&YZYxHB8PoGpF=_+R z8(sAUoCgLjRcarlT-!?syj#BkZ9Z+G9LqHg=wGr6xjJNLbyN&J&Bqq?M%6Y^(CE_n zo>M%wp19)=k7Y>kE{5dr#Yim8VrT;qI;hE-#??+^!kVW0YQN0{M&r0nfik#*aixDZ zuzLDU3**e*0fBEJkk48UR3G@vn{m*Mq^@i6eruQ*+Qfp6{<8Exrg`8DE%KW4z6@lzV zoj4nWCuc&BTyhYWB8$W_#u*uaa>O}1l#we?;Oj9`j9mTF_&1=|VMzW(rc6s<%+s=d z5Y>c%X;J%#L10v@fg>coy26p|2f&O$o|2WxG?dta5B^*}k%reLdA)s(M=`IbDPeY{ z#`U2Xc1G3r)sj{ZW`S^ zTc9Z+uEt@t;X}@=Y8x_9PaflGOsV!l#i1(hf&TNuc=uE{gOxR(2K_ut$m3;aU+5#c zs;s9CT663)G~8SXsi${Y4g~5KoCmg#m^v-AK_9KF)HS!6MrWc7RFv6kPkqo=7pNtT z!9JDvSnO6=(HUOtksTI>NPSfcuR3PE4ILt-{yk8g#@uuPNQ_Fd!|JX;FTj+!RHHtC z6z)mxw!C+m)3JV$1J?!ewif=VLGA#}j>Jb7h#YLFvI}KEO&z|&Qo3HECEMSlZ#*pXa44NBk+&>BtTJ!wGX; z*N7Leq+66V#hiV2UIU@AzKj<1GFhXtB8lfVEb(mOnYaXIn z{l`X*Lg4tvMnzt>Ac{{Y{zW)BMvWJVN0iKl69!-?nrrjz`v% zxdegQtt)g_>Kh&zj@KgE4wewpv~EfDET?}Y=B^q4>Hy~#!`{w|TM0#PJpz{Q+kAUG z3R6+rLwP?--Ai*$7Y+%3s8!85elwUQvsUpBv3o$s4kK}w#+}7T4NCv1bPZe8Mv8uK zbA0HywufKN$?!apaoR5ga5dbP%M!u|1CXpGZh-~I18xXT%MDEU6DP;|KRzr z^xOYvY~TM~pZ!1XvHjcq{Eu?~|K5D(_;>Z+R(cHh%>R}<{||Zizg$-MU+;dhGPD0D z+4rO%2<0I-^4j(3L8*?T3^<6OQR&~9Z5yJVbX347hyEZW=-@na%!{h3hm)6S*10WR zHBwsUv+PBaN~0D#FR0EI+~3@?Lz6=!&$H^%@_}V6D~-EB0EHROH3cee#3J*e3tuji zO;DjKt`dfbXdWgtgJg3`2d9LW}Sol<|`^tHM0)k0<*p6frDWPD0dzZs3j8 z5xfo_$Pc;(aSyvqi2+-i&~oM8gLBo^$VvGr%|zlHK>Va6zmeHZ{Z;} z8-Z$$ocIpoqw@gA60EoplZBiX^ViVT8TnhZ^{js=UhoA4EmzVMXM#5DdR%oFhF?r& zGxl}DCk!@@o(JDDsU}>|7>E`7HJf`$1u`ZB1R~Y5TO~L#0w#gErf`Kt5wV$_5z6g7 z;=zd4%?ZED%mhQ9bD)~-3!|b&)*j{>X z=?NK_y5O*dO%i60Z6UtY(oxa5Rdwz~YFu{tg`kd(lsV&yqAiFZNrl4&j9Qk;YmF77MffSJ+_0f)^KyDzl!iqfGv1(>c zHfV}Z-YjmX&?l5%9+|4wp)%rp@S+Zjja6-KPy>(qO3#K=3fa(~i!BNJBCNh?UuHEH zOA;tMGhgW?b|L9>N7zn+{HNq4!Jos=t5tg5#j(T0vyHY-hG zTGR#z%f}_UQku^C9yxJU%GRPrp~@Zqk2s>Hk|!HtZCbwOxeS(U`&GZ2l@@%IPzm9{ z8Ud3T`)8l^QjQ8I)WO7A4Y3OEUc zmz1XBm!qr-&)5KMd~(F*1_kwG=PsAE5{Ur-FZUGO0qcCGYg`2EtKzVHw9%&2xW#>2 zb1Bx=%n5#Kx~P)DJiWfONnRdlStX1mBPbKG3-}$s8kS{dUgSNH63(I&9jIg|-P3=^ z^jH_pb)>(eXC;E>o)i-Piedn}(`1^y83wmkgL&NWMmqWYjC_W9zJE=&mkbNoDA|wf zCR?OPq>{qfBLaRKqpF3Huuj!TSLxwli}L`mmQOG~JlX}=nRhVcLncjPfm!E2PoVEv zM&&5E@>+%DIh(9Z&sq_xEt|0Q3Kw$V!AIB{j?jYtT(DrebDL?C6C&5_MP6#ss31OU z7v};3utQZjL-L~<;SMERC2OAd*c3Ada{|WSM#e+75AjWj+aDm~a^HZP?A$CRz<0?< z2zwZT@6h4)B=pioj!&1_|Yg@;q(q?=BC*lw7zR#hCQiAkjD_* z#zcr7t`zaqCqVCvy9A271rh_X`m48b+|Whk75F+7Z6OP>-?i|MQ6lSK{a%(@wR4O8 z;*e`}lgYa>nc3O+WTbNFG;r9ZXGVK$3F#U^lM|m{#BBoUHX-!#p}ZBqS3iuH5vjSa2WkVUYI&ZvbwN1)4AvmAjp^aR_0v6aac-Lva+#T zPt2sx=ZO)oiJ+?i4~O*rwUF=2_KF;{$2o`H-LVSrgZ*6>?PG3YhCm88^IX5` zL*9`3xf+1)UfO!H*I_l-j(B{23wZ7kg?~wRu)*<%QWS1P8(Z0Vb`hl8S|$uPh||O9 zyslhF(+Rut3MmKH%(=)C_bQW!JBA7moXKd0oLO{_~++TNlR4 z5h$K}s%U{eHxYH7(~aC|Sp|B^C76txiNWc;?rg)xi-}{Cdg&N}>3? zwQ(y~IJ<=YEGKpG=r9OLaSl7YbL&?_aH2YnfE~6f<(`Eag%s;GOkVn(S>nsvoRlVn zU}s0T3T;8j3|0q~S!c#x?;Ltf%h>w*Re?qVY8S<|QOzyoi?31MW`>!c~67EyrQ zpOdakHBPKN<{XoR*2lz=JcfK9l_>_D%E!|H?C?s zqivcTg}t8^!yZJ4uEqM4U~*APfJ)}x*@-~AJ3FH-g!Ket3!*szka|p85m%8tDU5JA zaRBNbg(!R$U#Zi5`ydARwrKuwH$uo80oA@59VE{HHsi1MXvLf7QS>vcQY#8hd^2@m zZp}*eI6L&O4G$dpmB`t_H7mbYuuOeR|Em#t-9MFCTR}H}+MMRUG1qX|WQ!W2J4qGEV^T<(@%&+}9 z1y~+?qtWG%JccK643G7rflSjIsWW>or;R z1v66UM(Jd=eFp~_?FO|*miO{k+WPkwny}gN!)M7CNIHa+06icywuzV1833 z5evEmI@EyWI#<047^~?;Q=blz=&d5eFXiuS9pwP>7Ta#RxOZ+2uCDaORLdoF#}|Xh zMkejGK7|-oED_HLy8%t;`@UF*pgE>zVA{mriqW}z#D$Kaz^fYXpaP5d{J-;uTO9x zW!2m2*0jN%szRVt(yz*2nT>$z9#iz@q)~r{#g9;42-X`^l2Gh{%{ufzJt_rph`}LG zYDwN2Tlns;Xff>3E*T32iV)4R69%8oxu0~Q1uM(>!!m1yt#}MF`4U2kk#jcEZ>a{j zEhA)ph@8uQ+?I_|mMRu3@cO;7MDi#g8D`EoiH)4eQd9Wc_m#z{IGhN)tkPT@xla8& zYqH?1yuO%d5Id(_uIyoM4FDCom7J&c?HX~ZamxfB$3oybFK~gWbmq=Ck209W(Lw~u zMrCkMJt2%$q&<4)LEWknQ z6>D;;urvC^MiI+i?y)nt9i?J~w*J@z1nClkvNz8{$%mrXjTDLbry3*d@P93;e`{Z7 zQz<`W46V<6c`_1eTE2Q~03*aBEtuYozUygs@L1H{h=b{$6(M-@V@8s3Q(<0Ew%<3Y ziV&uH+x@7K9ffmPw<_km_3Crf=$!qHfPtHffz``OdEwk)ARJYmk#@!rYuuO)*oL3M zF}@KUCA9iExg@sxML9dqE?Ee~Pf)idAP=J~ihOID;+KxY6UwxGW44c7GYrS>F%LBQ zqPfYFj05q6(ye71gXajkvnbXTI<997q(6N=6B}yuOFpP230~=`N?y@4weq5zWY8nd zL6US1msdW@!3)fMW40?Ajp|mAe?BCbeVVGbL&2;yc5xC_nsqJG2F0~zL6mwKTc0BG z>l*Ql!Btv4j0g=>rNROQdm!c)Uk&F#zHz z>`86DGR!O@*nQpB;t!TKtwVtO3xQ~GtUuUX5SZD+SbuKMSgE%JB63*_>rO^l;_A1Iw{KP5#V}*Pk zJ=Nf7#RxII`Z9R0m`(&x$5N852NmHR;Q-qbdf*G^M$tBlE>MG@AEwsYqo=&T@>BYN z$+a*z>w|iRp5HodTpL;YmhqlSI4*luTi0a@L(ys1_rSzWR-Y|JG0_4y$SQED^OYVkleKF9Scg*SdyY~?_QXL0PjxW_spT=~ zO=`v=vh9M#=Va6C8$}^hK#b)0cX%ZCJ%J=ELonQ)K}Oi}=M)0%)N*C~@=zbyHV_YX z^&dVdr)yQ8j8gaG`3hp=k1w^Xl&eJFd0V$Vr17A{+_M1C6n^rn#3p$92v#+tj2SUT zv^&@g#S6io(8&S#$MWFjK>H2*7jPuea?UU`z0&1sTjW=?yKXEh+Y#j|M zCpp|7lnv=7uAL^$xF;iQ;8CK?P6U zK@UZrJp%AvTO?7!VeVR(g;Q`!UA(7Pk51q&H^r+1=;_J`%G)=L2_->RZe{Z-6b;Gv z9jn!Qki>rHkqyuv`Ky)Fai`(k^plqZx|mD1+w_YPyXH;8T=V6x+N7lJbdKe5&zi=&TeyW+JAMn0&p9C*|z#}Il?mreQu$iCkvI|8XD@nKm zv#=4asdaI0fP}@VoVnCPBy7n@u3-=f?WSVzQn!tJg8l2a>lvjua&sCtKC|LrrU+({ zcGsps)Px`coVA~5+<0YKvpNU^vehn1k6jR}*Cwutl!h5JvPJQz*OR0&8M{VWj9i9_xHmP5aW@x9C&l)DmYj>~eqGjFC zA9|1wHwE+NYj0PXk^osV>(yQ|4688)&G@G^W{ngyJ$H)JE&2-9Q#r9qbirJ51%6(p zS~D;YX}!@4pk)7b8Ilm|aVYbZEnay<#BrpMm9!5;MvNJh%orY!Hq_ge4ohBdZUH46ej>Dhsr^*71 zfkT1;0I~K$X$P7TB2?(I8UI6L`?E=i^c`Sz>Qa zH~K+kpOvWr=HRa5N<>WKTsJ43Z=-(Xdx9Qryan!1`aUSECLDFFk8=?-rnf*z_{s(pht&h`Jm8kNVhNmEJ}bUv zWeP|Mf%6=oS*Dn#ny>J}2o2LmRU5;6qF(az_2?ZMJO)f?Zq8vU7>ysJIA0wnpL)Ep$zOBBP6J?GqMe&J$k7g$zjOTiYj{z~ znz<}@F;iH^T@! zF47u)6uh_24Y62NF@cBw0r9)2<+pa#3Fh46Rhy|sLACoM5?Dz(d(;17H?>vdkdpxn zb1UI!zeG-H`%NH3>8MiZ|(SniP!hc?MKTO1n5PJu=#mZtTsY4P7YuY#zPV2#p&?~?Jpn~O7n$K^hpPjY2tkiP&g{I1{1X<7vwX5; z!J+pe?t+j}DyUwFloV*ZcqZP*-?x@2FrK?d%&_0K;m!)?${avVB3*VYYBvZo=_CvE`o09c2)qAx#39Tx3p0vscOJsphuf#y9qFT!}aS zycbw~Ti}B?A^abixhf^xc1N*L7nT!%6DAIxAyqf%)PSRlCF99-(QodYyBw6cy(Hkd z4Mp_ymX|zlEeYK=8G55)+@@F1Dl{R1&)=xU3fytM>OJz zZ+`I*<;vjoZS?C~YTX~_ZFt9I&0g(gWdmmumRU_$kgga@()8{H(jIEUZzNjdhpB^z z(sUDFdnqimE|I-qlWNcAxP$NW1gXBOLrV1 zN!K4Jh7)pq=S!69RU&xyQMd;sQ%hsN5TaC8g_8U%_m9jDV4Fx5nQ*ZM{-eMsgU zJQp$0#x@>%uYoR|0|l^x!`!>vI~fWO>R$|!v*Q~ah_2n59kta_zHfFS@pk_C?U04F zWI>Av(c9;D+wva97vaoa89<|U%q#csox0GX)0L}?L4j+~Su{cHbCD@Lc&!;VLA6`R4jx0E zKZ!dPH-N@(U~MndU11R;YHQGo>>|?;?5%v`=#7}R&pP!C0(U-S=l=8v`!q(Z=5tU2 zMg88_aF6OzKiQ6;L?W4ZJ9Zht+{jp!iAxQuPJb~C6XGMHon`uD`6q2(4^8X-`wxdE zB{sQS6-weu&?1Yhc%dN4=i4&b;8mCdw~5M43mJ^yf?54;P>DxHkAe>>|TTWqcOp@4(|AEd>z(wr-19;{0*0UM{X# zAcH1Vd-nt7mC?!GEAl*WfUYrINQh{RIlWGoJ~#{T=cTA7Lf59E%fz8@R4b0lTQG53 zN0d^qBlPAm_jOiXMBLNOZ(%7W@zu>5PligW2)_6+jJ)hwe* zGYIy^s^^0#nYy-)vsl{u6}widQEWc`fDgn^pJ%zFcpt#$!yG4q-n|5+v4JiSmfE*C zR-X|0YTYj~X(MN(#GxN69*e*{#b_5tFB(e~G+Q|NQ!$iVVO1VEUj_Za~a%Dhp`eVwvbYo4U2mf$hA7Y|a{-eZo zUX$CR8HYV!luqo z#x=4=JlbT^Uk0wumf_tc8x@&5Qglrsd*E~GwNvs}?`M&mYNTpQ#ZGOLe54D3#`Hi8 z8MF~IL0)v#cN<&%`fj-HjGMfC2uqug0DOrQgx|8pz7$Wphiq4bGGB@nfCNfSv}hf1GbQXU<#*Y>^wM1A2L23B6Jb5$==oq3WM zJ7;c72&~=lSfU*&R7c?+MWsGNH+B*^_&z8be4=q%GlJO}jTOr9tAdRP|L(v(D1iuE z&kw4~%4^TY$)k^p{7)@)MmGX-fj07@;65DU%SBiP7+(Y zJ|;D%RxvX^Tsk#^ZmbJ)FbF1y0WwLqTJtU}8KvV<-3!rhaGUv`@&($K$@OY>7TVDl zV+S7;z5SxKWL=Eb`X$&8Yl|O(>7$sp--!XB6Pa~>rl{$La%L{FM4g?uH!LZ*dLl}@ zZG)iXjZNAdCC7ER{2K!C*3kmR?eG|QgYXyz=uU%$C%9S88mpUKZPN#Q260w=Du;rr zaO=1xH?UTwrN{W^N)l3tw4YpIjJx7Sdj%(qFNGTmPay;QXuO57RIEdI)-_KaImji~ z{$IX?6YL&YKM)NY1eA5e@ zB>?X+`vE;7ri-G)vcC~x__(41_iVO{hO}$GxYomzLYs@z5?WzJT8)lVpDCt0;Qq7# zU-Y1gMrk_4Pv0IeOlE<1<^Z6_YvOs=W3lsZf>3mqi6`l|J`XEzjla2`!z18vNQB+F z#k^V{rmXsqx4*&puz6m);TqXqF*jIg9y^=a*C-Et1gtU=#RHVX?}9`LVV_XpEt}aI zM?(B=I?E3m?zy$gKi^1uZy@G=dR;QWhtv;dx$v*=CCU7J7^|`KXs+G=o!=X+9L5R} ze%@%Bsy0s8w7ez7JvGjS-I?YnSDoB+?Xs-l{g-LXKQaxkIif;NI^w!RI) z|BNB`Or5Q@Hm4Omc z2|UqkGcxoY8W%VYj%x7&dviM~ndACS6n|8|IWud&mk=}*n)(Q?Odx20RW%~qmAWk| z;44Nik2aYWWKH{>c0VK&f?rPMjG+ciLJHv$p4ooJJ1JQjN`c5TAmKtA^Q;&;z*dIh zool}eRw;#<;Ohm-{6Vi=hC zO!O%IMQOqf(#?j0gP&yRWTNbCDPDSV6;k`2`}aiezfmhfYR7Skg;HuoN@3kWV9?_- zz(g2`SK0;J(FX8=*ZdfZ0f07&8*cys9bI>WW-5o+c) z1n#6Mt23H^@wLkWd&Pwg@T-Bc6!vapG0_MAJoZ4Pf?im~#@FSCD|R4WGP4}n2zdDc zc=e$Lrp)A2V=nQo7&wzZk?>`v6lQ9|{gj1aBV>qRDkJd}@_L%l>MTHLl$C|dE4H$` zrq0vWE3MG!HIRVbfwzJ`S4M;iWje2=W;XXHS87YC^d&639v(MoueZD_0@n;vBxt`b zm4!-a?eN59qEWF`_8g;EW(DvJ>T@F!xSH$Au-24yJ54A0UuEN`lBLfl*~toj=zTwi zkmNr$?tGb4#N>RshVS0}&0g$|k(CusXNSB@Dv&xzzZ3ik?E<(sz@^1d*D?~*B3JlC zgl$})Bv;ZE={k6X3u1AFcd%NR(%t$^F-Yhw?3ILM{u`l62+0vN>8^`CfXUT;LfaWB zWi7UH2Z}yW;`XlWoEas~iDH?SEk)tX1LvBND5o*h@5~k!7jG*yAB#3)se&z@y|N85 zi_;UljB_XZ_(B?eJ@wu&WG(`$>X3c%=;FB0CF&AAP5261;zdExh}QmLVJd zJ`(pt^yu|@R!I2|pxb^-$B&A7Pjlcd};mbJL90Cp`r@3c-c)AB3 z*1B=hA>dk3*hdo~jQ9k*<_I31iRD#XFmOyr_=eE3lX=D=aXb-X%A$DP+C~ z4i?z0ko!LK4sNt~tuaa)eDre$r~t*AvZ1?$8f_^-2^iY&pYg)~-p@LteulkCd6Ev{ZuKRF{mN5InRe;R zfSg3cJZ98SvXaFu*Fxtey7_JqgZ8a=U;g_LpjH>_iZ7vV{TFll15=PnYya~g@!7M_ zk*rdP?WGI|HoE}bkZ}P*P&$MK!Dkw4JjYAl@XJ)14NkdW7QI-;G^2yf#%4H4SOMUb;5$6S zP(@TaV9!XNEB#F#+yY?YL3DY}>TKk(S&zdix52_AqvBCTSgO1c~w^bWJm~NPrv0*^szThKz zoyipD$mPs^Isd^tzJF{7qN7~_@fQpRJ(1vYwFAmyoMU5qIbbB=?Xj&9Gjx~S%hoUF zakxkVboOErIlWF(Z?=lNm5M~i+0JApQE}E;Iq6vKl|0lMc)!R6TiKTTG+5M3(Ef+P zB3^f&y75hbV5py$_IzB$ZN!sLh>1R|>ehaR9axXot^apHPd?t}ql@`Td45mBS6hfK zqO%wBW}Z|R7n#K5<%~&zEWt3vMV(ivBqCFAzV6#nKroiSCh&vkI20*@W zjj;l%N8==XVPHbiZyD+=;)@2eposgy@Xe>hB?qwX#8W(mSKs_Ysj%gf*+;gt(Gm$2uSr*v3+iMhp_`q+rCwDiFr^Lr_{<0S9Sy~Yx5 zTOw~GOL5u-JE#hV(VUZSTha7!Utr(a-AP!V^UCq&heU;ldnzq@>={gMSP5zAcDt zpgrC0>9FMmQ{nTUx%L63j9E>GdEuq$#UPBX3Yb>{+oiV}O`K0(_VFP7-cCqNaLZ`& zUd|9cR$^Jkm2uCoV<(sz*HE>|n}$!ruurkMB!646&w#)J+sCo%L=;(h)WOJq ztASPa`5^-8*LK^MAZLTFS7+uitLfrqOphTl@#1U>GO{1!t+h-Ngpm>M!Q!GYBZ5VT zb9TqnwE2@lGuE21Jdozh1*XGJ(AzmA3@TkCZ&T`k7M?iU&QAyKEjNZ@#$~s4NU_Sq z6Q%{gH+bmBft3X4Xpg7G41J)rxc_plgb!StS2(w!uYMkCRylp@THi)a$>kBh0m5i2 z`~a`Fue=Bl&TVRON+KS0A_pUwyoF|4X2TG(eZ1Ueu$!xOj>Lr$Z5i6MCA}B1eOdom z*dQ{Msh{M&*%-a)W@Czky2rzSjvey?KTwbfpQOPTk*-xUTjVBPR);imuxHh7^;99F z)ew)Vs&x{$V`B}LM z_pL>;We{o!Hygv!{B)>#l0NIWiiL=_z{w z?n+hw13_=JHMv@%@7MOV0{Y!t>6&L1F$M*p(WZ^(KXrDrtE>lmG6LZTstOQxq4B#j zkbwC80?(*YgI48koCxKe)_U$J!)~yw`0VrZl7K81YmT>(D~}h&)kG-rpFLWVjN$?y zJpQhfS$?JI*xVY}N#N1JJ&uJ&3zXxUj!007R#yn{KrWC=UV9yIdZFd;gQUi#=Y8Ui z`4RtI|9ZUM`;@2Dvycf*9J#Gs8)Q=X#O$-~5dJh|r&(|?iVx*B^Y`N4oI%bDS9pUL zPI=v%R-xuEXPQzZy=w7DcCbreflCkh_ztvQY?!`!qzqtf?TM47W_wT?FkWouqd2d3 zvW(6pnMGMqXxt#I_|eQ$Q@M@g5dDt?gyF5l`F!M3&BtV>8A1T%bJMND?jLLHZ}o;^ zbtf!hKHgFk{6YRFHiw~sudEQ)FnDI-u!Zt)-sPTsiYCdp@9iIvP_tVj#4A7?{cb#0 z;Vo{{#01X%B60R0izDg>@EGI#^<#G~${o91*~BBL^O;UdF^BaP%+~NLu@*EF`LlNK zl3SA*mYr#zG1w-vAlhWLHL^{HDPi%YPZ<_Ao^u6ha&B2J=5$&TJK?jpS@wY)-U$nN zSv9>A1uI~=x4l8`)EXTj9*i#xpfpHiKL&DWZ!Nbag)x9mM08NFwBR9_nvAQS*eIVU)J!U_;UP<07dcH z1{INJ#j68NOauaFlACK&s>s7;R<2;P0ndX*o~X7!QY&HJh^gYqntuR@ivDP+g-rj{ zke@JsQHA{U1LZ4(*fJzN>O_dG&rmc?&dz!}=jd%Ob+vUASbi%VlYb!Q?vhhtcJ0N{KUHUauGyt6AzV%g|< zI>&)FIw56}(3|d#JHhkb!rxUwY|x^ODYQg!X20g;Z8>zMuKvitmP{(!%o!Gzi`tC;^V2XS&gct% z?RB+_U%doE)Cs*{$7CnMs3D@N-q}RvN`7|OFUegdTn#P{HVg# zQESrjYeBoW2*Qk=!5#fF%u$=E%7LuN@<;GhqD|V+-Jl3IpY1ZG*&WNUNWjA zHkUKz$Yf2)X>p`%XI@HP)pQN3b_NIiTX8`kWU!$C7XrDY4YLO-#<}xFX~TLa%4mDo z-z4%cE!SnI$ibTNDY+c8fMF64ULI9qC$*Fynx8~6#9?indh;|lfu;0b$A7uY^laB5_I@&@)WXMY96m1l`!;>WkTA+-VUTGeT%jEI~#F}Wk`tUz9(!j-fsewq- zjsfNE_aRgUc!oSx;SXCv9PgNU#8377Qf}et_^4FdO*=Ih5&c;Z@!z-(|Ay7saqKNJko zM>;pQ;>DE4@Fh5mMlf9cs_nV!GY8Un>ZpcucRA07D;aigD$}Q4A(O_m*KEpQ-}n^g zmu@Kd0xn;&hp(D7hiLZX0SZH$9u1)&TU~LRa9h;JBDc)=U8PV9l<64_f8)>&1?JNV z7~=p6&8-87GoPs-VrR9^jG{ZcT&*`>u(2KE=VcK1_;W0IKh&h0g=Sf{nGb4YE@;W| zTgU4q<>39o2Zk??QTWSpp2PFQBEV9!ldhxfrA3=5`d7TCzzs`rBN(q__&aiZdi*1! zG>z_HgEHAeh1J~plZMEOif3omY7=6PvhVpjK?Tv1<@(9f!Q6kpse6f;1QJlZ0MhW>jlJaR-kHLAbxo9>Zo;BKQjd>+# z42k$g=|imee4w9uYqA%tUm9>+#2`sS7zzE~#5DycSe6!#FXGtHxWyR{8op$sorl8g ze~0>($no(%XOnq;s)hs0WvCaR02d_)mg}<)`VyX*YY9aLJl1x1d+9zC=Fv*otzgeE z&_0PU1WuJ!E*2ExKF$A@sp6iEwYYTGn8rVJk{z%2@ zw0|SPE%>W84lsWu1Ev0c^!NCt=IbY$q;x*n*U1y?G%jxcpI%FgFbJZU5v3~KF*afD zDPzC&uZ2)U3)vjZ0NQ+`2Vg<^>(3*pKw^Lr>n$q?6xo!wW~;J1QqYd=iK6V1UD{?q zO1f-!aF6<3$<}n=o%(0+E5Ck-`X}}L%DcAr`&dCVnBiG7C*(C&9(;V~ntJ{;FU~ym zkXCX+Zpc}ii9XEkDyb<#Yo*~4ABHb8%LbJ|>>%q0kYGZ7iJ~=;fh2l&xLi;O;8sL= zOeLq4FJxB4pKw(RNuy+(+*YHtgNH$k8~s(2lkYmn$0KQT-iDO+P=Iv?ms|>jEcRn1 za*nfwNmK)H6Ir$&cVB(K=FO|!P`p`sd02ZH1dY*YLax`GfzGEyQkqMyIh79r2twIV zNX~Z@!EfQQLQkuGF^+hb-sc+$T5HIh3Y&j6^ z)^uRW+?!#N2WwMW@T#thCX`2dOX$)xI60Z8)U%fWboV*5SmS3hl9BLxOVuX58YvJT z0;eZ$kq+rif&0aXrZ3`7KoWwEzUnZkv?FHQ59zZLOc3BZlqF`;w2Ym{3?u;vgM=_F zA5-*4^HvYwgMVVBoEr9W2z1~e0rIBU{|u=8w|4^nKdF$I|6#KKniH6rk^Mi;0Nr7@ z&8RNM-O!J=2O3gLn6UYI8{QMUl?NH8*f$GE`jYa^vI7!uojV{e--LlTj#M)R92~q4 z^nWdJtlscDId7HG}4TU&s|# ze>A;~Hg5KUKj}_OOQ|``YbF%mZ+&#F+tQ~*^><%dJU>9Ksk=iCL7I*tWGe_L7E+9s z>hq|TlsW@@RfK}w(5BzHks|y5Jxix#5}^6B_avFI&_8)4t~5sH{$;9)$JalBHam$o zqCPIoRhUpW*TlfNgy@vKTr;6#VJxIce>a;JIdSb?QpK$baxL z%xcs&{O%oI3R1dhW($r}&*>Ap0OWZ|mL}RZoR`bLKROb@8n12&$2u@~z$Py5+VG3I z8EmlW1oFNMauC2sMIUYhd>>E+4cS`F{yKbhDwzMtg}~z=OYl*z_2;Ca%xm6_1f6$e zi*D>=L%>tjzV2jT-4YG!-t#dg+Ll{4)#}q2zC!jhP%}AI-2q;BcoZ8Sz6R`{Fm^Z_X7|yRy!hte5yG!mvWznkm+e7f}p7i+Z4nEoyD0``$9fl z3519Ix%cLp8sn>?=aOo_S2^X+{sI`ZS#a)BM1iKI&t<6uTvamE?-k2U%S^-+ZfvIV zS;{uRwf*mBWBP|EX0$x^`Mt)qZpB**#0kl=$Mc|gCn=?{%ol7u#mmfFim#vw?NyvR z&NOF?L%+j`C6IoImq3(DkUBwWNgqFjfWa{6(Hww#KNA`$=-33y0Sy=bS7EVwFpPT$ z5y|Duz%Ddg9Uh<9{@jrkWT1N(u|rN-Yk~)=Xy0wA^HnyM^%qz0w12A-aG2Ds6Jpt& zrgy9z+*h1uD8mP3@s~L*B1Ba>d>)c*l(kIiJ|Hga#u~|F;})T+%i1yAMuV!n`W#&4 zZaZBu*7#koK=r}gr-@X$4KGZ{G|=lTZD7jo zvPGtAaK3JrVI*8scDnM|2V^jqVR>@+M;H|pP6VHJ_DbXdt z>^=?@rxHX1Ks|q{u|paC(5R?aQS2e~_l_=wc|uEm$7KViN>#LKLw=Vr;VmjpAKY{0;aEhb{r3qr1%+ z!*;l!$QI=#W+H*;O|U+$XL-I)?R6+E)j_*#A60_N@gwqom(b#BNbBn%l6J`l2E{Ko z5LFMM{3tS~^iR^Q@ex9B7y2%Lnxk$gfY&$$xN3F)9PwKNC&~DDoHv(w z)HbHyTF3Sffk${VhSO>1Gv(y(6oG0pp5OuW5+}2tVCj(1sDyaDW83c#8~|RbFHy;0 zT<52g!#NiF2=s$msb9iv)7v~vG-#ckWuFox?dCSQ8J!^lxBr4?z>7p%(4<`tN(F=8Vcz6Xu=jx^u{l=>QdQjPXN@ZPJVK;3Uf;8YueWQJIP${Ij=r||aFO@$r*E-r2ijl+a1+LD zot<(|DS1%ylWEuT=S_ck2p=8N*U5RFaC@C)Z1$j&zHF8GQfOHrwN`p@W<$>eB(+$m z3)eK$WTH@awg2*XuAO5;bGtFF`5L5-z%DLxWP!2G_PQc5Rq|;tDx(t?%r>M-tYndv z!{_!r3_6iN)bn6Z8^edb4)5MMlXW`9)7!wP$VWY%3@Q7-P3AFdKw@#0rC>Jz&*`CP z^l(n+Nz;}#xtvNndH%!C+?dgFnaBKg*~=IMgN> z{qBpV?}vI;@mZSjN>O3_k8aS-X^|?f<04UEJ!qK1)^ed%Yw4L+#F;ucJT^)LB8m#}!pT)3F z;bk0UgB_+syq-0HHbi2pSC0#9EhKR$qlzk1orbiz(Gox(qyV-{rTL~k;_@>s={@#^ zWNX<+5wwn%pEz_RXD+JyKXuAsi9aBg5cqxHl58cvnT$E_^>GtStPvJR)bOoji)IXB{Lx z3APJV^>ZZdfHoZrOo}!$$a~6j#3+igM_>@Qk{>HU_cA|?L$uj+c7)cboy2yX7&f$H zT$uHZ{8e2i#f?%+@Zu=zHEqB9b^P>rH>9A{;0v6#=oApz{Ky<=p0)@^@x}f`Yzo4AG7U?pIOW*hRk0H4z1CS|6gljyeceTA%Yp>JblWk9<%kd6z#l?I zi~%qttmW!d=^IgSP1q{-Y2h2|GO!-5{nA>P%5F&k*&4X&kw>OLyyjqV-^GGOe}Fiv zdi7SL%sWYhWXg!16r&^NWFqqS{SoIG}1pnBB)0#RFB7ogI3jakp_%sNmOqGcV z(Q2nC7(OsOa_;*SXyTgRwws7a-}>WY`J}6@9HYM#mL>!xT;1P|`mvjw!dmG|{%f^? z@C@!Yt0ZxSi>R?hQV*->XNJ#4xZWZX@gnysV!}Y0r{{>D?>l*`8)D7_^@NqD9kH=0 zOIkV(&eN)c3Y~k2>2WeLd<NR{WNqE+JaJA* z&ve5P$F7OhQ)WZ1gz2M1;N~!n^zp)^8NBZODgSaE(nOuuq_u}&q~jmShjy%>{4+bQ zeqQqi!NyeWG9(~U#&|0Hl+@E=cJp4R(+12v*U8|Y%kS}*B=pmc$HE_dWi|jgx|tyw zT9!Cmo12}e(RVfxWET_JyviO%7g$cWc>U#jml>X~y<-;`6$?_R@Nd!p(XFECzj||85KkAf-7G{c;xf}Dw(fTf1T}s|EcqZT zxY1O&%g)x19y`u~>@=(TxgiILf!CbuZQPH`l;i>;y@4`AyjL!0kN8?2i0Lhn9$r10 zQW}SH{^W!mRu&knguIflz*&F07PxN|TLy_1+px8fa-%5b*w(wpd_Z6^R0`W~(KH`7 ztaZ>F2qb&Dc=tbF%!cF(C;Z9KN@{BcCk-c@L7MI>HFd;!oB+8QzVOddVfz(nHkGx=Pts_jK*;Th zgiCn^Rwk7!%$H{|va+C%4ntRJhRyUhL!ev3N#&Qys&ks$8F{rEQiB+7)+qQ%Rslcg zvbx}jpah%M1H=1(c0RCA9$?gKpxZ0hldfbe}6m(B?t>DTk}7ISGn@u`Kh0U7Mq2~DL>t+k-_ z0LdLJ>s)Y43x$f|F8n-3BoZUKCiRI#`r4y!G>PbOt^brrr?XNY&J#u^tC+;>m*v+y z(UK3vQ2v3gn>*y8mxRdUh+CF2j;ahPgu-)4O@={JpN6s)xX4+R+?FHCrf=E>-jH2V zUne7EyMc1??<~F3SJ4BwMH(x2Gw;8v-$|+j`7zSx#jyq5jQ3wegmOg}dfV8PhWN$i zxkOeb0**vN+@?>6?gBtH^~li2oB80PRu{@2c7sT0Lh-Y^^`i2RSBlMT6Ezw(wa!0s zg6r;o{V$C&n9NiPV<>}EaeI#r*jA)T;@RceMn;~C6%{o{_@9S^6-^7un!OonAVK#P zbpaTa0W0!o>SpcuEStmDh+6ELEt{W`v!mMSkjX7re9=czm|Fn#a~(E0*t+}TOnB6)~oRh|1(7N-`R-K${se*N%~G@ z!WV$%LiUp3EtBbn*HDRXvhZ`Uj?Ll>xT;WC!{JSSdiWrDrm|$bNuk(u5~083+LE@P zU1phn-e<``?;f>@nKMNX=v5TiGDb#Fmzo|WQQ{vBCNNsBj026~YOxzl`4=?7`t(%k zdZdf^rh3x|qA+Xtdff)gAqhtinfu5R$D+%F7c-Hpng= z7^&|c$l?v^zIT?I-P?XSZ=z3qTV9jeg|-!Ohm9FKS;BSFh3q7Fo~0<$biW8f{$Ot4 zi+A@&&S;XT^zuFiM{|N))wmNbX^9WsKo&*}gtD2_@{plOu#{le?pfN5*y_$sVs%T& zks+9y|+Y}XCO8Y<7OA-{-;!6AO3e(YDQd%KXKdLzY`ylHbPDpu|BX2XOH zB)nCB8P4e>E(1sLMq8QO%`BV_IG~7tvp;GfO)N9NH3d>@Y6W`r&uYPoFMBem0(1ss zA^D*-IW_w@GS0P!d#YZVU701*s=Qcg4LDyHU39SnS(@hpQ$0oflfV93QmDS#{!^GjYYhT%Q6>GgrE?fg8J#tkn< zQJ^%VOGo|1MIawc`{LrROZsM5;H8Ol5uGD{m|B-OZW2;9M~e#19!vz@fxU%*De0>X z$F9=s!NR&qt4K;$T;VYl&Aci!y!QwEN%raDc7=Hsbu^e2&4ge(^ zAN`D$o|jc0KAgfIS|3BeJe^$)GS#Nuvc1_+0pIANrStvD7v3qe(sJma*_g}O0fAQTVMbi+!A-txqbn;q4iwCAwh-fSYTTelv22pi($`=RI0`yAWUs_4#SJ;^^!vo z4BmM4!sbW58I-cCJ7YAItRzu^yE5JR45w(%Bv_B3{$;Ph2%J@}<_^W%dI|fI9pYFa z4hIJb$?v(R|& zLij27HtFlHk8ZpMxa<7z9 z9WZdcc-qCQT$FYvZk^cLMhZv?+1%JnuufCYM8*b)iF3Sc9qgQ^PM>uZPJJNIUp3?E zM45)VjyJ`GU7@>WOe-6Vwp}hV??55-)yHSnz7MH^&%a9nwZDdRpd5flD7vCYquf7& z;Pg>m<91%k5AaUz6$*DEoQuV9D1xnGIg66FaRvU6Vp$o&RofV?l|V+(K^_n|X>1b- znwabllatW;5oiM;{^lr@p>baU<-?P_f{GCl6p>EJtQaHUquARUxrLp=UEJn$Jdexy zlge{)iPGFdiQ@!RHxAOiqN>*%1PR|rGLcCX&iDn!*%rwr9ur#L7Zl@~ZrcC$&HBF; zs1a9Vqhz4StsO(QC4baBzMbx!akcScvuayM0u-Rs&znleh^e$Ly|b@-@}#IPoJ?@f zE${1A3iCru?Q(k`{A3o)bx2K+#^H#BzgRY=NQji~b0_xcCiN$cC@%2~t;2jfN;UM9 z=a3L>bLzioOamcj#OSRj9;hSdDHoU=Q22QWpo0oqj$=-V*R3Zx6R{;c>2?i{#RIW_{O!iRHCq{eE2iWUsr=X>VtG3hgqctZw1|Zpjq|HQzvVy^fDF zaRr+7uNe7&WXrc2LU@_2Y8%LuHjazyQl*Z1Uia}HQma?}ei)b)>Le_hP>c00XBs_I z$KYX=O!mgG>EGc$EBeeo52`p|d)!B$Kf!vl)(|bwipOb@Fq8sY!2277dvob<>-%ZH z`xI5?IO!dAtSH;`rp0*mu$)d)bmw{RvxUFmJpN%z)R7(_U$`J5X7JZOc$X*X$DQ;o zSla#M1aha zF4Ob+@`534JVCx$459*dRcMVeAM?KAu&^T#an3t0-*V%*THwk#_MGlBAhP(h-w^gu zujUqwy`XFt&jx_U^v4F|#xMhJo~}0u0KCZOMBe_djOXLzU(Bq5d~LTS6ui5Y!FfU? z5){p3Gj8oyIHL)45%zIlVjpZ7O<}G6!}j`#T}`=E8I8Bb?r?iR)K{_iL=HqO=ZNlQ zy=KUH7AVMqNa@Qcl!1q&Cgyo`SK73%xD!7xJqfpBmdxO}*=3B9njy<7s}7)Gm-9?* z;dH|Q@<7tU=GxmV^Hnhhd8}wxoygB1S%uoCK}Jy^jIewvVw786{xF^T0r3RK3U)lJ z&!uF5Xh0T&*l|scklafV)=|b$|IOhZeivo47U|mJ@j5eeHTxFUum|Df_e?QMlOkaD zJ^Ky-U7{KIyp^9?<}lqzE>epg1q9(IcMcyy@w*zzs>8j6O+lO2rxp+C~^Da zGW%e1X_YZPg7gS*M6<2q&a~1zO-qUwUFQ0>FKG+(%j%8(yO^n@1HHK&Wi6N`_D_z7TD;%(UWaE&y!`&SLe^=1s@WM{i>RW-w`XxZ}HGwNE z^duNO+%_EWF!38k8icMC>u z&NOw0ngl941@fUfXQ-^f;GK;{DirWZ7#28!85j%d=bTVJw8U2jq=}s1l3w}vIi^Qw z&ho>7p*>Hrc$TkXs~iRG73SKO8Xl71pxapb$cAhqhozX;ZqcsFeR=#n@)@4{&weHU ztsT(+g?|Vu0So>A-R1nh%-1q7{Ku#|!q6CXuxNW1FxKmRDCEO9F-)Xto(v^38jckHSB!bI{JBqVJfUt$AApX zLHy7kpDfSGzK?}#U?YOYZr%U^R`VWk{td>kHd^mR=u%w{q-9e=oi5_aCnR1$&RFIb zZ)pfc9PJtPSNvL){X$34lbzW;#s9(GI|YdncIldB+qP}nwr!icY}>BdW!tuG+qN~k z@BWGDh%JJ%*a?TzGt22@-GdFr|#^%gAgOHpX`jG)c2X+!c0U(4mFBJi}LD= zf=&i2UzYQFTP$u`)bpg(z)XeAP+%&djRO6dx)3>@wQ{7RRa~RQj0d09g{H}{7~%%> z5Jglo$;S3lCbVajJN!B9=%x%sxt7=&4ql@OD>Kj_Z1H1@2|N zVC16N>6Dg7TGw&U{|Ux3jb(elY=Nz!OV$ITI(ho5%VkR}FJ*%-7oICo9ctUS@#bw2 zI16$_Og{RHLcG~ZrU?BuV3r6@Xr-HTk4PrZ39~{Sdk%oCX`*ni841{{i4yI%M|VA$ zn3h{Q*KYH{>1Tb2NxZo7-zzosls;Pc;mH39WP0K1X%XNWNugY9u0~N}veiB_5gDwT zrHGjeUBJ}gtsgUYyA)=}Y|OM6lI|9MRt#K+q?EY%Q5Yt=m>)$Ss4_OEyqa`iv&erl z+CG|r{IR4E?e=)#nnIVPVhciM(#wzBK)6%vfOVLt0hd6##UZ#J9-@bAQ~i#Lg(qG@ z=Ep&Q7=O$>Z+5?bgnw>ZrbL0{?JR^f9%%GYRy)kV6r5+;(vCMh{3S08j@^9p;IW|K2M|Uo7~>S28k*|%^1x8yj{n=_+yJa} zF2E?zcNVFG@oKD-^pMimJ(UcSD^wn_@Itn4pWQ5aZt%I=P*_|^px!thte%Z6qk<6- zx==r$lD~vgFdwt3%#)8FRa|uRn>?w40%F%}6iXFldZ4IqyVaVtt3wFYuc7f#bOYQ` zn?<>_xzjbnuw_1?16|=yV7gnoi7T4gN1FRI4WHkIuOO?leN}cWs^`w~Bj}?@M+|`W zRw|j~NCrp3Q_&9Kv`ME{z7U^P$F;gr>J?5!lqWxgDV8>mnRGaaaICqklx#PA9Jliu zqlOoc*HqHbMRwXCbZ!@}Ji_icHz!jQ4R?0Lng5y+xoY?XZT!6$LJypUgPMx;9gYoo zD(&L<)o~b`D!NfOlJ*;T@+b(5*pY}p{J6c5kun5ya` zUmxyvp9&|^Q<$gZR~OqkfjO^sIFMNpe=txGw=1T_U$jCVr|pAUH!#%4QShLMPKJ6T_V-`}40L(O=shZ}40wvCKIRP1%t>_@z~<1RW<$V7 z3bY^q=^;1f{z>bYE|8;MMCg`d87-2`s{7Em!~{Ag!(h{t`ZAW;Ws`S}NX##sUJ$v$ zvjF5MV~yK;_YwqzvkU8{(_b0t-{ZDEJ!{8Xf~dgpf;%bG!nR8V6kGEw+a4M?FjI>= zB>WJ=(z$EA_9GAHgWuS6~tGX{NkhVLC2Icmz=fBc73Hv|7GZ zRjXbB1BE?}#-|yANgYuFHWL6Gl5QNQd459>7m)_`-Q(f`5q;3h@f+m95>%;tIO$OS zcOid2$LtZ4SzLDnTINrmzoBI@4o6N!PRT5CPIZY|?!4KvA_dUpI^1K_Kw=d!e{hRb zJx1{95vkp26^pawggn$Oc-gn3U{3B8dVrncqKX{&Vy(!7V=yrQY#n|pf6P2KEm-A& zL6G}N8HY9qBj$c(xoMJL06We&ZaeswASp}R>latAEpYR{51LI@e#W5MW$P6-rjNGmDJK}m3X?4nS z8-`+q`Ks5zlJlZLa=nS{TMk_Z2dRWi7x9BrdF)AwJgz<4LszDf?i~IYb(C{VRCDG7 zzcsgf1*qN#OiI6~oH)ii*AEt92X<>->TPxy{(I1GyFp{zg1P87mU#dR>rhYwd{1_! z>9A8Z;{H%XdHh|sEaT`3A^la$$hv7%Xj+4NVXqin2pWozvw2gGvbh7~?YpJ)Q7$(z`zvI$)fH?}@s?nmi}Z=~%W zMO*_^m)#Ve^!fh$aDVZ9*(ztL>NBMF&Gi>9m$ab`kfFwkQuXbsg!#dv!k!(j_ml_k z=VTb{Z#jt!>Nd_+j}C@~()Q|Q-Ye<>RduL$ zj2n1v$ZU>)CIZQhQY~mz1b5|<^+BZ-! z7v86BLUf_dM1a!clLb=1vs|ni~q1P5z<`1jGr0Z$}KVpXu^b%R^ zV2$jM6(jvX$Fc~u7_Gp4 zQomf|)s-84W<^>2qfr685QK`jQeo_q3Q;-YXp_u~wm6uQ8pFAeS!OGZT)|VB=2c`> z@g6^tGvQCy2^?f2Yx}~jq#x8T;6#mP$Xla|5m`* zY->ib$pTadI8q^2!>h)LA}eGBaGxm)ulvgzxs2DVy%x}L3Pd$TskLZ5S-fxFlr5|2 zCslhMjVnbxd{aQ@;bc5N!t6H|IFPW7Wk3TUxlUi$8EvN6@W5MgS3>qMsNdST>;MEw zer|G$mp$*s>n%gryR4I@TnfqH-Qk)=wd7eQtCR*47Xyn0=fVs<;;r?*1FI0-%9Fz$)sy(WaX~P zXn&OoSMn3F;f{Wb{)KWxlyTu)mgi8nq`TqhI|$=VMxGA|akmr-^z34@D(u5?N--Fx zz|V#&a?lrR0q$ir5d?+S4_TEE4gyC&v@k(xGn(!6h|F>+Al*hZe#knVT><(AB>A!9 zQ!=~tmD**uIpM;xW@efC%)(`|(}WkGXIzfPW!;vcZC*Cp-dN_R=RAWy7J;=am`C3r zQ<*{Wjkg+id_nn#-@Y0WZ5OfF!-JMl&lsjAtC39k`%Eqg;$9|^6>gAQPEIheUQ&}! z2VE=Y<8E6#WHPJc;UD6y26d+Bi2rXA-`bgLghF{TyW!bY&Xe!0p9gw{)DIc&+e_Mf zDbIwnkijjEjWtKFo{c$1QTpE9ZO?krhI3$5j)AKH-D5Akwn`!iOym5bZm`=JsggXS z7puAvtam~o!uv7F7{o>r3!qgBOmfimZ};MJwnJ`EW*znkqlKC&uWnE+>e?nm0`>`v zX85Z@hBj~Umr`K*|0}^*8UEM9JO8hOA@+ZQ?Eeht{7*r~#L4iV!O$57^;esrDx&_U z>ZsEGOmTGw=-#gKl%xS6^djAGLB^+Mdz;P!S1gm=XV0dYqAQWgx35>x5`0$4Bw{et zIr7up+h~z+0-XJ;-vz&XeZ9M&+8cUu$MkD>}V;Se_1f_ z)wY7vW`dKTjZL$KCR?P5J1_u7$X&%t;UH}~AVCSLkWn`~S=V7!LX&<9u60O5(W*i%_1_F4aAECS_+U?TZ_dCbI9NlQ!c!i!OB#F_%SUOl{f$!gKa z6SX7sxcgEepka%I_?Z(uQ;?5IeDU*dN2;*u>w7gbG>|bR(@eKbX_CN)o>=CJpPq56 z6rmO#eq(xBQ}#m0R{Qw6cg2EewB9+9O`nt43TIxNX>x?nOvpW9?RvI;%Vb$U-HKZw z<2%V=+P}(NoqoTD`ZE?FC-$S#iCey|*PdW`inACpqfxS+FbtTUKAXpjgbH)aZS-kg z%)bTlSDz$rZ1-L0Ud3ESF?AMqQ`lGSB6poeY^+x~gTY_zBDW-VoW zoeX_jUo?3O+cyDQ|A=3_f(lt7a2+9_{Cqq75Gc_BKs zq6GE^m%eMIiaWx0G2eNp#;t%@*Cl6wnh|Su1Jwb#a-mL>7e_c)%sI)7; z-@UV-T$LHC3wPbi3e}=``m3)s=;}iivs@@@bS52YiFliiJpJSxta2M6$tn(hG)aZ z|B;nOb$2L{agYT z*>;{QaD8W{QCi#twx6E30UJO--~sMYxSr;-SHbO@CAnW1ptfD3`u;BTiN|WRbU5`q zwdRB;tp!I3KQI8@^9sB{lqHjZ4eA#%KX-Li4B~BlO~-n_Z<|3Ssec)%-!ECvGSAa? zvggU(Ow02))9N-#XJ`X}7#IAgqdMZD!G%xWC6wPlhxP5CDzIzd)ZP}CXjffn3leep zGXC9E>n-jY*$16HcgD+CxJET^@|r5T5VCdwlP!pF&n!EMIU{dx+DhD8RU z2_8RvJ#qc|R{JXkJypHa7ME(8=?g#hMYE%_Kf7x!)T^&@5#eTEad2=+#Ri0zxFF4hQGu*Wi<)&r z%%eR`QHT%zXq0I|f-Z;#q2%(7wp179f6Y4H_NA=$ZGF7BWRgh>v>|+$VP%{LFftKT z)bQXM;S-SCS-mA5^g`O+Dr1$9!W7t8+~hvEimWTpYZjtaB5Ai zB+Y$sdDJ<$2u89Ye6yN)^o++(wsWia=b96t#rfv;%6yaj2xj4eoOa&Obb2>YpMO<7 z3V5jct%)l<&Z{MJgK^a&0~&PyN9}ijUREMlu9}eab|C;Hf_wmY^MNG1b)`!$#FQ4-8edUU zm)*c0fWv2dOvEHej;iyg+k))y_p!IA?oH*ccgMgYb9fC?y*!+Ql*gXl3{}Ul>JeLG z^gRm4+=_~MAem1Qdp6o-#_Qdyt$BUF+bdo-jxKqaDuAMl6RslbTmbV$EfR>qtF{}YDwtc&M1a>peA0z`ce z?Zv-)gR9=h}ol+kf>m^ncc$0})n#IlSVF=|1F zW9~OFX`K{|FEBc2Y1nw98;256ibjsA6|Mc`4&SKZ@zcvv6dk&VTS1y-_s>k8wBP0d z4@=N3$<4Cg>0Jl{_?X`}dFY(qFhL9^h!h|629wG%c=-S&_=H7B| zc1wx}a*5xSpFB5VIVSC?YT+L&APDdmAYljSjF3@Fo=lSNQp_ry9ULk>zHyX(_)jC@ z*CIyNOK1fIekZ0@9JxSVLRchdyWB#eC!=0^VzExC(#>7y(%QoUm2jVHuJrbj1=hga z9;%%sCKk`F95brm8P|`?@2r5QAY=78Cgxt_eF_faDL19!j6jG_Vs!LD5mthth@+PC z6-@ZD5fxbBh(|5S(tS zF}k(ry1GQN8?*UyEP{_!e{6xiH9!feO^|9=36)E$uG-Uxk!f)kL~QK(d7wr^k(@}> z0P9`FMa~2IrH)#{HuHpV3spN@S!AXPlVSLr=bcw z5+EnrqQ&03L^q#EUlRj?f}qu53`qSf=@$jPhZA^sne~o$^#vy3{C7I$e;ZWne~=z= z{1YqxXCB!9ORO+3vi(PkEin|G3MRYmS6S2UI}8Y02nLLpMQ{|kT_e8l4x_KMAQx+` zag!;DsM*dzYAE?7w76)fe$fY{DmLgP1*Z#K2Q3sQR?25^2X!qEsG3P{5`RFX`Cswa z2%S7&7xr8ymU*UOBI`<8&ziF@+D9p6Z7u?_l`wiofZS$owBK1pcM?|_O;vZKQS~UH z=eE`wXop2(VW3vMkv9z5LZtXd5yh=6WZrRfDizEu){VJTLLHjW~Fq6+bM=|y_3jDeBE~% zNtqcII5g`fhM%&0`j0paocd?Y$yTPjIwOgsBM^QkEA%IsQsId~-lau|b44;?6dSwV z){sKG&>K9sicd-2%8KIjNN)UVUDs7EHm&&HhiGw+@8s16r^wINLZ^!AY8K&N=beO4y_TVdR+9CzPaNhq`EHW$wmzm z;B3Ez6a$GAdvz_>$!Y(IZ(Z66i@D{6G(|X62cP|A#|&gAo`aDRjhwSDe*jRv!$S{s6E%3}#N2 zUdRUOB21_+SXjsCGB^uJ-|)xt)>cbNYlb)h=D_9Z`pomIy->E(>6EyO?SrM}jr7zW z`=S~P?mgj(h$Bj03hWv1T6ni0KS@G~yZ8sTToDQA{mp!7Xz))e&z)?ZOi;7~FD_5U z1w+f;*uI4Jq3)7Ylt2jdUZ3j|I+4u)wGfyI`z%-dV1Pl>QOjb;R^8H?MXdb>9ab@S zAaK#90*l)sdiy#;tKsXpC`fvz?T_|2=rM5fMe(Rc<`vBlxH8q~Oyv5)3$7#gFMH_z zwp|@Cy|!hek7c?g6FZqPrSR7GUtg+%Ct9yr4{DDt7M~IM42u{wo&vwn%eu}^)1JyO zuqjT!Iq!?Lkit)!%WRAyV&Fma_-l&|e0;-!j!44|CBGcf{CE%-xUkMX`9G3k!kS{n zEKWtW#phLeINC9j7_5-pJ2DtMO*YC`Sz?oEczbJZiEPQTK;Ji-%W1D{Tsdu>SN5CJ z6n13qle*d5Qr5CQ*+`}%Pb9DcAM`KEnQLnYMTkmGg#OI@o_WKVr#Sl9n8a^ZjOtrH zM*EF0UkWCcn^3`uH}^r#`k-K{a+EZT&;?ZdTQo#I4%ftCI41_}5X_n%tuik&qKSeUM?-P|7)#!kcYX>g(qGFZ*R2lW7)I~$T65S}0 z+wK?-Kvh(ad~C}_0-2`dt=U6gMDh9=#c;0l?7@iZbPV5rwYc+jaE*%%Lu(Ij-w^EY zG?IHG7u2owRiw6UM+W4`gb6VjwGc-z{?KO6O#e_vgcvnoT$|nYl(?2Qag1jPOmGP@ zEbP%{BisZQ@Vbv`kxt%AtaEi{4Sb<$lX<<3+JKZ*KwXQ4_Hv@mW185%>dKnHpqV`b zCc!8Ke!JQXuR;l;{xQ}JB!iENrcRjp78zx|TpdI&ykNx(eetuQ`D2)ge_U2r4}n0N z$8U07FViSP3LB99ICBT~dZbE1rY!j}P9~+HWR&e1OCH%Tes)IOX5>n~7Sf@anZFRS zVR`$8Zwpul9lwhXa7QRajQLabklYKQsk&opm8|*Y4*^TcIbjkWCAZI|oJ4hGBg8xQ zs8#|fEw~EgBjKSl7!}~rDC^<*WC*Fv^3;MEMw@5u@ ze|Lg^!#~L^T-DF|kYCX1kB`nhf3qnPd8kXU@$Wl161Nmw7hSNcIBU(;oTn=&cLX&( z(9APNg|*eGVQ4xGeNn%BO`L0`zn@9VuKZ<__4%i$F5}0v4l=^`3H0n=zh~rRIzE>) zM3>QTZsDe7j2$L}EQ}lsp`;*fZqmfvJ-Ab(^joAS_5RJm_0e&X{zldXR>yz z5b(K8u`r+=$vhc(j`mf5*C}0W^!-l1M+?f+FLtiAuXz;Ot2k+OTv*1h&yneW?7{$P zV9v)?Q2-iVw$H>#`ZX5S+f8aBUr2!}A_N+n4adT`pQikAbalCwiT#MHGmrB@S4**q zAls5JJ1sxq%g4SOwU)*ZE|p(Szp=HBc|))hJQQ2EI*Mt<@EQCgnWaxLS>k?2HG_o; z(ed1hQh#b#^EWsv&N&VUJGnW%`C?Ygg>V2Rm-NKyW^rmz{T zwnN6P4v_zDUix3pjQGFueL4S)QvWk%#J@f6|4CC7mJ)ne>PnUh;`Rsdv6w}rX`0gb z*5ERzbif$%B7Lb%838Q7m$|d*O!+#wyM8roo-%jom*Y3X-9|7PBJ?4|_0Iq1de~_D z_d~QG6U7_^F^83jwamgS3nhXQ+qzyC|OD;PRzL>X%t z$dZ|F3xS36F2c-Bd)Pe6=!&r=QNU_4ifdZW_f*=Fh^rpr7@<1Q)1xu42F+4Ip6{#l zQ;C``{`eZAH6>+1tQq3wbhLBzdrlYqk)R)0|upk}! zngvS#i0hfba*?0T)mD&Jts0t}l*J>cU8|nR?RfMOy%!f%49b&(PoKhTdOF#7*GNG= zFiS766Wr0`gBMmcuD|6G4~E84u0r7Cs?AGnZ`71&zbuX^UxTedpF$FC{;^B? z9eMhUjC`rbv7{tXM8e$q3Zo{APE)`|F!o>}9LU$XLsd`X(w!|Q*1$FNaS#!Pmz<8a!dEJRrd+nOHNX@P~V zzQx=nYN6p@-vuXmm0@+lw~;*;y@8)dz)TPttcwmBbr7GMNi}J{TNDH>euD?bmrWpp zw~|#5L_I^VOX_Eo;tX=+a09qQCrEV~XY!E{=^<4PSuckQ0y5 zetqrB*_gw9toHNbouz4Dw&A$mRQgNCh_@o;Tsl+oHOmiCSCTKkv8)jI={_;2(ILcMjGp`< zaS>zG|L!bR-#~yF^UFV=_-M6O)aA(b4~1^^VBB%{4noe#@i&WNS)Z08Y|a`6O}12o zYcS+>x)X9TfSyiVGq%Ae=%DUJkcMVRDWo80AH;3)m_#{PTs<;zDvkLNY#Y|d~9=a zVZ-D{2RjH&=CSG}xZ!r#Pr+v|FBqVG9|=nOIxgBL%L(b?q6U{;Ct*h4Qzam89UJ~p zJHP{QSS#7qnU-CaTfryUq6vOU#iWhRZQvu@Dm)p<9OH%Bc7pBP*$v$|eyC0(xtPY< z28i%ge<@iLmjx_D zEz&_1{c)A?s2f&bDbcrFk#Gb_#nBCY``;}iG1b`$YBz)dkTy<5kXx9HhpkG6^857d z%+BRVwIT+5;W(Vt{UYa_;1z$r{KqOw*FQ*;fhyZhg(xQBIT@0fm1+XSOyafh&2x%# zwpI!-7N%4_!|eUOrmbsCu}Kw^%?A~{7E=V_tB z)WesP70jNh%)}C{gS~^Ve2I{y%jO%JbwNTQZ`r0|RA>ghXVS}eeQ1Cc!R0aCv@PiS zJdgGE9MtmnW9@fXN1qmpRC95dg#iios z9chd{S(*6HG~d&;1ao8)-6Vcdjd#UbAuoysdrJBdvo>$~NhO{$P&wxk>RA(#`92VtJ>Uyzcfd!k=#E1PmxZf-o7ZM`c8=<1Fiv#C{sLpNo1IZ zPx=jHs6iJS+A4DQ5jh!vs3XNp*;m|5mSyMLsCU=6_D3gcDZq-rbtl$9|4_G?W8l`F zrGJaW)e_Xc^?3%r&vl}|3F32hq)3Zye4K+V$Mo7_#sVNvnOa$Avq$5_QU$_i6VObRVOsVXw<{TT5J^Lr*Y2ZM?i1(!UG3n>h}4)&J&YX z2$Yj`Pwcb82%1r30~ETlmK$vk*iK5NtQ<`M5(_@+G3V(!_4+xBNA}|g=|ulsIC0vv z|FPgK6f?bWf|Ly$^Xvj^#A+8X3aP^93QJ^T=j5`>+%0<3WUN?3Ct1RB&baV|Oh)R; zS5HY*hA?+qdtXA}0PYLIF~#E*Yq8W}0B%u#jl5q#&6BCHR%j-v$f6)C=$^&-Jfa}JfEHDfOAUm zLlpArn=$KImC~&)5EFX(diW?5*S&lf>psEyT3Oxk?A4W{J?uSag@Cwq#C%rUp2^pbiGiemED{D>x42#1|eYeylW~Osavd90rNv=zCm5A%cwKG_teaxBir!T!R!JV{7Td%mSi)J-!O(>$#>{bu@Io#g zs`4~Ipf8T8f5+n#X07X0ZQq_=JaT*zV9Tw_EXD)iZnb7kjLjb%67JOdJxs1-65}2L zj8CR+Pls<;*noo0or}>!nkv;l&IEYt^tNMDf8hm%NKbqG zTIDJchDC{GbLe)YGfd?7I8Rsxo6-G-RJuJW-c}#?#W0!Bk|i|B0xww`PoVKB*;9Mp>5Kh331khgZC-~%5zP*XW2YO2>-(OlZLHR0FD}%=@;f%$PPIF8-d(VgMgAnXT<+a8Hqyb(+lA?a52wZpmiebBM#Fa<;M0r;VTWJk5w1b9V4J_o8P zO&|dwLf2IU6Kmg9ml$S3^pu<@d<4YUHy!kx6Q)nZ{jD)Uw0p2qO7-wdl||5yZn*Yq zFxfAQ=~%Nzbz)XS1tM--;0UWne_o?hrcz7aJ3(l4MM)J0X>BNfMWsL+REINTVxayF zutyRq>BNYVKZ3^!{km!YtmZMa%tj?bm8W*4yU%)M;V!s%_YV+OLW~}M$!#@nAZ6i7 z-QM>F>Y@7D9#HGSHSON<7Gc&4VA^)AFqWh5w)-ru^P!MVXnf(ZX>{GEEE@Dq)%mnN zimd<6Q}|yE8TkJn$gnf~a}oY$Sb={o0>^&@GFUY#EPYZ99tffV3_UjRzVGkeO3f^t z6ZzT3uW@N&`)WRwPMA{4 zfY*4C;Y~)PkrlAh+058lRY=1}OddjmYg=~+!{MIJ0f-{lECZ)yE+XIHif(CT-8QFm z4-*GC8N@>+@ZwD39C2w}k)v6-{3d_UFfB|EfrU{{r=n)jX{}IvTs;~cP_E}+1Pblm z4U8@G8DYG)>Fa)i3C3dzcMBwlQ6(4!QLM=T=xWGzePOfZu-< zOiiYviPM4$Vp2IXCU$idM#{qx4e^?qB5J?7>jS{?WOp#SSqz=mp(=4_f*}_UAwZR{c z|DY=@N>rm+-gs@#$jU8qV$0vut}PTfGMOnada{AZr!0keiI)wVe#2~cHPn?cjmZ2u zOPE?UM|`@~)n(v-oczJv{k%(k#lea#efOwCTwQ3S>Q0@?GxV8`2+diX;Gc4?2W(@Z zKR>t7U}wse?zFI?@}IfhxnI(nYNg&WD16`0mgxBwxd3?4Q;r_2eCnF6+4l(hH^#GT zv8|TNb+NxTtT$?LUX7a^z$T%m&U#5Vn;XXT#OuhFGIYvA6Vy#Lx>OUz>)mT z`<~tQ@^{zE`KP`fy7)XDli>MX4vK zy7sZY0*(6OD+8}xWg){%02IXfn398XqHnrv;uM)`QC3rc2c}Q&nfX@{MaBil{BoQp7yG|J4en2 zX||b)Vi>bYus0rZY6oNS@&X%q_QX{K=kFHf1G$9DTDW_2ik_bRpvyHW9U8dx3qeYi z`KY8&0MDB9F^U40(&a^19LLzpn;qj`<>4_PigzmjbJlK5L5Ry?-pb)jVc8(rmN+&X z(k}%@aySyy)osBwYgAv^Z#=z0PT-2v)^o z5%jIjt}AeKLRNnK`bLPAXoBtWCeb1z`|$92)YI?mnBpFl`MR62aM<(_#A*01e=R4k zWnFizw0^{_Y&29qpzm*3m4ZGjwa-l5f(%lInk`C2nPF7UDl|-E7oMIl0 zh52FdPx6I{hJWz)aEb1z4gvx|B6MD7bAh3nYkSSTeF_@00}s@uL0psmu4fK~R7|mb zI2LjH=&mhYUNL?yT4sN8Ph%Ws0)bmeLh`|y(X&;!Ya(GyVl5y!BzUM&e{AQ+O8q$W zbF~~e#O~ldJdM!Fy6hu9+8!e3?0?#(M7i43u0-+SyK=((Q1T&G+wK3E7M$xp9Wo9w zx(=XXNWai(Vgy^sg~CEqR2WfEzBYB~V1Y0SWa%n-(g%)tVhyWa{HsH`hF!UYNK0g+ zX@k^y!JbXu<7WIOv_>+a0$rqZ+7aqK;|4}nhO$tqPp>3Dr!al*8@GIe9^Sp6bLeNO zwbKkhK>^<=l;M{yBB?_{URHe>xHbSgUk<^4?2K%dsb>_>tUKwm{X2VXB{7^Tmrp$) zPDy)(2WEb)9d~a}%bU9#VWqmI7MB5vk;nvW*z4$Z(ebqIGs6by(pXEfFhHtEjpEpI zQ7Z8>>T$ms5>k2kOIsle-F58(Hn^EdHiR3%fZ;9a+bpWC87;GsM35J(N!7>9lv)N~ zNCJy&Lc8diQz)GiL4>dw>X<rL(yW9=e(flW&XOyg63Nur?y_hlzm@NCN@K?k+m-uN7-z~y?;@(CqdO>dgM(Z z3DgB!X?PxDAIrZ-CN>nIlEw$l#U%_u!YS#<8HDzhU6QAFlcyMh3p5BVhR0z-`9Dpp zsAcip0(g)DNJnRLwoUOhmVy@g)e+eqIlX##$hDkz@72HEzD{Gjn4izg+kkFuuL2A_ z@}T<0;-Y+~1Q?25hv}d7P60D%Yv{C=((|~jpz7H(lr&fD!`DDxFrmR~-q45#-7fOT zx2%yPKVHrjn0%N5i6yJ4-T)y=^FBr3PBf%#u)uR=sQnco_hdn>?34iQ8_b?S9*SiL z$sYgW^Kh9JCt@0`Zx~mbW}}nWeE0Q$GzUcKeNBU_s1@ny%Sm!P#i0Elhfe}Rczv5H zyQliQvF#j+<%I@uX+X}fodd0M=2?@0KecT!$XKBk?O5?>I9V>H0aKEByYe>qJJp)&6fK8`Ub(Sf1D3o0x(-_$ ztCloVFq{&F;N!LWAQFSeVqBN>1{?f9I9gOk1VdWItP*p=jtEgJK+?^ZlV-%(qU^$N zEa!Kjc;mj~)O8vvMS0Fe8Ixy-;MqNHm~&V+W?#?4^R;$^|YPg>rC zVlP+l^4CzAbpS6|UPfzOux(p50{k3&fd#B-)__#Pv3B6Cu$t$URdwb|6PjyqR}Cw` z(3Mg;KK+SJDa5BdCH>Uqr`RQ8QN~cV5%jFy=y{B4jH}&RpzD63dnzfuTItfRq#F8r zKe+`XZ)t+Aq{v||?KFUeal|;P z1T4D4T%b7?Gk=hF>kml4g$2Lj9P;MOKDd0lMAcVZQu)V8mQb88D$xAX2t4V0|Kqg4 z^3;|Gl*0!K#FV?wFr^Wke@-vhhF5c!=)doof6LMHY-xDajOc7K zH53k8snExe3CS>n0H9L6QfdWNO5}Wzc>={{=i1`@Uy|wTiq5g_fh5|h=9Zf9zBwSww zItOU)y>cf14M6^Wl$jzbcZC~9Ntb@TRepS)3LOb}PN>7-RDN6&SNLxHayh&anruk2 z0koj$w%R$}Uge29t4L#|EuGCkbqk*){}y(Fv^nQsXmKxWz!^QTNE>r;w9Gz#UDjmV z0?Wg&<3EWaHWL)L^KIGupwij9cnhME$hH2ZZoGR0q>$xgkZLYqnuv+B0A$8dd60Fr z4}&*ej|#Ps1&8$kNp(Ip8>`ARh8hK%yt7t=B^|C#RG%lf^0u2IG4@L*kYAD`6CDt`!#lbA zq+u(Y=v9kx-h*vOLh!b>3PGn|HlhAlKpkNY?Sj3mV^ zR31tsU*e}7CsyK>S>-NYNNnLH!>&B(d0U|$WsLdm`EF?%sT1crl!`>~ImueXtAp4Qft*YxA(UWLFfWSiK-mg- zjBz!ox8R%Jy3ZfB3_Tky>_*nCsmlq33Nha>Kg>^_Xa1gou-Pe-Qr6q2&c79=LBdEP zv-eB-FiE=y+$>ew|0x2@2d$LdijbNo7rgX&e=tE*gq!1^J_~?J{ANKc4f)`6!Ti8FFNMp$-JYmFcYE|(+Zq%Zy}V0 zpmnELYz=YC9N1T(2%}>W1cUE?MWNtqB)GdIr$rz9+6$$gT5J1!AG{4aDLaS3&8NIM00Y#K`8iu$VcFS^vOzOqVLrDR zEmxdo`klFdwmpY92qJ9yN#jlR`{o1Nvj~1FcT{3e!FL-7&gdpnj8rF%-x&-Avl8tk=$>)^x&q@tV&r%`H zY9z9vn;&1x%6UP_mFfbGwP;%BLR;v$Emk8!5lM9RF=80y7c8QBJ!;fJl*%c-lk8^K8k)heFg70U_MAMNJJy3ihtut|Lta1$SC0F72-+zrn?<39BT*E zl1A3=CS0&;Ujkdw3}}%ef`tAo!TChUj}K___iy{h=*w23TDm4S2`mh@hq(LEnLN4? zST8HM6i65w`7ubNC>}YKQ@&uuW@&~M#_Lf(f`kEs4D{GXnHxEwy@tA(nT-yW zRA{tw{q|*?kjFzDe{m~~_+{a`%+M4dhIL~x7wy_s0M9(0mJ!K;yv(Ci&EPx*->-vm zYh&cd1MWDr_!RBKdb7moCRbO`!oiduOgI=`vn;fk_&UULLX+w_hZPd&9Pug5wb>{z zf1VZWwp=KHFz@#VclM+Ay2|Nxc|+v?!`(Z@i1M}jx^3I$Y}>YN%(iXYwr$()*|vMO zZQI7~|N63b*2?)#cGkH$$-1hHs#GO4E(*{1z0d1Ubhc7IIvIz?=Kqugw^Z`w2_^Ed zs9}RsJ5ycy>ExDF%A=bH)axPnN8T@4Wu7A-d4|~k-01D6ajO|l7@bNiOpF--q8APD z-b$G5)v7n(92O|!;Gj(3E%jfZIS<0EsSiu*1`p=TfwtKkl^UTZT%Gy()p(VPTiCEF zr?H3fw9%p17aeQ+HP)Xwl44)VEE%#KQa+UFs$wc16P@qm8pf<_6CoshumHHtRg8Q| zs#pw>7a8NVMMzNQBLl|X$ShNuR^ltDvIojdRw#g+asDGqT4R_PQI-{6 zp}2>O2Uzx!rm|u)Y}Bo;!=mJ)k<=u8R(Y9QU80n7l_7pNR7JZg=;26qlz~+r-5bNZ zAx$nfY-_x|DXmrSaHNqI7!&4+Kw}xDt9@lq_uZ~=Abq`wp2UZ#rOnkJBzmV?Xl$$S z^6YkdWJpc&>?pcYmSi_p`f?qp*lRD6vUe2c#A~6}d%jbnk_yb|W|}x`xptamp|{b1^sYb|V!BJ54sMiO#`_Rwz@B#e$cD z7FlAHE2q5D_Qw0VMIS0dXvr;b3uid2+m*f5-=NkrcgbwoVYllT%uaC`IX_d{=Makv zG_S6{658yg-N@3QiWw3h-t{?x9}T2Vp^FDE zLrNHUejgaryJ*8N33S%X^XRX1-^tSFu#+jek}v-LP6{YRL_a{&DICcLlW>v*q?>_K z9}mXYcU*)_Gp;O+&**~Rr6&bf9;PvuUU;efM288o>Y~OS(ZGunNVZOB7CqDhli*WW z+yQiT13uj08*~)tjYMA!a6j`?r|(!zBkg66OING%(ouuCV-8H)Aa_nRH%r@I^u?kg z+n4G&JA`#-lI&mE_;R_(n?Q-xY|xBGn{Bic;>r*fk;#&nMB#)~RbRHbh+cs%KiOGA zUQW4*vbxRfZriB$<%nVcAEgoGl9(>6&Ej8)?V#q?TyP}JivoD7RbcU)CUYFrryIq_ z9PY@Y{pL5^77#`GiAo}BCZ3a@TY46m6?5=9gKPD-Zy6CS zTmk*zT99e334uy8b^h8nSy;!*!Z})-?Wc{0xr)F~`Wk*WYth}pEc!ZtMWwCMJV@(F zVk$-&TVDf~l>rZ#`D%LPV9aKhmU&pC9rz~ot#@F>rgfR+aIdK#i zBEeSY8N33L`2!QOo(0S8&Nb|FX+*(jBf)4O!>i6a2@6S27(Bt7nKs^|jL#&|w z5qICZEr1rRLh@bV$n(}FV@R5t$=vE;CfG7Im6eR&#%69B-3YB$6BSXFF-h)|a8IZ{ z1^_VGK|TeD{4bvL@je?xsJa+m(?Ir+ZYw&o(K$_Ppo_pKUMVRE5*ZM{ON#AaojHEmk-UKj?Or$E$N1Ha zIZUU)KT|2YdsV^ z5b)j+-r&#a+qfk4J6A9Y@>`5s@*rsHfegK*!GlkEE@*a&@bj-^$MzTYz$Ciytq2cp zBwa&YVi@7b}Ig%o^zzVO=*0{fPATUE#h|pt^QZMc8kn30EW~M7pY|s^KU15sC z68C7P#{XhUFN10~V4yRQ@nKzN2%W*W`#P>}908navbcr&U4ZZT>tv{GimIY1|FDV4 zeAZe-Y$kOI5h2a?+VXc4W7lSx@uqW~X)L-5PP4nZ^{4?nW@0@-MPzF$u#<-3*iQHS zhr%eUnLB838ty7656SIlO)kI^`-&5h;h>9$9+^2&;BRD8Q4 zh@*0i>asv8YzPn?dx2m7eo=%+GGQTTTes-Sw6-o`;QrU1r<#poEJ``5rmkGAD%D95 ztf?YXdgg$Vp4`B41^6xszgl5;35*OOS_1%lTY5+>n=M7=`$RT4Ohj8;tkR zOu2Ll+LN-Uz7%P_EkJm!NI_fjQ{?R#N#3Oxl6SVZ{Qz@s#(3=?M#%i0h0s}2YjTI7 zHk*VMhBCJS3-eGK-JulsSSSS7Ogra&KZd7_gI35)9xal{Q(;z;Sd6M9G_ND?>zQD& z-5`*=zX(x>Ex@@)_b{n}9c&od1HyMi?rq*I1*FWf-i@y4Yp3lql_YtQ1h6z?gk1Cc=B~v>>Aj?h z?wpjz`N^D!jPGU|*6fXgOWu$X6sI?M!a-~Es(#Aj5K?N>vdYbgw%(mruin}0F`914*6x`YKdHZFIJw<>Mqrwhny`{v3;y(pwI~R!vwE(R_3NHp!dUp6?(j@@D08V%U;;U_;RWrX^Z$hsZZVzagPDPV^*SA%s zfEA58B_z0vgr-r$)3p<+$t+NGB?EU=_1qeL>IOn&nl#+Zdo|{}xQ(B@f(!+UvUBqF zX4W$@?B*e}A-8H0Q8VBIFYmQ2E?_$if%1!=ZqNRwvS#x zjs_5U0VfH+IJTr$ABlI9Nb8Bd`k`nvqiHvI*x1AGw`HUMEg`^%=lVCl(G35i%`X3q z)p*9AALsv`%Y~Vqh2cM~#*3H})#rvR>Fkj=B3*PLy*mzN1hyBhh%2XO52P1W!-!B0 z5<(3~a^IiciD522SEpD-Yv|*a!hnQsC8{(L$Q%4r9VJ3)m&EXFI?Rl)?DbyQ6&Pso$;DIiscOWZn@#6di z&G1JS`X|n)@(m!@+aHd?=%v&Q>An?mmH{#PzK_3?Sp7j%7q`Yykbe0<#|gl$7H8;0 zD4jXPc9$|XxAbKwjL(!_x%nghDpV3>834!|6KTk%d*p}2R(~-^ zc(TM+my|nnpC3xN6S$J|p}f_m$#+&HhSl&o5ir>C4HU;6(!j?$v@iimW0e_)mZp>R z#o*+Rr!^~EXo9lpvlY=x74MHG4ult}9BqDNn}GBY&a!2CeG@pTmObTn+!x{(Olc5c z`)ebpjkgL~0vb1tge89ySOS;%G^t)Y^9PHyGO;Z+77{Vlv8op*@a!;-c@Nmwi&o!~fmMXL2mG2ZqkM?q=u@5f&>l9{22^p~GDirXu z^EbE9%=)V2{F7om+#6lD!?02d=9z;bc7AET{u>yMrmAJkUv3Y5<})f%dv(>QHfY(P zE>VX(vy)_y-d-6@tl_&BU0O3VN(Su_$Rx5BdRvr7FYTUoQJH%G7QqJy++Z$CtI|V{ zAy!_+&<`xul)70cLyAd?4y=eKfIJo=d;ro+RgNhxMK0hctu^))il?VM2g32|XWIp8 zksKbXQeMD#8?wd6g0gumAALkFrHc-)N5@PdD`=mApq5=d@8_v*9#Z_-_$7OTbAx&O z>3K@gMVWb)E=PhUwDQnb>pm(*lbOVBP$SVerD7hYg3q(2oo67AiN3I2Zpu#nU=h!m zl{4VtiHOK;2pGwvYam(EQG$MJ&=So>hPt5<;1<)e?(X(4(>P$#@udZC5uiECM06io zD9CsQ(~A6}gp4}3I}$e7i4SK1AHDV#+RaD14TfAxDJmgwDSqnNMnIS3Pv(F#B`4Qc z63bgZdL)_9mw2>_fs{Lv!5+QX5Pht#kDWDW#(8JRk(>$rXxcS4lHg-ThN8Z~s%H2y!`hDc&R9Q_|{_Ud(5D31)3T2)KA zqDD*RcjBk47h8nI?MZgv!obFLg2Py=1z{|sh8>dO)8GG95y?*Vjr0-A{iSVg{!A=I87T&!m zvPt$~se89oxpSTY%?F!!@fH=+ zpq!xIv}IWDQU)Thx-r~^67`-R>r?yqjGBXgtDwE?A-sEU+d?k9wB8s|VF;&EJbmSi z4b*VEe%LF%ngO@D1YU7glBaw*&VA-v8t=r0@FXeJ_-AqeEv#s(Q(d&8E$#UbHLpCQ z=l(K(>J@F3Qf5!*r>t;mrBVLN|IQeU`K`@RfFT$hqJY=ON+Bkc9N}I=HCU7XJJH!{ z!V1av7%Qnu0=g;xTgbO*Yd?NFO3)p5>oL%D3g+P%)-LHCT&g1%f1mr;XN9e_p(-!3 z;l++2-Ka#Yb%82#ODWXmzTDMiY;*Sq7KO>(!h?t%WE_c?dz1YZ;uo5kG@F8`a_L!3 zNjNTLg!31ureWiY@Y&zf?n+qf?%j#ug_DOt7U{jaCI-WyZK%z+AHD%`+ANs%RTy?vDq82UI~H0d z$ki?l0X!d72A#9kFv>)N1`KXVal(D!&S4rE6b*ZzwQ^6OwKM4uY2T=Oc7e4v-L*g1 z@3ZeyrESjxaE|CQe=VdRGZv){c&T56@J1e00c6xsB*V=2z=5b`hef4 zd}<3DuWIToj4mFNZUYicT9Ch&()YeR?n`ft0`Ydb8}5#b=%KV$Pd5Xhed_6#xM15` zzb`Vr%A%GG4>V5~@yYu^%t;xQCYyi2?RDfd!@o`a67FqJh?e+!M*SJY`}ajdKgE<^ zqe&%D7Tw#LS{_UrmO18E?Ru(TDfPulvVOfMtk*c>x^siQ;7|XtFGAM}UK}c!fG^>tF@c5$-;>HCUvL1c2!2hl2D| zqL8)waMJT-8i?b4hF+#J$5~@Hu+^1DD$bjqpiU|Weay|NfV~N(_R1JAYGTx;j}1zY zzD>Lja0H9XZdI#X#~WLaZ{KvSBW7*!}L0gC`tza(tvMq3(V*+Z%{>DfavK}EeoB}2F*8S!*{GN+o6 z##`)CIQP69DhM4N6LBZ!-ACO0#m(%m0ofQIk#gNC;Z-kc)*!z}_@_ysk|sjg5wm*s zMD5{n+6{1WIGSvRkZn8m8CExv-?}c6rFg04AofYAfavZp!n4oQx@6Fi=!(U{G-R?NJGk1wY%Tls#e*&qj^5^uOl_ykEPe&!LJO_E9M z1k5g*(fM#C6YI)&4v1JfV~DHMO19+Qyr?vWtd#Z zD_xN&W<>`FO!xD1fMNGqNwQnrA@|RUn^>cN!pen!cKb`fGi>ro_~UO8;L+V9)cdTE zrf&Q=45CABVF-aKkrW5Ms&*UjZAOH@4+h(IL5sesnSN)^w=9L)HUYz=9s&+u;0s0u zB6%NW>hBm{;DDtFMe4SRGmHHG)u25_zv;}T_QDqGTAK-iPf3P*e~qzXtul}}L5pcZ zT4k0~(;kNhUHkKp51HsH%=voKb~f;+>o0-`>cPlLc;Zad8Au9UJe|Cp_62d$1|Wt| z`mH&pxo2Z}F$Z}irX=9Q;X=XXl>^-Tmu00!pyq)#5pa0qMDnk6$e-m$EwiUyO-B3G z;g}85R{bTiPAf;QNA|YKf-W}P#8J}2*%5U?q0L-`MJ)ZkhCXXh-+EaH_z_5e-@*V1 z6#&6AFyZxlP`5ioawE^j6+u=c?`i=SJne1}hGIvXUxHC%Q@qYHQC@t}41&T@8@mx4 z_YfVwjEs;;oX=e*-HcwH^v3JAVXtw=bgmHgRODG8?U}noR^SqqTq%5mRf7tt(Y$>i zTUOrC-=iSAmKT(WKe%p3lONZ!cFzhZ%u7Sby%B>@`*M&C1yH*=C;bas(HC!o67nhm z!~4@7swULXdIXPM^POJHL?Syr;sEd8u=>$gUf(dA4I`0&p&Uf)EYP(DTivUSn`kO6 z-F4o#Znd)0d?f_4S3@x@e#?vo5cb-wFR2vq%Ki9m>?3wjC`M{TE$EYd5-BnY`uYts zOUDTmR3U63fK7bjLMnQYiQ`Jm?&}r{iiU;kxa{{asiuNVbkCSI0v1^DWVxF$>_>PO zkaQwz`HE)q_kBIy(Wt()fAjX{C*I|=ef6vreMaU)bzCe*fox^2BQ_P&Z9(1^#m)K= zLk9~Dv2t~ACiH2<_t{zOToiSTWI0TUXud9x2Fm}jf$%HVyD>K>#L_F(Tn@+ z8kgl5YZWz{7mCmgW16di?3}Q#yJ<|?7}W5a-<{fWdS$mvQmqMens!oZCf3Ec&sD}` zujJ`Xep;8w;xs5@4>#!?eOUoI@sI4Chb$`#j;&mv87k&6kII_>SNHL6LvLE1BXBr= zZ2Pq|+ad)I_18erE)$`#ord=hTYCEqdJEKotGLph)WQ zFP5&ve5gDSmp)iI3?ytW_FGBweQCq=qT#of_?+V-zAHK~N))$qNfR~U6}Lw3hDR*_ zEefkHNvG}(;w?f_7qjhb|GzXqNHKf><@|4AWoHXOS^|wR7MToYo;pMC{ftU~ixlWG zfspiyuZdb<&dAI#>|8nSINIlkSJquI=^v*Ue5+a?v~5CyeD0_DdrJWv7=}m;^~l;$ zm6?VecD*S=qa40?o8x;Pk;KGh_fk1~BrCxQYuXFAVs)pD?d4qg!u^lTV{Xi?qb(NS#!oF;cuzPe&(e@%3)?2f8|x z*fn)fFMO@ziCcroCh1651HVaLE1;L+Qy{*w#%S0TcGjUD_mVk7t|I{4pt0(l2p zLnUJ;0!=!3VNn7)Wn(ud0&OU|pWSxG4o>FAj{oEgWQ5q5ept%?T{ZQSNcf33u>Uim z|22`o%)-p_pTJ5_1ER2YekTvK#u%|Up{`e9lxLaJu4w1NV}tCE!}#NKGf6Y}T<<(! zGzqCU70P871Ltbk7BYO}EYwG?8`-MJo@A*=d*rcOptW!9 zBl9_CBIBXi1$PUzGW|?pH}Zn=Bt17B^i?Xnlh{B|7+|PN(8iJ))CO`)UbLutH9`3h zPnW4kE32G?E%+t--+I>v-&*d!?A4`W`+ij}-N`O=H=C%r_T}ldRK3IH{F3Wj zSPC9QtZ@nQnZ?g-qp^pD`wWuJ~Dora)STNtu$vcer}+w+i?>M}ez zNStb>WZfTuf_*HK9`c<)E-N0yW1IIxYh%{!MyYoU*Afiz{+7&&Q4+Kwx}~F2zyVUf z+Fc&+?@c6LmVIz!NvOaq2e)c6yQA?EmS;&%rmYP_2vPU?!6f4?(^KP-9O9ma!zvUq4sTp z1szCjRhn!;whw**OBiHwHYlR|U+^x30`u6^a9b;2Er`#xKOmrUjBcU@R<~`0B#r@f zF9ttrKmHxo*H7qqJpu2xG`k-xC$*QlrwXwHMtk8tRv*DLd69?ZJUvpyjXTqbLbXQW ztg;vcydOqJf$V*RTnw=E&Y`Ip%u@tcJXbc75ZsFti{KCM#1$FydGzOL1pmwBlPZQT z2ouO1nK$!5sU@E;U)*@}=Y+-p771S9C%bANjzO93R#$>Vv3Y{T114WBF}@#E@s(Y2 z{OLpE;E~I$?r&WCM|BV-4S9clQH9pWk=b1+D^k5}xnYkeZO7Q!z(`j{S|?^<*%M5Y zrBQFQQjDypJ8JfANMM>{v-ww+pL9%{z_TUKMXYmG$AvMKI)VDs35E`zBoeam001o}K* ze)W5kAyJmq(%<}SfPpO{BCa#dqfE{y2=2h~|s1P$FUYcu`QQT{p59fbhS_|Su? z=kH$mLD8}?z|a$>h{dBPBR~rTQI}bhdluK3vfI8mCY`?vGD^{^>~lL%eMh!ra1fxA z+k23XIp^_crk2JT5saJpyp<>AzdUb`(mrtyA~p*56?MPCUd&9tzK(@(9si*C`b9p< zHUqWy^ZI?rw_9L89Z9I<)@?N`DjJ~p#ly?PnZY8~$H`zLd^{trWyc1pnO9qQ+t6l~! zcduu6c(DcOo|%u$csAL+95E%RVUXdltg^ovnUc^(flM~^FnLc4s6`GY`>dX1lGi>{ zrAw$HC_FLG1)Hxj-xZIcZ0+fPw|Q*BK?`bpwub*Dckwc6-d`OGnZit24dSw`HKD%N z#*YTMQ%89}4A`;YTl0HD^U@mCJU#p2x_Kqa(XVCL7}*p4!4C_VHbRfpwzp0<#yJYH z0B+$J;}PsS{&&}}5JNRcGAe0w9WNA_T8p-$v^tDBf!50ejb_Dn#_5)z4M35J zHv*}@R_NK-fQ^gQ))l?sgRqabn4x`^ZHi(el&6iwM45RUTzT0UL)wHn%k&?xzY4RvQa$sGni_X5*1}-qQJak`jI%Sk$~Pgr z=WfP;i(vAHTkmS%k5kiU@jtp|!MIOm`W~v^!Y(u>V+mW$i|<`@wYo`eFu%|~g>eoL zp!HwlzT@vx_DpuRJQ6OjQ;huD;EqU2w$UO1XevNrH0x7doTiI}4-v+c&66BJEut9E z2vWNUs+~1j;3TZGgj`8jz?~%-d8PQ*K3@mC8}pBz1A~v0z$+oX;-XUahRz&#o{=)# z!#@Y`ZoCW0G?6otOwi*WU{y79@2bWIj+(VQ7 zO-{d+!*FL=W?Q+HCch*UNMI)?$t&!Y3lm69gLk8*>fYfG_VjjEhoGOW*@JVJFJuCV z3do)V)B;O(kYAyy)O z*pd-{l>d?3;y$fIT?!n0Xaj_rA^l@w)*BNUR3=xjfT%q19FeG>S0Oc33?~JRv2t$cuOtUZ?wTHTjRRHQ=klHhLZ=ErzHsye#A(5~1yq zfCLXF>!{V!_BkGbu6K}~dCBpM3aSwFhFb1xs-0Hb4 zPR4Nd$|ULYA$b2?>o$nnqO+7#^6%!&`4+uCPtuWBLWu*07ZM~~T0qAPq9yNN3^r{M zB{o5&E-^8?4etqF1Er4uaut^Ln=KB`tw<}-(Mf_XayHTadvfCiD>z=Vo57e?l^Y=d zWa8(d+OhAiT+>wnd;H2@`)uiI2ginBrujzOZ=O)~V*Sz+t`(A|QfMoGF~{HIp*3>S z9>!1}{O=blEqY9A0x;=f-HY&9YAv-N49E{ISIsMh>Oxqg)zp!YTO9;_dPou(+Y^pT zL~;$YP!$dp{Po!Nr*KZhwTLG0|5D=05ZY}9W<&s<3v$O0-@>=uhRdVsBcEUynn9-^ z_oUCJST_B%aNMLlyJHBrfw5a^?#{9sS0$ekS?7d_YsTy%BqHbLs3cm_(;JRc#g#|z!SdB+I!w~zl+V2LAvuO(;}0Y%nS9pwW*wH-7~AQ{1p z-PJNOQ_83mHP}>$y9js)_LlXAG*@RtZsB&}BH28yfY~mmv=@C*EiVx*D<(_wooe#VYaXcw6RH1?rM)P+VaZm-QNZwqZ-&-ptC9Ztr^fd zk&%5ttf+$*#8-1+juE5CO_oDl_k|c@5*E0Fe9u)^vthBg3AU;s9zWDorowK9oh5YY!E_``~~(*=n$zNc}XicO-)}0etqU zcEWRl%T;<>GzNjP|4&06OnmygH1hP$F>8jKUR;6)NyWq2a+ZQ5s zPz}m&5O30Qy&yHWiF-T>)Ix51uk&Vtd3h0V2lBBLM`@P+UPtt>p@r5{vV*;st+85v z>Ah`3iPKcAW%Tk!bggfQNtuWhzpR%O`kcSImjv&xjzn*x)s7wEnLPv*rHIF`J-P_j zM;b^8pRD`KwF=r1JE%# zl8`FwnJ(UBC{1>G1rjBcakcmo0TRL#aVi$U6EUO5t6R3)GHMY2;p*qc5h7mbeyBcG zxa>aN+cO4~2gtOQrWg&dF%pbwsInw*(-@l_0U&W0%OeHd;@}I5{_LRSCQd<;Xly_j zj!e1Ihr2UC`0xrZ0t|D&X7An>=&!)7nDer^0Zc@^P-b> zhb1vSR1z({yD&4n{nddNXVh+riBEaG#8CE^s{ireJ)E;A0lItsFrpsG{1`xlN`~E6 z4=4~hy^NOx2%&Vzb$NC1bf=kKsXT%m6@_k_>0TN|Z@6V>Y?2A?dn*k!JBF&rf^IXgG4 ziy1U^N^)L^$}(H|4#UK1Dde&_Fbk@cV(X-p_?TGe1rS=1!*As~xGx9~{65~dcR_-P z=(Y@-SN`rf3~CeIY&mCX9BadqNzFM$h_eSfCFGX@CB|O*S#agjzoB7K=x_AAk;-sj zF$s%eQZfIFEs2fx4mS7QOsB*~E|9kHr4N*$cn>Mto<{Aj@0X009OSxw-f`V_a3PJPA&bO{^);C z^2OrtJl%M<`DIuMf6_36Rw7l7a=)V{#+V#ilW#>cQ?&F#2b4mao)mR9+JTjxV0W5x zJi}X`XP`Cp&1gafXt~747PaSp5s~LJp8!_R($7tQD`NhIHO>rp&^;Sswy&7+fVaoY z%!+IH@?1osne8V3B@C!+h%6Dv$pjW6-16l+XMtLcnzJ}k~GPMb2;33J! zC9STQwnn#2k>39T60_v}&2PYdFC1$S*X7ibyIB)umx`GgebLZWqhB0w~)00M5V zF95ol#v4n;exkhFT-mc;@hqtBX@v{nVQtWr6E&#-70oyE&w_Dm;^Q}NKP}kyT!v;K zVrqm%GiC!o%6%k0Yd?CMT$%axwSV4_&fSmXWvA{#G@h0wwDvQ~irQS^M z?25YW^q@6ak^oPgC7~Ey3Z;ttgp}8>^1wxsTv`#FFj? z3N8hYvfR_a7{H((O}`o}Yqk(s3?UaIb%^gW#)>~-&(OI)@UaQ%Lr#-1*8xy$4j}L; zSx7W<){y+F@pt8duzI@=v26OJ_&v97fyY80><_%R`GGS{bWBED#Un-Be=c|{;6G$6*0&yv}O8>rgN-awhvMqU~gY^p-;wa(@3=pk%?R7h94yj`c z{UEs_504AUmhLi+c{5nR?eNxFIdfh>(93>@OB6Myuyhupr)NOmCvp4O3^5W)lmC00 z+{^A@x^wdzGseyr$i^Prp5trUOKAOr%GL`ZMI&P1(~m{(2G=12bBf zDN@*weCjpjLkDLDbjW7Bp1^E{WVuG@tx6uop2 z$U9pbv4xxt8m(-Q-D0y2av%opLc|piJxBEqMn;Tus4(@&%vVV#*hSurUP`fU*dsGd z;WP(B2~Y%J-|B6IZ%E^uj-A`;-H~@Gl&mpDgJ@x7i{sb?I#Tcja7}}3=2+SliYJ0<2&lR9Tch|K96)#r2q{o=BR~+Uk_iX@L`|sIW#rUhBfWLsrJ$iJ1h*bmWhbj z3XWSEYI49tqJ0C+=hdda5VNLjuJJN|q#tu9dPp*xjnGd|?5~mYg2omDxMfyNf7I*% z&ys1iV|(Lwkb5v#IqTR5EfkuY*_da6f614fQDOO3ff0yNnYOS@3|H%i6Cap68vqE} z{{&{~eGAEJY=EL5WUj`UWytvhJ|X3QsMYYKI8?Omnav8uY;_e7hpIwunwapQTE2ZK zAT|$%RE9BZ*tAp844_3UR8p}Xj<5uP>yW%&9Ip|_R-IRZ+frAhvvk3RiM6JVnkm6Q zBFmMn`e4O1GO;~FE~<@&&nZdk`D02|+JkW$w5pF@VM5|OGKzJWC13Y4* zd<({5RD?p9O+k9CD8aB&AeZXGICL}5nJ$uN%1jK4{`)=tgpO^E&w(yasQY|?2lu)X zG2=TfSho>4c=>W!sAg(XT7T==Zx_}a*9eJE?eZL~;Sb|!giCLk1&TC)J(nxZQK1zc zdWF86A(=hTA9Vr+9oFmt;@B8mtg_1*OZM?wp^BlUks3)TDP>*!!%f_99@NEz$woQL zy&iwd&Q z^mm7CkHkr^cx!w^%Dw}&5wu6wCd54r5ZX!-&wxA(-|Ep;ecbmUpxf09OIH@`5TQy4l5 z*5jqWaUiEtrMf|HtgpXV8HhEZ_|qJ`754IlMt(qni~@`{rPE=r{A58`$kAn4X&-fZX4ba?9)evRTu z+L3on8S1zuXPmZBiAwND(;G+`jYA{C<)Z)-{HsgqGjw)cLR&%`ArC@NaEOfSwSLLl zlQO5R9vfnSIw^NOb4c+=Vp5W2Z$_TvAf1hcPu#ij{5<+7;0`CG#ypDoTBS+kcyL`g z6f3z^QaLvJ%+&WrJ0wDgt*1WFoG3Fr{71-c4w6LOMz@izS=H~v7LRFOF`Yb-48Hp{ zHJ9^xr8dJ6xL3Jf8~)b<)Z32c7s+A6V-W1TMpJ7aZ&W1uOH=}fCN7!7%Zrk5zGC7`o7R18RQX8(>Kk^}>-262y> zLy+YjPX2uS?eFBP=fEEErT}CR;7+5H3*ZmIyJb|2!^nUYkG-9oA`9SNh_r_?A(0Tb ztUP60%v}rY)ZmeQGtjQayagDwe^}#rktVYKMTwFw)Ez~K zn~+)0pfyBY0WFY1EGuWGD@@EYwrkI~GcRs?K*sn_S(V~pmH?#lmk$G0auC0srE0AUKwrq}G4nVQo z{8?&P>4_HdZ#~2DD$eb300Z}d2fRk&16p`}EK=>4rZn=KYsKUbQ_T!jB_=1;S^H-Z zS|APlspaCrvy_$-gRn=tU;5^<>`|0yPXj;j}<;fvFbS$?l`q%?YIw z{^Ivc-jA>k?6R6_(-y|2%9w5>FjUv#VSBZUzO>vEz_38fOumDVYM3369K_9jHEg|L)~sbq z8FCo=hZ9WcP!Y@v_49Vz$>ebzX9I_y%tcpNM@;IV`OFr%AUUq(&XRd64L1c;zKItq z%@e|$&V`-^Ut*pAm3qQkV$8VYRcxzr1=iWo1kRNk;d7|$v)1PJ^(b)6)989Qs!N6_ zL}{C%gT$)njkMC=Ypr;}l>PO<4DxzV3fq_JNSw%|zh&7dTbfy;LYkq*yJI-mK1qjZ% zV?u%~el4yzc7e87cAgz~&888y)wj50XQWV0fQ3J2s=!{1NB@9!0pHu74ur&hboiN9#rvana!eaNkK#|Er1n1cTv2ll;&zVOe0p zC~<@>kmSXP!ilZUTd&>NJHA9!L4~Q8b#9F#{5arPx2;@k9VAR~e{IjCha+%JN1SP- zEu$Oy7Wxju5C07!U9eBF%)y=AW|^hmCAu!R!Z*KUG=67-wa8Z79WvlTMc0{89B_9p zh~34EIY}lutKb`;43jEF8LW8H{x>N5S39dA-n5R&pGfj z0EuKdR%!nMm1+d?_Da{(!=#x0wABug@HCGkxNhZZXB!EN1m+{Y72K-BO~JR&o9_qG zqs-UsCa>Z@v1Pi?RZFw7|`#knaXArW0 z@<1P9`UoN22K26wC|z6cY6kti75-;4CQIF8u8Ho0-1;)B+W7<&ZeIil^pT0VUEvj( zJ5cUq#p(_em__fAg4Q*iA`sbMan1Kl4Ff`HmmZ9`}CBRqtkNG5MZus^aTt zBYRAz1|Yq`A|UQZhvk|vOZL(f*|h@w9vIQ#;FNR=F4+rR1#_KchCob9et7CPPeJ4# z0XJM8?uL|rc56+U{564kefZIS=!TOPSo_tRY?2_8hhF(BiRq}-NIj%r5wYiD5U2f6 zy$Ee7+4L=J4ip+f%iEYZMcf8d2$5%$vHBZt?77JZ4B2Vazn>y`JHdKxO#~*XAGToi zZ+8(NHUp=SS+@LVw2{R6-?qNRxfF#de{^}Y`E1$3J{d@CjW#J!BkxcD+nvmDVg}KY zFYX(4@|REN?c5X>gAh}XkgpMsY)A-d0gh3k5t{ZrtrJ;m6OZEr^pQf^#{CjlSTq{R zUdokaUY>9>zk8J9fz}pl&aK( zD>pCb;K-97q)$xKOmxi?x@jdrAb);72G@4c6G-4M<{S7i%-%}{n=T~~^tl|Ig`w-W zwy;a0VhCX)6L7!+|E7_Zk?B9;Oc1%(}l$V}n7_Tj_ z!9>wvONN@~^BsOwfFbw|AA7*ZNtoQS>TfBvJ1>{AYppE$Fg+-|_~Za&W@p|pkT%TU z{83Be;K`E`+@2d)mSE_U(Hk%F&@6_At7<%|4V|&4$+9%7lNt(^kH%YfRbfdD|?ROfM0*8>5DS3>v`6`Hg z%XjT%UytooPr1uYw6uy(H2Ms3ri#Z*YZZqsXSZ%k86|{9d4&%@bX4c<-20*Cl05;` zt(fr9V3U#6slFX6RfCW?&&~CUv*MgZyn(|ML5MN>tw$V5LGQl;j`gI4INkw3#kolY znl(5xnV^PrqlH zH-(E(3#DF?@}k&}8Ye576ll3TIU|anF6}Ywmr-g}QXbg*63Y4zP(S-%X{e*Q&$m0E zk2rv$hScW+!ku{I&u-mLLY+bjqm#a(6GKfG8Xqm<)yJYg?JA+Nxrr)GdBreLPMyaR zl^mo*+ch=P5r=%c@M|PW4(UK69+aZs+}DK9O=UtA-M2QX?~p$5%F1dOFDM+r^-d6G zSXKJy+=rq&PF1hcn_5DRpRwUy9yoxJ)eX44P5mC25TRwe^)rz2MzRiQgRh))Qf8)%`MGEpeC}gkT zkg)H>xE_K4YFCaGZ!$=Ss66+tYT2-VHo}a(N(kkY(?5fPq&aV4Ki}OkbSfp+R%rTH zqR%F@Z=u_8o4=P1#mxVvJ%u9zzrU4MQMDjBI(Am?1OE*3gDH6vUSp zDQK>+Mt8ce)f%YyJ^9$wr$(?-g9ne(sL)dlkT2}naR{cRZ^*>o+_#J|7(3qQEPYs zIp2t0?qh60=I^X`#*c7_3`yavA&5gJk$6awVUth|#z~6zoEwmlflMJ<-fZYbJ`Cf21)Q4qUO_P~xHID8wqB=6b;)c7e_%j4?LHzcM;( zRwbQc7dc8(REbeAF9>nRS`XwW5A#K(l!D=4=$)mXhHK?JzU8DP{d-Qq3Hb4DA6L=- zL|@OXunM9P=g{m2LGX*qxcTg=rc}36lE5sUyA!D-E*gRGH!74ES?QLCU3pl!PbJ;Q zXQBr3tNL40*_XIew3wOHdW49_?V~j8m50mXL>G#)^M8(mBz>%EDn;Wa319TJNYsG1 z^F5*8Bek^mH-^Ez@5+SqI7t0L09KPBSJUcJk?ufL zbB^rjiX?7Agsq05VhzA178=aKAGK<_9blHa2*q(erv>RHG;+BOF_LWT0H`s(TfCqH z@*V1=jwa$POZa$%Kf=dbi63_bBK(7>4E#p+cG5p9p7Z8cU5?7k5Qz57!Bo4P)X3%<_9<8&M=&Iz+13IR>2zc# z7s`|<>-3=gs?(_#!z`i2ztv5}<#m+}tPHqSJat7|;CLo>vxb?K1D!O-<#ox2V%J%0 z?M;1c50M^;$_gVnK%#ty4U(gV5m5nh)}rfstTFK6HlNTcF4#jaWEON^mHw7X21PMo z&1WBhFB-3ZgA4mf4oqxSym1sAMFHCkSHZ*up%v!j4~P^zy^uf#tRnMq+k-=`x{TBW zfLqt&$0uxlMWEn^|8Tt7F+zH}ge+;VBC1Ed_0&GoQt^`y{bs(Td1}BDfgC1JoN=GA zZF2LifxELj%SBHVPkbdsZU{v+?a#;#?<8kj2%uDrUF;mE-si#0B%dcGE?Ut+%Le9c z*63eMlXxAW6W(#bdSHy<4Takl5PSLj(E?5wV63FxM9g>m>j@{AZbp=15mcBnNz=|1Y(#E12wt2YG9CiCv>DF&JrImm$B;~h zHcRvY>=K;irO0nC9?!@#jWEJx9uD364ZQ|NGgTw>B!K4Lq5Z@g)qw16p z4+>qS;t<_XQYbIty(PRAj`VdRy2A9)VHP;f=KnRtb?gu*@Kx*H?0jWzPSvOU>5*s+%z};C|zuaPq@V6 zGYS*+&0KM1;gQwUbI(p}P8w((y`Q4(uQg7W=5)H;Ce31sm z$C&as(`h`#C|Fb=7%(A?o}=c-_`m)y$-9Hh7LhiPv|5F>Rud<~?g~i98?7WaW{6z^AQgcA(1KnWMr6o>4mHJCeGt0bdE4@;v=tl|u$JX!D9bPb+Yu&CRQ?WC(PFMk zL-3=NPK1Z4qevDVyB$q0@66qeE(g6386@EvEB3p8k{*OYc9n0S=z%)JQr}2|_6*i2 zKzzYL;BsLlzZ*q6qdYegjLi6Rl-wZ36`0Q+-xkmo@?o7h%**8;Wl65+0geq98~gU| zA>h`fzc9X};Qb4T4cWxfi}QFJxXpgY?g>>`cgJ{BMR{+u)8!_?0w~f9VWpetl7q)# zirbrFGZn>kZ>R4p`2Nbw#Yx5Msy~0tXSePiBz~uTdy@MbggtGEXZy=E$#o4t7->fu!R7u|2#zCS`+d>E0wpQk)pyITbuB?|9y^~=nX zn7p#Ly`Wogi?x1jQdi6_FH1;lV0K)5n|lxjD}t%)itFxV1E|kjQtFt$4+n5tF2D-t z-IVVXpUruLaS#C$JDh87j+Lr!(P?QYkS9%w_1XcPmcOLAj}kh|pg<8PdoB5iP2zBO z_A-5e0`pN<4;z@6dKiU>Vq5#4nso3kK87!{J#b^8{3P{CuA!lYMN*@%zDL zvo@(eQyV^$%1Jy?M>7gbJ$MjVR?b``S1yZat=Y1GH`TN`g+h$TJSatTH+7|j5KgNU%DUIM;b3Aq90bd9~BBIth2r-p?Zr?Pk(55n9+mr zMB@&{x21=)m96!tCwL}yPR{j3N;DtjSzYyeHifMD+zlsJZp!$T-1K3KH8H8f z0^F~Cq|~L%xBsz^mWQ&Us+H^g&_3_5`vwCT_s}eHx*d8#p`YL_jy#nRpckq&0`MV+ zXP+#(fkj2mo3AM1R4g<{)nO#cu%_5`wzDSd`tyEL1ERZ$aw~Jkz|7`mI0H?GJ9PCk z!hldBdhtp66>|7+Y#X3qbVQYl9{gBoK7e+7p{(QWA5^||C^h6t5eV^{dl zcq6I7RIZE}5ap1`InFMVe1vy39)bt{S3*130ln^h>j7>cLD{ca9hcX@5A$(qvh+8I z@e3$r+l@rT`%1&ENTYurw~C-7Dkgz`<_Nd8T>5lxuhg{vgkmdd(lFuzLhs+#iDjbe z`c2b5qfyWt!zEiC(@dImw{}VddeghB%7tf+h!GgdqZ||z>+aK^u&b1J^ znjBf3jP)w2QI5^Rdfw8DWv-VLH#|PiShH2p6b5agAtVnQK9Yl_#KLvH^QzjaHz{VU zJC#oC1>Cc5FsPa$52?KH<^Z;2Ca(TgXVJ{DtevJHlv(jkQ|phzu7Jq&+3ma*XCeyIrny#U4?te^WG93+gDu z*+fD0Kbh~L%Y|^u4@cLgJuF)bv8U1R8S6Z+q80CxGe4Md2ILhsENkF+SqOPY92TGc z9Kq;nIFfe-Lh!ThZf|dT5gP!hpy$SPyk#wcQssrz_`ZhJg)v7{QAY=FM~-3Q;6sh( zddUc1Fpe5OmyOP0T90u0xIQj(k2y%OO2p|5{lu&KMGoojlJTuCV{TG>>F}^}^qfc5 zCdoalSzs7;DiE_*38*V5-F*R(5+&L8nG-l3G}wb6L?y$y@AW@6?N_Y7)nr++tmxlH z-^nBHaSpgI{z|Fbl~{OrWkQLer_jymYoU-x1!QrY*XC>Hx>pJZJqhJpjYyDihPj@g zwyt@op)BmwI|5_QTG`{#Mr4#iQ1^ zvRehaNN?uvy@kPT4R-70L(;SGAHGz-YEMVX`*BLI+l#3?sGLzTa2lB3O`%x2ELkKC z*xSdWL>VFhEX61!{3^bZOS(8NK;GekZ7-z>w07?hMufDxOqgFMaoI3NutF*C-NKa&a=G+m-T% zJi_XB=@<}e>Eb~ZW)mu0u|?Ioe%h5!m4r!qMK&HD=GE4=83P}pXy$-86GURa*VaB9 z)P3@gjC3MTs*(p!7hC1}#3~2jcl=rv5nOhu*EG7Qw38qFBx>MvV*J;vMXOxXkZKbw z=-P}f_WS@J)7H>kl26&VLk%SRF1RB#XO*7NVH!2i9?sXcI!!)l! z$*Smz6YCqO`UNOGK(VNWl?*!_0lkdr?B^$41zHE-y$e^g_SC`BOi5p%nj8tJx^~B2 zaltY+_M+wHYFMKsO=_+`#5ahqS9ieg9s2po2KvP0CAzrpPQPy}kQ{xIS?-IS=ECh> zgWxE0;BqDxqX?2EGbz|(i61oER0^J&vL5aEi8=>lQl5shb~w@*PD!1q_ZgqkxOG=J zc#{Jjj=fj#g^OY_`%ysCwTnR=&-nRXT~SJy;7udrWqdYI)j)BH2L1&|)C8@e*FXAS738&CCjk39g%-oa*aXo?%+r*AMWJ@j>Sn&;nZ2M7|C-8mVXEI^ zbHVjdG?VO#d5K}bZGI$!&}(({5AL+)5sl%QYl+fkM8d6|tcmF6Qiyj;%TZX z&1;LswbL6FJ#wKu8^wvYiM6^>?Q~Xr0nwgzs16Sdc@;Hd@tS<=$l60$;d?_2Ua z5}HJu0P5;kZ1e&ClQ@P5*H|6xAAXWMa;=xhaj((~5aEqtlz%Nu8di184_JcrM@f0n z2NPXpD*JJ0aF3({+(xix4# z+&uGb^1vx%c)1f)dSntB-(O?}z_2PwH0s@?8A5*=EXQ2Hz~DwM`9bs}9m%p`A(tzL zdD3`~w?I#;O*AgT#J%E%IqAX-OrcglBrJKFc?x1*;50&|_YCI`_ngh&D-zMV;ggMZ z%2!?)x8{-w=yu3dvdxqy*#D}bzc!r1gPNgFX>)9pjq(ZS;`*_tXmC4I6uD7oZU~cU| zxolD?@2tL3OucZOcI}L^Ih>YadoiqRx{apPLju+yDX``3gyyRoGgLTq%^R}zQqxo| zoKtdHx+3VP;%R*pfW?^#j{8A&mKu)rud9`CTl9>TT-ejj{}abQ2LFl0&;C1wCgBN3RRgJ@?Uib=;E-e3vBv-!Z~c{$K3Qsr%;%R@Mn#D$okF=*IsMzX)qTEo#%CS_QoN&kXS;CjBB2S_u8RK(u? zaRk?EH?^8QG8$~tnhmShHJmR)kRoFg28b8ZEX^qUl2OOC4)fCn&D8qtDelE^Fk8HGEN zyD?U|STy0kQ?P1KI0OISuXR~5j-dN$S44J?NP-7e2<7k!@~;X8>XpIo4NVK|jXrzF z70oOD4dOvcv8a&%VJj*{D@e>j=`ddh?M9w<0eV-k^ZS}rsA}YVBC%=bFC;pBL z`9NLJg?kH&u6Hn{teuw2;tD;Z@=4q=l|g^F%z4Yko^f`5 z1pV_g`oK%;F{rrW*C^AfhsJ)6S!GDk5Dm_!vWR_C2JtGBBOz*d z?N;Ae-e3~fV|i6mD#-2YEiSFkX!v-~Fs9_*EEi$44nXpoGv7NQ0Y;7S<0C3S-C zbCZWsYI`!3_vHKQfAjk&uyaD1xVavV+KgU}#H*36T)nqG@58&=r*{uDcG+;!!PEbv z2-ONL9+`Iu*eV$|dZSSc5PRi1Y@wItLXMQbS+Vu8wGh{c3lgCut=ghm^p~Qwj*_2} zq3*3Me)OvN`KTt~_8SiuQ6Ho`@>8Ka8-y}7lEE163g`({4Kgr$l6QWu+#6mNm6~FR z^Q$C2y`7#MxaKI;#Z!V&xV=TL4$8`abP79hl`nl$O!XFPK&np!=BS9u1SZ)&2bL*7 zgl$~2a>AZ>E)wczh4x~Y({;@G6@AA=hs04l@Fa#;M1&=e-Z|*ylZ6ttt}_+5rH}4B z(718b5E5A`aoHX+3;pU($N>q^smpxHGqyAPUemTZzAbEFs_uvY)$_`P5O9lo*dh{K zEeo3c%L83ibp;6m^p3Fld;YmF+Ks1p(=?9OL@=Y#;X|I^5w= z)1;q(2Uo*=MNTK7zllPkG6_(#eNF+~xwFo?yXjsNDs6ge`E^PWhdH5DDA3A)~lo%)yU7ld{V>fq* z`Z*kifOf8e;3*WSO1kxNcR~W9YJjMCRoj{rEd^fIxgm#uN;E9D(T$^e;OMV$LPSH^ zXI^}t)}|Ti1F_nW+t>~H_Tuue^p_s3iTfW!5SWHu5|*#>1g=5`!1|i5a@O($G>cdF zQvz?$rd-F;ti>*$>Z0iKf(Bz+2<})rlmnM#-PjrG2CA&}of8Gjl=~sI+8#fL$D3%t-CrWJYkV#h zozX^hf$5X@O|=#VPx*&Udlz#H;liN19@P!THmG$#O+jXUJ%##R`m`cSXC4;Qi&bS& z9Cs480L6&%DMs}S%=DG>kd_PU$Ld{t?Qmr`#zGc_&9_DjLx3;Z}Oo{MMSTpsesB^o8| zca%`zjpE4#v#GV%=KE}4#HvDIz#BawB%w||k7BjoQ2}nCD}r9i@6 z44*>om)H}+SvnBtcW&_U^c!P!P)_aV6rdBJNU+l4^4N67k<}LiX|@)|8_pTS*+sga z59C&1J8gy9SqPQEJLiV|m&65aS)bIssW7QuUCw5!?Ub?QcY37b#(o_;<8KpaTTny; zCokG=I*A2Q0QFT_d}WJ1OBB{JrSZgJH@3l2OxH#Q9Ce(K;?zY^+_RXZdHy;3MOk3& z&*?YzPC#H+g8vg-*C<1U2R!m1=OcWd&z<<4a9!|aAY`#b^w&{ri;r8k>qswxEM&!L z)${S@qpu)4`f6f35(2kJ#eVtBM8U|u^V}(rp)VE}sln)vXDa1+$4>D?l!c}?2?_Ba zdGvIlhMwtZyy5HqVX_-5FIIG2BDZiTN} zC}cuiKFxjzC;>0+`fjFmh4f4!B54d7F?q+zAi&v9AAtpzH0UrGnj-W-fFE!L^gM(G zKV|mMKMxr7ogwKECk4fPwW+rmd5Xq-l<^n(dcOrYrD^pl(6m!4vAq2Vw`5+}3@w}u zBJLpfB7}plNA*F30Vk8aU)*P zGgF|M8ht!a)tc9V`%bPZe#p(=iScdZnuF8xyX{%EI^J?Jjo9Yb}3|VBytJ6 zfaeU~YOSxkHe7;%$sTd*aRFMijXb%=n@p|xGSkr^nZKGs+7T0OU>JH4gqF@wO~p&iRzrh@^% zW@MGH%yy@di&Fs3oBup5^b;xAf zf0;mtat{JUiJq7@OXrwv^@NxtUK4lGxK%}Zn+(opSLsGI&1%evU5*eBu~>_jo@43S z=kObfN;n+U9Ij9K%3|r3HuCUY)tCO5m^SsYH`Q(1)MntWr-E}oJ;NSpej4vT>gz%> zV4nS<7M#3PwYb+9lY#%hfJ7a-JQiS7Z2lgmGX=@2)Kw9to? zVj&ZzM+i^0DQbuheFSQs`ly<)aRK1d+DeNOa(iy_P)=Nke-}O$cD0*6i1q~mSB4^a z`k;X&Tu+^c=;=FqhBDAs*mi2xb}$M7(~5}KpA5NxXd`ylnq^@Xtpo2H$>&A`4@qyJ zcZ_xy1hFrn^npmakulzB2O}!b>J)Q({i~{o0{!YPNU!QhES(O~EDUGj3-ZIQ!Sr;a zu`3yw46phK#C+Fz@pF&8*ab2KB3PqRK{k(^7VuO6mmB?toB64aeKPy4*=2c&cyDUN znceG>RbZ<9**^lKOc@$ik593{cG_6gg2SWVnc7g6H%GvA*u`ZBpf~g=iHIlA_2q+* zp(GaH9$#{o-rjkVeKsLxv1o7oBrAz2z^22rx=fsPq+5}7-fbv2Fz zG0c8vK#^yEO)pIjFz+%ZJty@L5>jyO``~GoDOEUx)L$Fq54RtMJG$Rs^I_EGkY5#N z3}})Frt<|As+Aa)nBJF=}+}XjI zbi=&6`BBSuW|E`z2_`#mnYfn71%!7DvkWv%E&Rbd-1uV2Y5_nUZi@#pqX0$-8wEb0l{v*ME3k564w-5QSO${aLqv_1#(0rn_KYX=0L}!SGW! zb~J^~g%2M5G(Wyn7R>}*1r8?@cn=Og{e2@t4fUyy(g6K?&4Fu=am~R@3Ye!(Vx7a7 z^L2;BpR?^I*im z{IDsL*wr@N5;@{unF0YF2d2?kV+(TZ&p1z`IK+=GQ55e=JDwYkwdX~~P!^f5zx)?+(B<)5H``m>(rYnlF^)Qxbu#SZ( z=G2+E=EPc>cCBO~5LZ*MXXc64M*CnMv~2-vM{fHxN2>d~82KJHrzR*ZjUnXd(z$rl z&JD`@07pauEH6fmIh#JNeKenwCInsv=tV5hwgeJXWpW#k9^R+?^^RxOh?Jp;nU`6+ zW`=!PakMEMv{S>NOGXKy8%(kM^`UgYFBCuUPFHbW%HQS}hVY{n6QaVDO6($$rWdr5kl0^IkFaV;@ZYit(iK-3Y! z(HEB?%!8|l=Uk*%p%fS}i0>Iyz2Eq6RPu+#ZA4uS4Ukpm^TL-n{G6`i{?l6Zt`mcX z(xlI-`eY0^BiL*@2 z=LFVXQXKpaZ!0GUnOp1~w5IjnAX~Kpz#)W6&?6{Q?SZ6qe-~^eYaD9e9(r<(Ir7Kv z6{XUke))+C_6LSL^>tA3a%}g{{S4XQg+lRMY|~(u;0u^&;JEfs&Vth;;KU4 zXIca-@amPI)yz&^gI%jU8}X%C1ddogAdf3%CIy}2%_^1~kAqbO-_}fEV&f#qw3~Mv zTAeRI`oRn;3Ju8gJ3b4QLa+1sgq!$t$)&4K`Jk{sfFa968h$WzR-X`A+8v20^a@(L z;m&KdRCG~Bc0RVZxu*T*^vR@8^J$Pu%%i`Xv9O2`#{t}hD*z(5_?t&aB`p0l$}UV# z(RYvp8%4$~(XFg03ri6(k3{d#LDc|Pvh4ymCkWcj02KOqUPI=B1pAi}Wy|go1&KB( zy5#pBZvxhEaPNI0Cgs^eT0#5XUqhj>&mPK?J$vSNEF<7}Xo@Dg9{$Tm3@i+@=JH=n zX8t2>Z*OD;^)J6KW@+PM>O?>x6? zPPS10lKW1!|LqAzD0(3wdk+F_20Dg+hJgP#u`&O*3kTzWT>kMcl}w%OU7d{o;V%E< zW&d}$0sp2X|7)m#|BJE8e+A#<_%}xRAHz5K{vH3l0hF1M`9Fyt17A5d6!*f7H{Mb$=KC_msl9#Wte~>9tn!8kFsNC>L6)XZR zq}w?w>^w*!vDkBxXz@7r<-IM9uALvQs8+a#E)bJ|0O+#PK$-!-OS#fX5<|VLe{_(N zFIaR;2HBqBq0Kpiy>jdt{Zq_$l1gm=va6B_rDs6;cu8k_kX!Mx9^>=!aL)Y;`CA{1 zWT!|t0^aO}8Sb!hN^EcqUhGz#u5RJG%8i|>fP5ljAbd6KcvpRH8m!Sy&9lD?nFhhY zDWsvXi_6uHm;f0(sU`2hJwY%lXyx$KZnUI?&^bkqxqQ7m4)QelF}!zxvy@BJh)hcV zQcRBkfCRgAx310Xd_oK!7bVCBW%*!=(cC9{gU26NW%xhPm;{U7KsXmhvmDP=s?g~qpxxNB&^!GIW6q&oBvH;;<{h+8_uJaRwFDD=Y6IR(#)4wgv zK}>(>9j8G*ZTcaR7Q9;%8+V;kT6!jq8Uerll6{QiuVL z1v=CpvM3Y>j**m&^!^u=?npc7Y$YGMkJ3MeXUSkHPC$GloDdTP>#6dA9L^X150ATx z6hBeYuXMSY3>j=qPd3N;Tgty9s%@gJXW zwh97%dWk!w8^(63yJ>q7K#R%ef4hL@%4=q}o#m7y`Fc!lvxmZYBTFIj%`v8#dUwG!4}!NNLc6! z0Z+-|Wb1tS;QgYhqGSxYZDO36b)zcU3xmjz{t2YjhT%iU4f-8U{alica4MmvF@D^S6xra!hUucr%ssl*)h&ky+%|Kv);b2x(-!eywm(QwyK8#X zi@?ezq!(ahah*o-ujQ48tjtNU>x%FoCyHY? zSk35-r*ca^M?xrg^Bj7mrU$L5ubhQA9T3Cj)eM`NWRsy`4Tqv?Sl~DPgV5-v&{yB- zsN)m?#C!K%#TyqbK3=T~lk{y7>AW877si}3EK!~|M?TcgZ3mXG4w(YJ?p zyr#Ew*Wg(rk_^PytEjk1K7)@>6`lmk$0$axKCOgpIS+wq(pF4&jyM#epe%=JTkra3 zqE#L7DXU;K3t#IA#HfZ`Cle3H$JJEYGZR#~OEAD_5KipDu`5ik2Mj$_%1m+o(jCk6 z%>wR(+q#RNHc3;cF=&}jrj!^3|C5P)4n@6d;Maoi)4j6|;2jolMK4Ob&Y`%qqdhgp z$;K^(x8C@kE=UoWZ>-xPi-D6Yb_mEEQIjapz}zXX1xzzc=ktO4ZqXR=c|FCMkp~ix zQcCGyr6+z)-$?>nXfwl=^w*m_=12OAla=RkzC<`+7aU%i&}GPZoC<%wc;j?=d+it1 zM$%4^k>%RM?~#LGSY-_{UXD=@l7BbEKC_WSZkM3%~dSu~5LVO%SU~^(Ir;J{$l(vL4{k z_QB*89dPF%yq6^Mz8=18-R6a1iODLFxhB>1s_3sjMIVj+kHHgilE5Q(t4Sd#`xw;Y zORg+u6{ZFUM4FQUs>iTZpgl)qmwq0}U!pT89vGF%9jhm<=nZ4F1H2(}?9ZWMXi32Y zYAET4dLhe(7m4jQSu@1Doj0G22st0@7Y-2{{W@wC>mp~S)^6JVnOkieE0}Ye@ zVaD=tH1~GG$yj3MwIhi$l(1x63Zp>yv&zeBb*aNY8sQHw`J#WIH1h0)@f_b#?6ED0 z&jGBU_x`Dqv%*3FenV3K~GPkR?{EM*Ar22Zvh}YcM|@BTeX|xZ^MPjHYKu%p9jab(J3S*@7U=u1xT4*L=l?9$j)FzO~=wL}uKz>Xs zbRIMbA*UBYfu`tzE~}-HTfoz}9t+a;ReL4fMP=9<&(x*u=pWl7s8CW5ard5#O0Cl| z)EzjvsHtI{mojcPihaz2p1okA*tdj&++xmf-M<|rj$Br0ql1$YE2&@3K(1R%G6j7A znvyR6`W&*&SU1&*jbP94f)I?5b+Ve6qW;*SY7)Bvv>{~R#mP+hS!B0YrDj*5^BQOy zve>_1`$g?LZ_o#z5ROXTjUz&?HCtk`NxFgmF@Dk9Vp+SOHh|d1qq)M(RZ2txJ|8o? zSS&O`HQ==|k@fWse;dZ=EI-7Vl6P=tZjL#Tku~`huY~tpWz#Q3G{;9$CaQYWd@*WViE8qnvS9D-1g3b#+pJ2)LUVxWOxZQ6VHbHEgS(GFI}?3_R#pz z{s=Y>?V@7(BB;ED>>e!J|3S4nPRXrWAs>NhHV9rO%voS-T`g@7M{dI4V(|mH|LWZd z#}-k;a|at#V~6+)hYD_tF#fkUcegD74i;!;Hoc4dYY+rRhSmA$Zoa?w_%B=o71o3| ztozHDP{N+Y&NvMC3NYZ5nl8G$`x;v4R`RDg!b32YLhC6R0I5%s+u2S6U9W4W1PwEK zu)Oj9F!c}3=!Xx;r>TQo)~`jgNYdypEZ%A6ayjdI!+YFSuv9O64P!tkgEXNAStSKe+f@eS%gV-+d?O0 z{L7x1yw=6l;{poSA|q8r#Csl>YO2i4Xk&#W$O4N1%)?<2P=LXVKPoC?^XU>+sLtu3 z1bRQ2Rfe66zF_JNS@Z;M1iw3GX0s9yAFRBtSlLwBfRP1q(|KZmCem4nG0cs%gMK(y z7OR}xh(Cz2=TLjZ&ouHo4<*r|yftd7x_Et7$h{+|E9Ac-yb;;8BgzpxU4AT`^Rg34 zF}%Bw{=)vxa{O!}5P$rCkUKO&@z72KFl~L4iShF31K!8w>v&MkW>AiCl~C$noOwZF zAg9lRkMeqg1}qJdUe!woPqy4HQKLfT@9mqWrCYu&;qF{2=`<1Y&++@(@5J}ep!hCFmF+sUezxjn{KMT!r!D-sA&0ZQbyeed6e~>Ki;5J1+Qi+E#zm_VL$C zq-QGw^_A30dj}wl<85evBKI7=>9hh{K*MG+E|C(ZHr5ofkToP(zC-I+wS9I<*k+Ln zA3eva(jN;4h4SgtM0S&F1~e%)I!yj)Z~k@W`LERE|66>z|3}p1|LuS@=Ra-vKZeQu zpR^?_E7O0{mP1n99IohcYWOH8MPzKoCadSx2C21iH`qyOXM6b=7vu9W_Zgf_u5Wf* zsPBGX;#h~*XaPV!)RYQ8uqJ;&9Tp~QLtv zVLCg1WUBr&QPTy=)Nh9j)bq7&CaSJsBDw+qxMJT$p{cUrN%{udi;_HmfYCRi08B@oESNMNeo7>x%CqBL1LWlvv`0x{flTJi-R&tzF#cALm${{!d|jCu zI0!}{$c4l8OsvL}Z0ZIdC9&J36D~Fy;(b6EEB}rXNJY~7(S1~OWCRN8)8)M5KaipH ze&RShw(H1uUGg9fn*HFZ-Q=|Cm*6$O^&!O*7Km3G8H_GB_BsC4N2yt=?qMm^?65i- zKS!UNqiM$8e{}I%QF=<@WO(aEmSrOCIs61GtH|u$&FbMunv=2WbN;CUoZO0)7ey(Y z!Qq}u*N4avBhI-0O7t5b{6-XqK?JRx84vWtz;~_I&2)l5aG??jjMCe;6TzF|jQ&MB z^x7jzs(i7_QXs_7@Ayt6S5J{=_}&_N5P{6K!Ahh&xxje36}kp-0LoT-t)#iXKiTkOPIvID&+=X1q30EkAh_b zjd85RuA>0Xdtp#l($>1g&yMd>pjM4EO3=F6SA^3ncO6imbBTCoBEvOHM!vpksgi8X zrb+b(uIC=JO5%GS`{j9QaxC*P%wPE!J|#je&X3CQBA_lXr}hGR!B(nv!2Do{wPmI) z@e%bpqo^DZTn2t#Ya|$2Sd-xC_Kh^M|HFiMM`_)78@Nl;<>jFG z98S}p;R{ZI8pjxOEWUDW%qO>kh&pfr23~!cPAB3t2;EV3{dq0};=0KEb1Bifuk!s) z2e64cB6J5T6c?x@!ZK`QDjzwFx6MHqDUzC~Fg>X|K#oQ9vYsq$jPY0@L6k{C`^Td> zm=S>H#@waqvzuSX{km=v<;a~vx;4#sP;QTmne57$p{jXD#x3CSuV5BcOp!`rK2gV` zMb2d74+U^lzI9#T)HW_-+C$l=1SM*5Zz<=m`-<%>=bKADOvy|3FO+C@`Y3@e6C}(n z_5zbFh>oo#H|-0JJVD%CZ#nu5@)ZBE>{y#?x#ZlF1}bu=J$W>X$=t(75eIK%Kp>a< zQFqw;_6dpCDil|;cMP046|zdsIQ=a$SAGcp68sc|uZ}UHKdN8bpR;t{vpRL{$g~2@ zY8CL$r7DcZmuIA3reI0{`-)WeF{F6|>(mSCgsWu~et1;O5IATw(8h^-OXqncB312+ z(wW138wusJXmgUTvDP)PtSZkM?Bnm zu3JM!m=UFO;WG4q#j&)uS+o_l9w}zjsG>*VyrML(VTU+@YW!U!h@!bb$8-ajZ4y^C zr?Z}Hz{e8|QFtevH(cFx04%-dAbn`u%uW)g*77 zwN}hx$|;(h`@O104=1Cx11K(cl^LND__NdV_??m_4yf*WX2^ip;c&Jcr3OAeY z$A}rPc5xXQug=RgG2|Rl>?Pbbw`4%ziW8~7)MBmS;|L|ly!|~;V&V@h6E&{7C}>Wf z46c;*Ye<9Yx0M&5&7{|o@FF?5#HwB10Vc%>qm04ZrnJ8Hn#x2*NZpiG+EUm)I!ZMK zJjoT=c5BpKH#VwLz?WhGf^e8YF!+StJbUdT$DmVG{(MF{+R?(0(0U7Qx1WgGok%~Q z-NST9K#2mHrC zqqWnr$rmB2bW_DgOskRLCFq>UhXdVjBdIv-;6#7VwrPjIcID(1xX#0^vytH-Eec>Y z3QN>IZM#cb%4OnNxf{J%YDxPY>I65Kt=u-UR}0#qroj?HEIjgZL!oAqfPu98=zPDS z{n=4yD~zqm;kC$_g(-=q*gg)uwZ8#FoE|hqo*(sWZ!tiA(Y@S1TdkdGr@8M?*Z9^> zPDkM_XYW6QZ7$pG#lElfb=yGWoe=!kSL3V%Z)hf_QVLH%I>vRcS@k{d=6IBlnk(7>NO@_a zY;wN{X*BUEV>~#FZ{zs()THAdSR60&nJ$|PW_89%*UFU;;7*ozcfPc{TK!!*6#5iM z)T|t@4$1&eW`!jMN|+f48b0|wq|%^k9a{b*zhPP22fni3kn7Bj7D+V?3%>F?e#0Bh z(8;RL2jjbDY+7=w3}gRnKbobIIGY~$%Z-+k6?XTAIFp(X`N)Ums6qp{%Q#KD zM+ugQUkw?D6+EA}vWTWo0+h^#iBD%2T*bej!~*f^Y1!G=tS#d>!l3!1Jd1_$HJCXe z)&dEv0d1rk+IIf}30ClYbtZGKKYemNQ$1!TmrDG7K1#&Alo&F#H-OQocHhTNP!@2| zSx0M(UH^uU!jJ@Ev9qFhC*906rFM*?d z<&YkgePVS!)v$F+7|;>Yh!z)cw#TP~U#>?R=`?dY>WH%rvyOF!q`DO>c ziS^6%2iL*McLL9Q`}(a_`~VKUm&aCNI!C32dfv9|fo0nX@lWY5o0I*iUl2w z4=n)q&sa7sXO=C`tg2$E(uf}}+^rwjNinJ30*{`B3Qq~LjSqU1W6tiFVa0Z}g?fDe z%+fHyT-a*P+ow9Uy)6JajK-iv7%5dVBF7c=fIeUn9l$cVLHyYuH=ea&{YCoYwIbkew&`T0FOP1?ocr4N75) zNzcnhIAI*7{e4?=wr4$ZGmP|90auq*s;o02&tPEe|7$TDn?HU0pde(;OU;>JyEZbMoK^y-6x#L|Vo4p5ps%KH zz&pPQd>$G-wl1kq0Y@I~b{s_5m@A#ok+i$-*%%4$u@P6;Z*F=GQ0HGSKY@QlRai)& zW2|TeXrFGV%q{lMR%^u9cEmO=e%6^bJE~!aqT_p2_2CYjqQz{azhXKZwWO1mzEZ#q zS|ndS`QYEa$cSVlg9}6we|t!N1cA7U!e?|q!Gcq~)@C~vO}%?)szs@jorEL%omCYK zGaf(m+4oBMcf0$Y?%B|}ddd2zn8IJk!}sID+3Lb*Sy3vRN!0F=H+eYveqS0TiW~S` zhrvPP)_SBdOP%RAqE}aEU{o3?UfuHX|Af;2t6gLN?}J$mhJWzKdP~y3F}iCDz$6ss%B+IYF;Vczs{`N zwXTXi>wQW>q#5lfR-`#Y+zyp6e%0Q9JN~Z*F)e?Un(gX8PP7r`Up(f6SDEA#Tx5m46A{wOPcJ25uH+~uvp&Cc;F3%58r;d*El zFeXX24={P_z?`M_^2lVl%K*|7;^%7D$PvM>gR<-Uvf556qv4GZh(ouzK;aJs_EI(i zCuDPRo3Y9fwl-Ol_2;$dv~%JO>G*3~VIqo-SrD2&EX;EukCE3S@z1HNO*ov&I$=`X zJwm!TroKFyaj@&=9@~KfT*t-ZPdNnK{u<-3I>C?42Vm`mTrIyPgZYZJU8Y!iE6TYh zGUGq!x>g7@;dY@qL^zpvW%wL5?4gk`-pKaJ4(_?u(Iba2wSRmRO>5>5T`BMEv+Q_B z5Lb&H*L#PBw!3+})dsKURHVMSpGawpH8Qp8r;-#jf>{Q;_U^+T5Eq0j^1lL*Bkq)891}0Lsu~5+kxx{D<>3d?0XAf9}(1-j_b$bVo0#^jPU*P`2ZZ0z-gJLVtVIFQDtBfDnQCI$qx5txkAmlZZS>N30{@}|nGM`ffz#`z~`u&DFx}h5arq@>&8gkRAsJO=NV|!kA2Jd5y%3ehL z*c@j28LwGT%br8k|HG3Di^4^tp(U5_58>$fTkBj&^JUd?O z&keE``qVCuG(-hQ0drvmVQXU}T0F7lXz;d-2jjal0t2qT zeCAd^*7!K(>F$)O85O~M2*~Og>#WcXg@s_@B^F9dT2h!#$V9E9JN=z=;pki{V6OTD z4E6P&6I565vznRbB3CEVCpdfNN-!$NZh*&16YN(@lLI!F${>&c(}GW(KhOKf)m0g?4uyKxfVM#q>0z@TSQ)cIK?D2?)GOYPS=Z zVO-xBA-0IE>v6$=DjFlww6^T#qK1=A_U5i8hd~rMzOwE z5=-?tlfkER?F3;6`})2n${B+0P0sXNPAEVpgPAWf^vfpLQn2(i>SYA%P6wo3Z{V}g z^H=}C>$KNt?W>i=^u>FgXVke=AIKBy{QM!DiPRfbDSVhM&tgoISx-)h% zX~UXBU8E9B^(##0(}$I{nh$z-|0aoq2@Qm$xcz$`L?MgdSpct*%+9TWK#E{>;~Ro4 zXe5e$U|{Vc<%P2gRAP*objm_OdLAg8uwW;;BZuI@X&IK6EWCW@R{SN|RJUy>`G^-lbaYwbMeFSpf@>EBW~ zgTeEZ0hhun3lqUGcmBRILTUj&;p=w(fna8U%34GX-WzKt9$?I6GaPEs6 zFBfMQcqr5C|E0Dthk-EbarC3?(8SPx=~mXdVyXFEE#T##((7IqhoUMP07;PFA(};a z!IC+9gue7S*UH7aB*$Kb;z=cXCQpkc$V2#7Wwqs8^v!Y|0%|>H3hvbj3|jMkvI}}6 zvp$Nv6ej|11*W4xJu(u8e{e35C!;={cYH9Ix-V6!pX32o$4=hhD#6E8KhQ-LT^7k& zqivr7;GPaJ+gtn*l~?o)8#MGxZh2B9{kP7boO@spBZVwL&|?skk!IsTrZLXWT8NjR z@fYY#iVUzYlMpqJBH(&`!+42a6&&xI6w>%d zj%k#eI${58#ud}M4MksF+?^ihzw@7Wa3gDL8+ur(ijN?7QUL8u^bjJ zV2(uWJksMgb{^UwA|*l)$5<0b4nvhrPY%CRgdlqK)wI42vX(u|);3rS%|TF3W;>{r zvI>R;nirDtJ->etZR70_sEfZJqocbTdCr3Y`c%V8RdW1g4&G0Pt8}S-!sBrnhb+R_ zk!BN)j3jAQ$Ys0R38rZ~M~D@QJcN07)0jCi^&i1IBRCEhgFgK&LjdjT_VZ=km;sTs z({Jl{axwJH39f~0&c4Sz^*}E&sSnZn-Q&4ohq=Xg#`-%Q_6Orq zuO|Rp9xab9+0?4~Gk*l4!hLbs0&jiXmzcvcgitzsfJ%l5y1`;lb+w{A~^}7C@T;_IRXW>p(>FP51DDnE)~5us7M={^NGtBRbHOO6N>-!GygC$Sr}K z@bdwgHSNnmen!iq(W3(~p-;XhCq5n*%tUunZETL+K<5O;@84@v%FVMIu1YUtCj-9y+##LsDD?gO@-ij_ z+3$Q7_}Bs_vqwcShCZUqI|3Hs4`rS!qtOqQQwMh!GmVCDVIJp1V>?dM5KB_QN=B_i z4wohW;0HxbpsNYKzNMJT2H-|`^{Pvv{tHY`iXmNF7*+Y)fDnIQ@(e-c+Vd*zN0YYW|NF@Zgn( zw?g<1hk6IJ0C6%XHR+r~hkD{x+$`Ual2Gp)nB(S>o%qZ8W8vHWFdQ3T6o283G`wU# zxxz%;hgAe}n!_zN@WYE?q>K4E;hWQUkvps0CoK?lan?(*AQBVFSK$6G(H4DXWRom| z$n!{h$wHz+WMhkKAA(v%lL?-><}j_q8p1li2`olN_FJO2kx9q}$qL-th^^>>%?wPY zRRfTM8FqP52;Ht9|En!#|EFm!2O|ONKRfk*=QR7bhxY#f=V}yhlDl7`O6+J# zB0tb>^luuMEKy&dgBqC)iN$quhGa-}WbN}(eu<5bB|4RlwV5V~7Zu&*K~6CtVB^aa0jNw|D&H@9K7u1-zb22pcpozHX zMj&U6#_7`qNLffF3kw>NU;Ml@xRZp03BZIF+3PC-Ev#z@;TNqa(m3I*o{J`cXR9`( zf>VcdmuQD#;L06pCn*9bSs60JgkGiYMs{p@pwRbWiO|eV1aZyu&`%tF^RPuYuc7OU zpoAiPD+Cy=rANzRBS1O1-WCn)CyqE+;=-V5XC(s2*lK}1dwVN|>kW<6 z!<;Z&RNl?#nmgs99Tku&eESDc}ZDXUKzdNa028pu~CFC^q`d@gYdecWwXL3rCe z0)gruA5R^_VmGG}7}5I&0(FC#tq_q8ywG?2;YHiG&gpGP%S)kHPbkdXGN^7#V-CZd zTl?!4KdXY4(Z#;G3z?w+B^^31_t2>*;8X}Yu&wR#TFBKHD2|xGUVIk16^n-K8*+ni zCDJ~UahR!yJnz&Y9PFmNl&X?z0FL817ka&oZy<}EkR2!~hGX(R$0U<}(w_=m^HA|-1 zD1Xt)YVB1Mv8!7*qJ!mT3pD@ep4X!gy67t^cYbzmZ;oJ9;`XXK-@czhSL#!}~R`p8A z)d;b}IU(Z9XI@h14E==r16HpPH+*ArhgFqS-eXNtQTG6R6RS1WLwpu%9x$|ghr^96 zz@ivcIgNyk=kRvJfPBDK!5hnuwd`g%B;*BsX)6m%u^c#N)p)oqOu{fNF|<%^xV+wD zZa`mi+Lj6mvfUs|y>7uU80m_22;@>b!>`ps{Gt~GzfP7%2GhxI%QA(`=nOnkK&h*- zIc!ieuaq`NN5xi}GO`5ARqyZG#EcDi_Kq4{HP+M%E3iJTVT8*WgAjjAI~u2$aTlAk zkQ&-*6omd!Ukp~cs`5~ul!J(Yb$7N)^#*mYnlm}XNf@XP1XsYfn#Z3 zSk!J*sCWC*JjMM3>GXkJxwnOF3H$Z=RwA%c9BAeI>)lT6;I@&6TU!bLL4w7w&AtNZ zt2}cm2$afv=wsj_8cw^Bw{|faX32jrYpqqyE^dULHTKgRY8AJG1JwwZPyg(%=>&?H zK9~4eq6?*a0IhbJVEkIy$6`kCOl&@kF>;FcDiqHngz+N0P;d zBrcVn^n%iWQZKPH`d8+irNi;^ymm;$Wn(vuYg4ZGwXKhk-ID+f8U*nR*MY!D)e0tI z-tF%ZqW)x_^!(a5IH{sOEZ#ksnZ4iUAfkz(lc)R7=n}BcP)iua&EVeNPmNbQ3Qa&a zx;V^RJ7YEZ%VFGeKLnZh$yZR_!&y8h(N68fk0bWum(rbvP)^{S{3K9Q-p>va z=TAz2C0IBnw_UBBc#kgXJkyX|Iqix(h%F^=VhcqdG;V?tysBoXGq4k)DIx$*n($>b zM8(G%S7O-6(5>+ioDP9V&@!b01Q{Amtl*=r#tDywlUKIV<>zd=`&}0YKC4=$rN*EA zd3JQ>6Qor$pnR(toJd2?FzRdOi$}&r1wcic5?0J?ka2T%3toV2O9zaer<)khvgQEtG zZKq(?ajdA1;-h7Z&Tx&43yrX?cyTP-Q>?Ag715nLHCc;+=29b-TsFd?q%M!jQ14T> z!@$C-95Po+YU8$G&^@HH+@4eHAm94JbCkLvZ6kK4lZ#bm+M~sh75DDgdsoKTc}o5! z7lUn3mr})W88*jt5fQlJE+4))(Ft}3wDlP{IOjyJmQT0JLsA)W?9X;IY=zSALBX!j ze5YQ@ne-{q`I28!=Pz@}koJOj5~2c>r1&9B5>icxnv#JH_Q#wX?jB21V{z|L&0{Gp{HpK803ukJ~R@J&0$L3eN7J`eCx)?75XgMRl7(VJRjDGtS$0Y>Rk0ys*O| zr^TBU9fVrV3{(5vt-kiA2rwk-XZecw_vE=Cg#=+NXa%dZ$e=v)3U}zU?O7^KY__rX zWTLLnu+P)}iZ2ic5AW&BelYLV=J>HboLj$de1Mrz`1SD%7}=2EyDpF-64ZW=68rot zgwYeIBy(TXlTv6H97Ult2w260+qJTHa3sswuhvj_R)x5l)5Q?VQE~bk6DIpRH^*B} zvuy1Lse-Vk8;`5|42yq~hVSueD*vhKUO2 zv@8LDvmqEY`JZz&c3U0&7QgSlQo^8y=+C+?5+_iZ+4(PoD2N@iD}>%Ju%@yg+w;Vc zNw<5c8uEKNnR`WfQ@E$Dl-468kkSp@C*VL@PI){M^gS1Pld#apih^wLfEk4sC~Aaj zg5Z*vPcQY*oiGi{0uUwTSUDR-# zi0NsDfXaF+DDH}78&h^e>BFpfB>Je}Zb?1NYj;&K_R@$;*jRiFQ@MRdTOiyZA32o! z4V|1rhV&{HtvWaHFiN3)*s%o)h>Q9{g!ZTl5l^)_6Hi)!?T_?$rC#6C@@u%CF{#2e zi6dcJ5DC`1OB+`{T~(5_=tGD?M)I9@TPN;4vV*dI5T7#8CXxwSrs?Eh@41F}c-+SX zm^}V#JAQ2WSyl_sIdzZ*tiqNa`G_OkstjG~h`kGQJ;meO1XBS|T6~j^e0XjveT0dJQ2M3CX5=Un1KhPFS-IgSZmhhT!oX0T zc;oWB<|{u@?w3oSEs)up$1wuMxUZ7%D7Bkb=J6>J^!}c5UEWd2W zUt-T-0-|$-QzN=BI{{+XWa0!VjG4q&tBHB^4&R0kYdZ)w1(sP ztUBhg0-E>8)%H)W*d-mxvR)jt9n+LqkAl_g_x-Y{S~__;+-^11{q)c13bu;%^2bGB!|W&=386yHLD*6?Bj@K}@ap%x{x6^ptl zxXl7Aw65fmyKZdRFj89#|9wE`YiaeO*nZ#3{ds%a6v79yTOtbrX$pe0Wbbu$k-D!BB=+GVk{M+)c$6^BUwu;jN);#m1Isaz6rx4 zYzsS;t<6qN!zqLQmRKGp3}76Sun#t8J{FvO%J71%6qi95GQ(WR*?^PG!kmqx7cc43mYxyD}k zR$wwrMmbY#rDrgGR&?V}g2$ovL5KaVNG+aQm*Sy~Vy{`YJZM4y_^gH}pXhdPd=6_+ z?Twp6@l1uu0zr6(N(;p6=&R3lub8vuLzhkBd4F{vN5ghy?v z3hZMKh;hm)`%D*dxGQzm2J|GZiP6AwC132e_j=%U9J?2KjGA7mc-!mS|Al7x3~v3j zq%s&cz2qRSnWpfPpnP!Cb8?%^RE$x|LOxZ5!IA!#gck7gAeqL>3upFX3$zM8EFcP~ z!(G+K(3}{aFL3u3(=0N|j3tF{C@%}+7t4;7leI*V%3+Xh5%0r|i{ z4>V5)aOg>n!Mti$C$~($j~a>t=ELwlWKnIj0#8NGTw$cDUd|Rb3@c0(!9q}W70NXLWy@WItFbP z_2Wo&zD7riV8wHQ=ClFNFq4-^f_wh(5{(1>3??*QCN03Ss#2xBS9O7}CG%xkRKkm1%Re7Brjqdvi^(`{#>JxGRmZ{e+m-$DyOhadWbp3PH5mP>(K) zX{aU|pAMgP_nKghq1fJ+(xh@1`urPVTaK8HBz zMs>ri?Vjp(xu_Y3H;=1Mq=siHebH9OL~&Thwt_~t?sHw(m`K*P}cKF-w)3%}$Z**_cq;~@1G%#CQ z8Ccz=Zp>rrQ@}@S_i3^Z?fpQ`Md*+TgxQmO&E#5s=Q|VmI%0mhXUK`-5j`z!`4fLu zF?US-gXQJ9ZeM}-QRo)Y_|@f~iK#w;zw4M}KAubODt&|04FxDd=tXW7R4qvuH6pm) zDajRIm%Qg4sYnC56k$~*hVn>rUqI0F*D{aZ19L9Wx(r}aDsdRi(BB<);$Dma=*Rk2 zPzJ}fs_KVyYw{={Dp?iFc05bZF!f;FA=}jdd?)lS0F$#x=|x9uWH#20QdWhFrAKm;H%z|4vxDSa{tET zH;u1cAATtj)VRE45&9nxh|Lb_ta_wcyk!|{580FE{Mst0>yCYVkpkOTn}S8Thv38; z&{t36*Mjpy>qkC^0?y=4l9Z0loZ2MJ^b3);mG~C^ra>bKQ3+ggn^?HmbegTrSk|iI zGdBott`2{%k1So$Z10r68wpPC89URIy6{oX9VKoG5dqqh2j@iiRvz9e-*SMX1M5}QvIrJ2Q@K3DM+?%aOPI-NSoUDZU4T*EbIOngWdJ(weDT%0U5NVylgB1=S)oBsX%h zme}TnTznb>7lx-F$#5M6y{%UYy?Bs!w`QI)x?@NrPjXmf9Kk$P95QeQz@%n(X$Y&g zHn{r(=8?=Vx0QvWW=3z7i$zgImM)WzZapKLKbM5nWUD{lOc}#kb`8YEJWjxJNgW9E z8%ptf+47CfwWe$oj`DK^FgRk;hbB0(mAF@5onC7j&KMjxbt-3V!TtlUQAA zVr@&5yapu4o-dGhpv2ME3LRrq(!^R*Wdem*pXe4G6_TQp2Vmh=2SswACUOy-ZLxNL zf^BkylhNgE+!^vQ!kYBo&q+S>CY>!?J)?Ft3HNrnKn@WSD0=O{b8bT^vQw2^9b4b# z!O+`vfeirvItBeybNp)U!}9XCh#ZF6_pn|dMyXbIJO4?7g71=H`g@xKIb4NaosB-> z#zPHa;F`WIdpXK-bI3HEQTh#G1H1&%wt^Z&JbRNcQ3;vL3tu|I&3&97sUw=*YZXYQ zegUM_385T@K%QD|L;UzBFP09y>-Cd5gse1b%JR@!+uCG=01dJ`@_GT+4SMW(V}gsg zCi^L+ZXhCYK^Cgs*0#M^qH`Tf7m3;?trpQe#G1rUfHA8is6x$r%8HAD#WDUKzF{@tl8rt~4)6cctALaFo78H}-z z@;7ROk51Zfm{@_?cV299iBB)F)omaOIfZ0ya*gsX21Cvw0`RE?>okg7^y2#U$`cop z$>Wz=fPmk`SKSCz#;K>tF5K`)&is2ugcVj2juBXFg<0DerxWGI0g zw8azdQW3y63A#C5Dp#~u=n(MA!>`;J-UaK7OYHl2mHKlup#!1tZcU5=5f~x2apjlS zeHuD;cQ{j_Pm@yyL#^{lrj5Qk8-o09RY?-1G+R3nzs=?v&%bz+LuuqpWb2Z8WJS_3 zDWpuJz6Bu3(`FUYMC>0V@uK^^rFRtl8}QsI7*n&hzIW=kQAza_Ytpq!64Mh-+QB!M zPzs++^2{xXBX7;;n*)QoS{!QGqr_QQrS}stPFfL= z&#O&iVO`Zyv&Oxjo`6f(C>U6pc+6`B9n_a$AldqjlEaB>ep;I4TBmPOExL!rhU|i} zLmGG1nFi4WZw3LHEF0MT7GX_IsRdkCfjG=*G!_-W?RfjH2sLwxx z;;13Xns^dUh9rT)l7f4htk)C}2uVO4R9$ZYEWSK9<5ZH-#7`a1Ch$2q=96J;^>Ek* z6%Xr#muk_Wd16J3mmk2%fO|=0^N4`OFYBYjWW!C%uVx*8dAfO`0`f8o)+ zmAM%y*xqQO^pkB^o_)*}T?s|SOVOz2HPNTh6OsPxZ$kFl9yU(`Q#ZX8`<7`ZUMY@g zMCwy?2IL<=SM92N{Z!zdxyHnUAWB!%QIw4SuKlSHtQZEX@AzrT?OrMG7`0yci3UUi z&mCUWWJwp~bcn_~hU`67OU+)(&0pDXcvX+xNZ5Ku#>7iH+)L&2l*q_&En}80Z01It z9p<_)*bWcaV=qm!cPq&uF$=_Bd)q|tsLx=nY)xVZO?DwAhsz7rtQZ4&UWJfa=Wd~- zTLu9%2~5cHs__UAEdI)j@zAhhIxbZqWn_ocaVP}Sp0-%A7;?t~T|x@e7711b-R3#7 zLr>T`BibIirUN-poj{bE5+He`#k{RP={G6tUTN-)ap+te>)&ifI%8WGGB%xfH@E7A zQZDFR6yqzdSO5!|ij^ymKpRo=L^fAxiL#S#M;>IoK@h0m{o?7Mlwwpf77r7BQH@%Z z=Q!JikF9D_YMMY5amY@AfsPyvtN=z^G*b+}CCAox>n0~Tam~qLHZ6|U8olZC!+(;U z0aQ+h>Vd5WC-oCD#|iH)%Xb$YPF#IhBb`&4$)@b@YOq?*v=Kr3wDr7$kd>t>7qG>Q zBM~|;52V-C#VpevA1JWU^52JJ184$c0{2c23d!~a*{j)*8C~gi|IG0K!(iJ?XH9k# z*+miuM(n0;V=^$|fpK&2f?E=ufA8%8!-cmf<8twVNB$E)XHveYFJ#kizOMP416vba z19Q`hT^O>i;;FX8s4+|D~Xch4p_5suECxgHk6h7 z3cJ^}W3-E^O?eV>Ya<;-MvI=NajUO!Ur5v z_MksVlsm8%|y&!=I$<(MJZB{Im>GM|U>xX1}^b+sZ*>{vXV4?8jFVP7;RI6ZI+HDw-u zUZ7Lpj7C@Grxfq)*q`TY(41Q!gKUj=SQ$Y9G4fyKkm$@7)5IRaFz+U2$lMm0+PtbTD$W- zM~R0@r?Kv)K}xhASR$n-DZQZH8va;`C}dy#HIA%d*yfUFcRDT6?`15BEXf(D{A}>n zREG`uwJcPk@JesQrYf!nM{K8D$6IJTqo`?Z1;(e_wRKCL5DIv$j#$X=b z4?qB%QRp$=im-o<$(?#)ozzmggcr~>CK1v=X(yUrESPL2Cj)1^Y;~oAlR;xS^^^Jf zrdQ(Mfw>5-t<#~q1Q^~uV-t;S!SKi3+%;yesW}UEE~2_Q#3#JsU)Deap?*vYOHMT?H^e67Ssr$&DnF=dzBgH#<&;=AHa?M z3lUoygB0~%Q-(Ab6wLeucsn=TCF%xfq5|fw+&l@5^7rZ)e*S!h5ucF!W?faUn!NlK zJtL8>p&AlR^STE_-D6Rje5Maw?+F4rm3RFy2n`q z!_-2j$VSk@>9jwd6!Vc8`7YOazxx70k)a}+gF3+wS#s0)lq<=C`!Gj-J6k#A4~W9_ zn;KLBWxzm9E#JqAlh(Qz+ol~Az=E5rhPn1vC!c6rv?lrVJ%-dRJugkLlua^*XJJ*U zHg$C>65<}Q`;e9^QR9h|39Isxc2T?Ej+4Vogq5tAIAm4?E>a`4Ei|q{Fx#B*1yOdm z_=L|)RL$G30AK;Z$INYq_Bse|a4-LKWaNC+j>>+EXL}pf$|*@ z+ZLL(c}cP*r`}w!{W3(#Vpgl;pKO2~HYvm8jCTrnsvD)yt+=jOI|6z$z_ku*eSv9+ zHUY9^GNjz|k8w~JY9o~2yh}-ghkYri`D53 zHf?0-c$LzW6hGQ&PQ6oh4fNKm+w03{lvggGj{}2EznYA6zd*&JWd@PybSRnY5W$^( z3*8Wv*|^BeBCl)N;6D1Js~b+9Hw#W8Yq;*FMtT zO2YHUlxc?A4&3H;&S4!(DEeB0?~#ZAFY+3O3W>oCxuDclvs~BUC%2aGXWw8gL{}#K zf;!BSW$p~LB-w>gLK)~?0%thfboaqx4*<)^wf6xUsAZCQVB_&gEdD<)!+;|7c+uz?3W(sCf#-!L`Vu5u} zAYg}m9JEipSs(h%eCy7=gXetfbqz+ElQ1=@n%iYU)9G6xroi%7w-hP*Xw+h)T6x)Z z=u0w$&G%1>Cu&lio3o>B?V*V%)>+1xHIfdM@5rjxQ&9${F%Ki*?rW*ouwytx;7JUX zqnNQ&}8ghV|4YJ{Ij_8v~ur`Rs?Xoa-A})N9@J^fZ#NqV`X(Mb=CF>M! z_b9+-D}}y|Z8C!Ig9>yWs?LC<15M_bfX>77sE4&}Ev=_WETRJ;`qB@=tVkG}Q;9ye zUnMO*cUo@c{*8QUqDP{&rvNPRvn{kN3ppe%7;lS}C<4D6kz>in-cSx|l?Fxk{DH*i zfkUG0!Hy=nH8)dnAV zmu$EiLc#kZdGV_l6JZg^DlKzp(;c^sx(v`o)lY_jeHBOQVxR(FPwv6bm1_@j&p3%8 z7zW2Ll@1RNRyEbz2OP$pcIoOdGGpVJKtbN+8P#pv!4z2=kw6mlBQi6A&8H;%lpGfF zJ<*i+JR0`hRo(U{N-{mum7Bb)A_e_?+f$UU__N$e6JiR`di^SQFFrRP9J?*R>=}`+ zi;(rcUTs&LVH5>j+c7F88SP!T*H{W2ABS%OF=&258Gk~am@_XVVgOz~lK>Sc?7qQm z!uv^?L!_Yb8w)1Q2;`*DP<1=kik*lLb@SY|kr6!D8+_QGy6h{Fcb#!&IN3K?W5-A_ zdmew(l^&HYcOy`^>VSUTQ$J0fX{w$koC3n4_!3~EI=N&(+NnW<=MYRe{TizGL$oG% zfP$?HDOlE}oeb#OE6$dCP6yoRgekd6%UGCJft7Y0*2fi{d3Z35J~NQ99{ZaRJeuW} zw26A+-U{N+-(TAaU7-Fw7O|Ws8t%B1nddW-+(Gp=C6dQ%0Cjfr#MVo#b1SQf+6*W5 zAl%6u)X-K`)x6xmcT;&gZ=BkpI^nPQnTJ8XW0DZ9R~|~x2%Ksfi{fXSshOUwARa_s7&pjySbJwK=3gF5_w@xG{QO7As3=001(qi zzXjgEVpGs4gT~Yw+7Eu2CwC9!mgZh6KE}AZ6F|^ljDhH* z$o21`Ain61LvK}=Ve z{3Q_7C>^&-O@0JAV&bvL7T@o#6$Z57@85tGz6A8~7}klPA#Ol~?OWsrHi*Q%=~*Lh z_09ryE0a3dal+cHvkyAjNxW~khX1R+NCkxS`Rv@h_iIW{ORccr=5k1N;uzMqY8hMK#+4-_4{WORuZZ`^Jx*e^cMi>+(O%<_73I#HC@ z?XC5Y?=G2x>3!Yi`Xtl?GK)Pl5*^)pR}C*77LHKRz(=8}N0x@Mm4`4S&&UB7Blr7DDb zx#7l@3-*J*&AN_k*CmfSl0YUMcCT~1>@?U@W`KUA5Ofg}jZnxIEv!JxoE{rj1SYTl z@YSD&H~oN1Mxn&IRA-9#!~5A|dnD}@NkOSQhJ4n66y62$r^J+=o^C1)lsg%bZYDFh z)^|$dgmYl}D*KhlC8S};cmbqxia&ySAg_{w+@R1iQ8-jKSdCgJz*eh{^~}K(yH(D| z&%ozJ{1*6S+Mj%oL_pqO2?c|aKc9*Em~0YLP7qGqgT`@gHk>pO^j9EWg=_&D z^$)~>`Gx;Wy`5l3*ni^O|JAar{~|tS`A4?@r5=l!9skGt2h`3L+H~SDot3qqjqyvFTL} z5*>~PJO5i+@TH4b#E%JdIZInYMVkJ{kvj8bQBiVe(mTAX$4Tt>m;!(!T!Lw(TE>14 z78Qs;40_<_KddsC?tWVftQ!J+boro~(J&rKXQpY^SQdeS@#h>A<8&Tfx~r+8kGhPE z@yQI#I7UUvvP$$`{;GB zDNOYu!Ggp2iI{@bmuT-c>Wn-kWhJDl0@kF!G1xcu6Yz_@&M)hitscP<={IIT!Z=DA zcD?zaOZ(&cs04@z3%0qYsk67Nt($lf{nbleuP54MdC zeF&m*gcj7SskC{Are_yU@C1DS;acwnhk6ouhNNrI{c zmT#c0__P&3#H|$0FrehQ32uAjXh@}ie*%Kx2yd0j!R-ewSRdxCnMTxf6PZzv02+U5 z(t;_@qd(*`3YmtovX@2MI6yPcM^8m-p-dG+C3=Q`9)k8g&Pry3q4qQ_XSm=M?{F_Y z2k2{TX4uYhR!tFB$(h`+HUKYz_zVw9e=ILPIzR3Tyt{qMB9*-14U{jy4DynOWA+L` z5bN-46CL4*3dnyQtnwQ$uH3yAcM>4+R?uh1ySeyPfKhec#(t&3QQ?^5B!$@^R9>%F z8e$R!6gXq9$L(f0GpJO5U8__{v_$}|e5Lrfz@H4^iwQJ)Jtl9_3peWHcwnUj-Wg@o zEnJ11rC-gF$;|A)w2Z9(7Ao%Ndi2UE!!O73lkL>aL`Y~3a>huYC#cyLb#yNDod z6c(~|-f$Lr*aSR3I?r;V+p5D2=rujMXG_)7u^--+T}XOczakpVX;}=sP-8>)Z}P=l zxUa&LP1Q%I=)-m?{_DZUqtGg2KFjttNW5&~3InA43~+JzB;KIaGr^k(Af-H1GRp>c-wguD zhWhl$@@S~sy&BR-6Do!{l$VL=@kfUQ*8xVLC=nX92pi|Ct_Pp@gMXq>P3+QP%Iju>GSe8X^})!)_@qRiFCiyy3_3jaf5x3B}JRnpU9+ZT;an4pyj)?@;nhRvYgNel5z` zp!>{cBuB=#4&;2?=$_Ml4r(?SPkhLDg-mEH(*Gi%{C3pFRl?U`^_MiyDz)qg+vWB= zLy}D}*Q(})-8rI;TQ2;JjDO^Nl0ak>M!c$k-rMo=Y*eS7!76p-4qLmz?p;}ap^MW^ zM59>Velv_l9BOdhi&=G%t*=H{SY@yqsGCG&wF;F=zqwlCwr25CF0;-hwz+5q1OFAF zWH&&AwGfKC*h2!YjSte2y-JQVMUEYG8~+Ax=gULjkvG z#!hAj&-$(4GEhX&HS?}5Dk67WtNcqqZiDB!_RAJI0ioR`V7r)Iy6#K$y%Q&&^+AI*=#=VHknk^bskmZ8gt#CEwD#H88Wew0o6n0i^}9P;P`Rm(5`5>4i=m zr8$jJKV8lcjpLR;`nwp-g{qeJ=XZPN3zGchro2=uRi5YWH42k#f%gC6?j3?e3En;J zwr$(CZQDF;+qP}H`?PJ_wr$&*b7%9-MBL4P7T@A-G9xM~qBfNk^}KK9^IO;9MecXzZ4jSa8a&7Am*d!i7%+2ph@xOgL-D^26{r ziqG;^Hk=D+il`EHS(a zbIiN$EXKSV*TV)(QXx~13aFnRw&amPTk`8e{W+PNDwQ8bI?^(5p0N%_PAv)5qT)Y^ z+cI3?k;eQ6bE8(QmtMbnKA&rjFe+tBDc}RLUIn&DY0U~=aYkmStQVIr1oL~J-hF8@ zV(Q;5z1S>MVc_#(9;+dTohQ#a>n*k8No~#X#f9=c?e%lF7rvG<#nA=%V7a&fFelB? z3a=ZoPw9YtrZ>DAU-g{~t)Y8Z1^u|^E;k@Wauh_4;1A|P##!nu>y2Mu#8oNWo)IDn zzlYZi>L-}+Pw2G$>Pl491F#*X@P;w&bTO?CYrVy!b^*YS9k(kPz<2c|wIG#PA8D25 z&nFlIRmMs!Oo-&67_V2P*ldTZ$1DR^i9^lQGIlhK79RlMZ7_TYf2zIZIXk=-_>D&6 z&+fdl!5CcEe0S~5c(@u(Zm_eR$sgo;3Ubj|d&8fdH%suZ@@QD!$|0A_r8JD{iflWE z5fgZ&WQ<0IXBv0y`)2$DT4JfYn!2jQ*k#6ML|Q?6<7xKWF#aU4Cq zGYWPwxtwL@i6|u`>~xi*`-A#VvQPV#6YJDIaEe;cf+(EXQ)2&v8E-zV%*826ZJYbm zTKR9lHR-&>SDSv<_Gc3w?Z5=B2?0AdjvG1vJ)W_6!HkVRJ}Srb#j=E}*j!l%uP9O+ zGR=DEy~1bd8CJ{MqjTgwf;A@oqsQ~HCpurie!9H{talo&H5vM?^bUb!y2Cu=dH5X* z@Rr6vqz8mSDYS$GZ_>aO+e}8p@IYk}P{yc-by6M}B{_KUvnPZXp{He2C%IYBs4}!w zk!Q}I)S#sn=4)}Mluklcy~N_$r@}wnfKOxFQu9L9on*VXnd2+3p<|n*V&X7;d!<2) zP02Oy8=Wt*VIRTq{1iVicJyl7EX1%$Eny~z7!TVG*LZ)bSGd-;;C+Qis1wE1pqrLT z%XN`-f}^CvY())*ERF(s+aR!J(&EG7)tM)RYi7z}tF#jb)>9vPW22mPOys;$rt9cE zOlKSy;VA`fnO2JBQz}sQmBC2wYw&i5h1VnSHqJ{G@aoM%5v8TQ6ng+Hw*EUN{U2?e z`hV`dvi>8~|Ik6j!ufv^s_1mAAb7Q6h@ciOC$E@;#nouwu0HnF2FxH+*pa_`UhFHY zjCPG$*$cnvlaS?x>)#Soqyr*f>k4#nbEAS)`S7v`mCW{yZ@0ey)jg{>(RaqYeQITMo~w^{^cSN%wDWO?Fjrl_Hyh#>khZEq6_Vpy(ZaLJ*MA5$nzWoYP z&Wr*u%m#l|5}43QPM~Zm=(^o;>a5vx<*>k(SFjxGtj9#0*n*oD`FtR@kLMC?TG?6X zt`Ep=MmqnMo*bjSClhVHjHBp$-<@$N_(<mDoSK;PiLq*Q zthaZ^5?(1C#4Ms40|RQnm*)>e&ELN}DW-j#SItVPp!yY8BKy#EB5>s-fBVbbf_9M) z&PKJAc>J&CB^T8zq(Ra!{n$Dr<#ubuyjfwDcDO4e*T$=i;ku2R3h4>p`t0ehdP#on zzbM|)A())R4?)_3u?Epl{E*XUBeR-62BR5&+Y~DlyBY$pqir9u+*>G?S*R&Yd z-Q7C3u#aJ@zSDp2x5_)ZqYDEO5Xk)ZEFc`n*p=ThPAUPKk*s+w4!TGoQ3uP!R?y+T z%gA{V9+i08lZK8n#3Fz@?VYb%)6?4aE!D~dcq!e$)G&&B+&XUf+M>9LrX--j50=Z9 zrKX=2`h)&OBNZ!y6&H-gE6oBqCk_cY0SW8f_+6ODsP5CBws9P|baLe(Bd)SBh`eOd zS`V(gLV+Q<#Sg;iDG5u$V?Yj}OTy!KdLh?{RBC+rqK7g8?8hV{#T_m{Gd_s4Z6H%L zGx~IbV;mWrGg6-u6)SY+nFXE&ZsBY{8xN^6B1z!9^{2U2b7n68eS=gCRO67VBTb-P z+?GYJX|Tn?(~j1HdJWUth-PGAP{)kkme5EeL~Hh7SK9Yn@s)@d;U0CZ*D{o8O6$+J z2CRDpH?qr=AYzJ&1GL)w8w}NTzmzb@s*!i2RVWp9- zO3skQ%*E_h9^ET2kKImxy4bUl!dsJcvwEOZyDt?3fOhOC1%`#9+YnMf5=e@Yx(X;S zjpIhDt#Vf*K8P_R2;b$Gj>IHF;ox;uRxF}oJOp17dHINbF*6n6WfVelgUCmp2Gqld zdXzhSbeTy!yzMFTR|UJO!eOHt#s-jVh?#LBG2`?Vy7p4?9O;9xDuQHmbOqJZd_K1F zm#zn=f^+pu*)SIMF?LyQasSwN7g12IRQ_S{WGa?CAs%08foXE@Kw_B7A{RK3y%KL^ z_kI0I1QvCUP4g7kp>E*RFUkA$PB^~F@?!-%fb}ciorq?k%|+v{h|7}aEC>A6WVZ2B zR2VG^rcxE6N@P#tbC_uE%XJc2l?ilnt82DAZEKbBn6bvJHXbbKlx8I|5Kx5?;@`E# z4v6UYT5b>R&{4L9<&JU|S1Q{i0OnWtPecK)bc+JX_>ZGp$?AuCHd zju>4C3}YfuiuK>m$jf0U4@J*V<85DI?J)+#G|Q*2T0OV#VKJq2TUcBw2Aetub2N0} zzn)>s#YQK&b8V}r-u{+VR<8A@;2?C}@8zp_f1DKginJK4L_s-_1aKL!d0+X7QMaZs*5FSM%Fbj zM@B?3c27@33duW;gjeXnbT4m;T3+ktb*MFjT3got;;`f$pa&irbnd6a{OcqKKO5j( z5ryAho7OJ8C}py;l$WTRLg;v{>>fUsOo$X9`mf}Y9@i};I1EcumfO{P$DdIeUv%ue zJ*ShrXSUq%I(ozNHlb9XRDHa-Kpw=>c|G$>Oue*-lnE;W8?ALeE68qwnWQoUEW;q} zHu_&LIH@%!y>|ULAf+*hi+vWz(JlPHts%S}K%Sly`Ale10clU{E0^z_^5i>+xX%7g z1MtYaI>#n&I_7&U9Rk54fRS*FnwWD0v4lMCK;TvSX5I<)1(lYCR%-Iu zbZ6^-Y&KC>25@lCc?Oh{5ooyn!oZV1e^9iA#5Jp| z90S25R+(0%R0SP_a;`1I#Oy&ezK}+`qOkFVk5U8X-By;Jba3QVUnOO`eSHCy6>~rRNmqaVX+*4BzXY}9b3&( zwjIUTaNzh|gc(C=g_TzuLWtiCq z)?|>ZlRZiY`xh6dAT*e;N>!s$SM7ZY1K!?8uJsvQ?{)ccT60YwU2Wus53cRW?y%X3 z!WLJ!bb+WV?uIfl>4F&C`(iHnV#7|{+_MvA>GdoKO-*siDhA*5wS_;Vd{@%jC3T)tJg`PrGny|7m1>V~pPQPyN9*RhAoi&IhDMCxsPW{Mk` zRe0*+87fv?N3w8t)p4_MSFe$|mw!Qv$TY9;HYJ+OAeIN9>Btn~P?Wty} zl-#>O4TC=-rqC#4xb>Lb5tIGewU;&O4n?;cADn}(m!d*WT_MyaqoODqgJH-s$PT?- z0(-7KCIQbO3u!#;@fDZ_EnULbwtS?g2erqq+h6X0e0g3PQSL)=3jGi@f7W-I zi0e&6_)!zZ6RkJNpj6UN#E)fR80$T2_f>p;j-(XZrI%3>t97dsjO9C4N3uhmdtciMjSlDQ;W3~&$SbYhq}+3lHYMp znOO!B&T{3zcjGeW!B$CuN9D=eJhSVB8eypy8Xy%AiC@;*q=#9*bwP~`<*in?YKiV7 zL`K<_zPyt2!^rLQ3)yetSY=xK798_b=7c;mWP5JMc)|cpV|e2U4QB5HgAY6hnTyuX zVgSE&Q|}x8E7Y}$;>1^O7UA|XjGP=h<#BgcVwfq8DZ-^>j}qS8nB$UMuDxw&;Sor3 z@;!XUfs0(dZ0>>N+ReM_mUXKw&xe$+a+(8`?TOXN5;Jhre;@42j@n zC4JN}wW}s~%4-!4#B=axAR{_L#?4tlt2s%$+b@0vzueN>^8w_)GQ;`#GV8p2{DZ26)}F#%bV^w1^12J-eS0Q24G{g)2;TF)D|F*adI}eX!H7mbYiY%ddIKnABM!z!} z)tjbkU`7SCZaEY2?8(V8w1?ZZj4j}pWtD0=70E$vxyaeBPgC<_EC7ihSd2!8IxY8I zA+UVROH6r0Az2i)6b|TY{@otn1kgPqm=HxZCiG#>VV>vE?o+q&LCX^Q`_ymtp&Ql@ zbn>GR{5f8*(yTitwAM)&lev+%8|KLLi$9*j1~7J;LDdcf#B&?u z)+X2OUAfu&7ltPq)JJI6rJos(0SCNoiZ0_68qBy1vJkaG1vNh$rm}+7&+fg;gJy09 zfIr0t1IhUf9CxZ2KyZSMyOiW~zP<{YkE;)KAtHQ+SfB}!(gLBsT;FXM!e&Y-)x%S! zE+jEU3}`#FJ)6b}FPkX3m171c8eEByMUF)x8QAbxkJSQetnC{yXmO)xA)oFFsrZVm zoe;?7glQI=X9WQijien6(KWrGV{rOS{QW{kG!12VMl+Ny^sXJAP^^uAzV;qwL%KnI zU|3LOQv!9NyL8EE+qqYxhc4bbH-*PGJ9ZJfRqX`?lj^sT9h(hTL_BlSSAfyxUZ3=8 zZb)4>6ySjDddD-xNGu#<99VQ^Lk8stQW+3ph%nzvc`k3k5ne3{BN(h!Ol{O!iakLr z0r5{nf~2k~A7nq+X#l|$fACGsylbS$ZRBL_d}hpIZb}JE0A82=e)*WUcDIAOfC_~W zy_1;}yk9Fegn^Yh`+W6W6Ff5@->a|h78=hn9H}Lak3pdqbwk=BdD+sZKLcKKVQ|8P zQy1e*kGe~J%e$k+DR8iy≈U5k@0{lQ99#^%p;lHSRYa1~gWHFUtLqCo0!hMM#t~p%*Uu(Ax4N-W%F0CP@}>|j5XU-yQJV$GjL8FLYQ?A91<$l%_U33 zryy8r2_TfN{AJEWMqekchY(|MSwUVyBHfm4t$#4jqRSgkve0xQ2gCW~fncWc(| zMmp?-yvro1X-}ULz)AT@Gx6aff5P*J%cr`-#Uk^*kT-J8^<0`l>zPJm{4&5h8r|Dr%7l_4L=Bc^`A^P-uvQAzysP4`AicduK5u-od(I}ue1kYp@Eoc{&!;GbS zbS2|_H|(WGJK54__2Oe@x;_tf``tL5z8uQS@;1FfK4tD+c0Yr+An}@^Z?xSC@w5w( z(xkF}IF)k@eY`yxJ~*f^>bJ%`N{?ZmO~w`# zcw-ayv!mfHC7QF? zNsqeW!0NX;76x~mYl&2j1QavNmWdC!zsV$vO!dl-6Jy+$Sg5?3a%eNt$M{b6_VuKt z_iW)t66<@_$iNW1jpd+UlJVcdvj=7BgVWTYX*@FG_VAWxL2>Y$@s*(yGu<{T)rE`? z#BJjPp%m^kp*aj^Q*r35O2Ns$AX^Z05J2(I#!Y-LsKC~HB%%W$*wY_q)My>kBbh|1 z_pOcFkS`59f}%t@awL34e5Shsu_%4^Nkc(;Wl^!W{hOui9Y)2^Q`tUs&b3=%C_7?4 zs7F2j>@`M?T~3HnR6Z?0_B*RAkv=r6EIKbFyYYxguqBD8@7HM2SeB=*$os%;3>{Nc zPYwURKp6QbS1^1vf2=`O4s+$rGUd;|*%v;CIaXJAhb4XT!-%jrQB^_Ttx6T@yu@^i z4QBr^y_RptuZ+4 zz3u2)N7e!;zXo!*+xeC&Ye)8QhZUinkf|4@({uLT(JO}^tGV+@KFQ@n=)BbSZZ-fB z2vF$8=6fHrSg+aGy-g^JjEd?~y+o6*{=Sl|?Z-zMU{Ry2z@!G{LuGIikrx9TG#K>( z)~3N-0g_2GpUTtJx{Jbt+I4s zqRP~{^ja=;Uk6)uNYT{tO{_)Lm&zFHVy&+jAGerQv7Kf%(3NXPl8wmdq)bDhKV&}1 z{;Iu!w~nX~{@c0q*G*843D~SJaL1@=Wu>!v11Ay*mBw<~4xz?B*dhT_ZecET0VY=0q=1V z%yWa|^P79%*@Mui^rXE&KtkS*KTUdtcP9qwI0zox1z2^i_N^mGDi)<$M z>{DBxq!~4{!SPuat|+5}8r(0Qyt4N-fw&LZ3kg%1A8~sbuY3dnaYvilqg&9A=~J&= zpxDO-O~We+tIjW3-g%P_%Q6{mw}L+#s|ff{$=qCioQ@cd5{T4+ZXlOlj3XlCvrJu& zB^grj+x{{`ObSdPjw$|J#6PFzdQq!a;@j&7gYh5l^3C9J1)VOxixDXdvnEQEtwz_P z^9QrZJ>|>+x56Xnvv~3v4DrpVkwDU*`%dZe`1RKlUMo&r@;(ol>P2e^-w7LwKPULt z#AgM@OjCOCD~05Tq?X<}+eTW{$LwLwiXH8?0yvU!l{gYomMdIF>GAi=6rfIM#-jsF zi_|2RM$Q7A0|ab78@Pq7dbZ(w<*?NHWJ7Q73wgIE1wML``k1D`wYIc(h-_p;{jq;1 zrD#NufcB71*Zg3=Ey}49fFwFF@9@pN?f$j|;rdNrL+8oq?~x04A!w$caX!tS<7&o0 z_Tp9J(grUe%K6PXRDc&aCMhXv^BP1EBYd3hNSkV!8?zsuGIY~ai*uJ#JC;5-h+Ab2$`VL9YC{j?-Vs@YnKEh+pwf*Zzv2YD#TQnUU>dsoPW<iF;2lF(bg~K64$%Rhwgc&EM7y}->R9?si5rnn5)bt(tQ*mer zS5`*t@OWz~n`il&NkvXt0fxSE&v}%;;*D>A@{N;Sb)@HMTt%RV*K8+EYZ1Q%5MN>r zEgickmu_!`$`d(=#i2+}r;go$Pf^e@)RL>E~*i6veA z8I(*n@WX|Rd)OZ7Jlp{ac=f(N^94|L{Af@?Alv< zDFQaef&MAP2-`%J5JbfF5AwKyy368wRokr}La+V@HS_+kH#)eNe@9Ox;?8I@WWX@K zX}?&geTo|ilibN@uD9v*4So@U?zvK}GL}c20_BWr-iYfZG?h`UjLqJg;#Fx)aC2C} zRE*2@>laKg??u5@qtls1*|?C+5%C>fXEDbJO7+uac9xYoE+$Kg%q@W)O#S*iXE& zZ^3j-TvM*KwW6xysZ}%MMt+&IeB&e+ZrAF^+y1nh&HeNet9Ou&8Pu%EkMCpSwy0Y( zXH$e8DMW8xTk;WG_DsU8iFrz2kH5>Z^I|Uy=yXi%s^OEBvQU`_%P&@dza@4gntHp| zC9+B+xtcGUdu3wzK~S3wzLc~Xv;)GNESG)?O40@u0R9O5H^lnSM9e^7XJ`qF8e=z z{SOsY?Ely4SzH{IKL$t97-4Fp8dWPnh&D8TFkoZ$bbdxXu!M|GpPoT|+V?gFxEUC@ z$7y4c%Jw#S8?IbBynVZ4&POxuX&5L)xNG0S=4?R-A@A-XHuwPR@KlCEv~7d?cK`82 z&OHFpDfX-dYl~YeE|Uy*C!a7kO#YYywnRB04ZAUx>MwII3&zp~9N6U?og|egG1mRD z;M#5JG&*d46h-h54a_6i!;M#BYtS*~o~j4p)Grs(Iy$(2+_GiJ?7EC$FY48Ta15xb zWZwBM5CE_-SXlbW>FX;B6Jar1E&5m2=}Y=lJG0>UNFm6isF8lH!TW=>;2dgAPj_He zKGZp)gUugXW80e=2_^QRYNeQjboFXRqC3uhs=g$pYN1w~M1d$Ha`Wua)nwv%{C{zi zoJeDVT=FS^J+`0CEtoWqKJBEp>#&~_;s zzzK&<&$}5fs6pljeF!GCKPy@^%Zya$R9eX3ms+g|Xrd|950hjw;K2VRrCM3^Dn*oN zEtBmaBXIZ&l9{p9N1skR-H)@`r!sZ05{u$-MM}QoKP$0?Y~bm?z4g9$&hQuFCS9R_ z8TalDz=DXgY`kj^UhI%*TB-^oe*9=)T+;r<;}*99fuS3g=o}|cTH2??@bz_Q_hvEt z*%=02#;;jgti2F+4;kRTkfez01;TVB5em?DA34!&HptiKt4EkXcAZC0+sRO&ExMcc%9U;0hoB1gXaB?1t`EVKG=h!md9}K4;s( zb}cz@W1IWQ`G&IZx0!@p2wTMqnPlomE<6(`wGZh%cK`Fy6WtF-$DaPp=H$=%aOM@hCcO%6 zb`_5oi&w)*({AZYq%$SY^_)a*|0wEZV+F@;N%a%gl^J?_MhsB?l%_~#dK-(=-W}oy zKDew;Q)b)%eT)C2otq{=eSKhrhP=PQUo=Zb&=zeGGFAp)`b5GFD%G2Uw$=61Iq4Q) zKuip_59#IU9XGfjx{&~HUv}J@gzcp`5&(^NZNf2CG2n@(MCp+G=0v^0)x`a3<_+;X z$69t%U%Tc+7OiQo=pKFj#q6Q4wHSsa$)WWaj_YF&y2 z0gi5*NePVHvHmWvmJ4iXInOwB!DPx&=LMBfRk-Z+Y$d>nfy#(Bl9t06=jW}4z}ld3 zc8fwQIbrz2?Xrgp{3%zqUfaen%gmq3SDaAI)syedKcnPh)xmXqrjXl%pBqFG9g%qwFA87D2Uxn&m=0dn9zG0nl^ zPppk-$YaX@(5n+qj(;(50YTe+=aryK4;9LwvYnL43y>r;!Q>^_WneFJH@#v5-p*xF z;W)x))F->|$!k+?=a+4=Df^8bYa;kRXR{-I<`e<{v&s8d)V)tDc>>q2ML^W*GnV_l zXZkX`;>naWf`!79l~>0M@gIviI^=?pX$)d}dbwPVRn^4M$Zn@?}{l)9;Yo&exjEZY^(~Ne8NtHv@uF!1TF;t(Ueg zl3dCDT&)_bUx0&fWT+RIeB%iTJq<5_> z>&7Z@)olyI(@{k!iz4(c$EpxGpH)WFZzR5{*ut_Kot(XPe$nVa2vTQ4L)LX@FI;6S z8Es>L7p9M~NjXPd-CBdePJsIZiTh!0>lVDL4Ga{}3&aF76S`qDACTf*&0h^-8xj2RLMr5NzLATmw6ucb z6A=QtM@{_LfY6hC($)hroLTbQ_Eh~V23oAp@IGlT6Ceie>oEEIZ`rHqxxl~6b1FOP zEHqE|F1y{WYhS+X8NMlhtc##-3lNFKdxuSiNZvM5qdl6CcJT-qwCg1F2nB%vkv0k< z1iC#+vnLS%1E(8*M%t?>U)1rF^xRODnMMGpBi{j9HKvwG?GK)e&)fB0=dFC{MAj6Z zXg$7t&|~w+E#(!U_}X60)9D0;{qmb(_M$t0`4%X=mP{4Z3!;+L8EOv5ynQ6cPK0!< z=r$CS4(yPp<;p9{ZUZt!!d@~p5OS0I#}mg6D`WK@)g)eI)x$AWc5;u$OaKFc3( zWPZ`EK2Sl$&lKWuKf;0oU{TnY*VQ!}|9CozSj5Io@qzk`Co~{NXr8dGOd`53SN}zp z?mnxt$8LDo3i$NVJJrE%K)X$h@idL|vP0<&ogeP(rw*)ped8@~5vkk(;n%9!%uh9<2hUutqvsye!=o|MZf5DO_&|UhlO*cg~yC{G;a?sJpN~az1WrN8>X8a zP;)9?M0F07jwna7!!%k##lrH^W+K2c$Ej--6Afwl0v;}Qng|zctPyiayQK(aVkSOu z_d5_PwK0;|5&~J`m?%&a-q>>|)35lalI4mRMuG5kYfW$1%ktSs#d(z)POPq80PHG6 zYB~R7bsG-I2SwFUet2W?M6GCo^_c)W?sylA8~{-p=_V!gm`a7Lr<-r!lb1h;QRU(} zRt27s(~d*V;W5hrq1In7Y{k7-a@c}aLuv*nSH+t?6eRw|B}0EBAe@NQuwGd8It)!f z5xTN+*awvhEwWa%T`o#&r;{#K^>P%97+rOL-UR+~I1+8VxHPi}!cA{3&7*1%59#vT zjXoze5kN;AzAGJoDL;%nDAj(C&6`}P!3apEdwe%QTef-ugP4vx=cj zPsnrCo&`L=0ZH2d8kS_9Z1cO*f?D#}`}Kk>kYCuFhUiPLyh&=mtXMV?f(L?22gNLyi%_-FLTeK+jKuiL1W@KG;2jyN+>S-#IC$xsBlYX;_S<&+#c;e z@Y1s&z?Iu$%lO(MIoOpe4Air6Ky}G-Zxs9*(8%V0@f1p7GJV_VVnZzhP%?V=YY~*T zAO?^dlU=f6PNY0}(e$p#k$LZzauv=|>$5ng^BGGtrS?>?#RvHyNIt5@RHQgDE=E;? z23An<1ui*V=M$e;u8i5b?c$n5y_|Z;ASh#_QZ!2K&33^Ckm7p#B4+%Rf*Fu`wo=7P z!v@b_(-q5&h9m*x_|ojA+)^)yo7&eX6pa6AoeP*sef9I6KT8@WHVrA?NE+}qdyi}> zKt!l%;8>~V&Gbk%h677L;451mY69>NWG_GX?e*Myl*veflh37be)7QJ51#PF0!r!c=ap z8H{*nvEC=qU!JUKut#!RI*FjMA0t?R!;KOtbSG4&<9j=junxAmK0O>ub_Nv~AybN4 zIO%h(QEMV-D9vywcvNa;v*=;(*jlL!kyj&DiLQI1Bg|WyOQTeUcLHhHtngSY*Nd62-Ce(d%FENVXUYT{4v?HI zK*EBY?(wz98smiE*hCKtkYtndzpDxSk2Y%k2VHEAe^r40)S>k+59NYN+S1fC~KCu%@$Z6J`pKx-O;!NtgNAoE8TB2@5U9^713aV3R*;T8 zvKP8&)l^u4G&GIkR9i5QdEI7D=C*LTa{g__$UYKT%99=ur>G~VA({OfId^Z`In2)D z{84BNl=A>`9mH$QlygCm4P*M#%s9$*0$hwnsCCzgNUkvEs1s9|st(a6GVI9-&w2~h zMw9`TW693lX+4x6gX$jJpj=zVlBqJYQBAJYxBN%I%9UAD`{VV+-q==m=lSw6#(MG) z0~amXo%%+A*4Ev_41C;6{%MORzs{J660HLZjN||qAGs{g(9HqBxK;c{=KFcd(!m46 z*F(G>9I&H0TEz>hIYaELR#2t)sk*4?-Hbk~Er^3WhXecxy^Su3eLXD*AJHZJ1y(cc zJS*dU!#AjvYBCb?XsnS{O~l`ncU?uo`xxSAvX>2-x%KYr8{rGX5Bzf(;wZMN8-HGZ zcGudk?R&3;>?Lj0>aKAZ#E4&6`=81}wq&7^u^l)7GyJr=Z%p+q!4UNzGnpn9a}nj9 zvP=EoVaoV`P#_)^DVBw28Pn9Mm39=7f)Rx{Z3=I%-plZl<^_c%N0}9`R_F@B@{DOg zG!q2X#5F4dN8)_vtP5W2ZLBgKnu1QI^##k!aORZ*Wmq{G?=TkyY-&CmklC+8kh^GF{o9bmwC2z7Pp|UD*)-~q%(Mz$D=hh=OW9Gf%JhB)#QftRw zNCG}Mt@Rj+?A+>rNSGYV%O$kpqx}OfKY%@7_OFqGRF4o&_<@#&h|E+tgj(<;xBQA`#m5K30t)ElbSuw1R-a#&K zFm8vx%@KN`#VXHfTgo&OHOP414v(8pWC4Dt8?B6z# z+4p?zmEhar$emcZ8U6K`^k+uzTDr$x24ic5#;ft!Sg=*LE0tXhAyT%;#M*7beHHT8 z98!Y%B4<<;UW zk`Gk-b?EKjvPmGIcNYmT35@2Xw;Trd{(lXDPfs zu3kLMTdDk{C9Nc=yfPG}lxBT7WLaLS!2;!j?0{xbBg#clDbtG#)kS=cYuOcQj z4#yAVt~B?P0o5GOwYOp+I`rgP0)@aZ{+wiUkrHrq9W;pWknEjLO+}70<~F7%E~=~S z8z1wViUcETbkFm0HKw<%gWxrCU1EMj&}0AfRYg|};*(A{kF`zuvPJSAXBKVWG+ z^5u5cg*Z32Br^&I0f^py%+U+J0q7Fbtv8jhVy-I4>_TmdH-;)gCwsh%+=%C?{s@JC zvt4$%?=j{Ak}W_}R)1SG=Qt*{;k=z8alSJ@m~$Kun- z+DNgh!d;(ZTBJY`c{mKqBmct%ecqV4haH=*C7}POV5$! zcz*J2RO;5A*_($)5PR06P#?a7_EZhOw-ja;!f1_@mujvd4@}`x0|@CdTA4Hxg?tXC zJtLRsTs7B`h#^-oZUR{PgDQZxCl$%LOg7m{yZTac3f==Pu(>1Qn8*0!i&T;a zQ+kpS;G|JJA8@=R<1+i_pb`Ri3}JA?)g!!zD^IrdB^T_rv~bbOS<779D@jJP87J?xG**8Pr+dIywi0XCch~1{Ip< zIQN6#BOdAJu?pwyxs^?1wbDkYy>`!w*112$E8Q`Z)~u2AI?HYZ^HdX(w}mv&Ky7LZ zXqg*t+=WhE{H+~4(YB>KZBALE?@TY@kk%j9`wWS8DqrjV07$cpj5VSYz zU0(C~TM4Q)K1W(aMgTXB1ceQ4@Ma*`vK8G>?a`~@ zhFqE5cd7UGO~7?7vRyOk+P1g%@`1fv5o5b>W}8^$GCqyf><3sPm}Q(Hr^bh>E_fV2 zl7HwYv9O{Cld=f>Cy;@3=EXMHb!<#i9ic{nuG5zdwjkVNRPdsZOV|3=XL-|BpZ({r zTh)#{abI4fXp^)U7-V&CY30djeuhh)x+QtwW4b|La!0+nJdsq6`GSsW16RGOBs;6K z@vVp^jZ!AxT84-5!5~&`HsFXu`+~HiQmhmYwefmmoD!(UvUwTV@m@g^jZ$jb4Ts%n z2uJ{0<~!c+{Ad^#xnxq2U(vuxsoQlFJqrngnjhHRkfX#qXp=@h=gZ@|XabRWx^&KN zkq^Y^1ypt(Uu-YKP@+*y&t;rROHzz|2_}>*1aagr zi$dR6W5#1b>*u}Gy? z6V_=JOAep&_b>oi8^wWc(6NKjZBu5fs;>>?*Spt6W7+dO_L~kSkPZYw5i1_R+hQ9} z|Lt{v!pQ{MXqVK2ZXGR6X#UOHG0AS>tkDWtwx0X~>iogt9QeR68Ab(#3}^=nF8z%_ zFk-j_J!LAQpkEe&Za&gsT>BCj7%~osMtyMRxY1}f_bb2n*sSpOwS2NeTE9_3`DabS z`h<&+KPKG^`YSRSc7*Jj4G=!i=ySZ)b>ZIW+?oKN;d%=fa&~CzTe0tO7pt0cY zLJ07Q-EO7Q1NhrvZ5JYlc;wGbMk-|(OrnLZj~FubBGE1p!WrObIWt;F@Z5TPc0k|y z$yh-Ru&Z7Xjf2D{HE|%Tb*i{n48mObV@slGypidL?Cc&(A1tPe2VMe{P7gouj*9|5 zqpS~J!}hG37kr1w#lL0#;)!%b3S<3yaq56~JMlIa7&Kh}+4IEVfQbb<;<0gs1fv1? z67Xt!PE$NZp`~2ST?rrIqO?VxNK1ZTvN1$RS-PFK^ z0ITAj3s6oPyF}ua^s-1>w)OOvo>sE*bDB!|SGFVekx2de5331cPs{^>a zE^L(Q`MG3z#fNJM3A^KBSR4KCle?pZP}cq_f1A{YFNp0oi47K&MXjQxq5nJT{U7bu z`hV_(bN*xC|IoI@#LDu2FmS5Mc!98})9cW;kgvQ}i?YQ@=uX=LDaW9ok@wib#`Bhm zZ#%-OHnSQ|lot&Y^Q`zg=g{IIC@7sR-A`RMndSjuuyXpDj2P7v0tc(wG}llJ)g#C8 zjXEJH=$avv7Fx!vH{XqHaR+tNPU`3UUeGYrEX4>V&^r1IYO8paum4~Laxj8xtq*lW zaPw8lyrU0p??Hbl&yUkIsa-o35-{n)01Q0-jGDNrwE<>t1{oFfV+HlsM`KBXWaU|9 zd+G*B((yKhRFLJZinFW55(72_A(&qS=G1po+81xApR9{v^$Rr>G z!tnV=!x#k)1(u|w+@+5JpXZFkKrra++dSMdkKf>IZCDWhSm~FXtP>Iiw`nc4T{$9kd13UfHn$ARppeNYh zm)LIx4-`PY7+}`hGADXneSf&cKQ5!+W2^WR!{WE?Y7%Jb2Mf)Uv)(8aD-u6RlxxU~ zMd0JD$;907YDJ!f8pYr~wu2HsAHg?vvwP`0v&W#X_P-Z)5 zi<1NXE3X&M)My~EIET!-)t|8lBFh4~Udr1bDhR^IhrGHo4Ju<@P{5AGHPpjx>9M{% zdxFMI7=l1npSk}p?(Sht7%kWmZQ8bN+qP}nwr$(CZQHi(%s*}3-8_wI+*8eZiuFaz zSYsNk9qQ_lI!j~^$g+{EMY`ysVhXt|!95Ydx0~xbGk#Rx*nvnz=E1pL48^L{k(P%= zC~+c06>l3a)DO;zcq1*aF>I-Zw8vBI%>UlEh~@G1iaZ*V)~A$&e!cf$M}H5by0$vK(NvEjc>*O( z4$whJ)-jV`bJd4#8-r0os-Ap&;x}@P_MHqc3P3Of4)F`rhAQ30H<7~D?XK}0t=h96`y}3 z023_*lRj#+06R^MJFE*;gwUYJ*Zq7$SJ{Z{%_3;R5BBjg>buLZjzOcq#@ zA4iN8@@I5oBcM?fWfYTz1f45-h7$c1Vf|?7UQt+B)By|g8#^fH1Z@%fB1NOi%=5#J z^rbwBb~$$KTi-=9Fjc{YLq~f|qs9zEFkLhVAx`3=$2Gw<@LE368i5|!(lviE`lcqx$r@j=FbyJH8BoDopc203enL@B=vEiebzRGE7Js(dnvCW z2t%O<=*mvq>R zRG-eZv{t`WUeR6eWkCLFdxsjM6irhwWpbc&p7Vs!RTQTu!=~e?I&QaW7jTd*vbZmR zcPzDftn)M2Il&Abj1a8ldCYgsO>a0a)_c{GQ3Y z&_#sk)o{^tl{&=YvfwtBU*jVwVbm0H*zAs}<~UeuIDdQDR~w@=*YpW};NR?)W5BM@ z7Z7d1zBOArS z9k6Ci@_F-A(=JMD5^_>uy0Sj5b#9bx>Qge z#|Y|T;#oXU{1xx2XC)PxHOFS#)1Rlg@jI1X86i#CJ9=G(ykV<+eyO$`MgI7UUjsQH zA2K3&w6mcOM^32%Vge7dxxI1-y%(54f)fy8qnqI}K(cqoWkA@j+mJG0>w&|m%SMM^ z`yyk^oZ>6VQXJ~CRnL9iu;!TfWrFo?q0CnyixS}m=aO=fk}tCM{mz5g0TM$z!GQBb zTdB(<&tysPhWzgjZwi$Wt6rf1EUGWnB`Nf+REZKWud z#mZL~Tqw~%iC$GE=*ZGVU0-kGp1qjK7o2JCuZBdGqwJ*4K*t?qG5XTtMDcmM4cf@~ z)g;(i@yydFE2QO1nvXLV*se5j;L#)A0GEa+kaA14BC?TPvE^SD+)m8A^uJSPIxB8J zKoG#0mG!h#0cQXu{lkK$D!=s0qK&{{%b)kg)pX z$?)Gu_`l?>*qQ!kfA7^mmX&CM={^NoK$(jE@*q7XriCEyQCHzC2b~f)7dq6;-Ifhq zV8@pg$@PiJc~I+nb?;8h3nT^RLa;sq(BJDcg=UF?y%)h}`-r1e+V^#wG??21fV|aC z)&plt!;0hHWXg-esC%$juD(MIFdOP^LiOm%relu#v$)h4B ziEbck%dq%Ye#NALI?t~9dKUgm-gNw1$7Ng2cZ5t4h5nWqK*HVOELJT%a=;U@>6K+l zIxv-_!FebJL-9hnF3_q2`bE*R4wKd3=aSqP9xi-*dyLt4k!NP#L*nj2=2HIazT z0obp&v@L(3)ioOD^4N9%4VUWmDaD~f&Ab0hmRPQB5?*D|QWadS@Is$B+E^!xh32aJ zasxc@I*Pw^l{V&2>(`U!sze#H73{xul~?9~?@t~>8ywT{{nnDL55kI?GE{ye-u5gi^o+kC?TZ_#s%wt@e^JxG8lpAEKi=c21YbMe8OZ3Nhc9G0nf!&>%Fxthw_x3H)yN~Q zr5j}W=`-GDBVXgA{Sy~`nxm&wSbtQiaffLx@Q$d)Q`C+sQ3&G5DE~xOp>^Pv>86I| zu;-=cKet!Jo_4ghtg$6)cCXd8j0rcn;1AHBbeX^9JT6+J#XZ(Q;wYB3N_ z&GIPe-o<(1Y!=+Ph4Iz3BWJ!7<>_Uxmp|cIL1!!btGayoa|IGx1wu5H@~iQwx)kad z*9$}ZYW#kTlt#t?l17tkRNKWH(XM^umYjlhJV$6={7FC<1(W`5Y_~3AT6s}~k^b!5 z=3NowOc#^)_?e4@GYq)P(Vi31899HjlRL6!tP^{1AYKmeg*&i;sU~6qhg_pmk!o-J;y-(6Ri~-8i^z$rv z4``vhxyyXHq_zUpx&Krk@ePVM^v~ke0$!2@?VBWmpGEFm)FoBC=Tlq_5SR2h-3yr@ z6%A1vc_>o>c+;bo+A2ZcVi-jwN2KO!i^uvf3LSODqH(XU8u0;eh5jBS#h8UwZzT7k1dQ|^`m76uQzCE5)*~pF zct@)+W-A$8DLmhKjIxRZEj4qDfzce|UwdNv6ETI>g=*C7W2R=Tfi!oL*Bt$p)=Ni) zlR9`4Y;F;A@l29|9yAFw>NDYSP{u=^jy)gl{s2czG(bq>SrmxT%|joJN*b+2S`}2d z;epq;QZ$(QAGf8tGc_G37OR&CZOPcsK^KhIBGu=L^qDrWU*qZotDGpc7jz`de^GeE z80#@_G8)Y9H}AT>Vr779j|sW#CVZCWqmrnaXHLOB(#95!&g<^1QfP(hyB4i}oW4^F zs<`v}YKHllEN8vPn^6e3F^guB+$>8TTkRTgsKR z5IEoq726_y7X#O^1&q9sECeenx84$(a~57DFg21;VurXXpH%?jsnl8 ztthEGkH9OQk0C|{h#qst=HanmFuW3(xLeN`1dF3bjZ8*6ld=uhn!D5zc|}m36?nLR zGGgx32Q@`ZB{uxaLEIoHfdj~tng^=jXBjZCxV1A38oQ?my{T4)`0>=GyEu)&wGK10 z`hcZfInN9hev|e@pAwFI4TOw`3;r}sN-9WTB4Ro+)`bPBw@~mJzs-L*%;h5+KHf>c zbj*)?*?yuHl(6^6gV+HT&5Nxs+gJWXO~1#x^eyaT99e9+og@-wu#&danBEQ^(JoQG z@5ste-iFK#VdXuTcawPOQWj!PFW4ylsym0PZx;E5Qfw6k*XGp7ECNx$#5Ojy5BoB>6uC`_lFBW|RZY&-~c>Nq9HsO^YH6Q$QR*rvk1?Jt;a)Iu~i*;cfDw zHLreOKSO3N0p?SbWw&x%RZWq@TE#nO!=rwYEJq&(8cw5Ccn|T zo|tN{*mK8NmT@$dNq!mkdDf^(**i3P)qv?9d{sW+_NA!Ll@5E zM&}FT{4Z&~okzfI$kZ9qmvrrT144vqn4U`^NzcIaJ5z_)j8K}2F|w*${xiykw;A9Y z;s9<^W4>h;(fO}f`niD-bL&;(@{AhG@s`s%xU)PaiLv1$Y^fjxyk56^HK&RY651wzw&7gg;J3)=#SOvpnCUSp-v}Q(&9x@1WeNM zb7P?nGYRN!_&yt8Xc}n2HdcgDl?(65$r?UoW^=9pG)fUvF}&pMmtl-u&{`qbA3RzF z!|4-)ZI%%K)Xki1X7Zna@IM&08@PzW>}y0dFC3Y?_2}b9&wTnow=)`ORyaYzI(WTe zI~kaw3hsDaqN+z@nzxK7{M2q3*P9K1r&z&^u$*lt_f ze&?LN*EUHCM3iKL9BmI3`3T%YG9fg@9@|eY;Aj2*VN+@ra*k>;N6XAo zpuD^Dr)%#YhA2+*p{Y?FTZL1{*L+?I1tH5dS>EURR=o;W0GqyV!n);?4}OGonY7~r z9muGY*Nn9hUpJcqBDNE%VWQs;Da+8Kh}xPYA5s=5*fzqIo#kF5c(EqBF=V1Rp30WI(8i+P7&R z`~dg1V6u%AV8U$UwrB6=uP=<_wPOSIV^e_yNlm4NZzXt!A}SQO?^M=6)|SnH#QxXD z71#vksSGww`FW4kzTMFPK65kaF^B*C9869BE8ol!O9u*y*OS=xc$(w+uI@xFaV`x} zl|QeA+HI(sx+turDbbaK(_0uED-Md(?YRjf@cvwRhYh1RR!~Lzw*U-^887MgmoSMI3C) z(g3=0;}~Tosc^hyOZawhs#l64r;-1!3leMDDJ-e;n)(!sAI^m|*NCdlu!$oj2<#42 z;UN{Z4u`th%@%L9F4vkSul;hX8>s4Ude(FQS_D();Ue0LC&#mTTa7*1qnR2kc|vu3 z70OMzR64C7`@|cCBUP6{sPbUHXzu{U)Ml0hm&&2mtqlVVc@$#UCS~H|AuwdShHcD9 z`~Q>%|358x{okg+oQwpltp7{biN)4kmXp`J%&^2)G;n+z|R5(`b}1* z@Q+n3yjEcm{2C83!&VPRjs$`En@tw%wH>EjTkiO*oyArdy7vBRl5z@MA#WNE{ERLf zNmN?a%%~YweL`$P6687~A8L1WyBgq764B#r?^6%y^DfUKq8Jwmo%)~#k0V<%WjR-9 znpPl!2gS9OySuhH^0W7-J(w;wk|WpVQvao z!8yTeh_DauSX&^D%LOHUcFyn%-Qz&yU(>feKIcJ0ed2U2P(2VIB0-LIwq^mrFan&B zuq1w`4Nl4IIm{A>{Ew^hc0fAvX2RckPvbEOo+wDeU`NFgF9Um6-~j9(lTmo>Y!e8A zQtfHva!i#MQnP0g`h2AzW|k*6{VT|_gwpMsTUz1{DKZ^0rX10CJ$GbhU1M4WPVk+u z(DsO;3v>aiB5a^> z2_~QVCo^hOUHqlIX5w*5ovVQ!QOXB&8B|Q_YNK^02Er8L#u^R>L!c!hRXjWV& zPKWCo@WK!}5-d=3!IJr8F{H<(ny6kjk>V<~UQ0XVyMQ}10pV3uZEn5DM$0tydG5d~ zvO@PI4aBU;;h=E4*5go28&e6)3O1PyCiPRomG3n}-pOF7x*N9*`i<%3<4w8S=o}QL zPe1gPXwuIec`4Oas~j}sg6Q8W$>Q;0>%nCx0BRdf2v2EgR%hbR#)RTWM26jv!ITH= zBXs8V1JcS(Empat2`T1CJ<8MnVShF85I#Hfm2WZPtO9#g4M5C`TZlf0&j~FUp%FOX zx|Y$J43XSw)}MZ%wTkp-VxL@_6SAx1Ax$5Iqo0%dIXFzIp^MN0d2=~dA}E^*5?!Z$ zi+z(vp8nIFK>4o0=^?|OpElj5_2B#1uWJ@Qj+gR1*dk3)-AfBNTA8C@wH06+4)iI8 zRZdq(%NPQbK)x?AvOW?!xnm`54-%}Od^$$6>x3%S++Fr$%ofY1pLLrs?uSK*F0!SNFq17^LOx&`O8dHj>FF}Q* zd4=i@C|HPkRn!Y&|D4nW%yk!JNz`ykZI7P>2O-)9^x$m-(mT8zmW%w0xyWPs*_dUZ z(KcF(PWI-Hi-`Ndy{Ej|g9nPv?ooNx;h+M(cC0q&6Z~BdLFS+}(uL{DUAu^J?S`pT zaFhZE{|Nm^7J0Zi4~>L(!SuOu6p|-RZO$DmKhBRF%}%&tLCH|;<<**{61<71KQy}u zM(F(t%7sc|<<`CV?j= zpUi8QHOWS2I8w}Ow!>X+An%=9 z$UHB=6E_lw#!52~HS^W@q=kJdJr!)?Uo!S2V}NzqK6JANxlOJLo5b?JL zJ5@+gKN55O$mT>qoY*j1`G@)Lo8@lbF(d?46< z%TWnrG*+3Y5tju}UKJJ5Cp+dyA+X9`l*Kn=#tm;Q*sfvFBuin>P0 zB|(=;gYMSiZ4>te$ePO6xpp9zk99F|98w!Or?VU&a;|3{If3{t<%V_hr}T|O57!!2 z!dp`zOkL%WRZx?Lu>ve110;gUc^u$>FK^Lf_Gvw!d6_!r+MG$sH2Qvs|8?srI16gG z6cG&hwDiNWKR7oF{?Q=e!gpTg>pE51{9PC92JNiN#03~$%CeNvm?^@z^5}O=B<)fE zVoJp;cN(=EqTlmHf{N1uv(%wp8v(ioOMgQVE4Tdw@k3G=9zIQktStL*LYJt%H@Zk5 zayLt=ZivwXAbqRyo}E?y5%1feaYy?p9GyD`XO}9-naT!3!ybfy7YAsgIsCZs2!x`)7SqRSYPyPU`8o{>@lgOV9j2Cy0i0|gl7m<5j$g|8uYv z6hV82xH3>5=Al8$7TU6(*@Sq*j!KQ_%s{oW{LCLUUp*j?%10!*Hn3>h(#}y>ne3=i zWNQ;3sv_vRgUxBd^$aWp%DsI}8C{~|0Dp`7E!oNr9xb{Jg0pwEz7T;X)l%2*`~W

    SSg_e@eLYNS0D3mB9^ z)PSMP1c6f2XeQ7DMncR4NlLqf8ZeSdQKM+_l1fn%GeJ@*YILtpDn*TOkEBx6#7vM> ziW=SfCzYZ`cu-O)YGNixDn*S#Sq&HoVKc)m8f&hVgU2gX$mw-zG+|D$&5LXCa^Eqmd~9=5hd~$+oa_n%Dxjwm3VDK{X$J=%ZTUaRJqqn4M|B*l-E zAm37g{2V37TH#JhAO_X;W>mu>O!KJY8z`fL1f zb|k0d1MvLTqA-y*2Qrd22U6D73T~+?_Y0TIK0D-+ilItXxd>@CBC&~J zrajLk>dF+^(cnj_@-9wggGf~lELAzMROP@@l>AzJ}^eoCd%WvTD4UxKm0Nj&G734Q1lKNxAn2^}WO5KSrRk;TgjB zBX}7%M0g7bI+=YDL@B1GiEtGovQ--k8VQ1D%E{nvBTGX4m)e*+o)x10%N*Sair3ax zs4WuAAA@wo3??rlOlBUv1*)InOw)v`zma8@^oV3HZU;?k}Cjs&aiXBYF(zQ)xRh% zdsP0!YVG3Q4sC)p2Uy!VXLlD%)yL$C+MojcH4ixsThwzdhzj|lE@U$b`4(!h-%Yz- zNWE0Bl7x_HN?aIQFBOmt%u)eiE){U;H&N`#0;pOE*pE(nC7_WL9vb2Q7r%NfA$dFC zIG6Ce@fQNryBc#%)Y>3h#BLftES^E7)9j{k5yxrSx3oaYQ`{AN=Qj032vVR4DS~9) zZ}D65JH(DP5WE*&SBlpe2w2K>qgVq$^jYcuu?D6FcFDYk-`aFsmYHIs$~l0uo4+sK zpiJO#1*C!*R;o4bZvqUwbLgPfxS6BFqm!QVy^Z*)PBLLe?X_+ogL{TL|+aCC=& z7*5<9!5Dr8UB;L|Zp#9=2J7iKmLQ6Vpyc2LKVVxBIMs>%Lze(a^(8orpe{nkuZBff z06+HA`*jgkvIuJ!A*TqZ(PfMYq>FG49os3w`Se#sIEbJs!UZUX=UfyO;YRqe2o1$? zYiZwZkHAP}&97Iq8mIPG&??#fb zhj=h5R5@jq9jmZa6-TYb$(XSmOgL!vWypP%h-)sFxq!ydrAE+mDywll(!U(PZVL~5 ztMsR;6q{B08e5+wG44n3)eL?>)qD8C@KX!Ha{ zMe%@SQB>5}`VOlZX{jpyYRxMbu+>W+LXG2J69)JjaL*Zi9==M>U5;4nPh(4!4cPf_ zP->6&C%rHe84CRN`qM8_#-um+3DBYFcIte?*zZ)|@u|1Jy;?0D%q#qCKdvd;Vdh*9`q-_xQE@2QdSwX`eg~T49qRHD$~l~l3F#R zS_pO{uRn`O9o7Ko;&l8eYUPc71TM}M$OpsP`S_~l{sa8j-2IUd=P`fW-OVj0)Cw1G zqA5Rb7jJS_5m?v81<|!}fpu+M(E8fAgmrCPBDyv%VO<-Sd)ww<$SQMd%4ERi)|BOd z&8;bC0XDa$d>^p6HHGs=b8E_90h=vbiRjw6gmrCP!n!stx^0t2FYDU4#Q*tg!BRI+8wBlKwK1E`LKDO=`u=eXhb*mv0^L7EYWrc+jHh(`HXvG;!37MRTV$EgC#x;o9R@G@rC&&GLnG z+keTi%hxPfG<*5l=0!_k=$vxUoci&z>W2&*GJN3h!H&Cun}ZDu19E(EL!diGMlew?-En#d9DpLnUgW41m+hoW(MYkE zi903xvQe5o1fd@V>b5LLeM=d@6)h9`R_PyyvEB5bSB81)JO!`HFx!l)*X1|R`cZDs zibvols#PUJor+KyX7HVI1L_dXaLuwt>kz$44OP_~s@(lp7C(O0N^t5~2;e!dU?QRi ztS{(h=za^b+5XHUz7z?>1{}z5TifeAs?cu33Gnyhvyr3U2+*U3gwtu_x?vK^@e}-c zPT#MG<+w_x)+OlsHShe*cZya z1O|=C%KV-1;w;H+c@A+n3d9;o6dwFK%85<527WY5RPfAf>?js=W4ST~;(P%Zzf!K! zI*R1OSRtEDR50}ON{$r~RfT_o*@pdPxQ_}`evtI-cb#;DM;j6 zOvyD0v$%CsBOV>qh+9WB;<BNB?LjOh%)D7#H&nvRn@#Of2{UgF`>_&c-rTbR8)4>6xCkl9C4b&Tg6f2UH%WxF z2s1A{VZhPa5@y~M7FuM%0|1KU(@L0K4lgCrBqR$iA>sI#iOw3R=(aF>#dxz)d8s3I z3a*kQ>#4li35^itDFE?a5PB0r#3lIA!fc8OM4DJ>XkkXNSNcBt$q3TMFrVA{hs6~wtbLR1jv;4!7emi8Y26-=!~l#LgT=cr*A z(QSDa9G{UhU?TICHtLSj9A3T#`%OnHjj*|5I^!>xS_Ss5jD&iYLmm#v!hTSxt_t}( zkV@))zS8|3M~;l7GktHjnwO(ZQcpZcZ6VYH7lrz zg{(_oQvkOmDSMy#p2Z-Wn^Q7`^_?o%`XbD_2V$k3!&#AB61}&=Rx1;)=j?Y;Shv@8 z-EPtriqr0pO!omz+Pe`&Pm#A-H}Ci{$RY(&$A|LkuOEfQ$zT`^?@sVDeBIzigop)4 zjYg1Q1bn^V5tPLbE(II}6XBi+<^xU!FC%;^*a+XE;B3T72SxZ62i@^437$fj(%=Au zDGR=gSef7ke9MClaIXmd0Jt)^0dcdzPvKG(tVPIbC!uxsLGX{yU5R3O4@YcTO6 z_zgUG;bnKYx@lWjl$pfjfzl@pTgm@x@WhbMX^DxIf%CRzs=6FAitmn_7X5 zf3ha{J?vm9YJn%6)9!3{P}-dnf76|vcIOg4)E$HxE=M~2i%Ya#n_AdjbYzn-1)7|(@tSCa&XF|?6iMLY#XVKM3PQ~XT{K-9s;gj7( z$@q+S;F>-O%Bv!nlRlmB?C-)Ew=aLhQaMdV7=JY3WO{iq;4y@Y)90fe{yu~==~D=gC7eya z$GH0vu1!Bf_i={p>fZ>LmM&Vl!tr1I0SJ>x4?u75Ut{>%^pR|bw~EnJozfIC z{yRtcpk?|Art{tKNl*Vk&Vj#ZzDv*X20GEK521& zJC{Dci8Uh5*lY}AhyQSly|OX;0!y$}f=$dWE(Y>j@tKdV5@YjwuxBYWx!g#>&t*yLsrZpw4ihcp?CB$U>*E2q$F)e4vUgRLO+9pNJsLH zNE(bEQ<2Aq21iNXWt|tgzeUA5#89nbf2q4KYLbMJaZY{J8)TbO}5D#(z?- z`8AgT_k{n>UIt9sOwD#+K7WZF17v!31}f+OH8u@7&Cd3u&$BUhgJs#7^m$H@RoMs7 zrvCGSG-u1{^Fr*qsMe|38Lak;u^WM$mHj0XcuAP3CHs9g`O6aL^6c$Q;1xlx&VGYF ze-q@UYzci{739`zCnB#2a!>XTO#1JV+Woj&1f*n^LXbLzGgrYA3je9WYn+a+`)|qx zz&mppFp0Y7E(0d{!4rq0j%D6-e3N94wE%P@cR3V-Ho1se3Y1K!F#ij``rGyeD5Kg# z&|$i6f$xKGzX^Zc+}W`W_|Ady(*03*JRUkiYJIO7^!rkr(yQkK=(qd}ihIsQCj3iO zIMt94o^$Ot@l_h$Ul8PpQf!OYI!+c#IA+qms2=d+hzR{m^jJ?lPUGp@3YD_wsfSTL z^)RZZ9)rg01%(?b)RD;WBp|H3u)lgNseGhKRqhh`5bf?*C0#BMPA|}@AuX_R8s=Lbwl zE;@GlT1r;G*Q;>;4u8R7=Ox7PoOLZ!vW`N`;=2)tyUAWc!nCeEdGBsEOz9Uc%%0NU zBEQ(M`1g>NzeQlGqrsXXPHY4rCqd()JIs^^+YA`Y9Y#;AL#H<2*Xy8HX~!3xFV4+r z<5Uc5Bwl;P2TB6g;pYVLWXELBWTh`IZqL%nbiA}!wvQgT~r#;(=KB zrTj2X3>LtmVL52Jt(gC%Vixyun0N_dBvpZ!Kxr7mkMhzn%5+}-v`Ple=)5#qt`pKI zj(tm!fntw>Mo^vo>c)Z}aVnSYpyVEcpqsI*0& z;;1I1K}lz4ur$cL^n7Gc8u_YvmZ^FgQc3+8Z;w~J&3dNndWQ8U8|@6=M2Q$))cjGB zMsrp`m~4v-d$`t>Zq%1@s%pxq`%F=8lcv#Vb_+-K>s^;aq>|jeX4R5STBiC_#*S_> z)&wed-D#C1cSTpQ|K4Dj&!}UxO{vyBo|K}r(tVngvQQ&I!Wtui9D4=_EWL6k43|slDYN(yD7Vr=52~ngdShg()R9q6=8)BaG+I^VvNhS3)xPj?O_-=p zS8PTx9exxNty3bdLs&ow)KOPSkWQKwf^?3eLnUQqOteco{7@fp5gWSeSI=}Yrd&Ls zZZINtI~SXLiZE&)iUWDfLJ63D zO2G6t0%m~X!@<&323l;mxADNrJV2)$0?Tm*n{jMNRE+)VD4K@m^CBJ*w3st*DzP&H zNy(1DNQXp7D{CCmv`Sjy64c-n!qR`J3K|r?X3U3>C+VpF8s8ldpV1sBcj8kJpPcpW zn)qZBb5>a&HgW6jV0x5pwQg)G_9d(7C?^eyhV2<~axaU)an6n zM5~BO`u59VaEw9Q8*vLPqv^LNM%>n%LP2b?!48hNW@4iyiWze?yYSr6&hWDtEmiG1A)-BMruxVfwTqO!}&TTI1`N z&q)1q7-?J5#8%pE+gl`B3i`X4N_K4kYNz?~rHR(c%rJ!JG_nRvlcU2=s~jt{gC2%c zz*H6k8HElhsd1(E4sk*>f8FLx&S*@5n%wM+;$-y@RL8cVp@(d5oU@tK%(DwKOJfk< zHO>rGBg(q2H6}5cadgvDVQ`SJo^Ya!hiXRBL-VT4J2;&nrwU?tPY`P=A!?DC*3iP7 zE8MKHR@?vjMp;mo?iXc36tfMJC(!|s>?1nRLcwf%>)J?}26-?*Osg~+nS4Y^(05poR@KU}1~uFu*i8&jV$pr15$vO)emUCemt%}G>%LF^ylSkm*zBt& zSmV^ZYCkitY7_v~8Bf4*CLlFEhuS}sG8P@Rx-n5@st1Wl+A`HT++;Hkn-bMrNsp=d z(qmeV!*(>3_Lg)C8p^I~C}ESgo@C??EQT#0y(pl zh8#9PC+U;pP~eNt#9){!$m?xE-qkis=UC0VY3ZtUk2dsIi*L3y`wcnL5-wVp<5fSK z^EeAO2|}Q89&D*PRAe;@K_AK50S2V39cT;Q(6U7K&9yBl!JfmnxppP6X|7#qTZ(d| z5Zh|9t(g=Q>j1I|h*baYkAtqwgf+cbHEyO6H zWE<1&G4l~NmFQ?+8t%8AaW0b0lEkRu49IH~${cPWXphy@Q z?~;UxqF;yZ%o!@S>ABSPp=cXab;$Ag{cl2!!*&#%!pi6#UKwpIrmY1t_6=j;hnThp z42_z;3A7WMa)(smZL(5>W`CV_AFDf()B2i8)^?0_D93;t9@~!4FHExb43FiSfvuUA z)@u!CY2jysJURn9=6Kb+6YYkXwwV~DX-W_I4FlLz$b+@BO|Q2Y2)*`OT$cV zv@EiCBA;VaLxWeWk=r(o=5M(vXnI=Z-i-@@diZB2hK5ydYXfxHR&(UZ;3yTfo57CO z)?S4|OYZ)b42Nlv+)A@H#xac0N;A;eir#K1j1;!rjY$@BNws9RE!&6cw`$Le*u{)~ zZ)wZgKqfxcP=cu?6a%Bs_scDFj-J|I&oZ=fp*PxSb=TOuHQVkTu`QW)P=r#&9UP%b z#WkC65PKV&Z`$MEJk~GRME1buzZ_05Oso}+u92`Y3a&Ne*w#F-!j37p_D(A>Os=i> z6U=zH>V(r9?QprZIhK{l-++axT6N1?M@*XqN^G~r=1{dt*&gS%H#yTqHAyB^YCC$s z1Vv}ztg@zaTd7#>7D6F&$CS1P2fIhv>z{kNh}$b$q2uqFc}M$3{OG)j8qzH5y}eOD z=EW)m*K5g%GU#!~QHb%KSl)zQoo7q}H>v|u;6nxUDUuaR=+0(%*UPtDlg(MXjnqzGW(m8&?R>*OBg*bYOrXVWSS54%1*gmPi~x(piBFcd^bHzT27 zov{ofJvhvm<6q!0B}{UUe{E-?o~M1-NW;-|hDv7M&YJv?mX!Z~}RUVI)_?JZ?V zZ}iNcOY3>2o;;h$iAHl3K{I-oo~;9I>#*O>s0xMd{%NQR(K<1$8R)Xn4l+SZ>kHDI z%D#1^S9WveY5v&EsT6*khoa8jU|0k;H|42RR!H+cj+DxhiWYY92%({c{`Bv zR8Q<_2z3*1L;l(1C>in!g_h>a-Zn!vSPZFkSu%FtR+C;F+fy7a*MoqQRf3xN>^%#} zZEw>r?CrbV-ME>m9l5mQ20$oQT=Rm4Ay% ztIX60ecBRRv>0t`ru2!`=R(*15A50nMdUMWbW4@klU=*e%Aa*BGk-m~u;xaT=dHIZ zTsWbYQwGk@!PJ%phD)&&?Co0-oipjmllh=>4Rxs|+fMqT+ z)o9Yb*fSLc#g0JBl@oZeO0i*0hRshX_Ss0mlCGFQ|YD@6x0KkI0&YV9OzVjw_go9?`{ z-0p?{@ni;ZG_#QE)wxu!F;nDPMRg%JUYs>l?`WvrDLT;J*(`qUWm=+(X$f;)C7coM zdP_t%gj=`mr_&|r;mQ6QcX@tll{Sn7tq$aJf5U3*Q?BO;<&;P(z@*#Gp2~DRfek7swH-wa?(@H%8QgQ zubEl8m(A6&s6`JbgHsw`X5EM zJShf#GB^K&5vU}!%U++DZ2!R`tlA8wHD)mVcj~O4ld*GqB(oJ@vpR%z&Ch<&)PsUO zXri-id(g}s1@gKnI2))1vJ?^5XHUsjhIdro1Im-MgU3(dX z8mcuP)t$}k-$z#LBWC};G+a{Ym8qyS3}Vhy>KK?5D{Dves7$0cs1>2o?Uz1p-mgwo z`et5jF1xi2jmgj)Aa@sWnxhp!&WtQ|ooG6GIs_`G%p3~&gfjS~h0P08=x)i4Q zA<r#0xYvBo?aGFD20!hrQ2WV@KGDQ6M;HXbdo?LoZW(D@V zPe2~ZBU{?odQ#L8l(?`YsU;M6h~8?dq>*LYeAUn9_D^EJ+a>1C?frXLT;@`STAD?u z-61a97)>a`@-1_z9E8IJdo0I8tKF?T29%KE8#LO#wLIJz zsb#C6g-5)iy~R8Gh*wUpwYR`PWJG6t^in+p@%2|r6j_pojaFhE;1Zn~3tH?8=4nC%fhvdfCjjMk*qoWPqDZCT(d_ox zJ5P00O|dhQ*pMw1H89>`WCNSkJ2a;v6wS%H{-|z5Mss-3G9~0G_&GA64fWolYK2W2 za*sC2i@u?#S7pd33r$R0JqcN3x1GvTPv5c7XG*RHiMm~VGgM}7c>c2W$tRiCnE9Tz zWVWY4|0l{d9tf&7!mY+`6biT6U1N5`!MiYxjd(s3YFyn5-_b0w#3c@;l`5{ud%6}L z<@O>^MXnI7G;%TxFJ(^lD9)5&JPoS1b{52cvtkozEYN0Pr2ce@(_ z8Ai@o;AYhAaqed{#>i+EHcwe@^JG->*ux1PRz~wgI|d-j@@9l)$AIp!kS#`1kPOw} zQA>Mn)fDZKX-|sgTsqLYphYNmHkT+ml$t@LOblP}$V42d-ayZJ95-YJO5OaKaV}Z1 zxr}A8vPwh`nA@fvX=}CvL`$yNf5G^U)3J5m&`EZ(dEYdp_S>mF8lT73X=7B;RioX) z;=}w&ouQjGB`1ZgwX&VI=x9wM6s~rMPvk0KEpa%LC6=mQM$sZxl#ZdSWK?;jdl&?t1fy&iwIYxy6j~K!RyCHli8_F zEp4-I*iyDlbOk%mV$MyJ4`Z@W+eZ}%p_?k z{WCLZOBc2OP~62I>~Gm!TndPy!XzzKQCUSly5h?I#Ff>e?CNSs!aqg*eZS|vH}f)? zq)Ed{cb7umyg&EPx#ymH&bjBFd#-yx%*>a|E@2P3h6`McoLc$y5_cz%+5JiT$=xq- zo*BtX;&dqvO&^R#Xy{IZ2I=Vz8eLP9L7Ms?7Jr%(=nIi_p2K9od^9mncPBx2I>Zcd zkRND+sw^C4`F_#-Y3AwG^5VpQCP>XweQv^IW0gt*RW$e>Ie2$4>++%D;zsVfChv3I z{ZiBp?c(R|NlzXTB+%)D#cQb>&!pG9AD7b=+Q$xAXS&nsfne98F?{iuG3-X|s3$(o zLYJ*oG4_wExg%*!1=AvD2n?z@r)0qPgOXh%{d6U}8#7wdh+DU}R|?&RkwO}9SqVr% zE|SU(1sRk1AyOcVI4)gHa?uoNW7^;jhGL)xX*CQ9h$WH)gTrG2INp397##lFibj}NPMa}o4?3SsKh~m z6#lP5@nDhaa>ilSRIQnq1Qgd{dkSwriIT*5WTWHnF_Z3HNp~60R91X(mFbv*} zO|W^V11@rg;Kh!2!zKB$M;L~AE2=XZz<7rmRR1K#^_&pRF-YQ|aNRpET8kmnO}m4N zaZLdUBiy}@seX+Oblw4%hpPCxczGi zg#?j#dgaZbBcKOH6SR+$_Z)*^9qs|^b~K>Gc8e2lVa5q#);VkpJ4ihP%idrc+?lPo z=5;@fdYcy(5+<7K64#jW#ZfJw_?=v)Jp7I@)< z`Fq}0G995XFDWS`_94tHFDQqsHoHf9jzj1J9b8H>mls|9!SU}avO-iSc80*>g`~94 z_LddK35ZKsGHWV?wA=uJ6Z7B}J;%XTR$NP-94&=D7Vd^QEX?kODfwA5d}687x+IZa zTRYcb4Q_!^tZjK%y-t=1dIsVvZ0SU&fkj(o!{){`Jt_5WTRRlXIj)E< zX!Fct9Z%xI+ekE4AY$L3vDfH)p+Yt?+hHSv9FYoG9Ah9m{2RnqB%YM(t8>Vr;4y z{`kv$5#0a#u0m=VF$6@R22;RG@&*rW(3p^??m^a5=nigt0n`&otgf_UHLs$y8iDP|ytgc`8-~%i`_zhEd<1Sh(OCzQe5A zWO{Y4$5R~$sPp}Sfayghye~KL{^O>nx;m(4;C(=)J^ny25Uj%O9KSlxUoA-oq=f%0 zR^x&NW#vn&LaW2g(e`j?V>F%!)y3P&$_PoUrQSHr_pS^$gInO=|>FH>+z+0)=IoF=U!+fd%CUV zJ$rh3_TqMreLG;zo$fp!gQ?n5k}vC1m!+6yPtaOvtwNS`Pj%2*Va~nSS^*e)GN=YW zw^$EYSM~ICcS|noD9d08UOz9sU{4RrybIy$Mm$C{? zWXm$Z1;I+9vADsT?icXGcIr18id-w-gjRNU2h>juYnhs4&h-Erc3yWOPO;DGLT)*e z_P#BPsxm>d6hq`7D9bdSI|I5O4PB3t?!(DMYp9{MJ{iwbzC*G$xyz>E^;xb55ysRk}ajZ*^E*=>Z`P>!>d+H|Cu4 zeOA)CzxZ40{{Ddsa$+1TlJAFQq4%= zt|=?uQof$vOh7ti7vMCFe?NmxIW59R&y1SGv6g&yIQX}*`(MF`2BxD|-sFMdk zpZv2rUX)*R$#kou-@0#@|GHkZo=x|rgC_#}_6Dr0`>T3-`UlXP1J-Bvqz8@%(t)0! zx+IlOr>m`w7p$ZpJnQS}0qaul$OiiRGykb3SqUp?&bcrn0^v&OgMWLS`ykd93)Qv9 zB3q&m3@r_@Eu-lX4iNWRpMJj^}chaLeIO*1&nMy5JH+Y7UR$Mck6?xnK;bM;zej~;WcnNWTD65#W% zl*dZ$0Rkxsp9LnTTbf*vpwWIiW!x)Jb(KvZ+GBA$#){1kvsA?vcWm*QjZUh z_%(fIMTwp8g_`5KZ_A}`UwD1{_Qqs1ISw63XKy6opTS5ezsxjdQni8b=m|gvS)L|m zTAmIJh%8C-U9yK=iw@_*3fDt_IxQUj52)NUK0FK_p;6+0Xt`xu>g(rUvEcHurdSFOc_AOQ%E*y@at!hkzQA$ zx>9PgA6jrgb@`zN2dY$uUv0|w6VS@pS{e)S|j-*10*iujI^Qva~iZ(86IzY7M)z?-A%jK?Oe%I0~Lsu@D-&Q}rDb|wgn$PbjmdMwr zo*!*$C_^_aSV)DR>h}1m9HB*a`J!Bnt68V7!!Vk|O--%!ns$K7NVGvu8SwH3JzL04 zK%hpoN24vAeBjp3*l2h;iYA!1za2#O14Lr$KhK$X9p$U1^RHe&Hq&^czY8e%b?EQ* zGw5)q@9q9R!Os2E`5skj&VvG*Ql*?`d3^fXy{}r$=ETPI$rOy2nLbXtW?CzFt>7gX z>|PfL*5cMwNq;6CtWwj#ouH^qNoByD@PX4tv*O~Hx&xKLieS~cUfPyGRq5TCOjp3_*<`%sp|Cs=sYi4E5JIB9Sc;we5}V>9SpABw|;*m z1aMVNe~q=G3 zoy2uETXZR(FH8B<4c4;V!E|Lh0Pz3C=u`i`!&+7aw5XSjRGN)Kx{2zRoh-zs-k(*^ z-i(Q3pJ|<}2m=0IHHpO$gTZ4!9PZB{a_~D9@6;Di9FTy=OVrOiETlxO5iq9l^C5i3 zyUFS^GK-$VcfYzBv(j$$&mQZjdSFLNP45dT0e7mrL-KQ_tiv6e04Alo40|Dzj=yuiF2Z9X-cB*r%lj+^n%Adls2whA=!GwP>-oA*$TF2KFrx#A+7l3DJ zcf-=xl4!8?H7#e+DLFZd`cZO4fQnUjACf0XU|^|axc-o38Me!B`~o5nM*dyk)$qjLPr=JX6rdYtx6bU|)}qf<_+ zYrDpJLq}(OED_bC-8JxYqQX|scCr6|YIu&VOI3mOuM6~aW9EjXksyRLv@~B@Zp1Pl z5bJ6E$;Rw&F82j^6gBC{5!9BRMw;A-Bnx?;(!g=ea0j zb*xpBQ&86`)nw~vC8ix8@x#*370M%1$d*43J}^Ej$aod9vvWi>hwB?-E&oG|EgcPR z)z2*guvXg;PP$fmK|r=x07K8IhPZc4!%=`%2SGc|;tWzyT8Nbf&gp~1MMpy{8jUuz z4KF;91G!=NLK57Ie4(tteRDX`sP9-lHBY=Gjjvn`8sBGm)GTwECtVp(|JTDgC8wRT zGWjqcu(XOF7z2E_s2N$zI@O0$SbhKM4nOURUxi}&UEH?$)nk~Zzs0{+3a}EsK;^Lj zY^W#Hr0km7M=C3@Sg*Q~WQ`v)V!wKNhd+Qd3p1lUkZ!fzX>~~X*Q#5P;&=S?2Ur__ zzr%k_?ZKOuc4XClkAH(Y#Wx@I(7d43v29rMSuOQtHNfghRBSEtsUOH(mJNA@tAVC^ zx(oILb*Bf5#XGQMjAf(V%I9BN&w02+oCu@3h0?(WJ!Wu1?7ut?o6mRV>YhUfnJ&C4!`mU+>Vrw|laI4eBRA`=@{u$zUbq$F{tPZooBj-IkzU zD8MTIa+SIXb^!IG2`Q}99b=LSzCOu}HH7n-1M3Ic%z+ydOGQ-yEH0$Yg%=#R6VtTd zB({#)Y3H8LWr*suIv19SOYk?tFLo5Ca1CFsY{_LY3sz9>R}XCUtMB>z_)V#2yfBpz z5C;jD=tkQ(xIsT%LJVy7t6BaHR$PVq2%OG=jv~S4vQN_;a--yv{uYeE%EDA?s$cN5 zUmmWMv|d0~07@us6MqL^>9^?<3V+%REu9->Q}owFdLY2OZ5sL*NM{lc7iV} zo#3TqN#hp1chskSHAn>`bga5E1^&Qdu?+UCIqw4Y&@^=;_=X_vTmbEJXmBdAXkmGQ zgVCnTP7t5I&EUqT{s3fwXeJ@^Pnd28W<*Scj zs*HtS$>#?(;bs!MwM3KjR5tZoLIcSy{K~Qqzm?~_O!np<%%b%qF!4w)t5hs0+%31ZroBO~%$#=eeb4uNcH>Nc?+_b(3{-Wg5J-!vfdAKHn=>;?8G z`PG-bsX$FeO-jKcuO7H53;yX>_uu5Nl$-l*@(0rD_uFOcdDL#mc>?w1wv>7YfmP}^ zo>W>r=*3DW@;>a%noBR(Srr^mkL&~ysxNF$J)&;)!p2=b1CP(%l&Y{N*L^;9%$u!x z#9F=^b89XT++ z$NWs|7q^>e*byRNN(zGkBLc7WDBB&jstM)^)>7L=prI>{Az6%c{X=Ql%_3~1D;b=kq&?-zy*R10AHWTsqgx6 zPkqPj0C0NSUDEkCr7&v^Rt-pj>KqZPGM}aAvCb|rh0knemkF$^@Pd zboWA71uDDK!3}$MVNQ4~ldd-BOj8%6tj^=s^2*?@Zb1eLhOo_~D|;(4)#kkOf-={E zb8^+%>UCAS13ONrDYgh_O32G4rI_oS)3?`paLuD@tj?WS+z(jyNfRCkWK6i?&YNca z9L}`Qr)8ltr8+3W;gZHcpgBq!A!rTxsn>_ii z=ciPwwM;-`<)XQnQ0=U%`k#LVK6e>ak_D)N^(vAA48dJky_bZ3UoagARP4!Qg8h#K z_N?pPS+%bp313=MBluDmX)a6cv1f0FX~Bcj{ZJtAt4dPp8!%>+^zF2g!Bgt5xpx0^ z4;;pKW676w@9(jd48%$u^i(G>NT zl(lkgwVIX+SgYZvp*pdqu%kNg2sAFt*aRa|nNKFp;uB)}pf}0XLVJ;z@TS1vg&*4P zbkOQ}z8W;y3-2@_AB~2QAPXw`^K7qrM@n7Ow_DYwUa;c9icAf(+`j#4zip3sP^Efx zeLi#E#XXhCc@m1^ZU~|uVXpC8+gb(XXD{JLg%9lLgJND8s3rks)K_7`fsx5tW_4gf z)2AX?^syl7FcfFpz`0v003!8-&F@pYZ|>`b|6gTKzdZ_=Zijlw=-WMj`JTVWibE%R zx0Tq3IS|UfEQ`VL(wZuJETDnr+^No(_=)KsqBi`kI1EC3umf3tuK|9~8Lw|JmC5@T27AHA{ zx&ts#3ZE9*QzSO!+o0Zr%@Nkoy&Eb~(gqTuj3H;M&VCqlf~aL1d{{uhFcCKhm8#>I z_g$RTPSI)>EMAfoV&y#Wq`03I@(t`Wnt{OvT?wC6ICD9k5Y~-o#~u^ZowI;sZn555 z^(EvpQK30aPpBeH_ZibOy{iAb3hSzKWm0tmv=7txxACohiv4_itDmFLSGmh$T;8K+ zsUF!7Yl&b9LpwW<(RrrmoXA!n^}>l>`mpCmM@Rx+G(9sM)8Zb! z{61d7UpnDeQ(yuT6Pv%LGQEx~6d)>f2du0Af!j{VNc&$KjP-`5jPPn5xAeT!UqN{pBA$eK25QHzPhA^Z2XGd765IaR7A^_j`79 zuj|fKS0H(>IZJUKATvW%r**jJ zYxLX=Ea8a+%K1mMd>hs;RF}uGJDlWuxB_3G7G<4xMiTGtHgjpbd_Hb^F0hv8Fgc6w zzK$X147XDvRZfh1xQ%;$cvg;uKaI#H?1$C7 zFY#ByofyTH`l~aTdca@!7&K;khYN(nhiHX+mtS3{^`*B_ruf9@elgz+@xg^mi(AVo zf+wm2YxcnS0Rta4IqVK}XE2du)i8oAqjZ|$U%Q7wZXz|!NirLOJydmACuMx`(`0^v z6D_V&MQfBLg}kGzZ)aLW)Cv(XC&x|m|1;eI#x2!OFFEsz=0n^&id!k16?t@=h;~>e zAV3DKyu0H+Ki8c?~q zCab<}FJXU?Ztd1pNU6>zeR-r&#DFPBCN_aSkR* zE8w24rdf&Z0oA)RmF5tJ7O38n?bm>0cV^Z6tSoHXbEh=y{3#42XntHysZ95zyZdYU z6_$~!)&?tsda6Gyt3uYY-s+xSDt@L~N`TFP`Zr8wvE8Ugq|N0bHOA?p_J)!++-9j! zjiAnO(+%U((KzRrvjaopZCGB%TGGI7D_N=4eK;?&+fVUQxlgr%`W+4JIp#QF=q%QX z-JCijnVwyEWEIOJi!CPIQU>MzAXu(xTvLqkj!Ta|J-)^-$j?ka$!)LGHzNS59X1^+ zEl`y6NWwjaN^aYj!wc~`*4&nqI2PcG#wPrk#s*x+;pApR0q3Srw7tEhRTG%8SH?TH z9FL=HneF~Ja5Fozp8hW;;GCz}*k@%L?^9<^JW?XcS2 z#}(ELUpRA(xx0||!HJ%k&YXVQN0oV; zVj_HX(8ByAKWEv_ceh)}$DJ0-5jd2yDHnc}__U;-t zYdWp+=D1J}*5l%du)X8e;cZ1M1^Y+^SUZ@6<%2AuAaFLK9pJd4s-U)<WlDs=)qncro?*7K=lq;Jc3odU$yu3 zVtuJHEwlMOAT`r?!%=%UM{Vq^t8XkadCe)|6i(1I%2VjTZ$WQB55ia&%$y4L(rz;; zrDmtF&8~DU7LKrNR*MDg_1ymsFN##Hb$?)u+L98M(fvkGz|1madwzagq9@w6YLV?8 zmW4erH;tZnFQ~o`9xB?;4P(e$dcJQzmS?J9$TH_lRg?P=bf9vVIKrl%uTdYwJp4lJ zd<75ILjo>^<534RRR1Fls2CE>tsM-W0ThkY6Kxsv#8oiwy;)BS{k}bEEIryQbSdeQ z{{H^|9Ml<^QyWw>mU!6{X>sq^0GT)r#S9RR+kAI=x$%a3#|YsuPLDpvCqroJwe|1F z??%XR3ix`EXFt&m`usP;bKx3m*IjA@uHM$YRpy)$ zfa1V?VjoKRCCuyHl~vCF2xgeBQuTf{Q%fVDXYMUX_EBognap08U#fQ3I;pF=1A%JQ z06)mmR80VqjSd)&_WTs{J!-;zoLff&Iz}AIE8UOx-!vg2v+(o9{_<4!x@!2T`zzOB zTcI>Y*5y>?UNC7IVr#SoiqAbiqMnRZvf)ZHr)BRsOyq8oudVlz@|@6GUcxKF(z z9=~ueo{D^)e#F~wsNu-RQ1gDTXGUOs_ih-<_EuQSU#fJz4)E(|phQLy+Tvn3e#2*4 z{J*Q5wCc^-VC9al1Tr;&wN+T@-{!BXl75qcU_HS43jCD{JeQ6KGN2U@3!+XykG;e? z*Z}dv+yEy?@rJ` zI8%JpT4}wnR&DLGZgN(fALGYoF(>V=s6^3CXZFw$)CIkm6 zxYK-L1JJ-elF^bMH!!ybtzSt#EZk#`@Qv{*L~lfVC>}g&G}4hf{k6hn&qC29ITIT0 zb7~l-BhEBazb>+5P1*N22?6p?FScl=m2_|zqhJ;hhC+(S5vyNHL>=QDv^^k zKwO5U$}3X?&~dEo>`fhf2>XE$r4H_6sdegIDfL@}(hiQ6J;$L-yuw8#xYcAbm6aLz z-C$$d-*{3mJRr6&7I*gSOzp)!8oHho~$Cz>V>;}f85+< zyes6RK=rtntItXXCh`h`Y3$a1$HY2VwmA~dUJ$oF4H9@K{6w;t^iciA%pq47{6_6R-KCt<}gRtq2Qlk(g6b+T6F+UihH1c zec35@+5f?}y%2?VxSZc&l4%;fxQ@$uLHDx%>LJYzX|Hd#z2b87WMElrn{3!sI04A> z=y7bi1!lNkw))pfpT4$|NZD4ih`$bvZFo~_l>|uPZAGgwYRt|ta6$|~- zteCvcQ7Jkl239`aMA3~sq6XV`pV@bUo>M-k86L-FMt=RZm4TNkprHi%pNF#vxJD4Z z0ZX_G6*I+)b2H{ycOm~CAKVk)l+wGf9Zs@@Ul7WITGgW-ZMX0b7sUc4qn7W$sgt24 z5v>3+ird#EW`%lHA|!NU56-JM`BmEM59~WWTmXwY#;*{AfO>RiUp^RxIxKOk*t zvb(Hfa3k`ohmjzlzB$>Srf0T-vF_Dv@c8TW+aU|iw>Kn+j)ncidiS-*-hJ)i?&ZR8 z6|4j)b)3*)qg5o;wOCA(q|A_y?7+(HWjYUujHXlq~2wnmcpM;{o zYFEFy2Toep&yA%rD{*`+_B&O=%%Q`esCU{;{A=0j4eW?`(J=}<40+(h+EAr^{c2fu z{kmXfMK!naLn@_JTFC7Wll+g=a2AoHGiu>EGW#vbY!ArncObKFo6NA69BH7jf88)Q zdDKHm?2&!~o|)YsvU8FCt|S`wI5Hhadq|AA0U`5ZA!O1pGJ-_Eh30-~Cp|?$(H+J0LClHz^1&u@d!NP{@8cG1uTs5RQT8ival%HNQVccLjA3JSVz-W!c({r? z)O0)!HXnELBPjK1lomvhP}gFj1>OMh&Tu4ZH+G1>gm?;exDWL=J210LS0elZHp%}H zd$sHt{RBIJ1p?Cg)Ym*#B9pd0hsq{k@0?&pN2&!8A+W$v`A`@bLCBSX5$L(6Fnlm^ z{5IQ{n#hg$shg2&qWVt>Js@ES@N6Llg}m+(t~61o5ynHm`VnAIzoyBxQhkDv3GUw6 zhe(Eg{A${JegcaA-45^z+Wug%yAk-j6Hy?rU7ryL#Qgd)HoB>Qm#zHjF`$6~14y{P z!*2fHBW8-KOJQB>c#ryumz)0F;R6!pLT%4G@DRj7!Jhh~e0Ros>OUe=mnYwty1aft zH#>9AA+LccZjh5Nb?wG4fIQv}3f4{@;Ndi=XoE+}w+9yTDcC|8*vIXMAb)%RXx1l@ zhtw7sU{GwdG6AiIX)v7mV_UJU&#NtzP>Qx*gw3|2HK6W$zKFW99+q=Y`VHvJG`=}L zYST`4<8mN7J9YSR&&^Li|3?G|2J1W%BcE$doNU2~UlFLqwu$5f`}qn)_Qd+zj;g_d z8_$+5KRs^7Kest6$qI+kHMPc_E*}$%Dc0ro6n^%X(B%uUB#SknwFO;%w~X>PjP1o; zz=X`kX>3mr`!CGIwmuIQ3Dxv$5G$Hw$%uGFPAp0`dGbWDPs2RSxCkZ}V9XbOgT2!- zzg#S`|BZM$uVXx&1{s@YV7CPSEwT`&U6Zu$GKK%rhe0RbHn5l`8nn26Vlt6Psl|R3 zvl0Ug%mL4Vo&W-+HCu~5!^1ZC{c$6JQ&4l?|i@yT!je|$#Bvy$14 zUxu7sPGKh(R&P7cVVTHRoK!$&4(f!2;m3-mf=I ziS{QvN0wnH_$rTMeu&AROozR276Ns_%&|-#U5H?!U#9JW`}3V;-@CG?=%mNPaBd#4 zoCIFfJKO=qWBk|$66B_>%3NG16;C}9=!drqJdlNar}$-0=F1{~#s$nrrC$l<+EH=%nJs+*^z@4q!Z?(|0Ak7ui0 zEFMlIww_7nyZSjd#jy3wyV&Pf6xTQ3m}0;!<@G!m4!f=5(Wr9-z?jDS2wgs)yS(VE zQlAy`kFWbMKP%bq_@&C}B^Q};IPx0X5jOV9ATQeEZxOX~oQz%T$8YGJMNXU?O_z7# zc>C7ox}h62>>&?xP|kV+c!vL*h5K2M z7mesn(DT>=dYN_{L~xmBX6-Ej=>H5Lyv?8UcV5Yl7s@;#%TpR;I`DQ;33rU>Hp8Y3 zKGv3pmgje&5B|mvxou>Sz4*G=p2OP@5(>1bwPg$c&<xo|1st|_Vzn0kXXbWSkSZ} zf_j?~55f#59L%Lq=6;|}Jp~kAni6lVPVhL>s2L-TLCbDP%x`GJna`0(q~UT+Ly^{G zU6XyD7Nbbc8ksi#dt?m7E9boWx<-Hqw&XxD?;Ec-o^d+)Ze<@9V@8X2` z-6`I#z-br!L16S5Nc{I6>+kV%j0-)=`!#sBD(}~&n8)S%&pasu@BRXz8u5e3inuRxX-ha{`p600n%KNWyPEg)Yn(*PsN-&GdwFwe#8~38tNdl4>3@)RA;9ay* z&g0$t30s@g@qau5=n{nWoQ}di<3U#CeNZn&og*fN5@wk}Q2rK6S@`)E0sNy4 z$Jr^$`xK8uP~IO&rOykVUXd$fbt>26wJ7Dz=`FxOIo`*VQn z<7yn@5a4>1_$Ob@Qv7m3mADNc<=@fk8AQR7dT{eQjr5f)>N=+Vb6!Hcgn4OY6Y(QJ zA2id*avOa?l=l-F?f2-P&kBGK;(_pdkx-l706cki<8i;IAJ<#y2(7#y6Eu7rIA_Y= zBcLdIB_sW@*UX9|#q}xQ^J%uHj-(%x);49WWis?WBT|3!84o?2Rh}Dq_IL!thRi4& zhcAE63~d`ZPRGw%E+!C0W(Z85Bsd=@MEg2+NJ0m@pdmE zF}_L2`^`-C4sE-~X*QA*7{u|oyc9`DpQw9fpTh*!g`*+_!=yZ9lKwuI#ZPC!Gt77Dqp|3 zkimXy1ZV46NQlY9ynBmp7p|p(uebPQmzsWfx6gVOUl!?oAxnhpr$~Lwwm<+Xleh1b z`wtNMKl8)Yp4k=)d`p=AYVDj>!79tMO!XeY@rQNESLjNYYZv{Mph={t#)dKcT!GVs z&XcbAP|EM?k!@ao2QoE{>N6(R=#7yETh%Be&u9Lhkmp4KM7>5#D=y}HrS>aPJ6*Y! zuEphj@@g5eu$&j^#|hb#r0}eTQMY=nEDn8RoRDsb4hw1HkA{I%s7_A0m=B2dtbLx1 zc#qFdKqsV9zTJ9hnY;bUg&gEdEk9q!%b)R5&&vnccVWNYq56|?Hl=C!rj$Q@+NQii zX!IT2IxyeoN3)=?2Bl>+?v~-R$0yWZPS`6!i*&T}v$3_(X(KjKblfv%g3ZaM(J{fL zKb8i&86X)VnBdCkW`&Ch0&K4@!ww8Xu9Fvx$OY*yH!3bzkPwFit+3j2ypTcyst1Q3 zBnUW(KK)DB=oG{Uz$;ND&ehECJfa$Yo_t@*`?s0pp9jOraW`+W;^ zEb=OcA?YyX`e`D*U4U5Tdojhv|QkKF%xqm+`sM~r-~U**5$gmkayIclwQ3U zyJa_kcfbdB107+%f}W+YXc3QzR`v~1K7Xh`{F_!je&nKb1`XVP8d?`wv?MohA6_;OOaM zzMH6}?b4Od|5AAmYV04DDsQ9eh-8;j4|$(7aXB@)UphMI)uMxzOJA&Gfi-dwDOy7v z^j@ul9(8okT^M``83Jnv#D|!?o|gzO4ZI|@8k!Km?-Moj3tA0@sws*j<^=3rTPyub z0rjugE#RiM8v1cjLl0^-^sqGWh^>Zx%G0N32PxfD9ASsTZZ)w2O70MK^wBLG7cJ80 z8y$W0h)A0cpRtHC8;xXIErYDHS71H2;%BY=*4c`D`vS3)0oH>HMcVhxXwey{8 zMNj@Kfh%<8a5c4t6Y*HY31l@AWKR&XIi43$yB<#z=;VS$|P)z<*4#zIo@QpQQ{lmZQx5{L8}w(VxTA{$|_a`X$+G^2j0V! zW-M^t=I_dI&}@g%@V?CmmKbenXxkDFHNg{c^P(#kEZW=>YmG0CM&g_6VlA7uv?Mn- z&hJ`!W$4N!^P90*t@HB5I>mfsME?4wWF)$o-jYTb2h{4wi!x>7qvKJ7X(1n-1!YK2 zJ~lPMbx{u|K1QM)`Gm|fr;kz0MVH~2h6WPR5F!w@YESF#t9P8zei7C3gpxnI5! zkDARmCkIHF5n&O7-jnjY=?nq|?&;SNO^9F9_)#$|J9vGK(O0aSa%d%Lbo3ktg}|um z)(%5AGMa(QJeu)9d)AYufXpWh4>mpvW2%yNuN$e|IY5QVT)i#;vId6;0FLn?A=gQ` z4&5i|5au9q{S%;7sNV&6j$Fl40*D6SZd!E^4YJM0`(s0$4>o2;LC-b~8_$nG!#EO0 zA2_Pn^&m}qFKRy84`b9 zY)A|q3Jzn)y|uYqYnblY=pvE~1b0MZz)e7)ERMzjg8em)Mx3|s(?`M1I;>?cSr73) zM5~&KF?79j_&NVQI$S&vM(l4l62>%&a#F!Y-820o^f(qSms$637)~e*m~$?Ev>WWd zJ0K$VE_8-zBykvRn~W6X&v zHbl|NL0_oQ6%%Rn4ACPH>$o})H)zZ)xm-fbmTvYybUr|43FkV!w&rtbYqo;%ID2I{ zZB2AFI>{H4bo=>U=Bu7ZaB@v4wKHqgfF7d%AVc)yqZ*?+{k=FOp+iXVHv;W_qk>i#%4);-U^i%+)5~^KKmu~sCcdyOU2Mx^h6kc(QD0^N+s$flHavEq;lm*(r z+nL%!%^L@Gy z-rSebyst>}F4xTq`U8k1GY3Z}TC01|s&}HP9yxvDTx;3DzT?3!3%T|oRFQo%V0|`o z+`4JtxZ0FbWpH(%nEs43|KLc?|F7udWV|UFwF7-Ph(J?w!qRT`6R)7zix{R*$dg_= zrm^jRIj5A(c&{g`e3iX29IKSGgrND4#4K?_=F5eQvReA~qSN>7u;Xt*Sp?RCXnUNN z1c%2yszrVnBM|zaXGlaj}1Sb z;JyPt4=ZUstB3Zx0i0`AX94#c9$i3*7WczJ zA>WCznXdw6mm?r84l*sZR@%QXFjMUD(Mk$)*(>P!^{CM`SfMTy2BSomO^*dcR$M|j zg5CZ)7-hxKki${PrMTyb5}$20yZ!e-(N{rLpX;?gi~Twoobl@Q9JfCA5=L{PKmFww zjy;M1pfC3xv!1oO)QvdaXp;X?P+I5lW4%F+aPjy+8Vn}yE#40%}X^ta@?;d<>rv5zm81EnxWg} z45n(Lv(^MUe-1)^7NM`#_w@EyD+aoIGS;*G*tDpUIPutj94CtdnL2Qz2df<`)zy9K zE!jQT#0V!!&?ipy5(V;W2#hQQyBR?|K(KRDJ^eiyt=2aQ!e9NK55dV6{1zTzb7x=%)BlR~py=o?O5^V;)_BJxkW-3A9@(PkNP9w< zKS4>!O(F{#IS2s-f~NohPEH6GHuA_sG!iov>wdIrnjg(-&jvF+&{E#zv=|>Q|B zI2?K4#cl-OeNWFoKawmH$xxBnvo|Pw?G6-a8Wp2zxz^(9lJTt}*W|*@jnILF@JS00 zBI?e02wrdf%=$$J>_BnBMd1ht{?HvxDXiyta06ECQcjPon6>k0Yw$Z;%n+D)lL5>-Jq(!6Qr6`ZAfz5TZUiEry;$C~Pcm~L_$ zUn}jIU#vY&3pB!7L-mQ)_P8ccBC7Em)cigV*IE^g-b(iSqH_jhjEte+$l!t>AGzS5 zKz#Zxjt$$zbEilb5A+J4zm)QJj)Z~1J)3`$9?d=4Q<&IrY^y1{C0xJNW@R?Ba)z!w zRyPx}<&c&L%|A&@CG)MLVAM*5{ZQ+sqvNh3KT>N_7_N2$I*QM0uNjVm)55?l`q4ww&EXg|YNrtd&r=51Q z!OcR6u=bC)o4%|BP3L;zv*~WMV2da962?_8*m}`uJYehj3bN^S_TVoQ z9e`H2Axf7+%dfHNB;WVByTSb4Whsoc0!fird$iu>^6m+)gCC50+mt%8a2qsfupew& zqPfgx}2-I?m?4F+$~^K{K&){Y9&JZv3dhdUOE zIK6f0_G0A?Zm~n9xwl1!oU=%73x(FUD3^;yrWZS{)_&}V!M5k7X`q*31qqxZUa?kF z9>TY8I)AKtgVy^Qa?`|#Wqd)gJOwe+fo|)8bb7t@*`8Z4%RCNR>yqz?G_hJIWG>kf z;Pw0V?L}a~<$JX>(oO_d7Q>W-8Xy{Lf7XOoCt1reR+*C*HKuj})Ke=Adc6`Q**%>`UJ!dLrD56;u@ zV^U}bioS<$e`;dT;KXnFS8ErD3H*9V^`LI~}Oy-1yil8?5It&?B*{P2>670yMq9hGo5D23jf~Kh#HTsFy=l z2CWq^j_}wS6OO#+;}_@naIb)}?ybqFwk(c^(+&EbH0aZ>RfD!f6K86P%l83$Yv>gF zo>J+R0i3y%vF?YoTrrSl1MNemI4mzjFHJ+w+$@dqkFHUg@4J+!{M^JvK^$_MF8~DZ zLSS_qq1{c7Gu6wBqd2Q`vc3DOMBDtL0C3aj0LT%Hh*S+u3xbd*>-9h_7_!4rN^WS! z^IG$FJs5^qoPs=DmVRO1Eo!lKbc61AwC7iBPmjmbZ#G`Mr&~{ao)O3&F9vyTi)ntN zX-l*dOS?l3EqpC@C68wNZa5L`60Bh|jh*3Q7FW3m$10`Hr)9hx)@Igg9d~e+okWRPx-32oRSXPfrrNOFe3)wo@SV^M%<{ znSsEX4M7$2AFsfvuIKu@1?4l*X{Pa=H^$oQ7`87Iax=;^9&d$XtoA}s!8Z(UG0k#U z6*Rk`dUKz8li%tJsCCw|W7hKDR@SVoUK!MaJQY!?@&bqIWjscg7tkNs*>e{G<|Uq2IK|82l*a%j(16y1;4XSTV@yH1KjNRq z@N9p}luL}+%!q#nOw-te-|~{u@;f}`Q)YS1l~XS%f4aQH$fbF1gfw%c6vJ4loN{JX z-08W)L`hZ`3i^pI%5c)%j&wXEtGr}xE}fd?$)$ACJqf!}M&QbqXGU_#a^-EBU>dh- z_;cl%O+(Y2M7rw>)3K#CfKI*TJ{i)0Z&t26^~_NC$}Q7~6qZ*$w7h$e?g?q{?0k7g zhnAO^Y#K`o)6E>3?&vwDF%2M=m$=G1H8frCRMXfc>E`6?z23|LSV#|SKg(T)%UprO z()p&&QdYir!8_AM&eJhT%S-b8qfX=#I^~rkNYG~mPt$U6ms!wA3_cuW|R6=^{L*yz&c7qpP4@oATi1%IlqB8vCTYDS3Ds^A*pu z;VZuo{e}A7?JvaXY^04q;n;qWX#{nd_83c`KpA3EFVj4ZG)97a`_)2r_P}A}aE`(M3%WD`95 zmS1KXh$Ay7pIJK?4yJnu>1fm{FUix>#(XkkHoh_4b4a&R(pff*km&L>!ZdT=>hw*% zEVF>;Fx{<4cSOp{x7{Skxp*DZ&7NZ#2MW`*<Ue6(&;uhux-x4R)`-yZ-S5T zN#x1k-g$0(n`tCm&rjny;(A{Bb}c`Om3I%yyB*(4?eu&;>Uws|wUtQo6uzIp_bC?f zKCkhRMTzuD^$G876OQ-}Pdqs3z+|=EoJ@55u>7CPe^CBp`S;8Jsr-B8--XyKKc^TB z^ClX`6ALtNEc{NM5XAR^GK@LgOJA$aKcNhDzRg((xhLPImEY-Yl5d6O@c9VJxgKc& zy5Nq_jd*4`h3QGdkKy|+d_RGE$kg%!s`j9Hr^n2ob?){s-O5FI9J+DFa)_&q_`Xrf znSyeH2hHG}o{a_NxbqR7a^$-Q`EF+!_;Y_R$8!YVS1vY$# z!{$Nnot{JLzyz}w1-Z%Fm}{rEZZwU}xF@|H0MT5Jgn9&21<$V*JR8Zu>AiS%#x%>H<$89Hp?Rp|o^wEV_&&#O!(nqH!9Oqo^cpE> zf|Gtz2jGRiplKVU8EKz(SOQ)iP-GKB`!j<2W}=aeTQRoKz}k|9jpUjKCObS-ld3S7 z;_TDxEiu0Py?^@tlRx<9A3pt)ijsu~CLf%1$T~dni0`%upYVQC-GQ2kM|V3(CkOCy z&JNRf4ENk>%un?hNx6!ZQaj%$^E4v(q%T<33no)pCq% z%8iURwDKoH4@@_Am!@}?!{;S$@9oOHtqB z_`VO{d(oe@CAFaOO&t7(%|pt3!aepkm((7ZaL{{59WL7UguN6pt30K3tYSD>g70(F za4Zs9oC2~sXdY0|EP)rdym7dV(Ky`f66iZRTNbKq78NCO1(ajYETgNAy(AJ2~D-e_AF& zpW_YwIqiE4X}es{%Wl)Q2!40dKg(`9591Wy@4`J~mXuA})MZm;(Rai*(>8v>G#%`Zj%##74D97((;UF z;sAZ9>&YuNL+as~&#b2qW&xvjm*9DGDdB&by5SKFbgdOSTB4dalDeELm!}ubLYedIa(QxLFYd>x zFEjr+~a|~ay!2B7_;kfFZw6vEnwLr z%{Q3uA>`v(SQ~Y|QO#2b|F4jbr#L-^`wFos!Sczz(Zi0_>N|WV{BC$TCg)v%`NzYi zaZ2*%PiWxQ-;vQN~Al2G8nbG zOZfJ@#={fynm6@u&X?g(4S+_+n_1>_D3kG>Y0D{xK7WVFIk&@9G_O)CM_=YVl(`H8 zn}L##f_Ww|-*h-KRIXkCH-sO!$5T1-Z%2Lxczq7}%S)D)-!`G*h_B+n~X0N)u8^XXx}mtF*To;HnvBHs!35`1S&#+k6El$R{2 z_=FcggY!E!CT(9D5$qvwiH?PG85NLriC{_T<^xcUFvq*oQvr-VUWn1+ZTl|DV2rX| zMPTRnJB9Cz6vnn={Mov@*@e;_HZcUY*+@J0V({``Yu((@i_E-C9g>H5-;6vAc@@EZ zVLuR-?fA|pPqnyr+4x=7N6p)q&U?xhrfjC<7X7G?#Ty}1It+zIxttkC8 zAs;utpANT77yK>e#sa&GexAx#P>3hO^#t;9hxby+m!}`s(wNW-(`_GRx`&X??khb8 zr_OROw-jyX#0ZU(azfO17Wn&HcTjljZAmE&CLO z*E<{dK)%NXZ~1)YJ$Za1iNSQ`f57}*?B26&noJZay(SMI`Z0H>7|%| zVq+$juh0fpKJUnD=RxG-CdDodW3E2aMSz5h=`8H?yH(QV*YMpw3x)KJrWi+&kNX4l z{A-?r|G5tS3-hq9XOM?G_BMIgmVDpB@eQcci-CptSl7(UFyFy$wF=zl`4lNm&|Q>{ zg2=-iZl@dHO!pYl-7RJ3VH!!cu`NfDkNeoJmwfs0E#4}+DMjHriG19qHr9Nz-iq;r zJzkurx%3k%5O!_F^_q>y$Gu@14|)FO9O zG^dazDCIaZpQC>GzIqvTa}$>yPxJD$`rUl%3h9DZ6KA)5B6w+x~ z?vgEBHXfm`4j?nP0*ys?V119{!c8$F(cWx3T`$r-A@JvT4>c1(mQ&Wg^PDl`@=-d? zmA0l(A%1Y$hhbo~uRU2QlXH`>~x z9kJGA+~Cnzv*p6D&0AWck=ck1Y^-j@Zo$^3>%vXRsDT~U2v zddmrmT$dVkjOrE!08z9SQTOxrjjip8*@GI}@_}{)K@LV+wj>&jn$@Ao#j8Ub5I)an z;njXm93+=Y;~Sd7*mf?vxv^>1sB3L)vaPJ97a@YePdETacXgNF|pq4oQP~VO=af2NP~$^?3wH54Nxi zu*tV#iRURQnX?A41F&6b#sv*$Orw9aqr zoR5QiaE}`tW|4b|`z}0eX=`muESIC?X3yU;dw$JA&_V-ZMc?3HU1LvBz357*kslp` zLXgN#gPl{~+8)Jrrri5R5?S3f$@+TswyOt2q~ll%XxKF@jE-0&+8Sy!!pTG{a9p3{ zws`qP(28)Av6inu0TLQ8Vsz=)4t5HVi2nWo?92l^h>pO>4@MOG^rQ^C_)a^0V-&z8 z>^zmE|9nlM2K%8i7D2fi5u2(enGgg^UxnJD?V)(IzO^M{R8`hih9rMyYkLGlmu!h( zTwmSVwYs&bHQ8<;YImq9+7WFsn!_#0aFd1x&_&yfYgShh%mfB}ReA85tu1TX+j)nd z)flnOv9=hxAsTMi@kovOWP3YyQrZoY&mf3S{S~~Wp*7TuKF}Epz8JL?A9N~P+um$f zL0(|AT=RidwdhzWu&zBu8b{+AVq4GwRRH2@dAA|DW$=?Gi);QYBuLn>W?g8bkttuYFpzmZeX*Kruk2<5q4>vWGDs2xhq<=)>XQI8Q;cXw$uSg&sY^xPh#ryauU&KPn$R^HH(a}VqoE(xG`A(T+V{1sZAL>o27uABJ{e6$ ztCP*>0dO4qdv&zaxRD=#d~lg|`*k_!9$a3RR~gqOwn+(2!HBwHG;bvoxnygTO-&=7 z)~HcbCi{NNu(e?^Sw(Xz<8G3mWs{T<3gKlub~$d6_8pRRh7qkk!gqM!*KzF}t4qe3 zBJ&ZKc0n%6>H<5?eP=$_y#|yaSP3jr8w5z|Ut(N)O{H+{A}mmNoMwFXEf}5tzh*I5 zp8eM#P+Si1fmSIw&P_-EFP1bz`sEt#RccK0)qgc6#|41paO%t?CTKt);UCV zlsjC@bWCQ9OsNl|M4A(h17*!o{lE&1PC!Ojp%86}FBVWjTggd6Ezz!ojm2j0N?<#lVotwRk+5|Y9?jZmXLUBIp+ z5(aUH;sTenF%()`8C)Z{CS|jRP<+rUJxByHCUbQF!&^eAfx|d*PjnP?upOKlFo<|; zNw)D_S7!w9;;?n(&|AdZWg9ZhJ1MB!VI6Hd`UZuA=a2?TLN2zn$Q z8d_vSHp+^S$z2G0-ZAaUaEy;K^BI6#zn((lSPQi;N$`%bg z#`u*>!n)HyJt2+&^p9Xp7oxMq;t>KPEzfszJD3WPkN4d1&ju1Xm!xMoajczxfRx`W}!)P>waSThu zXqHwm4ZDgREB$r@Vhz`~l3biVml9-lkS|0CpfNJ0#)JqJ8$=*vrx-IEA64BtkF6T082=UBz0UjT=I_ zphpT7JBj>ah{ec9;|(2JVa8-OL3u0C#Ze#%(=%DX7?&sc8j7PBX2kb10h_bP(TiFc zeq|gt9K{epKv|+a5)YCOO2PNyoiR|U5x*hUChQD_$*;}1SvBU?TVf!N z5Q5uS8unv3+cti7(A;}KZG_=)26N$$fwi0PTheA!|Xr=bxq+GNJP{Z3b)k5q9k}_XEcL6fM+0Uti|gt<&bHLH7}Iw zB9z$7P6SjZ zI%>n~t3{>ZXO2wZF|h~~*V5YFJT|~FzbPXr0d7QL3*DH6DGp-*(_JAmwgr_$7S|c= zH0*@l8=|TSOKu&6O>-NcFo<+=BNlCo8};FK>Va2NNhW+~iPj$*V+-qqbVE(?##jTS zmHpV(+IfYjpAAqu9SL6tnGY+L)C$cU1_9W0nvDeNgyz}OI<{t_`!&sx0|@Z}w75fs z&`?-KXiHOT9ki!db6c`Ksx^>?&TtdV%T!4uM;k}f4WV#7FafQwu_;CUBGlXd7Y) zQ0gQGYJe@(d7sZ&N3c23Mrq9jHQE5WF~L_YgIY0qD$u2u=^xMl@Y#$-1We0v7$pi4 zum#0oW21Q(Be^!5XbkEO!V9}Oq9){_mEO>x<P13F39p1Wdqq2{LJqUJev;RY)?)n?<>Ai+Pts z38P1s7V)<92D(@cXYyh(kU9iZzK=n8;D>W!rogS3k6>wuhXEn5g;yYpX89(dEdj8A zM+3{YLWQCEPp56S+uSU9P(0FwdEy5CLLIGRY=<+`gt~}!I5SP!K*oZNTq$i^iH-Jp zD5P;{rA~PS59;8;Gb)t)8>OPau{Vzv)iTj(Py!~Ziz$V$e2WzCi#9+do7!aBH>SqQ{1J0L z0W;pIl|qU%7$4XhFg&zrNk=Mbu7{+Hx4|IJTOb!Q2)deK^CK3}a?3*U^KhaU{9Knjsv141n26oMbx_B+KAnM!6Yt z#T*>W4bBMb{TQ;NYshEqRW_7h-vOU`^@=!_RV<&`?k{D_Ds%(Ej6P^{M0 zhE|oAhbpT#uGvt%Di}g|cua4pzb%w2+J+>x^m5v;>I0$0T--Ct*UHi{%7E>wB|0KL zY3`ce&{n1^1X@>x8lb)<>riq7mLza@Io|=3sOZ}2f~v0O7hE(PgFqEXbI@J0rmB(< zVjUC9q_8STX_YnAp>pw^5A$d`r7Hg zJX8*ja^ttiIB@z+H=hGG6umLhuy|CREh!}rCRn4)BPp2&@UE_^Wk=E;7{Uaq;LX-i z!GN`&1`cB=iKB)ArX}>X4aC|Ft5%1u*>Fv03D!Dc>!O7XkjQHi;^m=wjdmyDMx=y0 z6^xcnGUVpV*pN50+YWs>e`?t(Q*z&cwAwI=TJrQZ-6idjSaLWiCl8K zoNaLAV#?h6d=+&gRDo}-0;8CNWHbb&liE1mSVPu>c~-P53|0*h9_xz0onurb*=cj# zRZ}H}iNk|P4=kd%l&cYlJeL|}!7zc<(`a+7J&YxL=}EibCYQ!@y29v*7?I^9a!h!w z$uk-V(G`y^Hh5%$ILUEhs~s8}B6Fy7Rjl-O+GtZbKwQ%r%G&*H#>M!$mY>ZKG_} zhU4L^=?&xI-XG+Zhr4r0UQ$fWtd$v!&Diu@&V;tPHQR7HY{PcheOWBqunpU&YyCkW z1R@{;RzL(Sfe2Uu6%YX-5CN+;LZc!CO4R*+&Uw%KzLYc*r|nk2(f2&(InQ~{bDr}& z@AJOzy}S%qpJshaA04==&zIO|8TAUC$I)+1{_07&i}K55svb5zvf{0;6^t_`&8lMV z@0z2dqE69$bj?w7$F=y-z*Ej34C7(cM@7vEliWecCfe~A`A;aMzu`{3KAYo;Vm!5| zw5BuvSg*H=cGDL~x|zm$v4#`oQzCoh?7u5OPx)_A%X*vLFzvolNm)6 zKX<0j6HxSz66>7-51WgfvF)of9#Y#MGuDvnO`ftwV=J#JRu79 zo|yr&IQ6=rbTz7Yv`y2Mcl0qA3!>fNzV*%(y;_ucKC3mS&r!&@c5poiwcZN9 ztNXFeD_-&e8`-E%wExJV`?jh6xqtqsE~I4EQ1(x)tFw=5VEbdbgB3!O`R0!&e205x zz5QS)1`5BX%g*~uW&6SQkE-^iRccR}XD}DFYyQyFKnm$ke>pCI5?UxIFv@XBg zS%CNvJNsy(_j2M#?OdVIfc7oDPkrm;71XWzPJPlb`%yr%EGvbrI(5XG_~`@3QWMtT zi67W|((VaI(*d&qy)sgJ^GlU~@aFI?fYE;{^+c zv-at44^QDIl}>b1%wBo<20ZPcqNR~kpIPx*L!Z3Y7cGCZNLGJI-^H{r zp6uDe_vX_9d@}hzdcN&pYNb9}dtzdIOfsDh^NKI$k4gF`Y`Ay&W{kEKeW6I70Zl(z z{N&0YVV}-dvTeM=w^9F+AZ0oG>MO4fzvgYez*Asyn1DUo<=Awe^yXD1g>4z;mXb(c z&3eXqd@{yNkFTltp&b7qs{HYgKXKylrPri-kMR*dc=mG&mj51^9%JfWj&Hhf2c!=X z>6Am2zOlqnL6v%qBbOeBPnBo2PLgVf4E$y7uauBmC9eL=ySFuSaw$bXa6v&Kj4#qSUy!6j}8z=56_ieO6bWgjEl2> zP5qDIQ>BWP^^O4VBe`VkwAirrvyPsG^r`h21YahLv-g4_W3 zYyD-Sn85M3qznF`J1P;jq8eUe`xo_U64g(%<_A-h5&(a1SZN4gQ_{Rmt!S}JwTuXK z&1z^C2TJGXO!Zs>y8TMn|0tgs;*LoD1J{ksVovQ<6V-uTkw*v4b+cuL?wUxJfRC_* z%a~d>&FZEATbe!Kng|Wh4OAl(m|wN(b4jk62cO^X*by-h!S5Jb2lyoqysl*vg=F6p zYQXOsTL<`6kCVDce(Yz=E^yQjI|ZEncTEZ`8#@K8i`)X-wpG*se%wPXV?4Rtdf4^Q z=BDeI4tw<^w^t8)-Xcua}>bn*5CRQNxC7}bM~I#$k{6z)4q+X8BWZ}i7OVb$`byF&XnFNy?FfT z1b$WYLTRad2EjEI?uxXN09|rAt6NiHqbS%`VZSK2sge9IHkxtVKWjdZUl4rN*)74I zD6^RlZJhCJ*&xP&>!M68AK*9xylw-`_PPu5lu^iOU`=$o^o@1`b5Z^&0fz)j&H{gC z>>EUX&;8vO;J$1C2gcSI#hkfbyDC_87FaX(jZFcAQWwC#bZI`S8WUEtQN029+|}~A ztK~zh7P3yV3Bk;jinWS4b!U{mvg^>v+O(grQsTF4< zAww(A$_jzlu>n;964V^{X6e&75VILABT=Iq*;;fuW;JwTYNH&1yQ9HBuvk<9*4vBe z*gyZ%$)Q~DaL4F$Tcm9{+Mbp21q)0aAk$wcy>zwo^5@PbCNmI0|*LrFRw* z81Lc|UEHxQDi>vb!n&yCUDWaUruz-ho9uSd9eotWy&yB5j1zq_(=R%$*FFi%fZ46) z@t9!M5onD9@KH<O+5=J=qqr8nhg@ z6e{vu?14HVam6x=%Vu|yAuyYg_G^c^VEgDK8;xHJvjtsNmNd-L6jvVn)Rht|>&{~3 zp0h^+tVscbqmU9(g!`RlAfW>*L)XMF*uur5V&5gElqpb+VnwoE$r7CS! ztW6bI6+N#Tn4kZ2cD7hgm7gzNcw5W)nu-V3c4k6Achnw&b z2l((AKAeURulHr|mi1i8d(KXF-Riwpbf)y((sxRqN#OfL${2tMM^zZa!6A!c6aUH< zh9>U$EH%SB9T#x!*cCccbCQOeVv9ygW^@+lGqw`rKUJE|?a$`+XJhbEz$md43%qG; z1t2#xh^sFi<S& zLBm;KS@dG*?b5H8dcUEGp|~RI%tVWlZb@=mbgI;NAn@L^+xi3bQ}E5ZtO8}x>C)S$ z5*Y8lik&+mUGIQX?24>0%x#ljig_R~pVANb$qRtr2|Rg+ zgF>e%)B)G66m{#_kUFH$B|2UDU@n1i?Qx(dF`!UH1hlvA;epH_s!l*T`0adpI@N14IBZcIODb#>fV<&+Pk?X?odyYC3goiZy zmWk>Bwdm%vh2(}Q)PQ4SE4?o(ZX$){oPZyJR6>N&J5oZU5HvK}t_3XrdzNl%ZI~4+ ze1EMC!|y;U5!c#bEN@w-WlK7zN|yoD-PvfUil>{6(X8+DG@AkL{2gyLmcVtJy%`|v zjU{mFUIE|}9gSscF?VVl>mxEGr`Ovs6C#ZqSP41IzR9aVI{fwG@YiklJL=9X!R(t0 z6=3w|Q#nji^a9`OQ5H`M4Utw9FembGh*=P29#B{kNfB5RnIg=l z$t%Ea$YJ(HnE?v7L^1#zh|B=YJyE7e;h{*u0y=&vRfIV!(wKmQkuV_Uo+vkC(5_3Cyv}fgam4W`KTU zYruf$bm?k4fw|~%VEEs+9)at|&H}rym=x&w0_-fXZu2~|DVVpA&j1S|ou5x7Fg*&p z1_1OK`v!2!f;R))G4>5$u4=l#jeg=k z)bQEi&m|Ob`9;k_D(aB;U3R5Y=P`y`soR>=1o!XR5NgcZV`rJHKAV&`^7(n=#xLl+ zEmD2~<-co=039L?sVjjQb~#WBIn12Pfpuf2do;B}KFdJE*hyeQWCmf@T@Gx89A?ku zz_GEj{TJ1zNar!2A<|#b?n+=bTn=o99OlsF!`hpVZJVA2u2{x37X>@U&I0?!P69VX z<{`|H%Ym{I)8sI{maLP&uCcT0uPU)c+{tR!-?a)Qui?)?d--NZ@ySe>EZ6G4) z-Vv0CZD9R^d1Gf61RE*K25IYI7VxDpIjkk%OJjCjESnR?1Rb^-CIMd~(?G9DYpjuB zV`qUSV<&G2*v}N)TiT57iezj{+3f3SHu9Ogid-A{yf#e{cgf$;vyyHl7+Xn{LyrSf zVGp{XqWS@RJDS7&V6;fAPO`rz8T2^`x3@e=s% zAm<;dzz*SBoC*3w)&Ziu-Vg)XICzsPE?idl%l zuCkEJv^pg1$T_j3(zd75j)0W1rsNgZ#WSVT{e7oP3H(J%u*v+w*W|+2X}hPw2-7X{ z@xk?q)Ca&m>qF8$%6=M#Bj3oXn<8=*WM9`aMqty}3a}-5zVv?S<-eJbf48h=YMqVJ zQfumJmN!%6%pH-e0QZco0QW_y6_V(I)l88-qybMh1%5=V0AzurkF`zs0db1Gf%%k_ zy@3f6%hE6F>LM2CGPVkwv+Kj){mW^WF*?h*P@=NCw z6UU56H|9$$(=;MdxL4aS4V$+LFlX!(uq-ka7>++`$^qwA$k|WQ)6QxehB=fHz$Rmj z*caADE^{rzs#kDy_EjqtX=F;TKrx!*B^zzrX{L^$WwbRC1ul zE~(Oo@e{&SWY*f}eWOw)k|^uG9kDB2^zDdUiCu_PRVpl4Iy4sr>?)Fy87<#jxSwGk zk(51zy+LfA8BH>SwJm9$8O>O*l9D7WSFt36XFxO0fF_I7Pq@uI1Dg4?H}h$4GQATb z8Dk2WKd~%J)}L6`-dSgvDvni>&MA6?Q*0i{W*)m{#IB^+aV20?#x1nsziAtOL(#MX zVJ#_CR)8s6-0Hxh7LCbaRzy1N16&YIPQo)|-Z<^}$=x8m&)&BC>``0wH6XnJZMSh@ z+x^*Y?}+Kr{L18Zn?r23JGb3te$$?}+l8LDv$J>fe7f}F4{ak#yI8g^j=YQIHV5f7 z<&C;nZj(SRFHc7Orl(8CeXHQ9Eg~%Q&ME+QT4C0dDg>-kZLS&H@$Rj_- z%7e%xtBG8)0)xEev0iSIT5K*>6Uk+T*kG&>xn_mPHY>2r9Eq&JM#D~G1$L2i>sW5H zbsR+v+1L`98cBE%1+wve6xcqFqQIWfZ6|IGj=f=6*=hzjelJCV8>y`*z<5&E06%4` zd8D;9kHlVm`MJ_>igXJGEc?7(D?XRGR(vdVElZGfsc(2oAZ@u{Y`I@-xu3P)MR?oj@A0+a5t zGF{2G)|ECvdf>rZX%{xpmCRv=%Bt@y{j=<~>xUgk`b966KG7@GPK$xwwcIL|s})tm1-*O_aPZh(=Z9$;p{g<-@Yf zllhm{ZTtTHxb_~>&>bB_J6!7Rap zYrgyK*akZ|=oPW6p%j~%@#&rhA6puH>}c@pY)r1!%1*YsQ4yOPJl->x@Uff0$7Ti} zdl`IeW$4B_O@F?q;icO>@Yz=rg7156iP3 zmU(!*B8oEiY9~R$aQoiZW@lDT?xrc=m=UN5*`ju#2rIYdBhm4w=FIPn6jX z*aM;*Yk=5s)A3yC<>YD_H$FQ_jJN|KIT-)E2ziVh{TLTKW8$s?p7C(|2!BDyEe3X$ zbOzu#GIn@`%uNP-j1PWIOJq|7&m&n*leCR7V>6zZB9C#SA7h4(@tSW+ZniP>W89pI z)@|I{KZN9u4srlltmO3b5iy}%#D>G3L>#tF2ZmUo1KtzqRsi5`-*h-Y5kqvqQIVb= z101JJ2ZozEbU^Y;b^s3RrUOIF(TUj0A8z|ggDwWkB8h=Pku_yXjzu&DJ2WL)PC$C2 zuVaM@Ocy%fMUm_P>HYt5n_Z7-LK)#a$G>BZV z3X-0Lpdu1Ofg?Z24a6Xi2;@ZJNx^cPe3?siK%N@VR2wYmL(@njiQ^iIn0=S5f{*Ny z%ExWNEHp*4@Vr4MQ^`8C;4l+MBlt*6Im~+Ggo&8Vm~$mONWNMO;`&PkyY!QraU3mv zoQys5;~#9a&-`Tf&-R}x{p3$1T*T5lg_ZW;pjNb|URGDyOek|{1!j(0J1a0n%#Iht zFgsT0R|pBK*N91X>y`Gf!bRAuhFyqYS>cWtE?8FR6T=#{0=N0Z$O;6CAX$Ns5DqJF zfnwNJh{e00)x`-*uc;F@YGTmAQ@b-udH`6sd~dWjv}QI%njU~V7Ma>;?`qNbT6!rt z$`+7#U4_z{kfV1C*KD;=>TvX;)0-OBfk;*XMrl?LHJ}F~$pMCC@;Nc-HF%@FsNt-L zlmP%EG^J%7Y*$2T4EV!(x%yCrP93+Tv}3mpJ1R&C;M`@6VfMUm^e)T|k$M1b8e0MU zp}&llbRq2)nNgS?k&MR0pFNbb)2Qogv|%_zVN-C+P-i--*@`S)uMklYK z{7QYgyH5|{o^@bA@5%FmUx_{#Vrule41zldqvlkmRC zT9@@&rOV#4C^DmB{AG+x!Aywc`j&uqFm4KN$16IOI$a`FDE4sQvqWjIMGOvF;~l}S z$hw0$bUEp_eZ}zg;yXhZ!(=V^?rZcmNCWB8`s)_C18}_JG6&9yxsX-C`K3<1z_4;<0C-^REbvfdgNNzR zMNoqWF4%=pgU=s+Hr6Ct7kS_J#T}ZKChDwSZuE=H_lB5>D8RHtOoCub-hr}ReAPQ|OWv@8MiHkcnOc)MH9x7dbY!mi@1IWc}o zmwp_vrL-maw#co*?TF05yJGHnp(}yu(k7=SK%dBzV1``|jD#F!&gDQm@y|up+pd^vB5wlc=MOc3`F)X^aFPBKku`ZNro%4Ojkuqd z%Ah1eA~ys#EV2eL^CD>hiy?X!K<76B=n6Y3*5CyT;pvcUmH-ClH6i(?X3AT*)MP}vyTw2 zXzO3Mt3V}xCzyRYmp5Q6B)t-j1HITd+KJtjqqofGnRt*UX}>0i*%BL1IK}dOlewaq zfVmRObTL4>~k98Vh8|Fd~Au2v4$6$dMa^HDCu)#?jzwQ~3n`h~b! zebEmdsp|j;;F1QuT70s;T6})KT6|=_T6|>w)N4uNX12mND}-ZSNMmD#qDuqr$8sCS zXS%u|c1a{xiN-^b+AX(XcvC7lQ5p1Zm)kHkk>tEEP2E{;qLBW zNd&ndNh6(0ila%VeXTg{YsG0_D^B~GO?w*8HH#-9R6N(((X$uw>CHcp%#1RXJSB@L z!N=TjuNzYYAAZiM&Adp<4ZvmX&S61p1XwInKO~YaKoBL(=eikgN}5k_GrC%q?VDzQ zJ~uj_TbjQogADmpX+F0!AI;Be7HgJ}vp`FvQ{HIOsf-%3teORejjaH_E6?)adsw7{ z7`L}vHpLdrVoMvzzj-%-n-|FifcFnxK`&h*FTuI>`LLc=WF4IF`=Z-<_-+C>;-&xf z-2|@TrT^{S1g`0&KYKTUqkl!4&aZmsY6Q0=(xWV3MWjzho=RZcsTxiVisY2~gd+ed zsW~y|7I_KIBa&Smhtz2vk*5dVYkkiH6UH_H@422wIQv5Y+$)cY1qHg{z0Ca~{oI}LqQZE#EN`gUzp{=iz_GED0Np7ifKFqxGY{SL zo2UjXc`dLi@;Jk_#;|ar*`Vpxfn{TxKs2i-##yswS@43fb-;~fBd23GR?8K$?_i*O z)7sX6a}6A60uv(l5UynvCz|bBvpV3-E*G2Cle}4$cUPsmY0aj99g)6F+XaqT2UB^Y zY{m~&+cl0~W5a@FXEy{}B6XgC*G*jC7u*s(uP>qvelCF>Fw2<_XxtVRu50`r zhtBM0Oq_e#C* zl|D1nn;^J7b%CamICyLBJI<_z$MsupeFLw3AaCO6~ONsTLT`u6ezdM2rz%y zbb+rKTLVgSCIwC#TLbRRn-n-Swgx=>$F7@tiIe-HGo|{6<*^UT@Ti~_+Lb*=k4f zSWRS)6(W7C5cy+;2vELrR_0tcegrSH9z zJQQ=}a=nOfKbGEtOV8>hV~x-^BDw33yNR3H>FGXiWJ~)DZ>~N{z{r zTD{870ngC2HjJ;N%7oY}BCVJ6nx;XYF<`{lDljTKQ|jn@OOHa~TUJ$Jwr^V1`|_tZ zfxjnW7%FRER?^I$aY?ozYFMnm3nHy%fW;vx%VN=47DR_go~;X3l>nb8{YExy%IhZk zZYp~&p-g5J^JwD=A4LFgKWCGNU)5qnOGl=N%O4O$DU-p zT0=Ag=S82;(J-cn@`|Vus6`*K2%xw1Km~`*gTMiZ0L`uNOTvO^$42h-Lf>{BQ-EWn&fzSEA$HKmrMC(c*n$*UTq7DA{|)P1vgSwk?^zg`J`JH zB`#XhxGA_Tk}F&7bGn>d6zQ_SA?v=evjB&@vi`o&PbG2OQ}XGfWKYMgE8_ri zUY7~E#?^wegxD^DA1P+zTxEOpmafkUr>w`KGupkc@-k37DI*UebL31kGk8^aN8_z3o)M)Vi9%T#V?M1GE{ z#E7^g&8g#c_W{5MMP`-A#4gKgM|Ib4T~?y^(2A~Z=^XTjB8?nK_f~zsPWvh;t^0!^ zFg?GoT6(9O>uUR^HrMpfzS@S_x4EkVJ(?X&uf9qqhK*Mj#=`!)+UAVFPHRdq+on_l z&TI50hxww10%&MfO%BttnXUl$Lk@H3a^NWBFf6%r=PhZb%nl64Y2^{XhG}vbwn)Yg zE^6bm3K+HwjRxTIZE_eE7Y#sW-6~)%h!kOf6fijq3y=oj!>EX<;hLN7DyzlaZ8h5= z5b?m|Lg)Mm70?dk++uQBJ?z&yvUAK$7>vr+-v0c1; zY-hVG-P~2^xRROW2p?yZ^d!GwC;67PM*U?!PVo($UBq%e-*dJQtqnWG3~7AIE`VW6 zn=?y#_qoxA>9Qr%;Hc0|d^DE1_=tP1i>`lRevjmB$mqIa2Tt8%Xs||B#VVWrf z#Ba$x3NwW$Y_;PYrhT1}aeJ*b4=_m1T8!qN4Q!?`0Nt>s|G4~}*KM7)bs$Z<>si`K zJ|u_c%&A%CZo(#PmbvS+jd7NZk!zfEd6vNnplkA+43(JK>b5DGWwy9al9buTuGTSpQ%}PGd2XIf^;jm z-p*%mmKpR3%3e>#!xZgHJWEM;f!*RRu$w(gVcdn8+qG0?J~X|%?U)$t*Ni6J1oAK6 zloZnr5mvwfk^X!K&v>|Gm{_(53Owj}JstUrIEDis(>x^4Ya&)F$aX_L*sw#*B>Cmj zH5q3*-chL!){j_R?huLP(C%|F$z062lzb=Ir9i&l6%}^}tfl|1=t8_FI#X(XSk||Q zI7~ejMVY;0M7b7aLfS8iax8)n-+Ai5fbBd@;OC630K@L)W*cTiBy&JBqMHDlo5^9wVGROcwR^0@_?Fj<6gGLj|J6z5^lY@*j>Dbo#L>?5*)sgXWe+a6 zc$plARW1Ek+}^Kbeo1Qr>9v2ea68+K>EG3KUK1&20q&1vtuQO0$Q{q0*oC3WO~I!` zx|T&PkLLZAhJNWmX~g;=B}pf;03O`36qw@JekI*9L0*4p{{ehP>%g*0f$tex0S;XX zJT!I+@Ohrh=ef>2cbJ!x08VR=aYLit`Xo6N;Tz~j^(o+<$izZ6Qh1Qvl!wFu<{UBD zvbmoGJPoD*E^BCj+jd=>1ejUb063SR0di@RQvk~raRfKETV!mz+crs)z;7E{0f?y# z#N`cTF7dvICfCH?5+MfJqNNS6wh)7(B8dTiaqc$%>q}nXCY;N`>>LfQ2YFKVoKA+e1y> zU-&!%oHBGViNl5>%vr-{cjnpCIK$NW8>boIoJj2e5B$us0>3AcVc_?StpGk9GfYR9 zh$SNMU2dj0Gx==HFdH7>nP*3MCXeb&zNyW`(MO$-@~C+r=G z=dnW^GnfOGSJcM~hbXV8?xxlIv57q9C>H&b0(V5AAM3kA8z!5lzK3vYGQbHzSEThe4DWTc@x|+%)1~+G zH#?GZc^I`l>@v_awgR+7))CBAmjk{gF2{~%9mSSs1t#RK$OsM%5$!H76B9pTS{gL2hU{s`O1Zu`!2K*{j0n%qP>ung8t+at*kr{;XM~KsauWGwj zyB{hrz1kM#3ovKw3@|UUzYYn*0an`!+bpZ!harYtRnrTwlbRf6Q>42mz<1Zn_r)HX zv;sUZ_AkP#S8hyLX(q#{b-s(x-TRrb> zGViUP_clpyE$gigcyHOY^SayBOzuoeyoz*!N$G=l37T?}9YahQh>3+Gk8Z>r6FJk6$B_#iSzh|}AqICfRAX>28LQ&~`( zMRyNaHFgZxbLoM=jb?w(|E@$2ME2qb=CO#A4T!G45lWp!aMmWW23#<<2@HxnN8m=h z0;n2W18So5<8R{L@Cu-1Y&OummpZ*fGyQg)O5Us<&9Ik$l(|O=|MvaE-35_W3h*ss z>%gMOM+moK6*XWztB7gel;pQv0oZv;0ZGSOzSaci--ew6hD6>=7`yjZ)SZ(-Cb`(& zW%-*PY$DTrUZ_$0SU*s>X}0rUcg$sfH|sBCTJm9=W*=)Cg>H?!_%1$|eIc_QvVz|u zYWB8B69!xtos1s}bEZ%SwvC+vLO0K`1xbI)6e_^3u}uJ7U4CLj6c0>P1Ji2?)rcm5)Ht#9hIS-}yr=r5sp+hPExizQ(k3}Z~eEyy1N^gqP2G}=t3b-wDUAV^A z(aE~Xo7HJ{RitSJP^4KtFIObpHibznJ$ANRdIM&35*ReL3XF*O1wCG}MhsTe=$bWb zV&m9ZY`9}tv^P}aomEi$*QQwMOU)LN;I3*OdM##tN>r%D>NiYO18U!(b`p5g*c#9j zd4%9vUIEPgx~Yfrxv@IN8m8C;!a_aCE!1-h*{D|3Y}lHOQTxUM_Q#rnHD`ehQHQ>% zx=`x9ppU7m^w29G3!3KA7=U-VUN}h?*F<^_U&fF%9S6>f^pTzHGuf-sDw{JEoY{Bw zKrmvBD)_bU?18|ek*z+O_IR5m!M3sWYf`*!ZL+TxtgD|R^QeyThpvcoeXa=LT&J8n z^Wy*Y>7~+VG;n%n@cKg<^v>YL*3yCV=QYxQ+p_)8>{o$DB3=H=^mWhrsseP2n0|ZB zRuy0h&gl1g_*F-GT=FVlRb+;Q4^p1)8zP-efe8^R;mV%+J}_4rz+H1?X`x%K2SmKH z75Jzc`A{0sJ{sv$k7woYIgx(OXCZ;{ylHHRWoTOH4+IPc?W~9DV%9^A$sl%xjU?}3 zd>}JAo*S)VG>uh9ZncipWsyePf!RGXTLtJrTSxZs;9ZRxXSx27ShxruL+9B!A@Fwk z=(2cb7dc2=(2w{aj{uQ_w?rBdaA@od@I#jZ=f8=<3@|G4r484xiW+ci>Pv?KYpbJBHm0*1QFT-7&NKhEFXWfwn&@dO~G9g>yJJO`^@aroM1^rtigva1|Fwk(0iT&x@>S2 zfZpi_ykO!f;G(fL;Hpc3Wf8rAx zj6OyBmcHZz-z(A)fGEbuZi~MoI-{SpMh%`J>Kh}#kqB#`=fphFVIIF{$jGI#5V6PQ z;;0^|{*j0tzQ?zX#QP}cfo>b+dxkyY)iHx*q*#iaV3PXOvo23ej7NQv@Oo$_^U!2R zIzrHGZ7QpR8^%rpj9T~AG#?TvCcvYz71WjWrJAyrtep0sM%r`U` z3V&pE<97x3jjaGj#$IMfXGK|y2^Cs4&ML5I>}BA(vD3g^V`qUwV=q4xJT`W^=i7RX zDU#Q~ys?*e1-D%a+;wS$Z##2t7LVM4*=KhZZOSsyX=W+_-RcWi?WCls@t|iHJ2Kb9 z&|tdD=4b<;H|7!iSr^mmA2`d1PFok*9*-#xibcYQKaFCSDNfUUS)}2Ir}L_5TWtln zZtP`XPo!k;A{>8e;tGHb=3mfbYz6R@mHo=oc`4FfFZzk7x0%iJEPJ;*YgNUIdUlD=i9uQZEs zPqM`sev%xyqnE?A;@Tfo>sumy-X*FFAANbCnt?X8HDJQnY2Zzl0#{uMY>Pa4aJyEK zy=Kmh)iLJHW{S<~N!~1r-g)T`nx*E5z&ox3Es;eJW=`bMgPV`4h#ppkMT#DPC5s-6 zdy+*j{3J{RS@q>`tr)#-Rj-N^vZyXZZ&x)pthNU9E=6$Q`bCAq5xUn+w+@7EJ;`;m zh@ktgOm_@8G`0ym6cPWi1dgV3wO;bYQWI@rW3tkVcRU!fi+WX z0%4(^r<*`lWAIi^c<~Iyr)E+Q8ot(iZ+g z+On8OqpDjJ>BNNEpE+BWW{0S7Q%RR|CaM8_zY$@9>lYPL3f&FUtpf)lLRe4WTsKQ8 zbZa8r5(3^u<+0dq8P%m}F@d2Md6RdRWfTS$%s>rT6xkyRm>rh`yC#3ToxtpwyaMcp z9Oj0}tG5KVjjiD^{cChUk4VF>1X zzh8R;DUiQ*%X zdIkE;(s*ukEQ}V?V^E3(PrXeAIA_hPG=IW>+R&G7fdR&FMqY4QgFkBx>i~ABx~i(& zW*yTPMfwW)sRU+7Bx`wJSxVyDx=25AUrt~)z0i@s?0TUyfw?Wx4K6Nu6ska3ra!5_ zI`EEex=Ww=Y&k*DC*o&vU6@eBU4AB4B6%y-1PM-OQVb+>l6X9_&%ES5E);##A1TAf zYa)H(tTTbZIlfUScrtA6b3J@k6ZaJt)FkgWiw$n9T5S!u{T&pu6C<@NR$B+QMV?G> z!=_sYu8F(??uJ(Y*gKhim|PZVe*oz8bm{Bu1O}_0P!9Ad4M;}H4@J@idStASsuTxA zpH#d(dq3NiAQ(ESN3kI~Rr(6BX6$(G=-5q3eBfV+MRHZ$xtBEnNA$D=@a7d@!z@*E zOBIHUHQxFO+-Md}j4qj_I6Eg2F%EbftH8YI#G*j3A}TBjimRe5{cTYo z!Ou);i{hpvw?&Fw%mQw?C(2`(9@Q(U_3c+N?p6bMGC1yLJ>0Z)fP3_+b&z+JMSz|k zS#1sI`!0%;z<`Jpt|f3kZxuD*sZ(Jia30fXPe*(qRDKjNmn0*IFRUkak-p}91EoEaI*Hz0{IqQIT zM4Cx}hMM7al3Qrx7P4DbEVz?RU_hiJ|EUCKSfo=atvqJgoY0D3X%TfNFb5(nBEUO- zXEA&H!AKD53KD+bR`I@xsz6yi=;>qj`1-MA?o>5*Im`bgY5#_~Rsr0#?0$1uqH9(= z30xQbNVA2RJJzlS?5yDMBye5i*#mdOD`F%xyKBwrzXR`lQ;7|vS`!CUsxYwfE$q%9<*$w_Dgh8^jzr|5|FTT=!@w3 z!Nt$MXhEWVNc4ACRr1b$AGIaZdO)Capn%E0i2>Q9*{>osrjQlUkbR&;RNTGW7h z&fXV1boP2HiqHi&yc*aRnY|q`*G1X`6L2poU~Y&C_6AfOHc=HA6=`EF(apSzuLu^M zT@tK1yC%5pEO19eyZCok<-f4%8qob8#}X;maHvnC&*zVAz{57kt0iCN) znnIyr3U%P|_c7H1&^=xH_I#E!x#}3Id)6wuR<%_0p0YFwkWDwyar?s?UkEHC_TKfv{yrN5!$CCBhgUB*@{KyLsZt7^AexySz~ zJU&(Whc!tXq8CfwE`7`X%2q;=E>h9^vP|)MF4EuP$~s$84?EV`R{-K9v*8Mz_K0+8 z1I~)<@B-t`G%kq6i&LdH!WrcgPAr*p3ZQdIiHrA;oowmBEmbdyT{Ok&j=V{N8@U1KZ24VMBK*4hOgxiq(5 z$9}H}AM{vDT%Yw;0ledESI;{hqvKUG)}-TG&gMgC(nXh98Ux%?Gp1%ywW+fY#rm+b zEq6Gpoy;vx;?Q}sI60JA%vRxq+O$OJK>yg;LjfHWw=Na&ULs&n^t|4!fBw@6ES~5O za-AAe8U9ElP2gB$8zl^5;_sD74Hn;0D-pM_QXDd&(%}+d^!kX5d6&*gQPYDnod+kI zee4g4^oZ-%p37E3NDHqBh}8v=+y`i*ERIf?kZlvyfYyI%o&i@yo{@0tUQukeX3grr zoYhVO(X5{2%}(_8+tzFhI5f5exc%&b6-GC#wz4VkHkDnmH$?1m;R%{vU4JC@ta);2 zRp2JG2SO97y)M!VU|>QT&+F9icRrWE;;c=T%HeogUF@k}YTAu~d6DhXFy8#FF8+u{ zG%q}oy`v5vTi-Qmm#nFtkip<;;lU4M+%wfGz;MqfKfa^w4*r(N9+?Xy=cf_awb9QVt&qFe&z-;E}UoHhNZ6sKrttwix%e z^}IG4CY_)mmqL+@sDHLQ3GFCHcN0Yty~n>JoxcP&B=_XCjv0o=?g;2wEJ zbdQlCGg1fUjhzA(jI97G#@4ZW$C^(8ZYf*ASaQWNV8axrb6>{77j0EI;+DpMyQW(Q zo-n#5OPEl+uM21=_T$^o`>H9nP{d;KgCpx%b+%JnmuO$48^tWTdztK9LfwH#PgU*; z4n@!F^s2v~3j4@wI@HfO>$VD95T$=)6?VvK>cfJDvDpg6;sY~Lqut=iTDtBvQ4{Dl z_6-2#)1_}MCNNiA9<$1TJOufjyF?f}UHXk~8_oO+<1tc*w9w)(giaSd0 zr;=FH9lD`#o#;H*DMn;Kt+mzw!k~}%bVURBP<F>XGR<8zzKBqs-i(t^}0lGR- zk6^^=vzft_6%*Bf2O_7@Q`x zJBi`h6)6U2H4Fk@ttNtq;E&954LG-sJJZ0B$Pb%vqh3*Lwr|bqzy+(F1fp3z$(v=d z>5xK~>DGX5(I@N`*=N3AVtZA2SX9J9_>5AHttMJ#>cb)5OkdoYNzd&U_hiszg!8_ZD)a9k)7mU|8xSs?^V$dYu>Oz!xgKo0Ba&1 zrRg3KHnXEL{56pr1TdLu7R`>DMis!c=6?}fcQJq+#NeKb0Y-n%MHe z)PNf>Zk@26X=y)HZ=$ zkuONNVXpvQH?{_hid+Zo4X*&^jBQ58c`tQ(iDvq^b=IsN%}%CP-g2ziQZLl9mSe@1 z`3tp9Dd2e_`R@MzGFZmGZXMTvo5oH82OtWBN~rXN>SXv`Lr(8VU+NjwNs&x2a;>#w>0RRjD%! zaGbS(^MFnj13H!v&}$;K0Enaa0e4ohPz69#y#mhXdJKrjjU{l8MQQ<*6&P;;*Dq29 zz>vKn#=M@6PPer!Il3+qOA_>%Ym<0MzmhVGht2~3Mx>9>TuJD1%{rN6syykkW5Kd| z@Q6)E#O%VNZz<)uHXWgfD!RRBeN6*+VA+NbWR1=-kv!3aAl&pS0I#eGjQf;57+%SI zYU0Bq8+8*n61`CRj=oBz?=|DkP-TzInZZ4PXmL-&f?F8$ZWvim9&A6ipLL4 zr3#QD))eNf4Q3i(DrE(@Au<)1nW=kV1MZ2mVcG)pAl69mO-r*HurK1vK}&k3P9%>-w7{Kv z7O5IQwD{}5Qqy`a%bgDMtOgLYu)&^;i&2iqL^;+>(Yw3Z6xT;nmvm)$(i@+*I(^Su z-*x)BD3bDU+O62=QEYT}MOHDVoO~=8v~kVmc`=JoMj-`4?bAM+&-H9>G|S%wSz0rr z6<|YjM)&LNC-4OJh0=G+zQL%_X}wnHs>`~n06n5;20tOnnlZpvMVTOmnF+$LOb|ov zR*&aJiURPeNVggb*{h;?#eCIrvci@;V5-^u#9=lgHntmH%LqR2qrmlZB4syF6X}xG ziNhB}@F31hCEP}g$BU^-IQt;$uDY(ScC5Q9uq)DQ{tnP*u-cV*QDp`<&7o>{>IKiC z#rh0ZnZX|Op&GH2LbxL~yb&ADbjq2F7MU@?ckQJ`JKNBqHX>Go@2q3_&MFmB=!Qrt zI6&`(n}TjxJ8On#fB}&`vW21RhIrOpIq-wRy{ zjC)YUgR(r3Qg;I5?quW4-I>bW(d{MfFmPSMitfDc?yQ+R?{_3H?oK6l=Y4l)&)j*x zD}ix$D!5ZJci!(#VBDQ7<=7cnGlhViRTurDf(VV9rZofH7FncVIIXJCk;Ji8N83C@ z>_EC}-Yc>&Y;mT4SFYVRmlaN!$6nCS#*4vmS;AdTpB7G-J}=~-&dYt9%6x~MP*18RO=q@Vy7&6;8gQ}aSc0y7acFm!Cu zjy*!n>1WhBRp4Pb#!IOyiSxXa@LtIDfA0x}9#g0T7mRHJgCcb630%!9fH0EZwC25x6?@6=@pFao zqC)X(yQqbuW z=u36$3EX+F0K!N<{=Ap5VlVkfbA|DuLO!O4|6jO)W3QT@HDE||a!yegG=(}43i&|( zQU#hUn}r&%EAlSjx)NJbK##~P;QG8GmS1k9UNllqawGMkk$RFF$&CW#ha&eIu5*h4wSaDsSHOMBDr!J)RuRL?t=Dtw(?#p`B)2|Y zv|dkg>-D1be2Q}GV^}{BY4ZlccYW1UB@C$|w>K8{Bn?-?DQ&Sm=~xPvvzE+wY-V$d z*|~g4wQC|RmoT@Iiny_%${Wwq_>pO5KktmD*G^LV*lM#!9K`fz%>NqDFY?&Ko%f39 zGuN#bb?Zs4o8?TdJ66;kE9hqLE$L>_y8=4?8?Ko85eAr%e;y9Ot%$4&W-qIP`#q7e z61X8UEts|IVIYQ)cUO<@lzGL@>Pd8_iegvwB=4#oT}h|dQJz0}M`L+M^Tm$F3LVWC zI~pr=G?sTXU+gH~^YV_y>FBzTf42>T!>3E%-)-lfkB318X}68ZTOws6@W}Kfhw0l! zUIljlI}7S=8|Iei1sb2xH~T0Yi1ZN-;IYUI!}R>N83xuxCWqM;r3N|@3Ogbh0B(y+ z5$3)q>xsf6kraWBom3H~N0eEl&?k~2aPhxS6=6n1nZ~dh} ze@Lyt)I^yz3KJrA3(SjbATYma@+z<^%Gz94;Xq`HF!x3B02uzAR1PyQ(p&%o*HSsm zph$9{6>^v*lUINnA&0qR@+#2(Kc{wJ&ROA`q)`B)Cf8iUOo%eqDYQgV1m;CP zTrmrxOp(HpNQ%I+$P`z^tVaQ6(+ctdW=EtJz)h3O2be>XSAd~^ky?VOi4-`XCCYlA zQ(<1@zKK~BNfB5vwhG)4nF`FGxEy#a%50T>prMIO5oSxI=mR%G4s%}Rf@?q~bymZ`rl!`9L#(scq@F?I^LU<mjg$ZyG@|S()BAq zugJ7v&bb`eHMV*5Kgp@zHLvFdE5=Td+Q*iv&0fv)1Do{bpeDoTthp!lrbxGU`ei#Y zB@1eESip2B2-gKnhO!9os5b!*^j81^TDY(tD=zGJ`eL~rcWWRW=4CaOn#p4wSt0Mm zCiJm&SOtzmFX{o~`l;cw!=Fni(xX29)=ounO`2Da+cq0j;GVHf;DJa(>`GvcT@Lj8 zx42ye&WeLr$vhP%kJGSmI3avp7Q#zR|A4bh{h_hVi@VwcL^2F)iB6YRyAqg=|Iy??!`SIf z!EKiUE#;1$+yk4&Hi3N+{*zUtluSPoFoj~tsxGAy8l=?^Q@&q0bWS9Dz(o-%q}zsx z=gF{hA{hb5v~=y01CmB6Fz}e#^ICojB7O{%Pe#TXjB@0Bl%pMs*;jC3**3d0^(@;m zzp|FV`Ap1o>4MlR@(Q>)kq)51g0Yob0xqhq1IJ}lrNegO# zAEe%?8SE9gRXCs8nFyd3F?)y4^30%Sd06D?3*uI@(lv2=SqY8>t@g35z0r|`B#W2e z*ooxIfq=~M3b;N?kQq{lElN6h+RSi4@m!f1Ri4a?Qm@3ZRp~Fo_xI@!&%=8r&9GnH z5y=w3MZ?>~=;ZBjC10osY=(gePj^I`*Ww;_K)C3J@ z=LA=rB_3>ZQs8*A>g;ua$7AZDSaMDZWRS;WD&p~IOPh9@Mc}XkqO-)cHjJM{% zw%|^3_VyFWWPT!WSHc@8H&5NSEDxOq{GNHa(C_fZW{zU!NG;^X%xVb(=nN5f_ z80A=F#Ij$p`5GZ9AYMt1eF+Ize5}s3;4pm z!PS!~MjTVEmT?h59J4;C>0|@cH71Uwe@!3g`0;Z;+5NNqr%FHh6A4jVw#&v8=LLc( z<@}H^l^+tO@`KwHsmWDEx?EEjx>&aF4QILZF)T?L4nq+eevxY2{OAq=Xo?hp@&hFj zev-uDuT-{`3w|P=-pnTcC3D^HYYI0rb_e65n9fHrosVKVAH{S&ifKkMAi@o9T6{#) z`G}?;O3J8oM9K#@y&?$%)CA=}wjl!Oo^kThl7i)GeQ;Wl+6qD-h-Ztqv=|42pEBHs@G-t5L}+yAcH#7FcM)r>BSrh4FT)-8P{)$0I0>cICvi2$q}$d~b1Gq;bYXIX{y9V%vEl7oSUd*?m zz|NOM;f9z$iULn^O12u+rYzu5fwYRdk+NCVWZ zJyBc`c?ph~>a#AuVpb8Cv}h~`ciOZ?#R|{R&@ZXy8r$un22Z%y@=;*!;G_PIcA2{( zcZn6cC-M>;>s1Z{H?xW-8=FtGMdgHpVO~Q0B73fpJBY?Z5l*3SC@MNw(>B!*xl3f* zZIPGY$UQj-+{r4!!Dwtg(H4~x4i+@F+Xao>K{Vz?IE4Z^UUcw4b$2occ`R~8HBA0`} zL{O5cDYPZr+5+!kh z2_jJ(HPHs+R(C6n5|p4M>cknGL_9r7PaVzZJ?ZIR@@y&6v#kyytq>>iP7D}gKr9A{ z!3^HP8w_}eVFdUym>KV`nK8SDHH=}+XT*+YaKKE=V!%W8_pQ3;o~vg$$+CO9OI3AF zojO%@>ioHXB>SVKLC|tq5jsPh(oLOZ3S(y!a=Vf3?3DO5fp^A6)GTlgifu`Lf_A1A zq3h7tx~456o9xI)oPIGQ5sgA(JuwOaw!f@_?aG@y0yn8!RBy^baRQKmp#5n@FfcSW zn`n#3CIf?nUVDUkk=gbv6j_5Ggq3L4?oWRVDWQCY)Tj_(Q*i?m)e37muCT)1nqeL_u35M8=k^twAtbwOx{Mj^QP=0_J1f5SSf=P%|s;A=hMKUaQiyTLw zY*(Ch&Iok40sXv@1E8}4|8^)SMfS7{fLwMkD;qzSL3Yh#2SF}7l$DL&yU^3C|E1Xg z>N9c-R1&xiP}5!!%%RzJ>tX;@wAvCVG}FK1l{PD7n|W`8Ae7R@R^|+41>z@%yVT^( z0_`%Of{|qq-Ki8*@zT&M-K>;)G9x$Iz8HfAubZ<%ZX)bK?{tPE-v zxL&AMuLxs9v+LGu0Q6-e^B@Fiwz-Q96Bq=!>_ApFW+i%EU??jPvl8{)W=jE7G_nk; z2;5$%npXsSY4$!V8URtd*+@!0YXSvO*M*=gXh2}m1O`AsAi5bf3}!V%-%hI8iokv+ z5#o+9e0Q$ib_w)_!{RDLt1z$Cdsu&VO$}dajm1Pw z)Fjt2iK9(#k?94eGGCPhWzri|i42M;O|COian99%&I{y9!z#o@XBt-_E;-Y*3US4m z=2eJm&g@);xFOI21G;Hs3A7=wKfD0pPU=?$FsI#|)aND;Nw^wQC-JHx(BcnTGO`Rh zCh(Mj%Ka)bWzcpb3!qjn1+{x=@H*-pK<~0Z!2<=o1FKPQDe4`FdP`An`W0$X8vZ}q z8;rz&du~}{>P_jv+H$N--}tSl-6?CE2f3Ot1e+`pW6i0?v7nI{by;lz^c_YHfVu@9 z>>x|YM@^sr>ijhVI1bt;a9OA|uXwQ80L{9rb_^7n4Xnmysb#UZL7Megvl1vY)31n& z^WI9Kx08}RBj7jzZnAb|B&$v?3mp?60d@sx6pyRX34szC)M1S(v3(`Be=5^HJ>;P7 zx2#bCbV;x|j8R}9D-c(vC@`26h$|C1?|2uWwtr<40raGi1E3CpcMR2O6$MbQk*U8? z*#OEyvw>Bp?=aZ`5Y5mR?Yu7Wb`vOpyzc_dyo+>9(A#2y10WYnSEH}Tg)q9vKGXfD}oI)`?pp!0HStt$CR|Jn_f_tk!4V?!1Y2EydvnOS(6nFfZl9m9)#e7UFMLO*_MNumV?=r(XH5Wh?Z?;Q5h7vAIc~h3QE{ElM4+El2?mWFzvMTf%YfG z;65)7tzdu5iVC1}MwUPu0*@Bdm#v}zYW|H-@t~4{sAN2=WMDNa8OSP$i%_&=5GB{F z!!b~>WH6&-Feu5qa76>15`oaUB0%#d*-SAQ`&Ar_UsCmTfkKI-*QQbJk?^cFD1c50 zJSd@g6d1?~M8BfIU{)XweH0i%;Dq&728G^Ya?>jtRQQXE(A|%~`(IRqE*bBCaS{4> zrXG4FQxCnO6If^uy=J_(hrXJrhrXGqhrW}khi=#&?SpQ5H0p=mX}s%)ZpqX`w`J;~ z7c=$vAFiJhu_HJ5`G%p-H$bOx*zv?F^iP2d>+`bR{PzBLH7M@{K|aQzK2N>>t@6~z)AeQJv@AyVY@p);ZXOr( zht!}6i`JbmYLX&R*Ss|NsM6q`NIcmi%SD1N8(G2r7PJ48rX2dFK+10k-ElH_rM6*U zMPhfKK+pF;B_qpKA+jyA!;p+5oBoN3Xz@@qb10fQgqb9kWOoT+pZ=v;?7#?-(UzfT z%TTmsN*&onuN47zkwRpa)_l-OBga9_7P7IBW|A$bgsjqyO<43)N*+~Znlwba3(@XE zNE`{^0y(2*pbjI)LCtc5zvuF44I`d;q{r~?UZyI#SP1i9YD4NsEvp+I%f{e%P|HYo z_{Zd)T?E2i9ssQi^ygY|nc1S>q6O_T=StDJf#}>obgqPROaL{*qctN-w}hAmIxuw! zT{m(Ht;89!n`q8X|^=TidHi!587j7KZvX$DH_7cm_9l_uYSI0UFJa-jU2xsbj`>-2)p!mvGtGW zd`c+@cgrh5Ze=OzE=7OSz}}PKb~gglXyo`#p%x>@RBzX1{dDD}5LhkKQF$dQFL{ex zu16}4o0{x`OtK{la+6}kl-1fCSD^G?{wbHjNT`skZ?&8#&%8 zw8zK-s6!A(60XN9L2hL!>MmiWo0fJ{kl?7b$%86Jj?W1#895g0Aq1|xG%eDVSEBMt zR9*^g8Wo&o3(#O(wbI8ep|)B7peHkJ!G89%?OsLrE^QyE37T2+ef1px`6^@^7|Ees zszZpe6&c#>PX()SjjBe$YF2Pg!WtORr;M~?25Y)jF3>(B^C0gh_3NbM&wF#wx{>{$ zD_%;MUDmuGi`V;lfxqq*@0nk_Siu=u`{ zK_j(y1&`}*_L3P#bFW{8_ZGIpkRDn#vn|}%ff#}G!8mQnHVs|20qP!0y0DyM3r!2c zeyV~;Y$M1vMWeI@qaKwO{gY&LO|ygz8pJ-L+HCslru){QKHu=H_t z!)URy!C&`kkatvy9i@w4MYV3xhV8ZoD#E7GSWEcNdR>HlZ@0))ki=5Nx~*SRga%%%nz@JPW@Cp^xtLbp;JFq z4}Hu0@b=KDpQ?wxm#K$t4SuQ~y4`qh51qzA^&tlOO^syy^Pg|%|9rzS@jl+-tDkS! zCA}@ykJpEGog(mgNAADw{$;hnU)S$^RxTUo`ncA|u|96Z>6-Q9e$>aMJ`VMM2Rk}M z)aU(amF>vsF@>I#VAs}p@Abrl8@-U%(CIuVXP=ZvUOe|Lw@hBV;u!t(yv5Ho^UHZ? z&lm46=8Nki4wp0X(07b?dB&*2#>wTOy92NOx0*MeU*10Em$xUb_4CN{&E;d9oeyy) zFSt9>E(0R0y3G!wu2Loy!BA<4iAuw;iwvGi0H^Pn>>vmqxsw;VY~(ly&r})`LmGlF z(h$-^rC7g9vp}*S9FRcpLjqxnWX@iA^cyV4dkPmP{3SGc|T1S~w9c zw8I1TNH&@^5p5ZYwoJ$byRd}}))5?Z(#R61$%0&I6>2jwkFjL4WI;!bEP;+2nFo=+ z5&)5@dR_qHWUYIh=wo3;C2Lk%0O6-(K_sCxfEvx45~$f7W0=^XBnu)C(i`JZ3MnZK zVHKBbNIaF2e?DTRIARr|)MbNLlJ9nP>8HoT9?^I_sszD3ABdh;@tnzE<_{y z$UB5EJd`0<>SZ}Y<9xQ=6&XO8<{Bt@+7cr$9vvKyu8ap)c$&jNYEn)MF?!0P>p~c* z(x|_Jevj~2)L)7ED=~m$F^ejpt9>GTvJW!aDAuYFhax?M^T=jVpzwyaDWpc_UOKyJcB>?Aetwrc;{ z1oNQ#MwT1ZhqpB6O&+x0$Z}E0o2MRQ z)VEu09%H;VwXs9mj+@5pVo!2}#a>y*)YV!ZXJz_WXP=rbTk`^KZd*TvD3}h=qJ)@Z znJicnOE@)k;!0$*sMY!#r(0h!()n^h^4B)2;kMN8Zu3lwtJXFTx+d6Z|G-SoceU+4 zlH=#MHGbuF`p1RRMK%q@?NrSG+H$65C~6!)Bg>fr5u2wsvu$eQ>%b&!TyQc@&dJy& zof)+07wDI~Ks49Co#1H|l%xfyYLwY&0StMo)DEXF?5 zm@2&4Xu8Q$H8sB>(2R|33enEgxQi0nFv0ZiP+Spv(~8naA$8x1hCmqhxE=*#>|s4+ z!9u^;9||}7*=l0aVKK2cU4$oF9n7cSTh>XM^z`d#=bc$kHNH874w6l89e*lFhGI0a58b;^HK)L9RMUwD!nj33Le6 zoFqmq@>8X_KjP$~&^0G-3SqniWU5`!?ozb76zwiy_mbIN!tRpUUBd2rPJ+lLdu0mY z{*>_Nia<9`_;bri&~1VK(7P6?$6mJz(_>zhK=_;1MdwR6f5yc~o$F3+2(_E@B@nSl z8^aX6j$~SN5=5L*F_;anBd6vpQYC_R+{qI{9>3B}ksDrmOQ_pISptzhsY;j;uPX_8 z#7iD;wo%z7(DnoJq$v~piX}}s2ER=3=PdYTg1_M;i1mPfRr;B>RmdhAi!!UiekU2B z+fK$oDKjYN1iF#CAhhlzXnK9ikA}oD^7yQ#KaCrAD1%Y(q=&| zGYtEjWEke01QGe9Dde)(92dIm~TNJ6RR-kt>IhYZlRFBlkRKV;{L9BR6d$S7hYwImyV?1UiB+ za<`lWFp$lORScni9va$oREdLiFki>=`pF!c>$z?9*jT^8{o zll9O!dBohKMfR#YxP8qTy2mT9hEW$1Y9JcWj2W?Hj2$GDRU-!oXQLTAhzkWLiR?Ki@#cn;ao!9P?~*w- z$T9JfleoIed>jPfvYw!Uy1X>*K7(;=1{s?Mi^pJ-5aCfXP@k6)tQ$@eteqC6L9(AD z*O434CwN?+{XO%<3%L)py>S)3AZX0NAJ)PER}%Qgfp1)8TAUL|0qBw-s{sD8AS!?( zbAkfW$44YR-j|dwzKRVqA`FH8bQ8$WFa2>uh8dA18-`(qp=41Wj#)iSlnF0?lS_zf zVg`YmETF@L*^_-Z26UK!%1GLUfYOeL?)PFMdfiDP>R}uP^$H$U$ez)^950f1Y0-(H z8YWa1q7Wo8kr3gyZRGGBA&*RYpNA&Y=$8UkuL{!$TBh1Hr=K?jI!O_^Rtrr18IK&5 zK#x$-Nsvcw2t;fo5GURcNy!mOr7Y&2lw%;Djzb_;aS5OAL+dz7c?OG-a(Sp^JqDH13aF}p z)?gpPbfsoZSg|22gH8$b&$2D8LaYh&nF#2Lk>zT-UJSBqoU;KhpBLg;xK5h4g;+^M z#s#IHEZ8Yf>7tOYEd!uqUJ5!Tc#R(YJoP%k6K`D1z49wx`O5da?n7EKd0u(fBnNgX zEj(EUdqnzVD}a^->Hu_9fWKgtEfteaHw0}w+0p~XPijTp4e_md(zux0{X%Z{huW-A zeXk;UQ}Fsk`mnXhiXO(sRb*}pzUcwE1@yTPsk_HgvfL@uaIR^euENu{=@j zc+SyI3m7XY%cx{e2(1~J2l@I?UKdGvYR3p!`t>fTfyKvjl^i;1DN+QHJbJVY+AuO7bI4BF zB#)*CXqS;ia_Fd&Ad)AeKjhL&(=MNSV)deAE(;!0Vb6CerC_cKWMj;(V$7~$kA{_8 zl5Lo1utk!|mXKWEm`K$pmqbEdz4ieS<(2A5sdh`Ad`Kzs zJ?2y~=G1u1sUoT6IW;;l)i;fyW|Pm=FdHNO-OPnFWHLwEMNNBKYVrQZ_#+{vEk`w-d}K1OJuTt^fUQJ zEO7noAIpLU{m#T2R-w*%MT^4GF7OJdPJ#La^#~rzE$ekfOY15OA$@7`gu@D%sM7*9 zU~gykmnd9~Wre|wE8PjI|6+ztsNw=<&2EMd#X1D9EH2I|KzblKAg&@@4OrxDX7UzLv z$$Bi1cDJpY0)g>dOUHG8Dp(Hccq~C9tt6qSLk(%1DdKrRt7T3qbyDJM0!1-2?2&2H zHm3{Z1IeJpb6x0)lUT;+suaucT_mT{`%ZGA>Jc>Pru_}8P`)@8I8?2q6;PK1YRoFQ zY?c+`mR(>s-f!btV9!13BqyjdP6m^?+ex;=P{du2o1P{>SXXvvIncIcE65#lDP8VE zRnT%&4y~C}*?l6^?vwG!vLA}BsmPBjX^5#>6od!Q(4CLK`&V+%%)w9(eKk`Ly^*Ph zz9@%7d+3|S`-53%LL2I#f5v#PhrXrwg?j7@m)q%IXKk`m4{oEKUcbps)nn&hn4Mk^ zo!Y7TXlL^ESJqC|M?1ZKlbxy$Hga6XHkRsOqi%&ThfPsryEJzSkN{5!RLpZhj1YNK z=$?}#$&h8iutJiX7D(W{kU!)cX6Ej(+#EhCblk}+iXc&xY%pw}2)Ce0EL?vT{k^8Y z3fd>wkvp2xd1*EFS7lN2{;ILRYV5BX`%Ay_=%qh_J{V!J6}sXih|UlO-|=FC(P6@?3y ztwNlZWCG}#Akzlc^Q9C+REqggA{eZmYECdb03`y@W&tS00F+{?lw_z~>-u?7Kmfr$ zq(him66h{?O%|LHAaPUJ2W%+Ljv=gJq$C_iX((oE`oeN0?O=)ycsioj+8}1fj@)M~ z`I(p(1*(euP0=lZ2vYRlX9+VE`=7!LYzR`(o~bx-r=n?7Av&Q!2vOi=v0ZG37X(TM z5MgV`&1*_QUG)kK_Z20-CenS#Uy?chyG19xDLj#A(Cq}xJ?2G1QnZj1qozT2uoZ!1 zL5u|Fej(RC7-M9Qy?sR~f=<}}G#SHFjNvFUmln;eNg}gu#31pMxr%^sMuR@V#Rxi_U z-DD6)b#Z!q;PgDueb3UXr$3-7ogI*AmgQPJ+%0GJ+SxUi8|VLZ0~cVyMd! zuN;G1jX^HQAeUp1s|5Lig|JMJJ(}eh&CKdvj?t_V%@zw`HAa&~-L8`S^^L{m<4FxT zBNt|YCL|WHL{Lm;3=(w6dx_A+9(6%+=mR=T1&M_Iu8Z(&9gi!-c(h^)W0q_X#&4z} z8^0&g7l`p_+7xlZG~EFP%S1-Qrr1z1LZ#7`sbEX!%Ae1K<-s{R%-I;_K}|;Xw+ZbL zY|nlA#Z?I3a3)q1HtxnWw?RC7^6e7pj#psIIf0JDAY%5Ieg*FSr}fW>5xk1o*$-M2 z5I-<4KvFrF6@hesh;>@WG;v?k<8~<>++y$*wS@Pgg?J2f)yRDCU)wRxyT`>|8Skq= zk=(doz7#?00(%ezanZ{ALAb2-1;n}iaUH0hdE%4yU>*LJpwY^{PY>4N?h1CO1U?R~ zEiW}2+#<-wIX|MXRV|A7IU(*+?H}G@&@Whd5p>nae$aIz^Pn37GZ})L0mZRdVQUrW zPl1186@szQID(2s7D01fS`%6{atyTWr67hz>Oc&OG@KXmwJQ(0Wu?WCd?iKQC!RgC zV@w#E82WN+LkMP>VBQuys)bIE*JG4yeelrON^s8tRt7bje|c7d9w+;R&Kg;cF)9;_ zdtM64x$&ST!S>uIUtEPCPGTNeh48?XLF8-5RpI~*J}%G_9pXVTaUm#4Y&;<45Rg-f zz(s-mjR*aP2{fs>Be(ex!yT2~7f1_;m0EXs#9+moFPs))t&~8}JuRV{3Fbk*1r`vx zp*T}J$S7Vszc{PTaBi7XzsA}XCXvs?nU84>B7}-Mvbu^3VFh*9aducFs zzpTSbIS6{u$f1)$f99knL++SBn+u3ViooCl#zTWi44o-5L5fb4q7$X)L@7Eki4!a> z$tHK-$P!NMGIJ-}gxsG(^rsZ3{$%iHpR6vL<^4xxDvPP^@37V_9LB;%)8CI-T~1!P<)c+0^mt&2WS1)tNpU~f_OepH}^ zW=`n1k%J%}Tx&c*zu=`k>X>Cf2lu!dq%k}vq2DyY{3W3cBZokDycE=888;L)rAuO; zYFU$Z=;7+o+&8Z-i#{fhVIVB>U%g+@whXuCm7oUGT8>)F(Y~|;1g{Br?nMXCHw9YI zFfv()7?NahiRx@c@x?1${FcchY$T5bpApk1kZrXXf%oU;Xb+J%31b z2*PWUAgDFJ86H}Pcs!(a2+c!UhbTRybqLr)szdBlZL!0#ZPBz4#)q^H5q*eh+2D3s zAnlzGf%MPxvsn{MLbw|3_vf=26JnA8SeliJ{I zQX4!DsuHioYFtvkliJ{W-QQEdP+#qaLFdg-{eh(?Rw4Z`Gz@Ch-uGzEY9LFtD-MGQ zUQ!bRn6UWjK^%@j9L9?gfet93s<~^5Aw9gAGsrL_$$2PI8kf}Jun#6RVMKYsxAlq6 zPX*~i2c5gHz`GoxpFIMV2E`(S(rGDu@K6yP(3yb)i)*SxO_ivrlGXHeA1Xoz=~hoS zO9CA&K=hc_(eI`+L+Cw~2sSLsn;#TtKVA{yEu8MELA*m&)`EQWi?PT4(4%C7CeHbP zXKnJJcFT(~P^Xc3kOyuop2jjq`LU=p9|LEHc0Y}!n>0gkR=_`h&Yll_K_DkUSl_6} zXgyDGsDQibl_0mE6fMY{!%NY_d^E<+;hqnf6L%^2jnZbhwj;M}#z423$M%=q2*BgX zU*O?ymBIITHOLp7>@893n70^qomLm_La8xrJ{65E#Wqu+O`impt)D!IeqOFw%XYhH zKVNV6FdSU#%SV(-(}MbP%9xp<+|MAdw_A1QWhf8&Njh$~>dPr(L_>MdlQq2{dpR?5 za)7P~l#SCuOHP9D>Q#ECgI6pZuRoOA&3n!I+qXSW3KgFpu+LFid5YhUO(T=WZ|aCa+hl=axO;TGB9Ut_I&l z23KthjOvTQ6;^>{oHp%}`%=Z(T{4HAzzaHBY2ar!J~u?Ue#?jJOyAj$?wo! zWN5o}G`4<5z;=G$#=R8xxKfB9M~I?8Pb@-Fs|Ly!sC3CVF9$E0uj!p!i^O{bS|xjh zShz)&gm_FZa!zQ;$z`FVPU@#!J^D#I2_lcMNaUBD)K9zU2Rl?dBDCn_ve0oSPYA6z zd0ObYllp1b9{oi54lSCzVqO-_%b38^*N$2TBPkcMt6e|$33ljBDwdZ-S2FxD(dY>EXGO1P zc#(Fs=x0$NPf9{ZouoC^s1zk@PNL(2lc>7nzC^(`;vH<% zzsN?|&Im+yC?eegB*65nVmkEorFu7sLFQj_+b@;=)?t{4W4-1eNO}~MPy5FsqA!@b z;VVKXrBYI$bwQ9~)&6;bsz7uas-WpzRXk7j)pz*5NXA5^>d&rT{d9ZmV-N4t{#M6j z>(qYb(lw1mO=D5hSfVJ`gC>Dq^)ZG$=Gi!?SD6WPHb-*{X-Qn?*=Fb-xsJ;5V5Cev+z!Vy_xDz9J(zwM>WsixC%|^bVD*NR5P-8 zS%};~Yse8)u>s;Z<>!Sj3i!t7lhOozNgz#43af{f^~o+mJ0i$@3IfZ*Q1{JAh*w6E zxP@4SM9EaQ%Gq`S2SNVk9r3v($cVsZ!$fQ{)m!UOe6sMkq`pk%QhU@^B8=>?0Fu9O+gCUXV>#_c6qnV2XZ2a#)ULM)S9>w0FeIweR4`Ebkv@gGs8j)_@>~y}b+3$tzGam;*1p%V~_NWXl ziaaCGkr=I4odn$wAPn|k4DvXGdqR@xkdg}eia-b6YeLtpYTo7$^bN0Skco`~-Gdwx zI$`7>2;=D@Ix)DeB3~TSBRb(YBglNU0n0I>?n@D()hozI!q&T;sa{hx?&A>?mKfAK zo)IT!$xt02PszD+=5WngLi>$oIVT@*n&;~JwTRUifO4~nTLmvs72on7dEM%9u>^x( zJo}{n9{N^+$(SIo?P79y(hpz}u_lx;(+0?_23yS2Npnq2eS%KL3Hm&$SZa094l_X{ zna>g_61SP7B0(O3VGskVQu5swx5>mjJDPe|ACB|$!$H4)!V$apFg?+YS3r68d>C|7 zptJ|=v<~eLrtcEz-)BJ))$O@MFWTQr>~Se(s9X49EWGcfpmxPZ`52@1VdmvIF9oq` z6Ho9J!K1mS4(T(Hn<6&^Y764MNKyky#8nfg^oK( zg1|nPfS9xL{?kJ1M&>UH;efUu5Pqt==h0u2UH94tDe)Vv_rk*nArwuHWF{N~RN!lKJzIIObS*Z%vZ7i;8qP<>Zw8Z_nQ zKZx~1?^rG>L9SY^6mANkHxnQ}PdMsrK#VM3H%N$OOeDYihKfv^JIdz~OX75qzn!Ld zC0NrTiIPbbKotRB-7IMd2_o$(^NI0~Ls3DBP6-~dvxJpWG$PoM`(7PHUcU-GZ6XCw zRq#@;TGHc#-K!K_vifw<`WIRw$xn z#wd#1@$p2+Ug-9k?lgjoIi~Y)^LrIG=vEWqkY^Vn4OTQ3ijZQEGY%u=-Ax3kpgSW) z^0-v0D;AB0h7@&~`ZNX<5eL27$x7Ar7>7xgby>^_>aUo^~=*k3q%uyrtw33ODT`51~S zVyl8zYB*@U7>%QNN$`fvRW*~)9N~8y%{YWmyE_s5-rUFCvTuD*HuQ_~8-i`Qx21C@ zmc4I_viPYIa_6kAo|~k4IybdhQ+cD#FK=smK`DGXX_2{wyN`e^Na@0dZQN;wn|0{> zjqrZ6Pkp#5BEro$bSjs*879a_1^RTL?GfEh3PketSCg%eW4%J_PF@u9bLQA>ku{~a zWLbaOWT;3U(cNTyrI|YsL)c=f= zXN7jkU-ib*KU@+i2keU8l%hAK=#Bjz_Of|Xir$p)X3@MU;Z3u-Py+1|Y|p*$qWxnx z?qvxtH(UfSbLK>evtrT7=x_;#eM>2UE(=T@-glXwC0yoKR7caQ&@m@Z37vNmbWvap zA^gBrYEdZsQMtXtxo80@T@q@ssFVm$hm)N`$DKSObk<4GIe}@0@Nkwqm?Wnso8}_- zzDEUGY>2EMV9PPGW%7g_Rsu1yWg>guOPk0O3v#(rsNy8?Zm?jMiM5BgOo%Ug=~ba? zP7>{X3Y@wi#1$u}g*?5=MEjhV5@0^-lq~7hX`w6=>!Opydf7>0z2@Xuq5Dp90&ldK zmWlKpC%c4r)GfVa<%*MJ<+_u^|GJYKLJf+cWEqN+POb^@h+CzE{DPC~LLU8ch<>w( zb_@CQAVwf_8y^Rxp8=T@aDo+Tw0QS3Ad61M0qJKzG~UQEAni^vAk$7VAorb&1Jcic zT(ME;XF#qSX@6v(U_tI@KztPX8HLkc$|&4&l2PDvsg4CG-U&3xxi(mlFC5P7?YXP7-?3SD8!b=Zx$JEebfKf=_!f!@vs;nZ__|I0?Ef zKp5i z4JTtN3}XxTZQ7?gh1`~51|54;%AntKvQ1-p+=h3U;bnG7;G$5Ejngm#$*`%kTP`p+ zL^2BQP5Lx5dNYVOBj(LuMacgq)nIgN5XUO!nEiszoRJwH2l27h9J4<;T6Ge4FFScn z=&qB|^Fcgcv{4vb7UF22r!TBZ9p67xE0M92TjXLoA-`5ErobZ%_ckx7gO&|qK z9VUuM&^{gfSAWgn;6yfs2{ZQr+I>O z_RsVzD!dyOVmrmPTiERAM(DKS5H^+;os~eW_bTOHan4IY$+`n#0!S9b1duF{j7i&8odZbD*Qo}J)B?3mYcz7Y?X;}h!{OnCV(bADHuB#=UH(nB8 zGnj>h$0T46X6d>}0*VOOSCtaTV>}EZ&h8czY0YC%ArJmAh{SanC{_w|f-eb_v>*n- zh2n77pNV8hkdH$%%m^_&E)TUPkRlK}uvb8_{L>ojqnU04M>UdbHkxJ7X@Q;{F0DeG z5oq>;t{GXbrEAO}OAXJTbn03cy6Gg3k=Qs?8uyO^3DF`@>5|a0k;9YfjR)465ubGMNP%GgzgHq{6jYSGbsAzC-WD3 zkh~{&@GtfRQU7B+$TT!;^%r~Q<6rC{i+csKV!u$)$(uqx=gT1OOm(&b<&r)oJQ=R=}=R+1M5UtfrJDqTIj z%d9PY2_$pogvf7^ahWNw%#i6SWwC7*h}UgDMoWRKmMZ>l?t-6$C57}vXiM3)3cxSBqwElLCDk5?oT`&$4SRU6UdW}zI67-92|%_ z*dKCmQ;b9AeRXGJ#`TkN6-%f>%(#9s?v$10W5)H9aZQ$Sg_v>aT}Pubr%h1*n|txx zl#ly+{+b5qrj40xG;QuQVIT&|H6~q?=8(u9p+13L%;>MbK#h0>i02dhd4(7F!#_;A zD*~5T)RJUO!nOLQze`QGt9T=tY6Zuv74S zSOKv=(B{j19$ULT;Gji8kYd7I^{RMQ$g{foqu4xWg%yE^73z{e{e^@MnmXl5kH7_? z_M3BgP*ISM6uHl6hn6hD%K|AS>lyt}#SvkT4Vfap zh_dGg9=hbCyeM=$Q*~SP9j^-E=1$IU3DVJCQPC+6JLs~J1<)-c`$6{v+xhEH%Zl$Y zk1^=Hkp-5FZVP2Uh)3O$Ze3*#5D~53!GbWf%9Q2AwM^1*i|zxRo-X&R3r1N|b=x8* zyRa>WameVZqz%iVbDnacM0-sr+9xG6&J?YrcBN8$QE^6~B|bFVC8EcQ20=U}Zpa<} zeB)|S6pt#j)y@emJE@;`@yH2T846;$C|5wV^Ms2U3TRj|nF46p$n=FIHte&a{@A8J zw&@RTSimJ`7v1&=)Gdgvz1v`fD$q79Xwu!6UOc=>ujBQ%K2%^=yMA^H&`M{^0{$v; z$6j7ih)J(jQSua2F|xcObkRxBbt4O)8%CxfZxKry5*@XnVc@SNO#(00t`7Yi5ooAr za^6Y(w2RsvfrcAI2Y3NSTCRh9sLP;>UaFsVh4wV+Q3=7cUvqnPgWiF+t-==t%6Jfl zWUEnrTEK5IeTV*>+Y@%IzMzuK6loQUL9!9~i%#mNT?D^Ru*uXkBM|upt1W;qM|U2e zML~nU4=dOglPA0abSkZYS`%o*KpusXD7$v*Clc9QY8Agvpcd#q=_Clr$8wLqzCm85 zDbcDzA}EtUeFC(B=e!t%CV2-!i-h&lu2%i*5YPzREqFY)oO}G$4K<<<-zU)6)B30Y zQSgcvgHZYsBH<$-&My4hI&`S~8liI)x|J8vBiLmBrqSuN+5(7SalKGJ(dB zVYMoPPf>OtD?6|nWz&T|2xOYaqEw0wmc0|uIU~!Ub%7fKRoI0gWl+h;0%+PxgLx=B zE>IqWf`)-rsQ=&QI@L6w79m4g|TgISe>8I^-sm4j)(qm@Hhl|vbo zLs^wW8I?m>l|!k@opQ*Xnm_hPS~@3J&zRSF0&vmE8$xK&R0~cL#?w|*02RM+yXODr z439Wn0N+Z=A8a;2vrbj(t78z-9>>rw^EvW)$+nqn1++)7E%zzIyrR4h2)60) z558%|c@XC4Go)b61*zRGAEkodPaSHbzK$i=bC}P6)!)ETSk_5*!VM!Y2h+1$PAZ1mU_bf;UqI z!3f4DS4s34!CAriO!>;UY|EWZ;G%H28s4%kSNzs(xx0I|<#v9X{1dDQt_f}kn)YtX zwF|lgbAoW8<4T5yzWZdXhi>a|C<%uPdOCsSO!-yOHw1g0QeT2_A=4usC}hf^&t!P$ zo~L8GlcG-xjy=0AcTy0pW<4L?Dd>97w%mxIs{8F-&uz;szE|@4rwu#5Yg?`)X#Jr2 z6D$i(3mQMPE!QmwSG}H%q}d+m^c{xG$)Fw{lCcA-J5E&HciH8-j3kO0FW9MqW@8EDD+=e^$^X z**-yYL9`%T#26kZB+xt%>!EiFb_rSpZGv_|r=UmBFsMER;X=NW;h`%vxH66BGoMOgTD`11JYh3c__)^nLhw`6TETR0ZLJJ|j3MxRfbB zn<=|b`GWiwgzHL84<`vV$Z!LA=v%mi(M zX~CrvniGO6f@^|sp|kcGhf~79`ez+39@~}!+W&ip>%zd!f9lYreu4ep?{HH60@wiD zQ9nT8ha5^jthGjP_VW&XClxcnr3AWvMENMVEC|AGTD7Yusc`EAJSttK6xsyMxmbq3FPq397G0e7uZv4sUC-g`H6=C3J0^L8Qm_ya8pqEg7gc*weO!RCk6Y}W+cJ!@>vkBmb3C-a7=C1 zGVPA5?1bQ?;FRF1;Fcgmrb35Zo&SHeWygP32yzOWPd5j-%+3U z=~p)G%-F@4v?#7XX96eA%O=6u1g8IG)N@?)ieT+m^Gv&~&UyO3lwG4k; z^d0yMnlpk{L5Cn*XH<7vP+yLYu8dq!bOrubm8XJm9m|xpX3A;XE*|L4lyCf+>=AVQ zE9I{sT$Htl-y@(dT(n(N9dIduY&+<+j6C$+3=dsckNTj`XL#toUw3H!jVK4*lfbD= zIgdCrjP`95uZ+UgD}pok9o04HsNSMF-mZj;^LMw-(YJKSzayxsF5A9Gbv)_5s`fVp zO{(9SX}_kr>jLWNgF5;M7cUT3Xe-_HQBoa!gbSN^%Rt}g?hy24>UEQ3zqHdT+%D)4 zTohauToG&tZVT$$Z6(9YmvHf@y*B* ze@_rD;@TwNcd1QlrX6Li0^SCc)Gk~d;->}lb4C#273xErsiV&@UZEZvTGan#0d28` zzS{*^yBVhq+6x%3dxBQQuT8KbSQDHUtP3s)f}YUNRx-r@p5VS9Tp_j{vZG5td`p6g z02{Lx_l7NkRsnJC6NHO89tQ3abO^YI91)=7ilAHm^#}?(R42G8*bszkS_I?NqS!Xb z*K2~if)?3|uV)4LnoI0LC$Bch;h>wG7!i=S=LCGxaz$`Wz=v@y0^UmT(P(%FIVbwK z;Fe%fFG6k#ZVB!PdK&fcRB&1lF6J0-*+&w)nq)(dfPO22^pfrPaYuS{(iJY|MUQ~@ zFxVR|e7_-}O}BvDAqK(sYtn&j;R-S#MjcO<5E)XU3O&X3kzzJu=}MQQ5lSn98UN7i+|M8F4|djxotaIcqa{RIMvtRNV=| zDZ!fHj38WF)m>0Mdov#q@L|V>;FjQyAY5NnM*TMnS_RC7cEKJ2dr5kUewYk-X4bdhOR#rYcHS4%WEb|e$tH4**e+%KYQzu0lH$c)60Ut}QxudWi{0TO z1{(s}FqY&1u?R8PYCR9@NlQ>7+%BjH$cbe^xX3liPYW&zt_f}k!nIRGpI}jNDikKT zN0|JjeYkFm;B3K~L*9j}MX@;{I4M{Yglmt8ed2k(&$%~T;XOhvr)TvE91{kP3&M3@ z^wmcqAKJC5oVLiGOyG<#K$~#ge$DnA?{?9_yNYm8#(UgwLG#`J?@{hD~<*(v-;?$O*A>gs<>{H`}` zPrl84H21r8^?y*u|ED_sP96WZb^Mz%0l>cPb^Ocf_?>k;^DkVqf1-}(zVqqU?RFM< zL=o&3&wGz`HR8?S`^3LHQ{P|Lex!~c7jN%7l&ZA%L5`0Gz^&$X%(#{bX7 zU&!z*LHERWCH0T_6g88u=aqH*>+1M7)$w{InO?MitN5DY&&*bb88 z7y39Ch6}zvA0|}Kv%&ikBK}9jpLt`<551P#yoZ?ElAg@@xg?|3c3h)v1klUHvD;U(m2lyY)&JL6|EqQNobT?cemd#z_v-3te^X8dd;g@a{&pSz_jNq&FaK3+ z{}QbO;ljSx)$vb=uRZ5GEAxMEUH#kY`1jWF^mqSnVt@I%`m*@mztc1A#NPwruXpN; zoMvBdj?~GYtmA)~_J6N;DauR6=iiI(6F!sZ`-?jHS7gqZ_`A1`|6mPTk7~O@q08W zFR2Cg_tw?-*YVg_ZI1T+6Vj{ogC{@>N{ ze^AH&=Q{qb_@d5#q`@n5J94kpk&o|Fc8PzL;_-U%yp!d=3;tWh^G^17!gq@2-9;hc z-zk2%b-UeHyi!`9Re6Kv(^69ZA?l_7V!{uJKg#)2`vm=uis#)&DXE_p-}`F4KTG%{ z;x`_R^`E4@<{wwH#`{Ob7gZnZ`#JG9|B(9w*}rXj?ia*YwCUbdfB3`w>Dd;&FQi`l z74hNxi@rY+->yx#lGOL89pCkIC;Wd=J?~;pCH!BB=Y8i$!v8n%e8ZsWYWg45L4|i> z=%K$?i02!E5Feh!@h!x3BLClsKdtz+CH&jO^X`8nY5y+qy*q4jo4yZ<=i7-eenaq| z=KjX}8x`N(toK_<`;Um9{ttRDO1tCy$_rpSF@9)q)Q~&$oyM8;4=O2o{qV=&Ok^d9% z#b>tbcO+c@m&BJe9zv#%-^6Kn^>%w+NPHjFEehXG>`VM@62G!t7@v2G@4caSb;v7T|44kezakz(;`vr{f~?19jnKCd+rP3Z|zpTB=zg!`_!*e*8Keg@qF7B`nxHfZ_h%!{)>3V zj|=-A)h*hMCz5=Yo>z$P(|#KIf35hdt=sL{B=h%8;@g*Fd^*LC$e$C^M|__Xzb7+( zgW}J%>78*>KPGN@#aa26t#)SC)uz0>H=}zQV#Pf~LdV}}(v*KH& zKlJ|#;`tV?kjQ@#Uh}b(@V_bko$8MW(BBpD)lC2Yhj`xk-bv*DM0}5e8`gvS;`wGO z#B;lDY1=dYev|n9|FhnSEAPmMHt~Gl!h1a8^A_Q~!mLTcx?X=I> z^8xCAOyh&R+84!V*P}7<-7nY2qDlKB;xFkXL}Sw5vUuM6E++l`Q}MimZcF4(ia(?A zZ5Pk@o)*vdJq=0we?k49C+mgmy&(SWnfP54&o@1#MBl#^&v#j2z4<-ycV4#Lp8sI~ zE%A4?eqTuB|3>`Tmufvs^7kFZ4 z#dl~uaDN`n4T$Hv(qbY%CVr336Z;bWhvaKPUcO@>k)od|45n z-CzDk@fFoKCiOp{rE34*>U$yD%imv7J>MLyCH!xQ56@eefB#;5-(SZ4?DxcH*ONaI z&o@*($#~on&-WK2J6*s2-e|5n6Q5U!@6r4>e`SA*_}_o^jQT-=}|8ntsSJ#}#?-tK9GS+|eJtMv= z6OZS__h#nP`YXjNAND8hi>lwBk)MM9{vT^^3P~IcKzRe|4aX$ zRsH$Di}`sHdEM0QO6)r${>C@O`hP8+@8#|#^}i$jp7ex#{4?>FzcH>qe=k0pKd;my zvF!M?i64=D?Xs8i(>ulUP2K)Pf3Nsm_v3u6h(EJ)yZycv_RosH)~IzRk^h8vzQrjd z{(qKwJ=0uD_#Y5|UHbYG{ujh&*Qbl(+m&CT{hx^E8&{s=(%+ZF_h|nO^Yd@S-}_yC zm!t{B{M)91<~z_3zee$V54f20_iFLS-=g`agkn8!6>r~$CiQO>-}OgouX7jr-YK4M z(t6zK8xkCqA41E8>@4ultFl{^!K=P2r71{}<}yf2)rFUGdrbgFg_zr1{*H zwEw@v@6`BL68^8mpM9PDP5Ntim7e$Mc~?&SE4MY|UM{{fGd`~q&pU$<&o_$a+qY7p z?=9jhTHnI>yi5CIUnYK?s_)6@dx!W=^&jTLhs5)Zw~j0ppXbG2eyN@hB>F4j`3^aZ z_hIo}(l2qFKc5gE&-1!(63;if!QUSi&$rgWzJDfuMD5YX{QH9VTUuX>Nq@g4{){Ha zl_Wm@Mtsz-`zi5!`>bWu?7by^L@y!x68^sU?0)+@b^F(L@qAlXN%S{~5AQpeKW`LY zdL*8YzEk{uokvb5`t#!XCN->Q9~Qqa6YmA_wZ}F7iT-2ado%XFNdw6{pRPpTDb>GQ z?ImvU_*wCMKYl5x|0VH!`+Psq_iN&(b^fkVuYCV4@!9$Jzljg=dX+}$ruciA{qxJ> z&+gQ>mWlpG*>hg&NoT^pM*RBAbe>7_VYhhRCx!ZU@h7!^_aydoiRat%^@M*;Jikj4 z^zWzsm2rRgZt>as#bNQqO#H^g^Udh4#GV7<+cmzO3IBcKmoxGw#dnJj`M4(jtmM}c z`Ck&x?^?7Z{J#;;_l;qFy()hFwaNXx)`ve7-?yQ7vpy-l|4}^OtDj5Se@XnJ`V0Ac zU;K1tzU+97+W&fdKJlm8e;e-TU1HMy<*MKH&B=LD{e83ekuS&f>QRjk-+L}6^6yhU zzjvf=EZ@IH{Qb;$eusFzv2P9W7ti6Dcy)Hc(rVHul}u`oj$p*(LakgwW@e#WtGxhKU6`1# zk(-|=S1355%$+?jW)y@Bu*{emAAed@xl*Z2J|jYT;>5(qDwEVqO*pP5_`sp63oINO zb7FF4u`;7v zv838OO+?+JX8{^q;h_uI#FJj7@Z6S%mmd< zPn73ICk|Fjacw4TdT60KF~I<1K}b{Rg%=h^EuEu(^O~CgjZTbb(|gD2cP7 zbX4o6msiX42S%06n)xm{c4*;+(QIrho=?;dz=;JMNFFT7W4OKvn- z49)BXRtGh(O$W@vOO193-#%Py@__cPsp_E#T(vzkY*@*zko(YkM%mDZ*rak=?Iy|x z56$I9-)+K)z3k6$Q!W zv~sLEJ65iaCa18unpR;yMw2w5?O2V#KH2I4l&8eS+jGVW8$phV)J%?GI1Hw za30an3{%mlFH2K(Mc80mk)$RF&sVUAWme9lu6Cn(utHEmDJeIZNhW2N8YNl8%xR- z^T{-D{!X&{ppJc#WSp4{c0;l-J$uAMrfE1iJIaEHPg~ABmJSczmfOn&cR=dkJuDRa z-``)*O5uyP)@zO3+^o%X)9}D)!Pn67>cs6yL z)U7H+LF?y)MI#ADc6Rzk%HBCQKReEd9e5yQ$^5t3Ii@3I&bA^loaKz&qq;CXGg%8$ zS?3rRIf%@Hc^nRn5)MZX+p+02Okp+#jgW?}w;O zR|DHkIk1_NHdQl6Q`-0*!7639*ft=3<8B9pgZ)GA>U-*0C8M8nm6jagWOUd8J~(ki zdRSO}U=%fu3yOi(xx+Y-^ubDAo2_YeW+8uAjBK)m80q|jJDw_;lT>x)fabJsCpiw% zW#&oJ4{LRKVSHvrhb!(c-4t%atW7M&N?=7vXgn~$4g4@#zz z-RnqdWLOvvRg$D{M&elskcZ{i81-hcU0&TT~Agsbkme}~#rggTRo~>5Gi5bI3-!rQP@Sq*9 zlNcRXmTVJir;cdKnJS(kft zf1=eooDDp-to%Oa@kSNB$ulET!yc67xam`cJv$Ljri3Juhd)WQHs8uxp#)}?W-n+) zEPOOM2ppNI$aTEoepCVWql^?ku!C`LCRRf@nM`uLc?XZ95a(fqEIKVX8tAIG34wPs3-k74fy}DDa z<@V0oR2H`TzuaD}Zn?b=oY41<&mK6y=xuf!q62Ntdv#OO;mGx$L9{^_I^xzw!EEDAh>DK)86E` zsYvJ{uWyo^9*pa~HkaNJH1l>OUV+}Nq9DiH6W$8*1wyzQ z5@N4#kmIdUuiiFY{{~9@3UZxdDMbZu8(ZG;NbWMP43aCzb&E$%@Bf;4OTY2-Be^w< zNv!W?^b#k{~_L#jf z4H`qgSL@{ZUjA6F>l=fVk13p93NyCpb*=cA{iaGN2o`{2RP|=<&H7A1ueNwQgpc&g z@bJ-IR^PGrJeJ$9H$&kH`uF_4#bJU8uWVC-(=E(}!*873BW1PVPTSF4Q3$ z=)ca;ly5vl?xkuF+93C*k_)kg4|PnzKvVvoA0qdrI=L@BMDEEtxxbX0eF%wiU7@e< zsFVBKhseFRPHuZ?Ykm1TxhBbNKHK%eoBA#3&;Ha;mD0yk4-((41^&HnfAYD?ll`?rlao(A_uO+& z=k=gy{>k3wp6bwza^bmA-cb&|zi;%ZXS)}wm2~xIy?^J+9&c^!PWA(1#Ip0W9;m!k z`-Aq$+GtnD*gJNQjlJ!e$!DH=`fX1?^KALqu4kVef7{!3Kk4nC%qr-%ht{cb>7$@k zH#IZk6YtplRL2M2+R^*2_jY!5zUSNDx4U&tr{;I;e$ZI9IUQVe12Iw2_B6S>RgVDY z$F+gJV|T~mdy^}*U~{M4(}%`vA8s{be$);`@7Vp;-K{ez^Yrf4gHmS?5`#b}8=pON zu=bAKPY3-bnDvQ*)@e7MF?jo-jwNvB`TX1CaMo9i>ICq1!B*vohS~9%x7YOEqE0C- zqr{f&{aCY5E$eaNk@@o6+{FAtHEkigM2;Mu(Lq7CfR=DNeawu%eJew<&F7|Hc(AD+ z@KxXbfI><&JPS1qhklk1j!%rvR5Y%;TMtZ58Tsv^J?JWbrgL{IO43mv1)h4_Qyrbp zc1TXyzMun?Rs+`Z=wrK^fhcfEg4U<)3$p3Hf+1}F8iYQ zKb{_c{Pol4Uq5l5adsq_>ND3vyGg03_k)Pe+X8mzqKOYom1Ry6)DWtkip$#s~yS@`B=4oE)mnS(gk zTbeaQ?t#x3_AkH#fL)Sk8oZ^+%TQjQc?_aAwFtR+M3mQO9>YoSrgR`Tk(l)Q%;b3T zRwXNGhe(vHMo7Rz!Jy<`GupTlGF_HIL58o1I8ZdVN;p{LM}zqP#xy=$yXU ziNvJWXC|Rs@Qvz7MEQZwBV>i0yrtR0^d9(}jo;R$F(UWCXAG*G-qIpN$HO8dqe#<=^Xv%K8T<=R?$Yf##NrUe_Y#&N;Fy3SA0QOr-@fN!bA95{MY|O2oCs{9Rbt|pevE0 z$M^vZAe4Fly@DW4&{qR8ECQ1CCK!t9Z-`=c``!j@-f?oznZIiQ$ZlW>MV0Dc#9cAivqALQUB||J_4MXhxpx0 zQRKhK0Ko@77(i^J{{<*Sn2`U?Mj=DV zNh9(bC#Sy@YSNo5YwolGK${*kxo&{@Z={pGw9OBqMg=9HXGdsB@)g_ccSahpmA5!v zwesRcnObCISvC+D4b+gD-%P8gb@c|SCT(16re*dAEmX=1b9$xs^r1E~`aR2NH%>mC zo*W;4K0fBh`s^rST)N=nZn^c&gur?V5yepd{x@9U3x8nwhAslf{_X!F`4lXWbRqCF zR7O3!(IY9e262XkSpFeScwx{R(j*&6al_I^m+LVz$Q<8pHctay+VzrDK|UB3VP?*E+sbfyEi@C=}>h7RXGA_jTa_zn=Nr1Y38 zVaE-TsUR%%?)<05*`MCOZ(RDp%#K)>z(UQF#>uar8vpBZ5do$-yAd{K_6u535v=(J zAS}5ET*#h+umJ!{XaRmT|99j4d)Dsh@hNorbMxe5^W>Mm9UuSg_!sW322D+;1RJ~I z-!}lkXfbud24L2sPCRlaq;JHP*i?IHH>19lVtqtT;|wX1^M3*YV-rD|H4VUMeQ<)a zBYYh_fc4#ZvP{m7$Q5)CfR8{OOwR9Z024hsVn5L>3ZZlkj170#FWjITx-bpwr6>B6 z_T^6KE6@x4jjqBJp%KG6?>t2U@B@DjrYEUDM}sOHgqxlLNUX5-g#%#XI{O^`uS|9P z&7~-A>tBtQZe40!qw}o@)m`oPljF<5mG;WyrwG_$2#V02Mn|c{0Bk|IWe#+`eKpkD zdXYi+r4n>=A75$+TAeRetJ4Rta?tx?`a8b&kX!WcPhOe)xziUR z+bmI}pi&wq$FIDuw$djI`@Z8m*y9(|opI#f`93;2ASX$vfh5UtA~w}J!zp765pxmj zDQXY`Jeg&5uLeVaNj-jtt`jSmyN<8MkWR=RMvoDsE$%UR9ouQhG;TZLIB@TfS=s=r z4UT@Gc51WH#r7(^&d{J5cHsO3I3SI{f#Z$<9COlOesKc~WN3kWbL^ZqiEW#=>vGJP zvum^5$n4si-j`ngw#V_~Dyw#dSqlwPLpC=%xG#ZSo3d5&M2Y!~QkG*hMo^a1UX2`# z0e^3g9m;Pw>cdwlyF_M(TbfV)+lnJ;5|1$Z&Iu>#GTLu2+^C)2>DxxQz=6xPcfFv zPai}g@M#y*mo{>eJVM#h>03H~D<^OjLna)_cp~w%h+miI$&3R9kHw_YX`Dy*CLHF1 zyw`CLhAKr?;z#Dz8>5e3X%!35C4pR(KUpR2V$ZS|ERYpgkUOQXrkkS zS}62iRAkWUj*TZ6Y8GkA2cF<23pNopW539}EmzW|S627}2N4Cn=PyDX4wng2~iP??&)DVRI(rVVRi{up5}1l>epb51HiMIdJgmzzJF}aPDA=I4~Tzb<0!(AC;7$3uV%R z&TqMZ1JQsS^JRADPdA|Fb9Hr`gH9;Cg?(7p8^FVyM`kb`vIt6#FQyAmrowGkz~%DM6>IW zQA9}8qON(dAz_SzWKzc3l);s7-dPJyV)UF%qy`^DmLUZtPSG5T3bwq!lT6J|c6IH3 zamkz`ba>KB2YfkiOyd49_SnkYkFJt9OK{2+=qIG9E}d0EaO8ahWCgGM0#vmnjA*WQ&GDHY zc+-&WE@s)^BIkb4XOw%+C82;~B`)hO;-5@3$}roMdrl*LWV)1l&Qdsc9(q^qIXV#%PW&ih*z`9KVR*mZtb9B&&yiwA+GLT<7sP?h6&Cnt<H-eHxv>$Ah{>9hS3VhXzU5BzV6MVD{7Gq#dx46-(*th!p~uvRdH zE0HVj>XVQ}07)iPk@fHIA%{4%!fJBKRBNHF^=5S?AGyax)2c`<+vnOBIUorta8{s5mNX$H_KPC9>_KKb?%+ zOqm-99f5GEFcnxzLSgcggSl|iL`cReRkg|75ZnXZo46VG;cyoe4M(J;;~`VS2R&*- zR6ev)6Vj%x=R61Y{MChoL;(&t#qMk|8$kFPw#tW)y*dhPFSN%9eJd>p<%xAFI9beL zXPeDw;%);sa_U5#>!GQGPzcIB3l?)IARR8ej^jN^>L(iAm^EAY|o z%;ve_6*ia z2z9U<_yF4#HKPs!Rg3wCELdU55V?r)iiP!oYW9x6Z^UJvq2$n%#6<^?#a;*-a}aR5 z2wXrosL67BcBaz{6pwMRsHY%Qbs-Mfg0H8FS>!;*&dW0*rI0d~Ek1Ta&EbnemDDDX z=8RWl#>}=5=3LDg_p!w)&8+dGI}aTMJ)O!SNq~|Hr*ZKu3UZhPxnvfyVr6wt+S;&V zEPrJ6OdH#_-~k-t!|8|B>o_HG2nte8g2 z!beYB_FFe*3TQZgdllYr{^{3;9sX*x^}cmWTt*QJ1})(I^fBd;tU@nbQLZK(V3>UV z_1e$>__>mwpO|V39ays_xC%MTsx{2k=eo5Q*#z71P`V7MLfbj2= zlyU|7tU#X;9J0Xdsuq&>665P2G4d(sEf$?f5EpVM@ypihf<99xat7zcM!}TD+8BP6 zs##SvtN6@?OucXMx?EMW%Ad}OYAdN(Ez`=TA$L`?dai0#(XwUk!)tgG*%-O1Sw$dX zT;!R>$#;P}C~FOezp+)&l5(%mSmme|@iNajftmf0!nESz`lT1C#+LR&jRLv@auM~uaRn6+=iBB>aQq`>PE_NYxo>a|h zH4Z?}ZmXztPk}UNoG()iZ(%{HnpII*4zh@a1v#Q(Sz2Z)JZxZP#ipod+L)kPQq(p2 z&K32ds%8~%$Ie&If$W-906xp6&qXjx2$KW~y}a+LXmx-24@}g`@J=e203nmSJ2;gY zoz9GXjZ}8W;X!aAfrw6^2Xe@e#E|N^P3J0jk z3D<2W90%?k!LO!-;07ssk_DnnWreKXm(B6EDjcs4UuJjy6ltmpP{64vIzYXPkK4Kg zTQ_r#!Uo)?%9ZHOB&+8n1_b*hx4M(n^)SbTi&7M-TtfcLs$&;dI$m3!x`;XlG3-hM zs-lf;=6a*vu^d{01hU%o7J2@(wavRZnCD1j`S-Ec^}Y&b&-xp+Jot z0;=ZV4<`O1TJCz|b0L-Ut(HeG6f;zVzwS_ z&vT|5xI)VL#~d%A}3djHnvg6@u!Xf zXzGXadP&j_wO5I7xc~v8+HTsEFPv# zgTQAu8(j>j-H2rZJQ6rRMUPH{9#P~>8c>)J!CPVD-VdM!@@m7yCryu?X5;;P+*8~J z1yfUi)gi#7GbafxrG4UU=cT#=tY%r_t320T&QW&92Ae&wi-rJ&8sA-S^4mLdsn&^- z&JWnP0>FO-TTSgf68o=kzDZt|DA?+qz%XnZTcLhb3}#g*n*pq;C6-a)I09~JtwogI z!sY~GS}YQb*jkIlB*D5h^~c{+8vq+55OG5%S(0qQlv$H7eDTJQf$#Z?P=~WU(`V_z zDZ5R33cA5=LQrW8W+s4K;fZe!k&65aensG`wRO!j_2HJb z780ODNL2#JIu&!ImL)w*P8}SVJE@0lmsD4PB+3Dc!lKHdx`Zjo$VnTnkPgi;&|r>!k56W9-JWzw;OXG0xxgu zFdO1NNtXLeE>)nOlyi3~PpV39Rq3sseK&;-UgAr$lr^%wwu>V$oFMQ6R{Z7%DSPoB zooqPa-vu^^WYHlph;Z))VMGd>4e#20ch#uVRblDsoW`wFTO)AA=1bhf)m@8{gi2*~Mk^{Si+{qpjLv+-AdJARfGYbXqfmH9 ztWaicw6d>nGvU$4Zj%m66dgHd)pmKUb0pt<};8BPyayw5m=0 z?}y1(m&Rq^Y6CUbYrnsuEY<4tTVG5pUQNhSUQ0$LIqJsLft`AzVSl}1s3ZZsK2qA< zetQFDT}jP0x*qE0b-%M-KYaS|YZXjj(tA*0Fw!maTd#Gvi&zKy zwcXa+n2hymx{_;I8(2s^ALb-{wJyMA_;Q#Ze|^{=kCv|0(R)`L2%%p;RZ)muTbDyn z!`7fbY^}G5PAW>nh1UAAAqgKV>8*Xm;&W40f-IcsdCFglaZ)7}0m#zLIq5?sV=$N2 z&@heOm8G|@4pgIELNu)d3i+UEF!WCQ*!o(7*poD1I&32Hx$COLx@slXZfHR_|HP(bV)@6XO zx~yd6B#V+QxH6mCp-QaP;Tw>fLn3udMak6YTktAgXWNxnhfwk-MDHq*kq4Lp!ur8< zQXE&kMiYzG5r>mf5EVNUpN!3A7@1pES|>EBva~(cJE!FdOsZ5cSV9y*;uNVP4`ahOm3vjKSt?wXmfG-@K#NR)uHsf) zEU3y}*Cu6Ylrh$YuvQ$ou+jWlwA|9^t}bCp zKOTsF+!EdlL=oC&mKB>U1TKd9UZIm$>n5R6F4XqssFr$_|^T3G*qGP8TG47 zgFSr0lZ7^3UT+8r3}jF`Ky5m_%M)>wJzpdAN3Zcmox$P^Q>M zM5oGY4w%fLu3>u6Bm#_rg@1RT3a!^F2*Mz)L8!n?@+8)rPis1ZDM$^zu5JCos}nRx zB9}nml2Yj!3SNG>)?4l(z4<1lv8RL5)Y|P~zC3&V3O@aXk0DgnM5rH)2&bp3gJEEp z+Q{hliV5DcKmDWC)<)Vt&h7(92)-Y(z z&2T;cIR@T9H4IdJR7VfIa6>;)!mdKk>EJwbttahztcTIR{r4 z-OpamJqtr}4tqY?XVGQ+EVhY<*6^k`GP*II1v`%jy|&~D{C0cTA3)6{jEhMj710~~ zRs0wdkRUp;oq#2c9$#{ozB$mfQND|z&R@rm;?KCaxxCbefGh$__xzatN4m-1$FFtu zE-Jen(Rup-Mj`sB%;oq|;yswiss;cV#BktJU>l7eGxYX~CkbhY*Q^A~<^1Fr#ZT~v zWN&dVT-*%Jku}nWS30l||9t8We&aUVPSQp07WYD`A=(9bK6MAbf!Lb@fDNGTR3Dj) zH3fI#H_UI{i#}my@)i(J#~s?s-^DK`a8QljzPuHK6~%3j9L;--xr!0{Vxm`^C0GwuHk85O%Gs&SS=u}hib#S!k7K#DFafmxO>8(RV;sn2J3 zY&N69lOp4-E_6PaRqMmh)ESZ9gJ9=`UzjQrWKDi)e(h~s>XS`=TOv(pjn?v%J|@vC zlGC?+1rsfQl9Pd7wtxv&5HMj#4qdCYFidvGOQqe@IZIT!1j!+BZK;AKRj?H2ZB|iW zZdb5`PA*-tOISE@ihfCngLPHOQn`|)UC2(x<1z{=64fxor^wSv=uHb+DMLwB4Km47 zCueb*w{G<4dINj>-HB3Y4Er^SWwM+qQBoyJ_~4}#aj*U3gUsw7tw$S9 zw4A1vS^AI$R)3I+-&IR$s1l{v)Kw))3+L=ejM5@gpyz|(3R;J4c1Sh+wulK}dsSA6 z68Ji=SgeD3hhcr4G9}dcid68G4yP~^oHQnIIP)iFp}sSQ=StZa4BwI)8SFN+4Q_5@qp%=DMJWy;!=5ph+XIL(!*a4rHauJ729 zVLbtFXQd~;(jOI71{Xoiz`d{X z#EVx2)7AuzpTHeP#INwhSNFcPGmd)CIL<;Bc=cz9<{;DR=7W)Po+W)us!hSCtaHc_ zLs>IBjGTZa6jA197whVcPwaUVj{KB*9Q(5-oYUhnw%u^(bDUodv$TUDHDL0-Qg(*_F*#o$s@ywq%(tP3AtUF^Vh!oHVDPu%Z1lQ~t0zA+F+ zWME2oY$rQ!`@^Pw{&X^OGp7)V&B+QUPRBiO@1{xAdtZrw@r znBqOL1Vph?qH41Dmv~jQ|Ez!l)Raig4RB^Q7#Z@2T zz{;C!zD-r(Swe)|+HSO=JtRB4CQ&p5&V!4h!G;GeA*?3TeempQdJ*l{43}vZG3p@d z{{TVUno$Rpc8mFjELh>i6uF4;ip6(ws@>I6D zfd7~XlW9P0!G2t}Irp(ecD9kequYj-{bbQCnI&butG8H@WtlspA#PBxrJ3Jq&U_8} zS?W_nyS=2bGN17b_CVnLv2f%a*_djw%r_xw(4PFNw184c30$MweAkj`Tlq;s24aK? z&$nF5L3eSae8~r`VQB$J^GymzXy(lP;N=2A8_`4@2zLbTcEC z*uV2|0{DIm7#m+9kAzc*Ymp~_x07GwE>1zfuQo%tjen9mcXsGd2l4#VFDJ*JKYs#D zHU27Xe{Or+10ni}?!c#{sacnXfEA$1Lx7PZ5H%_ffdm_5J4#Fu%SeQ!I;xnRs`3yd zTj5e?Gz|T*3u&{}!OGae%FE@C#MG%g1P6iDN{weqoG5H6s62!cIb13a;nnKnR2~9$ z?wLBOh^L>`0V)rnszP7oAt1kz%+4+r5o=S1<*)J(SV%(ZYLYueDh~lR>)DW2R2`{2 z1eQ-h7E*=xbyOZgtez@7ODODJU>{L-cuk@c%y#Nk9>Nh036p^PVc1714*}Jtaa*g* zuvK{o6Ixg<2JDe2KU5xqfd49H4sM@^!0#JYAQ2(^Irw*KXLaHRq=xu>^%gQ{=3G() zZB4j)Jokf$ZX4r$T;Z3LuErN`vEwdCljT0C3i%P)apJe>xef76rwxv+%S{{Kq;Q}z zd*xtf#ykCV!N$Ld6>zva|13uVSoMrh(Lkg8+dHVbpHHW7Xm{hg>rL42cNr|eLKfQn7XglFJaPOS3VK?9?l!vL)Ak8-$U7RKXZ=xd*A+Z%637nszN2fuPbDT*7 z43-Gq3LE!+04>ljb8*$+*l9N2zsEhrZP1UtHrj2yXSHt3QNL^H9qpnIXX_O{#F5fY zaTlDaedh-@!WrPVsJ1HkRmzPnzD-E;_o!Q&=0BZhkQa*4I}5 z@Mo!>)5A%N;x0xN?V?LvZ(nH0(Cgm}TQzVlF0@`7$G{GM3B{z;$elF@LtSf^jSy9F z1-1LPJ&TZa_$&v})k|xroB9Y@L(FP0&6=1FY4VVi$Gf!8XoDWHup?bYhhz>6f3YnMe&(otCGnsuT60ek6UYA4xx# zC#j+`?^Eq4`lWUheXbovzt)Q)W`~#7wN(@j%o495QCrv#)t#v=EQl%+h3)b7%?PTN zzO_09lL~*Xs+k8N@Vb!%j&0pY0>`#)Btam&ZX`h{yd+5#m3I&euNOfO46heK5Dl*v zK@bkF5kbrjuOCh2?S?AKIx^)|g#maEgR;&Sn6iRqUS$P1LU06f3R>coB}Z$GjIVkNL2uSiV!%`(7^eCWh5_*l zuBbzh6$I$N%zRbZD8IZY3fp}Ma|KBF0G3*2uByHJ3qpgyi`I`c4TJBMp{vTvYj6qY zD%Fq?k-ZDdSZ2Day}}S-TB|dxCuInNw4RjR4vkU4eEUOCWCZfNE(SfJrl4(DS@#6_ zC3>mlRAr5Yj@vP=ZUzviso{FMyeJ%@sVx8D8n@yHBOQX+!=#xbeNaDTg-L_u1>o8B zBaWJdwKRG0#BFgF;~}g&IDi8k2s6}rR|SQCWu+vLbOVQ;)`h0_K&uxHDq<4?f29Rn1WKZ1qxJE*HU~uFgnz9H0;;lcuBOPuw_I5$gJW< zdle_^#x#0Y*5yUl=o+mHqiqZ=WWE(M*sDkwGSJCctyb5#v@VUJAQe?4N`tc};m{ta z+p|o4pux$`@W;2}#~Jo2c@FZm(S|()Br(j9)*BVvF>a0i3~_o6$FXtJfNR(pfqbu@ z8=PCh-}p#xK&Xnp{6T#ARDAhFeEB$i8NTnBH!~WiagT^yab%aif%gtlcTucfc$4hB zWN$%Ve6?%i=VR0wxyo?Mx&FyMVzv=HWa!gSE>rd+&BECaK4LS!bZ4rg9sg+K^LE**0871d^*L0N6!gwprgMj{l~l%C*KU8 z%2#mHvBF=0=|iEX>^GzjR&S8A(s7@tDwmHfX5YlU<-R_9Vs--_O9m8vknK=GV<{j% zLJ--(&z@%AI6a2{=rHhzBRs))wgvR!(SXlDsT|pl!1qWtME2Hli3LLz4;T4f`fg?+ zLUr@fwF~#y@=Y%oSnzOgWf;)kbfmgIC|S+WJ?crCXO;*XVp}{Hv?|-Z(k_pSUA5Z)npiI|1-{d?i0W)%c^4 z8Iye{UVrei@E%-;vK8?#k$bse!fm1)3}J)#Q%I~(c%M`@iRY76J*{|8{GqtdRG4c; z6JmO}yshlJc-(omU<>bPL-%g#od48lG|ryvsXIBR=W*e`;quwh+z#xS6FC8T>MZ`%@Zjoi@2G+w42`c~ zxfImzgVCR_2$j~Qu8nSnx+qBRht!usYg?w4^ zJTlzqJGiCQ(h-^j;WFJ3ek7sY3EW2^cZV;Xx z>O{rm<^t9l3@S(>Fil}JK}_&N0axg$!QA)|%|VF7Lw#}_k2TS&R<{oatqirc(FdM~ z4$NT-4Bs9c>y7j#y@Q7o5l)0I?H4}}R`ZpGL}aQF^gGK-_;EB(@Tnh3XY|*H)UQ+W zD>E8K52nlHDW)%y)*XeTj~=@THSwFVP7RzI43oB|0sHC-DjKS$@Ei(KW7^{xt`1oU(NVyr?%c z?*$E1_VelNCd)qCCLSqXz#xG{Ie?0#|I~*Nz|%Y0H=enpf`O1h)Q2L$zFhuLr+j8L$wFT6If2dm)wBqJj7BGIQQe{pML>A zV)SQT+vL}setBtetIUFc%MA#zc&(upgwJqmZs{}p+FSY%zXq2+#IHs45GUa|Lj{d8 zcnffJbt1Fy(CNPqIz|g*4!@|#c#*L+M@H)lR!o%Zk_(izk_(i3k_$|z#%)j*=^Ddf ze@GN7{R}W|*y;2fyCe@%?no|B?no|B?#L2CryY$=@+@T>?ucl#QsI1PaB1-eA&Ef3 zDZD@c7cBYAoGHTdQ(hy3kutirZim_c7QJ-^;jKa699e%yesvTGvQd5}N}>GSU)?yF!_T zyF$5zyF%H8yF&SeGBtb&87yZ1VBNy4_XJPyBW3FPtHRcsH(=%wPYCk?m& zmRBlT!7iqQ?C{`H24-(?XAyzaAWf%E;Eu1Rj&zN$rb5|C1ANQ`8cjqK(f&*cz%9Ip zj&l)F+!XqH;x@n^WM#zHkqAWhGndm&7W<%75IJrl<&CpP(~&-WH8H7>&V_GIE+8?Q zx`3o;>H-p?sS8Mk!UcgbOO0`S8)i5a2*wvh_7iFg9!`Z4Sqtgd6?rflr-G}TfTsj< zS15(t6-pv^h0@4fp+sUnMVF01=Np{IN=O%3KkSoJp|vV6=k8@08Q8u2GnHNt&$;|F z#1vpi;14Po@!wdUHKZO!D_aG?zHJEwU|jxr{%D8J*k5=Mi42{oGmaYna=rN?YJB*g z2HdCP@GpN6p^Fekhl@nq)91(~G;pa4xZ%Zo;y-)o8{ydYCcfu1vTfRvi5=PTlxJZr7<)| zSTz;X&{7Z0khWBjf@HBVvNu(XD%i(5IldfRX|FPCjkEA2biI4uieBc?n0_ zgZ&LW7TD4NLjz(D5Vt{bWaML_D42L)I==UCc7(fUJ1Yz490Ei5zbcGMLP35=k^!`X zhjXk`EEkF0dwUFfMr;Jp%?$={;yk%y=hB|J(--k*nNi@1#*1u+R$;WZ-0a{`ck z9p}LwznJcfABQ{NpE|aeXBW1d?J4jMW}}G{xKGaHoZewS@jVd75XEHMckCD8KDxED8~#0dK-Aj%_hi81H!0hrMxcNQY zd30}%ADx*E1Kfk5N|E(X`^j!jZSSF(Tc?aZeu-9$w7m48v$X+PH@z=CD0GrcU49jo zp0_1(1{qnDJ8}-5(b*CHi(H(z0nrQR z2J-99j*<)HfoFFTJ)VPmOa34i15lr&Df}0@2staXtFxn6d0|^6{v}pr7WNmJLc>4m zt%QvFXpN7015>JrQ(c<-B?=O?SK?>ZVPOE@JSz= z7w&@r@uvqT5Ri8ANjyzeF!t#z-FR-afyqI+R!0nau?WHlzb7F1wm)o}Vya8e=oShr zw_c~u_DhH<=-NNqW#y$u2<8MlCVRn_I!J6N3qCoo@Tcm6a* zt5}$Rt}rQq3+~N?s<{6BJ-9C^ity~M7pjsel&LQ6Fp1&F@7l0&7^JA+~@@+ zkZfCoO(SCm2;^#&sYd#s?_ptj0h{0xJ8+$_@3B3VLy!60A^Py{{u@)4;4Pqyj)=^x z^JqW0ewwKdS6#xs&eR>d5fw*eEj-!At3*@h(VtF6Zf3_stTif31(uSq3Hjt;E=-%M zhs%C$2#$m9P27xQamW;1PVMk9rSlG%8a^~q8=~^Tmzt0^bv@@fu;;HXBqR#(nf=3^ zEoK7;#Dw=Sgao)zV0)pB^Apm7P@Y()=CF9Pac9KcqWr`cCz^Mt-oE!!Fiv z;dLDEAxeu#@yw;tWGfaEo2TQbH4z{vzqA9Jjoz4Mf>EzUJU9co6*;oGr+^WdVGfZ;(P0K~Zo8wP-LQJd96sOvAH2iVA{8Fdh-TFeih1tS+R zUa=SkP|e;E1f^OpY}w2fnW@|6tBZAaRFMKnZ~162u>kQpVf zDP|_fNEK9e7-!lr;lT#DBCBk5F%6LjD^tM75G@tjV5?06nYA?I6Qzn%dTWDBImu#U z7pf~ol2XOXORzVq+m$#)N);Nj38Jsu+~&Ypdc@rHWH}OR3@t2@2&E@6rol zo{bFGQ2~PpEer(=LSY>XSVA>KIN27nJeJfv3S9bq6e{J5G5SB zRmt|^)u!$~H+8oYjzlIc5st+(o;Q|`Y^M`O>Wu7pQr{Bo=KQ=-DNjk9Q*nos^=qkE5xVe z|8%%at+ZtFKp#I)zTei{%gE#Bl{?}fE6r7HgD~@uA4CbIu52;M$Q8}YD0R{rOZ_8@pn5TAoNK2}TBD8+ znI(~pn=Cb=(Ti^!Y_rll9jI&f1ZB1y#BtNj^k+vrJp_);P- z@`nLsHDwaasaXhrH)pnGP5fkO(}h%gZRf3g98=1>uvs5Ae-f)wqJqdAlbx~2|GIOA z#W7u|_-~}w3&r;F%NF>(aWXGZRQ~YGxVdsNIpeY@0G+kx9TP<+*%p^5MPjoqm0pzz z>9M%?YI~;&LNO+X3+k;s?}QF#MPx=+X_s_wcR&;qt}>?^I-wt@e}1+F9u)A-V3OgQtahx%j@)GDk^9&EP*H`@vekQQK@!s)s5GNr)k- zkHTM&{3N$Ab@BU9C&hb)J1nj9}R%c=-A1X6^_g58}YmQ`_!oe z;F+a96yDt5XNJ!uzY?9TyDwh-?!1)zN_caBpE+~qE(xe0ccRqX^G)pe!{^TvZ@cd% zE$r{JJ=YIUEzp-xSYB$hlLq$p(Y`l+gevD5K8N~rO=&8uFi0R1s*G$qhyot#W{0Z7 zJsn&A91afg!P&JC%dQ!JTthCTBHt9yfgg{x{@w@~gU${B%y%U#ZAuDhY@ftHl`=Z> zeKi0;<}lbLyv3So<{n=+D{ITFz^KC!5*Ftm;gUB46kM~Ya1!n&2*^W6c*O10URCn~ zf(!QQ88$!?ZLgMFmXL~xG+pm|`YfA&bg~H`|1Joh9tN>0+E1J_?8IiLRWPe6s6d_F z9hYsbj`nX5R3PfclNwl{ZEldy&uj}AESj;3*bM2^-`=GyA!0tfL$|-X-XwDyUr#{w zPn*a13Q1-aHiV|<6;oUDJ83FqZn_{5qSwj*%%{wOZOhCuY=qYcdfWa}bo|6!0q3x>edR#l8gh zt%Wj~Kym_zp(Ran(vK;T$VBQ7Vq;QaH`vY9F|g{2j!fJumBh3`WTd);DVfO08J2%m zMVUyEV7RX>Bo)K))SBB9%k`I7lou|fd!8L7IiDo<_v}uh$Mb^t4f&%n!->7W?$>R< zki$hSycK7~71I3G+8DMVw*&=p2oiiUaV98x!T0XnhecpVuI~Y=FTjQS!AskDa>t^g zx!lUg7XuXTvgdnW{V9CF)G;GJupjo-@gM+i$G)37+mM?LsL;bxwJ9C^`B{KY&q z96+Feo>akjHAyk&wwIy=+W<3wQD|Pc4+i+q4=6(mhXIHjCBEQiu^~W0_Qk6_b}&gd zo*Sii^j7h#j@a{J0rob2PeAc)f7mv~RMW37PBu+Bmcp9TXZt0@6m;z$_}|pLE}V>hDWsH`z3+fJ3pwvYaFGJ-0B6u*kKMj0yTWUw?B zYT;6=MIsqkOimp*rU|oQ+Acj@{)1Dv+y~v8xEUwnkSV&H+Tml0-42-=J_=GBqVjQ; znvgbiJ?A;F=dUg#B#OS7{llFtW&=R_hCSw?V^2nb?S(cD4W$L);mP$hakqgRId!7W z_0ZHoLbCfo%4UATHH<8BZN3oG+}c_C_)? znP7tsf^OuTdE2?B+iuig`RQaiS zLd*(WHaUU=K44>rCMY%LC_^c1pfu+Y4*a-#frCN_Y(&GwyGH;-fA0qkI2Yt6u)-pD zyqMYndn8Vr3Y(2&50o`(dlU4Y8=yByITSu*1iBdN=1phR@bA$BqSnaCB6%d!Bx!)| z{Gi+~oMx3Wow$9yGP|{+%F`whV=U#cgvq>0$!{TJ)N7l)t|2}|-yb%Qm>*;a8zY39tCiX~wx<6SUkOoCL&c#rvz``)7VJG5a- zG^1b@ZD2fY4pD({za#)u;ARv&1qh&}StR0fzSbSVwggA^a8Gnn@DvEz9>)d_H^W4s zQVZ+m6@V0m{AjE=qjHsm#OB*3M|>!Fin;_cD&wU~^zRfrMJ9WGg939UIrk_zY28p< z-B;A5QScO#1soAoCe08Qg&_$-xf<>J@_hITftL6{;|LW!T=7{HCwl|$1!zPKMF#@ zQ^WxPrWzzOq)ho4Crv1L3I$KmJb1+j?xupLSdBF%+fJ1j;aBh!0C8BjYKlyNxQ0}w zs!;G0)3Dm}qu?ocP({Z3GBe*;n=)Ki1y3Px;L2zxIw@iaC{aBrcnXqV1*w;UnJZIg zzc1CL;3=H*GAnD<{776_4ADWsQ>;4fEJ^>`m%vl-6o;Eis^BS>K~F1qiUK)NG7Vk@ zPk~a@iGMF!8%v!h1y8|_AKkFRZC?smr9BB8561d3{roMATfF;2k|Vf}EtK`7;3)te zqZ~wnf~TO$9Rooqc#1#0f8S8>6dN2SkcOu~b*BoPf+f?uGp*v&G~GLkn9w=jOPX{8FBBA^Mj%5>+fVde!h2~s7~y_Vn<|BUPhYXMkW z93}-3I2J7+NI#KA`a-*^b2!BAw|B`?$jrpxUu`EG2kxB%FkFogvNEB9i-3JK8(lwB z(A5CU)t?YL7ey=sbTEihM(|dM5y5geVa)~s?K*?ZN&djfGY_!8&bjj{TU~n`_|2iN zwXL>37+rJ6nbMHUr;nVR%x1(F>R@yYSYy}yPCMTTpDJCpkK3U!(sxP)>0wu7Orj9Z z51j$WxB#SJAJ?JOsNS8YJ8fFFqQ zSy+RdO^CY6qYtbDXn9=R85AtgXj7~~99l{5K2th)E?OD0+ba!dU-M#dfA0isXU@)e z5bdE;DpC?^Ycld?T)CM*R2aj*j~=1E8D;3LoeW+ER)&huof+PI5w#uxH*yT7wh6GA z_KYWHMLj*8AZ}f5Mi^ZVd}@mPrGiOA&I23*^W^mB=#=2p@?TF_uWuQ>kv`N~=*(d2 z*66kSx2f}4Ddp);h%CWM!MFpSq2Kb84?TRMYGKE)R2wsgr_Wf`*Y;WtEf)POE@ ziuaQ<022~s%yeg$aHjX`kj3VIYyE^#hYw%4V=@k3x{s&dFTtnac?u3q=PShM`v3Wu z{8w0#lXbqGAa9(7FW@5G`xdrjTAhCDi#60n`q@$H2DS#-6+3u12lA3f&;{gt9mSR) z{u%#b6}@0~`JOp<#{8(PR|TgjlYBEZw}ZjazG|I*&oT#kDO9!v7jl}8 zhU{a02ukP%LLVOn-m4u+xXwVBtwhITOQvQt5!m^|CMqA~Bi8= z3_F8tjetakE9rXupMWeAlKfE%OJvEb@S{Ysupk-2*@|C0|2e(Jl3Ba( zeK@lk&gfuPOdRr~UlRMI$()fpyy3CglnLCN(sLFQmy#U`U7fU{&3I8{X}>OEc-%2H z+#D22%))kf9Iypy+yIV#fpE(?w#d$ok_%*+kh6M3=eFRcN}Se$K?a!u!#}KAE3gDI zqcues*H|-eE)nrCOD(CDC^i(LsX{c3l>igj0xdvq6;}gW@G2ITSiY1MmI%%iw4EGr zNhDpl1FrZT?r;jHV>0ncZ_sNs@c=SA<&E4{IbdYmyAO*1VzR#1bi>Q39X_V!Nl>DY z-I{G=H_X@f!&j&8p}U^%ef3e`dFq&vAJ`B4MlLf_())%-nXxNQ;~;$wx`517nQVSk zb5T5n%eQ1muF$@J${a}V3=5|?F+fYpR3@jn9fZ=R^_IANr+gGUA*~8d1Eoyi@})R5 zA9`k7%D76V_GfKM;nX+@xqfDN;$jTToc>3Rq^dd@3Xd-rZxM^lN@xUy$9Lf`yonvS zj>6+pczj^9OO>lKRU({kJaxxzL=O!v3$C1UK@uabv^3hn=g(T&3XiYK{HO5v6dvD- zG!*bGsNpYD=(%A&u2K^hN8#~tkzVGPmeEd>-}qd)gc&8OCxyo+swH4EmkKmWuq$Lr zk#cx^ly#~aB0+T$9moOj#xE&}xSz13#C3%TxwYL$!SSt1!AlY`_9gEW9N*!_PZb=W zg5#^05>{}0NzrW?GnZ&wv6U;+Si&Vbh4k@3P&0C8v<8f3bIG%l_N95TkEZKUtc3$u}kgkji!bJx!rNfhr7y@Ks6gri(3q`wPeWVJfFHZ*!y zmfpV7t)YHpn1Er#4L1e-;Nv0Ll>2Osf394DA`7Day8X6s`3-g0zZnf~Mmz4Zr42?m zLjVVfJx+=Aw6&22I8SX6QX-#MUUt0NeQTtfBkMx%wXUHfG*3ICWC)N|fD~eMEx-)9 z+0l4m78`vV2%7KpdvG<*Q!6`SmqY#U`4JM7(U$GtS~CIVE8hjbQ@l;vuqg74%UFBp z!$(77H;n`Mk+su#1*}kgv=i3c2xt?8`wn3?AE5bdcz-#_ri6yER~RDaH~2U7q3`c( z@B4ck2Fml&RzeSvL3_jH09Y90``BtRpgv@QhC)$53&04VTJ1rfJUA_T4w!l*YOoW; zP(egKPEX5QX-LiG(IwV_J^@VtIPBiP08tPOJbZ%2_B>hy4w|4HP7N7;ej7{r%)~Kj zo{R)Q0+2Xi%6J=_=u#TYj1}w3{nHhhkkaXKU`9qS^VF=YCueAw*aj*{&pwt8gJl6- z$WKw;TcZXj3-`o(JgX9A_Bk`)Ar+sPj{a5ea7t`5KHJB5}3D%Hsh&1XcLU!gTR*f z&lj%=f%CLA8BF$Zk$kbevRAZEiVb2t+MxyNlpmTBON`7Sk2kW35Z`!Y)>(2qG5&(? zW|g)}D@2S-vBB2RLcUmFCsc%#2>{rFNVo2gOt+q82=$6kMosQ|EFWa1Vx(c7ob`5U zCY+TB%!hl*txW4E(7)=l5RcI36LwR;!`l^s6#=eQ)fn$ zm?Nqti)vS@6xNy@S>=j1u~6O&-30*+|Y>Ys^v7B^-e0O&V~zp&R@Z=Oe` zAl|XCq|k2oZ&Wu>NLb>R<<$zr&8)JPngNCAa51qZIY@4);Q>w)WN!$ z-|Jz0*F)Z>ccyYZ##)dvD=kaXru4f=NQBA{u9zY8=VQokbf&a0p{YT{)b|p#j7uT@ zLTe-9<~ZAjS=T)coqAYdpNI~Lg1kNF&u|8L%z`MdVNNgMTLpQWTCn*58C!L`@M&3_ zT$HS|Q(I@tJE3i;AaBo82Nownr3%(GEry~>tbczGKq9I2g+uEzI9auM;XW8(_dPg) zK*d#9xhcq71$mnoaXMo4iv=7WvJ5chwm)pAj;5~GiiP7f!pQa_Ov8oqXg|4rkXo{b zs}dFDEj#>f;hd-3nriVN_WvQj`^mvvNDqU5l@N?fi%cQjD#Y6riD+QyQ@dX-(<#K; z_{>ibdu(hgx)qrQg&hLI%P6qD5S^P1iaDi+K}rfNU`0l`f-aIgn`z7k;+>P2%Pt2B4v>`0Kelt;Nu zAX#J3BffXpAg}9SMOpcP1yOyEi~!4|f-94S8(Dxcng!3ou)SvpGHV3Mp2@2$PZYxF ze&|dZcQ23`N+G&jylVhe8uxyHazs%IY2=OU;l25B^<7~PAU0uSIj6M(< zO~nWIwS|&PUC%-Q;j)A@l1QjEfs9Uz$fe+dQwPN0ch{Tz_HI*fLG+3O7aRwE^DTi3 zp70iKHve=}G)9FkI0N?_FP==o?lSz!S3?(+G2I9NP>3$b$1cnAl0p|G_9`~Go!Ol; znK+a1xv9_v*&53y!E< z-<(JH=J?Tp%3^oi1I()wSu5~7S#>|Z&Q==s`%lSId*s}%Gn=oRG?6fAd4WOJ}_%j2BM5Y z7*q&@D9z}VG=)MK%p~4Q2iSDk8*TE}2uO5C$`|#e{R530g6MuMh^QCB%}s=!CJKL~gQ$ zLzW8rMP=#^qQwefkZgEYfAu`LAz-OOsoMh_QTSlOkxEcnRTH~OAq;wM1VDoE@pMcD zD}=$<5sM08P$3NNKf0|D2C?y~4n{2yGuy(aI&_3VyjKN-7J?}YaI$3v zg5&}giG->86@kyQ3s-!LIm23VON>W<5v>AwWcW3S_8?vlt%!g)Y_23?3z$dLHbMyd z?cL0syVm@9;#uB1baff%Bf6I^nLt@pu-vv2jsy432>}%sDlQ(T4n@9g zHoE>~0YBp<4vz%RPXLqK2%J9_4#YSn4G0HB@K%TsbaS}6&BpupxTj3|=`y@L1j*Ry z=-SZeU0HhjO1Fmkm0^zbA*VNG;BK|L_M3x%$Zdok*P8->Oj1c>q%ms!@jgXdCXu(|$^j-S0LV;kP>e<+ z*puAr%)}Nj+1OG5kO({o8QYbaA%<{b4FAs0Q9fsjTGTmjt}>J;0LTKYMMUpTrLqEm zOdmvEnT3Lb5By?JAGb>vIuGE!nYh{mPsLy({O2qDM}`0Ba5j9xt%M`_jI7xDS;4GK z#c@>=`+}SWe+TVk?^@11kK-U;zSBXzfW9cBt^<(w?~t z*k)62Pmmw7k*%~sgp*qgj)Ad4aRguH9d(=sd;DU$vn{2=Dt~+jZp@6#iqp#1#G`309V=ShAWX3wTJ&FgjjKiSt9~J(i!hhTuwwBDLs_-AVJ(QI9R;?He-t(I}_LGAF zx$6QcqwpUyIo=YuPNsNJ_>XC@JvO#7Lz=8j8J5@7^_=Ixp1-<~kZ3R~{Ku89P8p>| zSxy}0k~wt>|54#T;>wu4N3j@Wq8ZtdlQx&cA%j$Ae8to-BWC1g4u;(iDH0_@;1GmF z!yEgv+94z|>JlWG&4$`oHZ2mhvC7O9NOQ*d zGJX6l=1k!~K2i??gB>dTM;=j9;XhXXDC1muMHu>H7o5^bsq|mT+rC=}rsaf{I)Spf zgefg~AX@TO@gD^h5%S<4F$mSR_>Zg+q)rt8Bm$i5_S?qgH-tFZzZnf~MmxrwY-xkh z%~0o3cqu9e?;>QghO7^}uK6D;-2x}nNL5}Mr@;*g5 zpdAGOnMn@X2tQ*VGot_?ad2>GnAiv+OBUmiFjOi!3+yMp$F@iIF+;3oQvpC0fKS}V z#9$}vD`FpBI*Y;Xcw>5HM#3ieXR@TS0)SKikgOt77Ei@!BkR|M2!vxJy-2>5$zUvy z85wllv!CRiJr$L{gfDPX#=URhU71#=-}+(=wGsG`sT;TjcEt`J&T;7&e*vDz)ZOQB zB6ys^C~*0q$=BS|KmwyA-n)v2hLA7RM>0mH2r+QZ%0|Rc?y58`uD1~>m7kXhurwU& zV%<63_|bZHkt0zL$`k;kbDjxkCALIGqym6^V~NUh94>>L+TKIc1nHM5$fd%r>Qp`2 zp#_xjL>;2wRsfJ|l`9qRMYStca9rWXW`Po140dmiofxd``#X9p7`CJ=uu9Px4R5}HLyMCOcf#_9hbMil!`-wA*&16W(I%!Aj&~ zVSz~#vd)eKX}o7gv6YYWwc=mY<_}Mp$!~*l@;xh@0yso%jQ+yFjF(e8eB|w%0Mfmia*#lxE#jkCK9Z1Y{F7R41RYTU zK-x{P1l;H)wrsrS?6#%5byeK2q=|uDSqM*{L z#+4}7)99AB2q|Y2*j{Mk@ zFD!O3g` zNVID%DOw>>8>`G*fxE~!U#1$~V$Ku*qym6Ujer7xJm~rrNI_EokOHw=-TgnMB?Z$} zMJ)*%wUdR*=g$?Yg@D3z3)M%Y(nyp1UO3gEU`2!!07%dE!&3`#uutD11M<7;O@4bv z4l1xR_tF)A=;=9}Bl_Dr?lGK9jH&?JPB;$SJ12xv2B;T&n6es%W}};}Wk3}VKcOmz z!1-eVW#Z1H0kMDx-U=J{egG}d@&^}hl#d-&{E*GBC4g`f=Wg-PeDuR8$@k#KoH{hf z?rHopvL71mr18t~-#&c&e?f`Gbbr>z*yM?-z>x$MN7Oet}MORHnd*8V?K0I zy8WT9eX+E5dnjoC$MNy;$K%hZGCFDvZY*sGh>Sg>Z=PC12>r#?Jaix>3>WHUHJsr> zQZGgufFJ);0LsY6G|SEkA_F>DhAN5X1^*|DmhPaVclF)~l9BrYMtgT}htWF;onh=x z#B56eK!26dOG|5A>(<4$0aW8yUCsQG=e6S>pXH5Vn{?PQdioNk_;4zZuDBbk)4zgt zv&%?^*r61OIsEkaBY$pnSti$iZ|MWXaI3`6>G81?@pIYH%xg<)we*e-Ksf!Og@zGw zxw4}tL)M8qTf!Q0I=nHj+nQzG3We^@<&eYrFh@5R`5BVJ4W_04 zJ{oH9f3}c~-erGhof(~uex-FRqi2qWH!UnV;;whr>wk0y2H)jQIx_a7K(gKJP=U~{ z#0uo&@vomGaG=pMMmraJh}*D&+NsIXyXji}OUu00+WlLE?rxd-Xh+(q-!iPP`p^`b zdNPC$QVV3v@{8pd*{-0}kGGPf;6LBH4~xJ?z%b3W9oa2={OEjjAsK0QvA8#8^J%{C zz@BZxb?Hv8+a1%L;ci2WXzWb#J#ICc>Hh8@wc>mK{vQ0z2yA3;{mXRNl zZy100woT*0t`MCW8vI+#e(zDObG}0+pSN?m5J7yefH3zSGAyHso$qn$Imvc3TL@&o z)LvXrFAk~?$NDEv()RI^>;U2gwPwn;Q>k2!7*gr|J&vJ5dS#wIhA*@=)6=P&jFI+! zk8;A_hwgt1*1>iPj-!osCGKV0zy{ZkyFZd>S7MU3HMJ2*PmVY71+t>(*$>eyuxREL z$!9ymDFgYMG#U@i?cA)YKHmgdoHfX$bfK>D#j)#9g6XD$HE}~5>TE4Und|+tCRBxw z{__ohYTZrU@X?u=bO+^isLLm$Y&T_yIKv~zjP;^y9ynt+bd2fLd9bH||Duh@P8jOU zx~<3jk*&%>>*96DkuE|AJ=mKr&S|Th z%)fIVbZ_D^44H%1VT1v#DZu|ba7I9PvuR@Mpt|#9hwiv0<_4=pvF=?kHX!q3qm^_Z zQxv{<{v3{+up(4WIE9if3sB-t!1_}-lzrjCOX3PFA!VKX5}EfrRkhw?#JKp2$s|6>|ZoQ#(al4u~4w^I^W)G1ud zQTFeL6F7L3#53<+&%cr#pFwyMPhbQBxWqU$Py5qP(n*?5{bvhr;DTiYnUn-?@6dyv z@k~LYHF(6WM`!%qsf%mkjK`pCXXLOkwA$*Tb7{yL3=8nLUTcLh*Hl^{p7CPWhh0WL z*le;Yt8ba07vz|1Bs;24*agaF8G+!~h#tl+)eSab;SRxzC&ve0(Ve`K=3)c2^}3qP z7uF(lI)Emz%PHE2;fF|h)m=wf=EQ7PBSP#&(&U*e7Q<;Kw05r0qA4{mUq_n9Tg>|R1~`3)96xmkvyc$Ju&O%2 zlb8~eYJJ$0fD#>bF1jvGj3BA7{IU^FN;M-|SXl3jf;|Z5I2Uz8B2r0!n=Ot#Pyw3X zXzzf9_VDRkO~G0MSBnk=Zh>c29`{=9m=GGBF`*QDkbQI6e&o0Cir;xGgch46mK0Od>Q^i+d1zm?~P-H*}tO_7i@8 zMRRCCB}eWLYZA`y&@t9l_~_n87StN?1eMsMB!kc7Jr@2CXfq;;i{^b0F>#+@!>xpE^G?uRR9Em;biJ+89{|3LMN_2dB)+FG$6rV*NM7&1kjb5hRVj1 zABD<!>AOXdoJE{RDJ?(|CymP=+kIQFBD&lbob ztE`W}S|_K~+@>Y7^!SXExaU4h3NImcKSy$x(FCgNsJJ_1GH~@u@ogEeOc4Z`0G2Vk z6XYnuW0)utB9rz*Dvq~)@z6R5+(6+30V)J`O5hAK7o5OC2SQjgd(N}o0SayxG*Ga> zg#bVerfiN$+Yxx#3J#Pe!I#pM-FyN#y$9|LWC}}JO|^7CwZlgYh*h`gT0_|(Pa0BD zwOLkqVh(v~BKP4jn!Z?LIHG9kOhhZ|7$As|ls{lmSb)l!Pv*&+m`p}x%_sBpO-v@E zvgVWd;Y~~?qq0tu$y)?9RF)$0>N}6o;(bWrQL=8bP+ikglG5w zyl@Na znU(}tO+?E2N%CAjJhdJi@3cv_U{N|}h%okryQr^BE#*p7pllYIy=p=X#g!30qzMD0 z8acqV-9qQI^GmJ7{_rc$6Qc~yPWo_Cm@M+~0-(lMrV5e^;xsC>yC( z;0!JiB4u?5O`i~2no?+{l9UpeN0LWy?g&4xhqvx1IrsPDM|WNudXRfr8)A^3RRdzs zoFL=&)}2I;{Gt(BPba?$#T>QhiaJso8-k59IPw@B_--Mz(sQld9_prP-5TxD_1RJC z9!_dwSKyTH^L$Jrc>~>t7tW60tYN^8hP*EPGyc^;h|<5kySEFB(7_GRvizIE!-Q5u zfq^SEE+}aTKfKi;{IKN^8c7QB8x)Co^JHtum>bYHB_IU@x~-hd4d|N^mx2M^ zR%+%3^i2s*!GIQ*p~#dX;_@1{p$Ew>be+`@Z$D;tZVPK6ZW`X)KytLR`UENCiBE>< z+VcVOr}=2Y`hervpZ?KmYa{I+XEuZ*02KEQ<#*WR{l2u1szm2RdPp8U0Ole>~-eO z-P{{|pQx9l&(G!hM7>z^=ZR-|xfw%ECLR15caWC!bJrJ%cib>eBoefY+DckprbCvr z{M~uUeJW}qY4D=hr-skD_D~B+d#z&aL8)7=LDWRjV7pj@FyQm)6!;zeAM;CjWs z?z2{I8Vvg_7)@l9Bnt|eHc5Y{oP^@u!tX$%2X0nyHhyA(Y`9Ug zEJA!-(j+}B3u8~w_BTJ4+lG_cvFm>N=Eri|NK!JEAKv^}77rq&tGS@f2aC^JPs zdb=YkV9Korc8pG^x(u8@77$x{;bZq=n5>^sxE=nhLe#p|>9@XELv5rdE~7YTJ)V9~ z9@)^VoH%eGk8=rUo=;zT5O3qhX8pcKSNr|s_;PTiRbimo`d6c+TbEkb=zL2Cf{oR> zL`cX9bpp?x8o?j1_`aJj02ntjATN_25;41+{9Fb4jUGf=hc|9Hi^BEZ>7Ufg#5bLp@eQ zEgot(7Zb(zQFXwE0)#4b$Bq`T3shD>vnm90q;)!tlZMv*s`XlW8)V~Uzj54vtNmNk zYW2GVqhs{0tp4a)A5z_G83Xi6@0<1a%K<#x(mNfiqkq*q)`bSPjMnS*M;aEdZNtw8KIbc3o?Un@^t#vaT>b;S5s||aoPc(4YbU@$H>HwYSYTJNM zftRnfVY}5Ipmwe`(>nQ#M%#k%9id@Em!NBI%E*q9Gye;wggeNBt`u@jM#^v_0O2Q3t|_#eM_pWjNA+if`GL@m4wv zWBL;=G~hh6#`=SiHPTzxJ>%~;*|EKV$-FdL2F#WDMZe89VT{N+YvT_AGX{8gbPZ$G z8x8x2vk_9pAIO(z-VQ@8#DMhy#wkq!$S#uK{kU9pcF_D!^u zzQi5V#);WBB(1a0Bop7(2G)O!G+^@~Oy~80aV^TmvhXPNfP=sO-MThSBhzjh2II1w zyQ-VU6}*Hb$$xU$V!9zrUCLi{l%=M_!&f3rw<>Kf0mL!S1uZZYmhHXAH3=qqt-Q&KR_f; zAL)}R93#8#u3IoPT01LDuZ=6vEdw2v9GE0@rHqhpWAu{myY6>DXro!xzV?kalC-e! zkwl^L+629qyhJ|+F2ZxS!~fsjmo>MIWa+;5ui!ZPVQ$2jwq%#PIdsx;IeWhJ+4Zymw@6>O8%XO7vOD7uiC&Gu&EwkxEX52=-bCN&SU7G9K|y*g zPKu9Ce2UK>pPi2eDua_t5*ic!Nt{(kF;FmLbAvlWmNK^jRL%fIK1mnqHnHI04bYZp z512zNF!I~wW!krGreI_Jq>|FcccB=NB=3T^GySe}{vJZJ(Uwrt1E8l%q8Pc*@KuO> zundRb#+rMh0Z5?H8v&69Ez-=B8$jb-_v1pO+w0m;*IPoH>EUEL1)s#y z(2B?%vAP}?=1`0Wgt)QA_n`IyUx{b7hV(DjiJ|>8*=Tkq0R0-V55cw-%870cTNW4` zp=$TiinHcEy{Ia_vA3IDuHZ8{Jt8ZD4vUjS$fkw;5d$rFDv&@-YZeC{{3^A9*vt?W zV37@yOwM($4SguwIDIs)l3H#qNxrG4HVcSeJcg80Ug)d ziRBVE#Tr73NlateEiwin&S3-w`@?@ERu6c<-Rkj^6+aE32+KW{?=Z0sWlVn0;kWyc z(4@c0uf^l+>vkjl^>AP{ph%`!3gX2;MnR8+knG44XTr<5#6LND6@N&cc8YQ)7EP1n z3^Qzy$-}Tqg2i8ChE_gnB3lH~9mkldn{h~)dQtB|!TrXVP=u7k(F&U*OK^*)$juVl zXz@$P<3hG3h*3O|sd<5=*1B-P;Bo-Wozy8s(KUce<&ea@ zZ_q-%-N4In2_H~gclwQKZ|8CKjnB`2jQ{q&VqNx`yQbaxQfu50^$5lUz#{5gL>K2C zG;jp+Q{gi8zdW2t(e;4r=krRthJ(!gVadH?%-npv7 z^dWl&f`^a7ut>V1NUrj)Llr*!&W2>7@uLIS9cbVDy><`MyAHR&@TL!7NV#U#KJgj{ zM=hO}?dvA~Cii9M4Ej)~)#)(@S?BEINA)8qOW}`^pME+!SAP0u@Z4FYL!QX+Ewbc- zPjGiS

    X%*gQDE}Gb)`B_Y9JKfTjrCF9(GDEb80v7Gg zzGTjl1@NvXnRZ1&ej0jdyIa6=wS~xK^FYhXnx%!UNB1{t>h4{X1hT(jRm=p_5+$N& z9AW{`bql$z)JZ&ppBv=G^PSwJCmah?)}a4Xy;36PH@&g?_QcnoZ4 zqv{yMIq#>AX^uQZv~zu81UAJq6c&Y~!SR)ueon{|TWZ()HsOXn3#l_WtjIq7S5&3NhUEq)%DbqYxY034YhlS* z;ph|uf-zTjPm&wuQ$sM!4)U|eX`(r$qm}ZgOCwE6XjT!es26F4i||;Yw=tjv9V`lG0vkVR zdhFJYo@OzGmWbTtzUV^>zA5Vran-y&@k2YN14Hsq;nQ*~Vxn_pbN9grPMXsPr9!=K zxEqZrLKGD$zkS@@DK|^?u1WK{f$Cp3|vJ>OCsa%Z^qYY!NMp zA?iEOh>n<;V9}Wz+gkRB9KSa)Yvn|-b@YLztOe30Uq#e`55vzAM;g6)r*#Kwv? zi6CA+kK{%*R4jrZT-2>~rC>*an!JmOH<9nD`);o6i#ZBg*j_6HByWYv0o+yMM@?s% zN;@TBBx{MasKn!r7KWds5Kbbv95ybp+0={rx|s{D=TOB*o83*KhKOc_bIofek~Tb# z1_vA}(lKf&f1UfhCd`GPg=$Q>2vz1R+p>&^!n~h*J#?P)hFVs>LH0pqLd7`oXFs&*>126p2fdtQ$zczs2OKBFH{tcX( zM?Y#f0dT2g`3Plh9dun1IsaQ2F=#qUC*(+1{h~vjb#O3e+btDiXJV5dj2TSx+Mf6b zn=APzuNCeIXLqq8CDpHcYDBN|CtIDuM^$O9&Q-v{61EbeYO}56FYMxlJ;3XysZEL8w`8knWcwRh=G}`ieA=h+62G{dNQd_ zHJP_yk_%OWfX8b0mQ`+{LBP1qw2*fJ_zO-3rpEGiA7V_{SrYqJ2wSospO0p=M{*g0 zT}yxKB+gR)93qPEqF7KXYH)!ZgyXIz<~G@$m#K>D7$!u7sT#!u!P)r?`N!V*(aFXL zq{%*-x|t1+X=CYn6$PPA!}XLIX+eSRVQERf?2qIU&ZmZ;BgvOYRm(DoEQmtuT3&UD z1T_H2%JV`z5jQS1yJyGPt@9nD5cM-lw3={>DJQb!v$JbGnl4PzcvRrz4{8Y5!+b>* zc(p=Vjl7*T`JSYG`c877;_&*87po}-qB=B$a}HP_Gjeh4#dm`!Gtgur&}umYHDW6) zXD6OYn{M`tul?9mMt{~hA|-8FUKeuBT#dj<=Dv)N9*05{dgGxU#94$Oh^4lLa{0J^ z=#_jR=AYh$JZgQHS>;d#56jTt7?`o&TI)C0RM2Nw>q$Y^H|858>>4@^L2*hM#ovbX zdaG#g4*4Aj)i>I@Hnh8Qj*x2nnht}OFpoaa;R6=ktKO*sqQH|x5x8albz~Q&$$*!K zFxC)UU7ql3ts3kcs9bbN6n#wPrxyYhhLenIkRhp+yJ{LqI0XLhZ+4{!(8*8!gbwJ260DIHlX_y;zLW*Id=sSS5)d|2Z&M(RO)}hYlWn= zBcrB0Qot?IY z#IWhDLGobm1D9@*XTbQk>KO~~Lmb+jc6sbEs?poA8ZZ*K(Rik>g89M}r=12zolDng zd9}PjyB6*g3cgZEZ(qQW0tQRbeYF-lFcAS)Af-_EG`xgz&S(b_r@RSlW`BoJGi%o4 z<76Sx;dL~V6*n+*fypg^O1DbyOG}y2*h-d^^#m%lSlCRyQ?$nM`Gt39txDKJ1wiVI zJuGWArdqYj5-~9j-WQzcafltCfZJm;pcSOe6%|(SagT#5I;-KHli(#QUCf%^Hhh@{ zi?N|_Z)>3zI1T#*<;yG!!YvjrOyFnu;~Ri2>|CK~1d4Vh=%$e+$}L-K3SQ=8X%7p) z^|8l(f5JLt;fSBq#$4ViTX}Ld<24zYaZ=uF7!W%wkX)=l-od=KQVO zxW$DawM<8(dOaB36BTk3i&Z&PcIFDe%h4!UzQ}J*)kv`^is5FZ(Oxr!;kshNoDWQJ ztAfCQB`E45sd!O0zY7HrG8D0gu~=yg{u5?dttWD+u^eB}=5#n2d8s*XQ5wyi9$p`$ zOoP%<+9Vj?Y+&(kgH5CzkwDvx`dTD0RyL90aoU_R8>kv0SFtU7${HTAX{8X8C>n(a z$QdpRNcMVZ9X7O!CUFUoOs^@jxwbxaEu%L^UYc~sLFFp^5R|l6urE7g<=Z8e4-gV@ ze67fSc70_XzC;s3mOP4HWFiLoek6L$MlZ4Ay1z&(Jw{B5yg9;|b3je;m~C>w&no!v z2|FyF?xnIBejbGv{CGBE0?KtQcEK{P*d%e~$f97ruM9y0!g4IPJ*#c&qZW)qNGZ(; zZq(rwgMl_&jjB}BD=4*<`IK!x$n~7n$_vug&XMiB3fk{jY*0I^;j)}IStN&tirE^a zG(_w6o3p(z!?HvySmn^O#Gb4!(JGZx)(*w0`|NAp6kqBXxgkpqI&*0dvoZWhoyKVp ztoVejRjX?tWEML>fav3EJB)NnFv&*L^LF^U9FObmvB&1T4h3sX9W&|S!XiL2QpV1F z92MnqsZRb@{1kT+#Gz!fSrpPD}Q2T{!+hzt0wpEakQ4iFV@aQ2RXQ$FZqZoj%2UODl|>c z6IE*$SQ9=mg70wvX%Z?iMeNvp=1_$$h%H$KsU5VN%9JgYTJaeoB3tBpXCXUM#FLzU zRF42LfU2igw3XH=Rl95nNy%}B1P0ad5p7&*jnFoA#6*#)C64d zFI6jApgQ(Pq}=&OItC@rp+*Y_ajXn+B%ae8nJtJnZV%LtSqj=u!E43GwEZ5VDKQ-U zjI&LFm?P${o6W2)8fu(KaGR~&1nRx&k`zDt$VOjL+^PM}C%mtN+bgzY54e=@v24Kp zv&+mB($Zv)whxtHiCIqUODa%91qx#bCB>vE(#6a=OIXbt12`!*W_4==274J_LYWB` z>*u4Dxm;SuO>Jf*JW{@3KhH!xf^CTkL)I&+$LM1vQhre{OU6D;o}ASY7a3l*i`;u! z`T2-yTYmv4pkE3>5lX^P_Uvj{!(uX(HX|~Iv?Pkl)_HrI+QS3!fe`DlZ)32UJ*~JD zlB$=xQFqMJ8Cw+Lio&Rm#)`7zO$1m&xv&w7I>=)THN_2sApkYbP1q;X3OiWDC?8wb z_>}AP;39g)_ys_w7(BAW4*DSmNdq9-r5kPOvTjig_z%LDr4+Cz=65<(cpl0z4y5m< z+Y4bHP;`d)5?x`V*1&+hAOpQ`L`d7&NO?t^R-&9FgW4h3*(fwhL6w;X46dT%r@P(9 zTYGz3PY*tU2&kpJzx`nA`Tlly@Zom%c<27!*4`)G&OSdr*nYatrIL^Kwzs?8N8N)D zxAs2RzOCo>w)Mo*-9e6I-6fg;7Zr z+xi%f{U;B$pB;1`f4Kd$+tuhF?*PvJfn902^R)YTk83ZVeqiINgT>y?2Ol1EAMQSW z$Q77sb8&ZfZ(uKW&$jjscDDDsXM4LJ?L6$SiQ5SG0h@%)$2$if?mlP6z=Kw|_4Jd$ zAMZSUc)Q!?+wHw>`zO!#w)ceq8fWZ00pxAI+_{knZl5_<*+|^{&;I|@a*~Cv)%n|=u0>S zfn15&?d|OUamNw@vw!k@s|*BsY47o5>*)jADP9^hJE`S%pX@$oxP8|B7*Lz77OJ+p zhue>I;p#{1FHbVf{_`i>13mX(cmKc;^!Rc2bo&ADia_?a_deQrV0hTuezvu`v|*HuE$2wNXOY(gc79^}4%yu;M&599YY|F*rt z7j^SLfsA(P&HagYH2GxU6^Kxie-t^44D7T;n7qfY<<9Ru}FdARjt>x1q6 zh6D_z54N9f?`=K4-R(cyez2n-AQ4E9oqh~>13IwmbEmELkPl*zZi~$nNs9_04&hlW zC`x;p6vEuWmSr<<74qv=sjqvy3w{~x;nu;HhO&R}Z!^zeZ~H0C0%jTh!Gq^}u$G?C z8<6MzbC_-CDPO~#+J3$|_;6?MVc{n}*cv?A+IjpOLJWYD!hmxZ6lzGLH0_jc$o}r5 z19)tk*r8~k+j%tDfBxXZU|r49Zrq1##rB@%N8C;p<6F`Rn_*w zm{I^j;1~EUPcT1bOjxTe-goH z+9tlSo*b-?+Uq?Qe5q(#VW!aF4Q;?pcG-LB&Zarbl>y0D;ka4W?G}vj1{{bLZsoLZ zt(xM(@$%{m8vsgpb!S9}pb0jZWvAhW-Nd%p$&kStX(dRWrKRBaEUT(L4!BsL*iPgp zIRW^_6?$_1KkZY>hSDUz0QThD1S2ybcPS+2VGt91f7nBuS=Eia6a zbkoQ58t%Qt;xv$@z$})D*Q>G}PSH}gVm+{DgSmV!NQ8&+0tu@STju~4IBvv)JfY~j zf~3%1H8M``4zg158j1Iza@b&*>Iu8fj!IHHJF0gi4pb|^=3dkFPz7^4TwJcpHfAH1 zoD=aj;-<(a@P$B<1PyYJ9UQ#z*&|%}H@OiYH`T#=8U1K!V0}2Jx+e>ONo52iYBd=FjA2{>J|@-}RkA3p;Wgqcz}C*4O7nF4Xc z1>#Onvrb03jxA(kc;FqYpL;hEUpaf_z(Q!FMO zVTv&!G?9Y^YT*QzUb;a{aWjvtWIs4XW~ngrrqp(vq(p|w&RGo+(?mlIg(0k)k%60 zY8P_AK6ou)SiBGpt8lLT?bRa`XHKsx!W9KRHBHZpJa&Lmhir+f&B?3I4X=>lF4M+QF z;-RkYL0f%Mv(nmTVX6LxDXs2@ZD#hr53uW)21gUE7XFQm$$SO zzxUCkaJrgqP@KC#ht(jdgI^UvefFN+s*Ovx`1wiRAp8-s0(4`!#E1!6)TIi0hOIzU z5bmHn22SM^t?QWy1FL-%X8s{e!jU7MwYm^ z5P>{}@U_ITCT*!K2rMmL{c>GFpi6zd}Nj8<{Z1y z00PL+k86|PxC|@}k`d^Qs)^#ltYs9k3Js7mVFIc3yUd_qw1Fy>#dWRI7OgmUe zQ;2Z9BObg4!45HI>4KdxGTV>umu7%!`fabUWm}kpJ6^S_%puiyuC}#IU_rvOaYu6N zi}EXY=K(MFh!Ra;rU0$J6nCxn(dW=3l5~lq%NX*)WRS>Kl24TXjh4;q!UWT4Bbu3geE1aQ2Ks7peHQnYT@1R}s)#~V+Ca$1@Bd2&z7aWYynXiB0})1dzy>cb08fbsiyFhxyvLP2PeCQjDd2VK zB<0S!F%W+OerkpiF7M+fw4W^j?*kR!&3;Rc_+sG2@&{^(3x(oSfcw_HE#tWLBBEM4 zE~uw(6C8_ds0kMd26HemeY6E}VM}ywl`wgMADIWrpaw6u5V2T^u&fllCkC}Tl+Xk( z#`M`i1i`y9?f5Y{RG+Qs2y7&7`5L@f@t(b>o5(=xEm=9^3)>S}P|PUfZE!h$MOErs zIJK03@E(_pLY!fD)Hjc3!(eSG1sQbiPD=$RaAG@g1u>9j zH~%fuD(@jFB)ToyuF$logtQer$ULD$dltfxl%qaDx2u+?KRnR5X{8$lon2w+ zcu{N^1z^|F74%k@|BRDz`_|z3b_M>~!$&{>3zU;ONp7||!JgX8mN~7=gkr(=Jxm=t z)1jlK*88EreFK7+$Q>w?YvpO-M02U+hw(L>iG0FIh!=#a$f;y%D^_cvT}m>4NW!EH z?kHqf706lY*;yRewU~h23~jt!Q9;<)5ZuJ_!UtxB9!NoPU&5(cgPR1UkRO2ihCR+a zPn$AB)=*OB9S?2~taF4_-qc9H9$Ruc{{-*XnXHI7co4se5bN^ z<$#LmIq8z>U>HCze|5+r}_JmW#RZA?pt)gplws zM7;8p!WC+V$d+j))On)-E1J(!kkG7P_ZX&5lEehq8;Z#)1k4FpllL+R^XPywBXhsb zTPnj;u;I4S52W!4Bcx@D--}6mbViUEp$nParYv9E$b@&qTGuDtA*oou~DT<9txw-iefC*2c+D z_Iq$f6I~WF@aiC0jV&NLlxmS~=R{jdgE^*4=Z8YJLSgm>t!dDnyAzh7SdYc@!ZQ6zozc$5*T! zup9^yebU`~`|T}+Bf+SaU^YYi~3bpT#` zP=uK17m~pqvC#+U-NpQe>r7-f<;@rM(VgIz?Pi3tfpUmF?ax6F_hEws$--{7X=?yd z0#S`}uWe%xbt30Lu|hdUy=Js(s~P!#?;Du>U|^WZ28SgzU3{9Mss&3wn%Sb!{X33a z@eOoP1tru$38bpOm?s%hY;8PUM8A?d8YeI=nGoGfA)Meko7p)<+e@|^>0#`j?}5x- zR{=r7uFSZ9wgS0KRNBd`2;LVbo{Cprl`?xX?&gVcruox|gwQaQ{43{&8~76Fkw z+LLl=n|%?(5}?*nlVMG`8x03K(xhGEwlxN@DI}y@xkJFR_hBAtJ1hWT@=0W$v^JCg1olnhfm6;xQMzlTa+n2fZ z7s94TGI45O4NSS1(oR?mA7WSGZ*4w7E!NGQX3|KFR9p-{H$51%1UFq-HV>M+L{$?I z_ES=3;M9YUQfsWb?u+Wy*$<=x6u0o~DGE@z9J9)0Hmsu4vh#7`(|SQSdxd=zRG2(7DPxjRdQ@MxY14PGq-=zYZ1U2?W>xp2ZCJ11B@9 znZ_xM_6E!=XJ5U16@k7~g~M7h|b5 zG@jl4nfH{rTC-^Y24P^Gxlm(Dxut3gNIzuiDP2f{5~8VC*#TW2l(d&p&Foe;Oi+vR zWczRo#!k@Xbj*^81VshJRh{MCaxCG ztTq#M`Lt~m$v=hDh-RBz%t3r6P!-?cYCOz`rHt9m_O#~WVyTyeBr36&_rrBmD-&@if2}wcZmfrH)GWi}+_w0c=5JlqQI4*Ijx@YAr))_*>qChH;p)@chO;`c-B!pl{ z;$B8s_1CPALKx=N9R{ZGJ=72b&ZFmId;)fG{Aguu7yYr44;oC{GjPI^J0(<@Qy>G> zCR@#1#G!;}!(g6KXP%L=m>_w^fzQ$aBVP^!iC)# zq$UKD7+3ZR2J$0QA#a^mo?%&-Z6@V~G-PSSK3=zm+`&X4?uz*-k~+;TN=pE@z*Y`R zU~?>72@xX}9zY6B6-cQ&J{PhQO$2d?#L_9sm1M3s#gqJ!u+@U-iZE8^E_It^`~GNY z`_ghv^Ueg2sRsuvhAI#f{d43~2UvQZ58F_ELpZJW*4CeoKj$vn2mvh5ocpzA#uc@~ ziC!v8S#Y+~U{4QBIV(hhVzcv>7WWd3HYAyQUE4GfZ-f+!37?3F(5yKrriC?K%1SmR zyVsq_UbW_R%nNp8Zr62dSGmT^e5NH;xJtvR34zCIG0aibHY};dKNiPA7DZFLs&nSs zI-<_-B|lqV2PGd-g`a))5LxM-79Uyg)O>aWHpst(R*g*|9)BYCBY7m^FqX!_{lT== z&Mr&`pLo@Hs36(cb}0z}5MybflGw6KSu0e*tsu{PVhkQPlp2#_nJs6Bms#pI{z*zO zhn!L`i!%$%`iZ2AytMsDf4d#eNimN_x1IUeQh5<9M}?LWjh4QTRVH3cUP(ti*&IA1 zL5%*pVTZOU_ngh`I68YF7_4J`QfBGu02znNo`4stIgL%Gd&+cv!3VCAR%O$Yl(J)@ zIjDrgXP@6?9AfyFr)ICm~5tk*CON3v?|Ng2*P*?ONzT zl(1Pg3%RT3>R1SP?1Yv3m5K-KNw!Q>L|i~(I-PrYkE(PD6S#6)J;mvs3dWCjhAssG zo}Cpzo}bsIxv_^#DB~|kOd`b^?Z|^cbxCcVjYLgGJ7KI)EhhtYT~$F)+sdY`QNjoA zSopvevtjeo6YzD4nA|X0p|~{4O<9y3ZxnKW-C6lTWmd2h~yqx`) z%991DW&jM2>YL6^=>Yp@9>`~Z^nz-Cp z7HQN-}zpIX6?15$g+h11SVM2EBaAXB6@2rv=FSzXoc=} zNgJA1QzsrgTB-#x<_M{~1OSX;N)UQ*G*b42y<@!>2!~py3g49IU#bEqpU{X#h4aDY zMej!wP`YqfUR>C+FlVVHuw_OX14Skxb5~G-`~(C#k`&O+RxkjUs0g14Q8t1@VSy4w zZ`GXx!o)^89Gwlh6mxoVyW~S8nvL*9zoXfEM!%XzNFW8Ki(71Da-zbi+(ih~yDNBm zL31~&G)cgVwhvPERS3u!3IaReH>bv1QAU;~!b~5;?iVaZw$#>It!lFYo731L&G11G zH+D?HtPyus)B#0ICJwT4Z91_CsY?8FPNQm5Wb z_*z(8{Kfxe+%=<>pq(+NMHx^M#G-Y561-|UL_(gHii&27YTOio0xdCMWed6GSCcUz zS{Pmxvtn$NwO$EvX&3%ji6lcxFEXsYZlfEydjsb^@j~G{XFA5r`LMfXd=hl$G3zim zWUCDZxB7^%CfK$@;t^zcB_Tt}m!U%ShvW{`zmC|-6W-^`2_VHmj{;;;WnQk3auarp zhmDhCMHJ4iakdf7hNn=;YbhMLL3M>h>8j(-8O-9izgJu}_SQu~W6bvEU-36QQ+(6o zJwF5@G!3nM`f=FIa9S?Y?yJP2RZL;K%C-6Cn{V3v9}jjPKlU^6el~kmj>R#Vyxe}RYqPXPMfP}HJQ>v4 z-y|MNqVWMDYEOlm)$Fblk4;6w>VY8j9nx7ULw~khwwNDC8_AWD zkV-J*VR@aFMI!kHf^Y5&)h?AgL;I;jzcW-9=|VDQQpv(2Q(`$=Qhri@!xd9vIYrRy z75-Yg)Ebus@qT{O&&aSe6jH$nA|c%2=0k<)qOcQ>fPO@A?J9+IzN?npOeU*qXs2x3W%_8lPvKMA+JDVrv)W{z?2e6`#nmWUn%o-(1v zs3`_%1YN&vIck4UN=DW}BHPt)Vg)a@0;~h-d$?@gs)1irRziL`^lA zGweQ(b9!$5d6W#_b!rX>3#+VxT~o(d!E+H_JZ7yhnk^%ZyJyab1j>yzRy%m?JwaUx zUbuo_ov#8NR>tynb689A;3X=xC!swC%Wzu>D-uG|eGNDgiBTLBHc)MFC*+fJ&E=!k zRtHGUULn9SZ(vBlUDVQQmf0$=(%NT}D*)T{!6&y>GKVHc+0+mKGHp@xL(hy_$F$N( zmJq|@rJ@X?nid|ZC4H8bWp~3QscD=UZhYG6D&$D|33>WvtBVR9Vx%2vTtbpo8@Rp~ zIone;WuI-lW0p##*7QP`cetuYLCaQ&A*mQeUC~Lz+%&(G$xYLP?0y4u8RAU`XOVwx zfSwnBuZ1ZKI5UJKUiQ9nrN@FxH8bBWod}6r=ub3W$IP8UWkS=!Y<8!EOOTW@z>r4? z*-8WS0>JAUpsNe^qvr=|)w8!vD;=GM^kzD>t(Qjy9;q&Af3-=Sab-9wik0flL2qD~ ze3eR7=XPzB6uE9Rq?+^SXWOZF&cMrYao3*jeKl@A~5iUVfu=aRNC(xv9HKy7qxObM+l`&?{ z3f01V6ECI%Bv{ZkSydgicqX#sqe`~hRYnCQYQ#Kl(}TfRQ%>2Ww@X=KvDP?Zbh3CW z0%^nx*VCV*M*GCU3DMwvO0n3LBLI(cqZ}X z@k+4>_JXR%z=@{Ce8;u5lpAK7XV}c9i7KpwoN-cYHkOJ=_Vps?c1iZow}U~}@k)uq zlpc*Y5#oD{P$EmK7DO1>KPH^6%F!|=2 zO)N=<$x^N&3b}jSD|zW%0ys?rJ-^VU&XH3xs}o~U&4YOEn0Wq6-6e&$h?jJXGF8Av zMmF?<1z28#_etAg?vBob`fX0XY8Bj3Z^v$xwhm!1;09Q7R@%hb}-5>KK?Td^9}yI{Hp$b^`{&EaN~{3#l}}OLYgE^aZaQblW{KPUG4gBvP z&VHo-og4}}REGpY^EfKZW{dp64-6iVMbBi6U8dl$W^P;e{=vbI=8I#Pbo1=}yZllo zTHVrbTPaTgC1-!EU}|2<{de-+F{7=)UdCT5Nn>Sp5{H`8r5GKRhW2 z{#F6JhhQFAL2exFkodsrMU(y+S6Bp+AR3F0d@1}|pimt-eP2dgi`w-9bRnd2?0JE) z)07nze+{U8a|N|7j0WJSNKSIu`AH*Hh7%#w>LCJc_Psz$&Ath-CSnM_tPK_w9LZmIIyTC?CFsB1_{v}SV zNs8g%8^QCbPcIR{wqO;aFBR2-5ine29}SQ1__6dV8`cY&zeI}PT-laF@!!zST+KMw zp8N7&mbD*%un@TM&g?CDDbGT7{z6vJ>2BjEU?kr@rqpK zjtVZl-$PJDK)|aUd|j*?k_UrqY@eWrI+VZ7p2ZUkqrJ}lk$@`#qMb6atxlbd@)ma2 z+jSr_#Ln$hb>x3k@Z<5$08t~^DOXlrU;J{SaGe;egM113_q`Qr2rKEE(1P%+Bu62K z9~Ag{#q=Ty#hHb^P-dou3W$=O zA$oboB!OHyIDPOOEiQ{?{PQs1en=JPdm9NUfP3)K}nLBVKRZO$MX@RFsOl|Q<@3M3AXNN%Cyi2AOcNtjZq@?lRBWYGPb*2;^X;F zCD%^zv5S2AD`M#fH_*{T-4warZd_F(u=#y@2aisMa>h(VAOpCb zOZF4Aii1WD+sk_;*L1$)xWcI7_c+DjM~!WSlnx9IuPG_Z|5SA1(U`oE(N7U9gNdMe zi#DHeaeX-or%^!=E?;R))M}T#|M2mT($9rt-hX)TBiFZ&_O}ln?>^ubj}JcGc}gzp z>DJ@eioyF2AO5H=0QeHo{;Kmw?weuU9CS*uRG%Q59DobP@HEsizfq~N5v+ZiAUTH~ z3d8v}dMMB{VzP@u;fJBX_2v-U=s2M*oDeZFl~|B3YKTD38%RFUI_6oAnERhR*rFn7 zFQ@7wX6uB7*|^1oc@0^o8W3tg5qTK9wk#XcR(xy2lT`I^0Nr&@MRGmkM>6UL%CF&W-Q@pyOsdu4P8Trh7p@HR`gM3%A`2)zJP(n7L2QejyJEvas6CO`gOJ^Q4MNzd zXi`*%vcS)4n7PJ;+J|1Zf(mC@$`aKz$D&lk(=K$qt-}ey?@Sl_Nr`BTh4{B^y;5yl10+zd&&SA(lPL=TaD^ScfEjjW%l76UfV7 z)<%^9^EcK+{gWF^3%T^M^kSmEd-FbEe*Aj3!Aw*Ep!*Fm;$+#y#l~(;hJ~m{+ySs1 zDi9(H;Mle9#4lo`HqwIO3Wf1=a)?3$zcOR|ln7MWs|B|i87xubD<#uP>wKB3anMLu$0 z_Yv)B%oUz+MkvuI-Fr%q-%kJ8WX{vfT|>`n)WCFN=m1{s!S6;(`%A|8#xG z^$mi7SM#$bAh_{g7vLKN=Tj>LZeJ)Xh{aG8C=>h)L;k(~GPlW%*(=v`IuecS-e{{A$z*?Rv0+o@~g_$8XaigcH?Ob(c zGdL7dn2{5&0YJ3GNIeYG zyo1ojrK0_sN`Ji&98g37rt9l~-qHbWs}#xf(zt+=OdcfAL7*I`LS%tF#Bdqyb{!52 zUB&h~D<4!lTujHYaJwd`B=CosDsfDf>Y&5f^mCLDo7WiV;&;;fdO1&+(r-_fG6}`= z&(&=m|H@z@lYVDqnOMM)+3Rs_g(69Vaib#-x=o{G{A@@+w1tin2q0aC2Q9oIVK zDlzu6P(NF2{0bbgm2kYIT^X_{4=N1)YIH`6b7WQl-kZ*;uG+$!s3a%l)R-JLoDFFYC^cHa zcXaIUe6V$Y?;sIk7Y}irj0|wAjz~l(WKoNw=xB9(E=p;ri;d+rmyt?Jr4)Rm_iz;v znB3t6Qa{vNK=I|b{NG)c`oB3moEQ1?cgtefUV;dT5b0`5dWpGsVR|l&?#V$pOcF^> zsbLbJxaw6mw-^QenO;sQde^6Zm%R9EbP66g1EgjN)T}6~Bp1O&TOIfY zP|O=6oboA^!MO*w^z0leB;-vyTzXVl6502wvd>#}a5g7$Kn0M|@q6sU73`j5KkvBU z(k6N8-R{H%Ig!N?Obu`)ey6Z3d|LQT7+)2pKm5U$T_#oKuZiKfz$Xzr-Y~n zfm9+EXs2xw7_|$b;B<1@XcfX>-cCyO2;F3LBv(LAIV+ys#>c1 zsAvJlH?3I1!zy&Ys6YgxG_b5&{+7{?N&c2r)9<0gNH&IW;jo6ihAo!|o$8D|PxgbktCa@W#%j#$PjZv1e7CkFeGd(!eQ4%B*5M8>by`<29xTt{nd z>d{o$VAcy6{IT)DTNT_8Fwqjb**XQQsRUgG3nedOSzEnkam~o5!|`MtsgUf3yD8TK zjngBXzp6W0G=*-R$1Hd5#rBwaBr;W&6e#M94h{oPrn!|q3+TzBc(GeQfzcQ^KWxUz zc;StCitYPb45}V!_`FRaWE}@p8emZs#N2SBE^)5%*-0%!6zhv^ zYc^Jmpl{8u=XZm`yg2jUTWowE<0`}?V0dqSJtIBYeOrGEBS)X3BR^eq-*#2`DXOw( zHqDDQ{CHy=D02>^IUMyRjQ3TAnB4e+ zMrKsc27uwsz*iMi?KTlrYU6CHHyaPMkRFgN9UBIf>W5J{+f9r)#;!4Z+6#fGU&u_T z*fG_X%l*adg{=n0*PPO5i^s=}sb9wd|%7Bx<&0J;RRn5`QN&NeZjlYlPE&;d{ z$clGx)^_?bRl`?@M?@_mF8>>M$^XuK+hlQ$EuhFtg158q)2sL0kN-HbXuIs!C^cp~YBlcmdR;?|_FVUQGD zOt4TlbGrb-js)4LbA?#|8L(4QRM4EUq?=p-TM1AcKVOmy=95~0c_O^3)Ed$CBm<36_HB$j|lf3Pw8wu>RwSB?>{Q;%M7JP z{%zMfTy zwod0~g;)O;cQ183kW+N6nETJy5uIbMq+kCQbL%koUo19$+wy@`OMJ8WJy^FO@o!bd z->QmVo2vMWFQUCSaE&g=ebFd0jN`9X!w1z7Lb*JZg1DG=iZQ*!c8acA?%S&p)I1hRY%I}G6cLF$Og6ezGST-j&=kA9C%#1@+5G$QdadraGCk2+yAW652j znK}=yM+VC-^roxH6k~)AzzSD_E2t?~g#wsb%VK_&V9}r;O~KPTVN(#9@XJV1c3l<` z&KMulU{|9uhRVum(?)ZzQg$NuvMDsMQ7ju4u~d+U`=XECU*U?L9%Yx1tIh_HLwxZi zR-Q4Dw>5Mr$t=NhyLuwd-BUl)U~(5YO5-{<)d~@c1A5Qa>0tIk9bg@XtXQ)i=#)Yd zP}T*)>HV#GN8j+JhJW-=790O&zgpQH_6YF$v)#A(H+}VQB24DG4U1a0luBQ_TPEy> z+C%;b)$ECtY*~~Y;t;{*IBM@`*J^nZ&?B{FNQo~Zeu~+);n{q-rXZmviZ4n2p=gsfc6dXau4|!`N(j34&;mz=tqZ;QjTnwMe9`38Gw5de3aG)|Af?0% z(l_wxw?GY3JH$_)Kh85fw*1@@-_KeA8S^cphfrB9lUuh3OcYXXEo^p}4$4 z2f{7}Od)@rD>|_TfXTppwX-Z99JnV>YIr~6v5VpS^Ch8!R>zZ6T|k@u$wSLG4oxDl zBqhl3z+-ml!%K7#2NAjS(+mN&igu^xO`=Tql|vOc!jziW!646TNl%tb>Q(bjRgBob zDErLmDbX{jD5P+prrKUZ7JUiCWTKE7jtvg^$=zMDjNLQxoWnya-0eOfO(6S)EAn)a zbJ+pyRN>J1#fmTia92?Mg-yDBi=1{Jbsj=(LWe~xx6NMu$Hj)EWRZ&88`T6)odZ(} z{}vs`bzf$Yz%@?SUq1^UQISf*229V*8q}4g&aMt0)&iLq@B%X;J|SJDEbID*>KbM} zs%x9`+;hJ#Gz}jR1>azu>3(+g86+VJf=zJO@l5>nriLKQYJ^PKtf}1w4z&`_Bo-}8 zh7uq(!^8W;--8ZB*|>^RV#O1RQQd_j${eysVsq!!>} z36bcji+76!EGde)WN0`twRq8l)ZD4%i98hTgy3L_-nAiM$9BZR@O52o0DARDkiJ?1 zuyMS1p%zmBMo%TIpfZ_TtuN?sRdHNLjD2QS(F8B*xPtMY&9x3qEWoH==)rJYi>%-< zY}YHKm*vlOnTNe+jzf@YsLTpau^Q8MYV&Jex~0a7>6AD{wYz|9siS#$0c~uNzFu(& z-K|?wpFe<}DnXCnmjbT&_2lRb(Ux6c40DzQhz!K6ad2Q9?h7Q9PYY4M)R&!Cflk&; z>nI8x$+$aOI9Hw!7E{iWQl1KbQMn(>mBadP#l`<#=lBkF6d{s(!Qsm|0&CIkqs zdjPIrw53WV42Kh>HGF}IJUMlP->Jb!VI34=ko78|q$V+r!=i1B)S3?9YpF6Cdz!Fl z1WI+ed>K8%*24Red~s(l8da@*ZGSj?w-+4H;J%+&{n$@7p+*!rLtezsgxzg zW_7>HPeqoO{#FCn{H{Ij)f4~AV&i)~vs&L_3UuE#hAQP0<>O?vl=j~U7J8N9e&fF{ z(-*o~PZABbuxOB}t4CZWi_1^@JlYUM^JAH5g@a&G6*F7D$gRFU{jM3Lz`u&VZzlR) zg_H8XEjE5P91@}ERrhWCC$Tda(i_R^S`t5l@0RgJ$bp*j*b2WyZ3x_jIK>^eiXcnU zAs=PCl;JFWrR$<(bw>_0?(Qy<5_padFIW5sw!#5v%x065b?_u?QtQz>Hb7zT(t=g~ zY#D%1k1u6`sf0l#E_GA^X1;wBSuy3uhkO)(-WpqY#`6XhWBH6r81IHwht`^;9P%ms ztXqH6Z|I?dhh>YcK|-C**PCowY_RA)Z5DZ;M5_u#eUv*9>c=(_U(rBoL0ChG)?y_$ zGV!QdwCb%(a2iFZ=t9#*5h{zJ6R&rFuPAZNrN{Dkg~ABo0K`PuiOH^Vw+p9)GsAy5 z>s?3Wbq(i83PvE;qCi?Hb&sAC;XqSI%8l4E%XASPaLQz_1G>$`H@ZK>j#{ua&cQOr zYqc1JCiBfVR4^p`;Db@?h=f!siZEAl=?d@gnwNB_)XF>Ah94px($^(SvTlF809S^X zUDIx6lQ%HbRk!WdEFb@pTrhQ#{4STR!mJ_fIwBQKsfq_AsdcdU>-kjMZSBszX60dYB`6eYsNy5hYr0n3MK4Jpx|&#(uz+>V z8E0DCgGc^o0K4<_+1@VKj_hX*OEYsL;g__3kZ+Ms0N~?o?d*QKy#8^XGf-c{imh0X znkvbbm!{Ri3aNM~)4#Nih-*2p0oM@)s{)O=_;I6mnMjSpV>WY6HC>NVL0b!7KlWA^ zhzq>5Msb9=l9?^o=g%$^Tpc@Y!PGE1Cn!}C&^da!3uQEeH)Y@Zon316Zd6ZcXs|qgg7LWwyAyueUk!J>V2Ha7?A;I$0)78#*|LWTDx1 z*qbm*_mhXaPq*X!W^W5AvdP%JPTN*yt^(WRD?1KqRBwmrLd4c>=)=|9=R(BkciizP zD~4Vok?CsjIkKS%AQj`aF3+Pn>wy1dtIoezTZ3uoQl=jFyqu^o-~Xhk|GEh)F@{`b zyK=MVFV*Fht@v2?OAF^&uBv;7pwmyj&r$^0IEEi|ZL5KiXHkgpd|#h6--e(-`eQ1VZ;Yx;PKLT^4Nl zo4RkSrs&ro@i0RF_lVB8rBiHnV?yem&E!jq^jnoP-u-Ucq+j_T3XBJm8|||5t_4W# zrp+pmW9wRzH|g~ju2`G4r_>=c%aW4QVFi)|s)v%yp|m6>bhDyB*x7;#)+>%`Jo2xA z8fuuZc80c$)lEo}=AE|@8C{Q~ntbzqd$dp}$6_FMeA0|$sgmwH@2FzCVuQ}gSWsO5 zTt0d&t^A*hjo()!Zyl|?``u+~c|+7<0xn7AtM%AzpH!NzFDCo!@QpNniEoDlFPW~) znH0c#`|aDD(z}p`2XSt;qD^u1WNJ>^MqwJoE?`Ych6#nZ5N$4{ihWnNS1lCXZyQYC zPPA@<<#iU^<|0$*Gk@HyLCVgQ;`V5x&E}sFje|)0gR(xE^xw7>ETUA68 zS~S)fZ*zDF)snabTo3^!rHYDFb$_AZ9<#mJZ4S&h(sHn!ryrH3aJdO9K3iji=Lw~{ zdb8kVy#wel!**0KRF?t^OZC1naj}jKWW@^YqR(}R_%+G5Ur5=R6ev>nuT9teKZ}ij zxfif9ZLlo2zFByyQF1jf)t6il$yz06D^7a)=T*nOh6cWbitC~1Z-|EbXN!%0b(KPH z&}}AiQn$VF{}%3jfbZcU0H~wL9HP8dHG+4$b9c8ga^Y!$2KYo*h*r0E%6RUZEW4@% z=82u*Sz+z*Y6Mf3EbKalOGzX6%RBP1K6NdgG>TsL6F(_!ND>?yq@gzCikM2SW8y7J ztd^naXj+AEU3qAf`d)j~QLR9wY7n(0=)?zpMZ3OGVs?saWXA;$P4U!`%G3lVeO1c= zBnwAGGR;g(kJJcxp%*i6-Lu_QrPPEKO;!6<4Be6eHM63qlF0}uh6<_D#jq<;2Qv^d@0U z4-VB)9LqgS@suHSeVoYmcm9jzDz`q^Dh+m$cn~Mpivmp|b z2*~I1_esF7BNy2gt|r{dudOq@+umHCoFs9nIVuMI4mF~YSb@i-ANr)>09kQ zT6krC^91Un0-*R4F09k`Czk2m31l2BvmG;t$a<2pj#{Jn01Fi>6R(rWzNJfYT$(Gt zXe8?j;6k`{ts*rGb7b#KzqCIePJ5-I-+#exPt>P}cs5Aw0?O~GANF*-+ zl5orm&CmzEXmEvOB`mBkp^%w2ZsJUzanqtaAW(zQ_T%Fh#;)+)k;P5_6RxL(U3z-9Xjj|r@r)u$SL{$&F=i%qMOPBI-`ACq1X-tMyx66X ziR3kdtXM>~S0R9la(b3;-j7!Bs<^?-q7-<)t1!}fIRJzx_Q0*;8TJbF6{(--URj6C zlT2&Io1W-qm0YvOsm<=IlvH^I2bi)rE+ku&fCn5M#+Z|&c#Uk^0$dq^S0Gl`f(5JL za&oun888#%Ugv3S64PFXY_6kfzO;mSHTx+PLwMaXL<17_LR}O}MF86`)h=#KNqzUK zcZQ8yom2OYF$kvB)h-8HY`N>ev!HW%|I;R{AA%`*S}c1hc2ghW_!L$ZNhN1)A(e32 z{1(^dnuP`x$!iikoZ+&|Y+G&{&g4E><_V?TcT%skW@$*)jr0bLzB zWCL*#iceLku8pm8*jA>zuHLcCG-vqyE{V8hjIDm)(8ayKCVHY&v#g~z4C=hJ5g7ZPga~FfOI)nzbk#a>e#&Lp{_wMyZ41vh(_v7WU*{XT?b%U z#66(qx--60wRc2zV}n`}v`Ay!A4>}4$jtaGTU1vRWDD-s5(5U*mx-d?5YQ@{{|idT zx(=5{SqWG_Kj_h)R13R7OGz!peY8F)=?V3RnxD0eCF^*@@UVIc*RzFrAmE;9DX=;UCp}jEZx!Ic|KNill zrq>7?$s!u;t7lms*t#{?;f-ILz@r@(7=Kj;6mR{U&YYju*Cqan=V6j<32aXOVo>Nz zk~}LtA$+588UYNOOo{czp$v!A=2_M>4wktNEV{p>c=kqPTT}n#)iZvHKwB#~({yUf z*6P;w=-(8IDjyAjYwOnv<F(35v(tS} z`&^{atg{fR5}-(mP=rvmRH~9xDhs3_Aw{wkvH^-qQXyOd6p#Q*QV_C%!2UtlKOm`s z-|xA;-{o|-X2zbi(pzg=ea?5@?|q;5xnF^cF2Qnx?@4bdK_IFa-s1FCaV9b65n`g< zm(fUw<0>Xo@P=~D@+$i8z)A+uVNxt(M0u393lebxINge#n-uxTPM0cN^d^xE!PsUl zw$+Eohp)hRodV8PBXQs6xJy|KBOe?GcULJMz^<8J$M1LRo?Ay z!b>VC-o9<;hG+gt9JtC2ap>0UH(kKR*=hQl@Wxt(cNIn|Ap^`+RS`5>Wc!~$LgqTI zWF>1jQdBOUF#k=Wm6I9j6fqafdU(C?i}6}f!QCBM;o4@oo2Rm<$kVlG;UUJcUulg6 zjtt*+;Yu^%g4~WB6U7qd-D+wF>3kN#H9^sqy}numtZ4b$G$??&4p;0(*D1=l z6cun)$mCE)!WI{(+hlrq;%kaBxQ5^dE|2Y+K^3bCr?0_W;&|n5Wq-UM=wNpB^S4;6 zN1x$i87XII>f1^1@;ZE9xG(y%Sk=~e8JC&^6MrGP!NBWLph6snD5hkAw|VNCRSm0& z)O;y7Q`Ghq28nKi9J9WNZ%DjG7Xi$!_7p*B@V- zc7D8>7T>*~pHY5({Ttf-o}$+qJQckyh`HL{0u0y73BRx4I7O+&e-_X`nX*~K)l+S= zP1~#i>DP$5`D4@0?IvC^?dkntmXscf)$GdY$9`!ZR!Oc;Tb76XJ_9hq`%==xa-zk7 z$01o*yHuJWL@;C*Tum+`oIs7N518EQOV9O0UyeL>52$Ielm=7cgMEh~nTF_LG$xTy9ZV(b}O$TXi*unPu107F2cDQxkTnpv=n$$wei9T&Diz z5kb-P!AU#pTJKd~ ze?q3>^nX8TA=i(Ie~UBU_UiW1bAKr|a+DQL(h2={v%;VqG-fxwhr|#eeX%Es3{R~O zj?Fz?UPyhfc{ktvybuEG1I`O6;a?Ej2kpZ;iU>}S7*g(1y8cm}`fxHsR*s>Jb3J4k z9XL&#`5yx9C`b(N`GgBr$9XXe1bq?B-(M2vE&mJN094()gKS+&%2-WZxDzBIBbsL6 z2>T1vg9rlKBtNz)D@Z`(-~n(*Sn}B~vQ6oxoNH22qWP~Vs*p1tjceJp)$WTQxw?ty zxK$=-QsZjFt`x2=T9J3nNzjOwjUFRu2RpXqAu46mVv$DZ?XdFzawtSw*!wlIC$Peh zli~ps@E(GZeq5A1=!741hp9R_E9A+|{v_?hh~ zKeq1y{GE3XwR-TjW?AVE;9K5C`cD1vSh{OsrzHbXz-c3`3)`J#OpFL%WyVG|v^<`4 z*`UPdjNqrJ!^4Aw7@ExG>ta_kbotu>eIo>N;eu^10hz%}o?S7~sD;;Q_g{krP#^{u zV$RgJI7WCnLWcGj6AbzwuyO7~3ctl)5)F6Ze~|0dF$i|o)5l;$2)(i$vtUsS3t)XX z(P~R^D)$}~l1QKjH6-|Y&z zNl$tu($|KSQC|ibvCa7qv8Kl;5pKsMkOLXpB?`$Kum%sWf8mYG^1tfy(bd$5#|U=$ zW&@cEZ%B&j^-Gs7;qe2o+1zt?X^AD#wv10L(&aqf3GyR9@-3(+hR^>UB;rNNX71QH zgh6VPk8SE>&T6{dqbT+~fo$|Tn08*T24A==SL=5#$p1J2a{^iS0-$@bi*rUP?Z@QC zY#4&G_p{exx9g}SgE*$n!!u$@PEgtD?hR*JC9(t)2aZaU(6>O4P z#XGOxoWiz=FR@4Eb%8i`IoNG6c&vs=s(pB(p|4rOU7Cf0EgGuH-7n{znwcVZ7m;gRIqtCs^=A{_T!pjBc?RVEbz6D9hO z`>1^{kj^gK#jb!{g;o%+eDd?n5 zu9O`$2E)S{h(U`;0a~PS?LjCDtP7Qb#~K~7WFPEj3u(m^?4fQQj7CF<^XYb8MN`C< z1bf(smNp3%sBU~ibw}6Y7|A^Y-#0Y6>lLs5XCig}X5W1T3${ znJl25kUARY9#1>V4IzQVVchSeCvv3%KfnkwCJK*02n*kJyNuTc3dPUqONZb(}(;S^ob?ky=X4vV=%Y^tpcx7 zZx?-A_+8;hHnwR=Fa(&L1>j^cBc&d&Do!s^PR0=GNKFO_ZUm1vD1@+O4`<_QsC*ji zYUZo)v|O*KR`6AYZxupEdzD1DMU~UsYOc~w)hZ_$f?)R-9>s?l(WOn`>Vf$$ynNY* zQRTX`Ne|^)1RAV&j>$tO5y|EieF5j4j$uk+%91xou^tNKshS7(1h38Yuuq+nDJzQH zSAIkp#TRgs9I7h1h}NB!mAv63doVO(%aL*U{#*Ap@2+jGe06oh(UI~>NYKCh(#q|7 z{-xl*n2G4=zCWv|oQ|pTjH98`a6#)8KNU(9 z6pB$R!chP^0Y$)w^~<@BdEf)MZw}C1Q_Ov0yt1cqjGEq^6o&Q2AY5r&r}w~jP%*F$ zsG&3#-x7<;+F-#s6z$wu@sVG$HFNWjpTOHBJ%V%*?~);hQ}jY$vC^G7Pl?0VZa(xE ziV@+pb!3QNhZqU}*$aE%bdN4Qq!$dJF{|Ter=73Q6)4nR@a(n=56YQGVAJkuGs(io z_?05sMYN`(vq_lSwDW?ToV6^U;A_>O5vl1Sr}Nii*J~%&YovcCn-qazIg=BKY*ZX; z@&O@*7DcDQ+p$H3YiKx}BSX6uh7qZR;y#yl#S5qm7O*peK?@vA?2lUrA597S-!tvJ z(SUu;;yX&F*W|dc@Br%Hi(NWUN$r8u%I|&uBtd@78#0=q=8da!0LN+$OPHm`QV3rm z1cP#XvveH`;5@2ds)|JRA4ZbAv%x#X=++g*Kw1#I;ZlWty=dJ1DZu^v(AMo}a0ftN z#4F#$w7Mv!>HL2I0P?Yr4DwJ28t;F`AIjNqcFH+NzXTY8o#W+WZC=2;Xg|D-p8ocd z3-V)ruD`aIsl=ZhqR}Z`h63CT@I31zIZG8!D&yyUV+N;@XMYh2_HOgooU zza$@c7p9ohuL)Opmv5+AJOE3{80feVKyFU@Y4ZFFvF9el`CtR;Ai`$#o2?vBtC*Oz zd*K3yC_RTv1Ww}>&|%h=s6WBk@wdiiU8{-VI0R|- zM78Q-!BmtL7he6ss{u=*9rc8AyYbVbao}H>c0T`fIG`r6ysAWpk19GGmc?vm-i%$; zu|b}T0|W``&-FA&kxeuQNJugOw)a4brQ_);p>jVbBucP4lli4GT&KAzz46vSatAOT zh;9RTGRP(E;^jK32dJ3vHOkL(8c2>4Moz+iblSQ86xW9x1(n-RcU&FX1l?i%wzBA5+Ke!?kCS_-c zs_C(C%RnKkvpn-woU4YK94k#Jsgf^DX1ovW6~$!i3k@$#rcY3UgSA!nQ2rmQDpulK z*SQy5vP*U!sB4`%l zY_0d#Dr+itNMmZLfV4tCR@GDMhsIb$z|^3;a18Kj>&alZp z0stXNhXq^=BD1nK9-gtCCTd49jwn zfeE>w*Zj0QeXCJgXOU1cL6(Z1E_;&6=)0Fw+<6;lc<`4@yMk3JR$_lRn(aLV<70y& zoZ}%zn$zo2Wo#wYJ7`=g9qwa_7fISTC-$01?7Dc&LoevwX_%Ul(_N@7jGU+DB`$V9 z)xEOVy&&chK;UmMw8Zt3PuK3*l9vj@KG7x>P!9VQhf}wWVaTLdPc1KR2ANwvTrKFURlAf&D*Tme6` zBa&*@W16gb*d3DC1DLIhqUG|URyRZIbKrm!8jGWlVb|=y8kCjCY4SnosSso;;iJLR zjBYfrZL7}J-z&nuR3x%1y%%-})NEtjzyeT6_SU5swliA)LbWvb3awWxL7B`q(&S+T1WxT}o z4d@Kl8A{B(vJO`DK{-nIi{00*HJ?yfwXopN1b&@N&?Aq8w#nk+VsmMWC{xOf%=22? zz#EsF+m3kX0wKFInsK%=9F%y^LnJl;adD$VWYkS29K{4wI_M!ocS4Q%25?%YXCr-f z@(|&z*>71yw0}StR%pIiiXehIs6_W%uMnN!pC(}i8FnjDW>t8n^+%-+;z=NU%ZBgOO=e) z7AARv8G;sBBw&r7c|!zd7;x%Xk)ldssB=~n8-Uj&bsw#0{nrsk;M#497BCZfsYnJP zF*xV+FZRl#5b!cB_s;#c0L^{v+6H7T-5|n5xZ_g2!oQVgxA9+E9!J*U0}mQ;9-VJYWZ9(KWIx_7P)1q4nAfDAGlMqqkfZ4ph}v1vev zV#Y6zzOeruNpLBKKQ1?*fP}xoW$~Hc9jk2s(0YXGqrUI(tJP^hJqGUKw7aU_1TQg6 z0gv7ULJ~{byjv0?Q6P}W`sjijyS1&p`ctn(ganEYIAeoE7dXS=P<5ewYmeuCQMW9? zq_M?ZTo19RmaLpNU2bOlfaXBDJKDWilJG)26Ml{$Ansfi%J+_NYgG6Q%aKk;-{jw% zc78-Di7N-`N$d{5XE-cOn-_W$ussBijmXaa{dj$G!TOBftTP1FuiX8;HD>p=rJd1Z z6h&Y~i%roB6-z3x^+`TsrxtDokd8p3_%BIOB6OfJ@!tR&lbgY(kT7^c-QeX7q~_d# z(s%Vr_sXZg@cL)JaP?~U%I80S<)?-(S0#Xo=wgemaq#@Ttu59+>DUgDWkk)L-V@<9 z$l-mP()tUQ9lbt-7GRm}nh1G^dVzjYyg@#|2K_7h`B(ZdG%7#ecsQ$la%((@PXHHx zaSwX1{4%M%RKDgNZ4wz{Rnq@;H{MnFCW%F!5!3|`SAvn zetd>Cd(%C@})#mef+gcVnPc`eNi(Y(Sw*qq<_t3vWX4 zLusBSW206~GM|Df{k6)ZNTi%@X2P*l2z39KGHBAmR!F6m8Su-~&Ob(Vl4;P)AnZ;L zx)+j`3-SGuF_q1+vz6!0#WgluLCr{i6&%dsa!VbS2m7^>IaC;MTDg8#Nd98_81sIm zELJ$SYX)ZF*(2!$iB0mpNa@TQK$b1m5w5O3P_6};FGsOOY`U_PQ;tWYgIr4VD{m@! z^|M$Snl@u8%wV*WRD6~ULKW8?$|js3KCQ`vKX7MYmvQxqzchUd1(8qaRky;7J#8FI z$$qi3OZ`ju?=*1R&K_MN^PomOin~QS(mc!22=*(}&f*aW=H7h;c!6%u_mF}bn3iwb zpg9%5(e|@535?KU-iGUTD4{bso@G^v-xQvZ0VA#eocdWFKg3LdJgEGTmNHdB`=OwN zKacN#Vkl8jvKwPg0cMorkvr7lBkjG2r{H6=;|D|bIGy$pjnTqhhErr46KeF>F?J30 z#{)J@fP^N9SK`auBcH04nJgU+E?;#SE?iNVO!?`8Ams!;+r&yriA;ad+bXBkC8IhS7v!sIH%yX* zy;hPK^K>mJ$x|55`&HB@n}z-kFi!tD?VKaV?Vh6CDaw8DDEALwhVAZ;z=MEs=j308 za1R~fI=?^Ye51zWj0b}_z=5EDfB`;4IrVsJw2yMDxg4)x90kW~{1oI@;d!x*BdO@Q zv+Y!Lo>cTO$mo0RR3B-(C_U5u_v%f3|FrXc()2o+-bahS4H4sb&m|wNBO?8jr@~f5 zToL6u6}CUysf-yupThRJA36^CUhGZ8a}q4$+{c3h9dB0HZ%r|PU*G*aRQJ$Y;t2}s zv>Y)O^|B9%CYcV+V4-9zsItPs^bzbzxoM`|L5-2z1+rkRN~Er@fkv7yma~yxQ&lXS zK4wp_F^!v=^2E*~rWBi+DO~t4T$}LoXuhFYA&B2&{o)uqJFt+%1Vn|sY*igZt|g7O zei~_rmaWPR9!4LK&n%WIj+9I3ul*wY_mZv9Vs{MtkD;N7g+ffTi$GR*R^w>V^dlOeGNC|9xV*0CQul%7MA3^b#dy@P zW#_(VLIvruNLOK^1wbErXgkf9sOMurHtqqcAZ^?(qz+Pi$zFUs0(=%MxRSnoz`n}W z>U~UxN*I10nA&u3xQ_&M8qWvJ13(olCe)tLdv^Ik_XaI}G4p#~JPb8|vOOMbxy|Y^ zXz12HOsnczLvTebC++{>$^+|G&GAPoM4!;L{1N%f&Fl-8tE(F3RziV{kZpPij3s#R zJ#I6~g0%?V&s3N7SfawY5q(0e6_l?~?k;>%pSsw6Ie_pAY`#hNmC4H&<+!Mw>g~_^ zi`_T6uhm}6n3Ewit>nh5?7P;D{Lbp8YtGB28ukLZ2@OVS%{=!b)6Odjy$j$S)j&MQ z7$bfKh)E-gvv38#N!)|;)peOO4+7seYFcMq*yC4w^mBQj_$cE#7Bx)p-#RWlg?*h% z-KiL&V#>c4^EwyQI|(~mjPMV}yl&oEDHA{0t~#IN#a#89Ih%2gsu;9;QQsF`9u8c; z7|Xf6Tx#`2-6Yy@75St1(H4A;3>J#C0k;KEePCad*$K-+E2SNDo)Z)x3v+q_#V1h9 zw~}~Zr(j05%*>FMr>rS8fmas*d+<@9s2@Yu)VGq0lBZxTd?oKCCc3NP5WaKO$imkU zADKgrzK=Gx4c}X3x8gsc`^U4E35x9zIzbJFtSBJrdpeeF1>`mC7cL)@q`XMqmY!0t z%X*+;|40vTRO68_*#llJHYMO+qwxR<(s_KGOr(@J07diUQ|~PGkOL;LoWZlz@@jxg zOPDA)b2<3qU`0xn=?zrb^e1p+RnCd`9gf(GrZ_y_v#>G3Ct(8Qf^uKbD*{yP72r1n zQ=n4c!k5*JKx@TmA{>dEIjfO)Et2sWFp5!|$3g^JbgJTuhk=ZJgN% zU2CIeWSR*;b+#q6QMYVLle6%IskyE`haxcIzit|xz;3?LMCKpn z@Vj_3_u2?X?bJ}QJxWRkXCLeUa&BbIFXRHE+3cm36-;BD1wDQ z95_d(o>$u3KZiz^KPbJ%7B2XS7rP7m1as5F7d=Y#?Nh~NVaxs_%HJZNkUP{vB9<{7 zc^zLoUJnAsqDbw>E%89w&rhnvU>QCZV9d~HZ!CXF%t-xe{-)k^v|C4*To?PZ0<|5) zWhPTZ#~7%hs|-oTTLbhgdSV69K7xYH?5@E{hOkSn({?JXEukLt)I|YkqCr#oSAo9| zx0UAIX>dS;bVa%o!T_Uz(@E#QiCt8k&3L_x5^$^<`3!+NlnoQ%K6d>G!3G0a?z&5y zjkUsaTi&#cMdr>~;e`7dZB0gOG(l+jZ{^~BC$V#AkP?y2*Bu9>$s8ahawfZ9i}y4j zG#@#AkAa+u28h?v&Af&7#>!D*?V$K9Bf8=>_zjTH#b?9dT(tuB=B7@Jz`3HA9|Ow+ zlS{IUm%LX5es|8@yeI~tM6w`|Q4{GneCE~I-BC9b*ylS8uolU&9#H4IVpTOoThW>U zJpQMO-_3y=GSC()STjNfG*;Kwx;H-mdB}%V1cpZ_mnd8@j{MyPglP|vKSSm>YyJ=JWUSH*GoMm z$B}r{Pg5dXL19h1%_olsD68tnP3BouG#t_jWR}@C&mnKDWib;!5hp|m zZ0^7}#e{s^KZc!4EQ-fOVbJ#5$m>8f%v=NZd&UvBtnpm}0Db zE{CrI0|18GM)!vcG!+%gA9nU*986Z`e#w2vltPP*q3lVSQwoqer@oCh`ga zb~9wmlISnUhg_aRW6yCM$5pD0xkrHu3Y@D~0!UiL37Su0G6-~>ynzt2(aiRx#~h#Y z4!YQ4Fch15kRB~z;h%A}@WKU8t`joTWmi$y+Mb9p#!w z(GQB)ggG3uQRR-Jauj^c7>kyg7GOZ9Kbv$UF>YZbJfBpy8&N82BI^-%R~WDf)-K@s?7FVlF~fox~(8$=s`wuS@aXW;z0 zY9Yqt-CVLbOkHMpizB;5EV#TsK`|8bFewA5K<6I8t+lm2TDnS4g?*VH0G6TMWx(*? z##Zo`^lsq0E08H9T+Vg0-`WYWgN0i#4I`DW0GJqq-M8*O=kP9(l5wkGGr1QYTdBU1B^#hnM5L~xycPrmQkl3J>@-A| zNs*EQFzKSZ<31EGOX#5%VJz*Pp@(uhWzGlcGHt0&CTkK!SfIv>3eD?{;%H+GL;kw_;C3jvDhWe&9C{_-&mB^hzal)kP{)j98S)d4(+IyrI54 zE_XGvsTKMa&j=Sas5&)@%MAIBz_dm!Xpn4e9Byt@y?XE+QI@E_Nd=Q>SlkR;L?iHN zVvchzJ<1U{pNo@+W5?*w&Lmy%N301oj8Uq%Ax zk?6rJILy-8iT(gD((ypC<6718HPhKhH>&i%dhe|$2lOk|6`cPNWcZbPLdxVd*saLw z@@pf1V+j+0V2RA&!^K<{xfpiKHcJL3f^_GsqQe+4qVoOfPDmezYKF~tD zx+p4(aK&pby-d&*Zy;?#U`$6c=m|)a>yi+78wNsC7r=SozQi?m-`Lo=4grcp-AjNV z{zyzpdu~M&$q4}!I$W{!UQd`>{##A6>AvCbu61<^?g~ugzw`bmGr9-Y zQmp6Xc2l%A(yf&rO4s!-l5_0L4tvP;=CZGSW3l#l?5<0&@1YNo6G(S~OC0e$(15FM zBP0B3ZM)1TX>6Gv?s~#G(zxNNL%#U~neOun1P#`@j&2qlzc|{fzn4EoBP9ecx(ip@ zzN{ybyH^T5tr~L|tJe@9s4pSCuHw4F(hFhrqTe`jGlH+c=*tTzi@LYA4`PBq=gYCI z`0GFe)Njq*i-L;*qzRDUFcUHsKQ?}YM9lseS-r5enKS$5`pv}imOU!Hnf>|4r=3r! zAXTo}L*Tvg_togGLLaB_J5xD0U-tZAT*ZqpNMUfD;kr+ z19cSwst0o}1c%&ZWV-icwEcF!@WKe+)(=(4KyJw5)CKrk6FmfhJPQzG9-4m|z_Ynp zHQ1`VORM@}yg^VAOLh9HDZjqIqNf-@E!LH^%1!vnzO+vtk&7IgPx=BRnSFFYG_6Zt z0#7jj0oOP<3wpto{gQOY^cwc^ZK|+ObQLJvwHFv_#0la)@F1QRHG=(pi%kbJAynIS zX^&~Z(^m;e>IG;20DNsjEA^7{?L|98u@=Bdha<-d34oBQ)sn+`p=^0W1Ja?`+OBxD zO1Yc2uYV-p_QuVP>$d#nEw)) z)0HnEjP&$vS7I-MTZuBq$Z7uC%QtSUtmoQagZp4dT)Pa%Kv!dl3)l|z#B2QAN@ z`?sf^PgA4R4>%#oDb1}VleXFe?vU>N?tva>K!i`5sg8>KMrsexr3ZH??W@bXqtWFp zwn?LXCAx!Fw4aXMX4k@@@rp8{DR&p=z=qZl zL>B0Y%{$>#P=XBHm_nNi3TJ{hT0%uMZikNs zjLR9fk1!f6Qb;jxPu**7jyw4!`vJ7KuiOH56gQ2anUz|m|% zXSNv9M))PbQ;NlRdHdP3XF9q_a@ zU)1(PT)HDrfC@+i+l!oE?p!_jUBu(wuDDPFUz&vG_3#SD0nC?RE^0_YPGGEqkR;$y zjzW!$YMRab9WZPS`xpZNx*-#UAivy!`n`ZCnk7{n8u%g2>hhxyItJ&KO=f>LV`%KX z=6>X(z@KkXrB&IXksgp^f>;mvTd{SgPh-gvN+#TS_FS$NA={8=-P8>|M<%tx9p zhB#(ai>@-?mD><4hp`LeCiJ2~D!CT*53mqnoMP0{&~@v;1Fa-SiB=e~C@OlF*IbOO z1Gr(AsL`G7W16yPufZ)sGC@YpWIiLe&LHwk;@Krt97Rj~RlD&~y5-Ey?e6W5wtD-y zL1$a4J(_VrMVst{5x87qT#^j8j|O-^9g4ULBd{OkJEc7@+bKG3`Nm`v%$0e22>wT%o*fm@ zC=%@~AsU4E@({c~D$>?=n^?jWP~CKHgP)gh0kSS^n36<~hGi#OI<0gm=1*XZw?;U& z_cmR@m3;v+WC7x?fQJA|6ne=*FJdDYHqim*fQxJ7LSdup=cb&RG+~8$hA{{U8QGQr z%A105wmV}iG@tgyqsIlynH@fsCc$18kNWQ^+5N)iSXuA;ZZb zA%QyA$`dG!r+0*V)z2#4j}{K49~Hj#UD(H=h?Eded}-m2|Y4p9L2B^k8Bo6{Us}z{5|CI#nUVL=w-8b1OhC2eZ_SL`zK; zGP}RWh_L!{dWcqfr5@W(RSN=zq^oH`r5FXr#4XaK1py7lz;xdQ@28L^$Gog^x5#V7 zauW>Q0~7G|>^}XzoVTe6WR{v;sHkY>Zp&36nK?%tm?+{(A|iycRT~V=9Pw>SZol8CI%xdC+H}q zYA7gf-`Kpd@wIy^4h`B?xYr`DHqi=5tv^}-{vo;pT;x8{h)fu$9@ZBE27)j&cew=7 z!E7JZNB~xDFb@ls27)S=Bev^HSJph>p|lbBt%Tu^eI=hu#LP3?+ef=PSjOtaqiseb zQ|9v!FqK#96f>mjk{Qv)mea6|N}S_mdI`y+<$A#h??zB`OKyDA>yZ$irKl8gW@b(` z0a_&*mvubB2EZGrp8_OPbftqZa5XVtA$3dPD1+omD089>*J86?qFx(xjw2CvbO**3 zg(J%_*LK8YyF!+%btJEqZxx1xJWqJo$-Fc>7Hq5jw>3PX(=GpR|n&3gT9eoqVUjMsk=ZBOF%D|=y=Zv(g=eOY=b9g;> zFLr>d2VFQKc_xrZq41|gi-ORB_WSR6HHeUoO`C~TyRO?Y6@g8A^_-wIvFspj;`f6| zt2*DDayI!EqD>1NCADvZ*6ghun%esDr@G8C-fMZf<>OicO|1`NauPu>hZlIdm<<*P zhYllJqtyaB%T;Hi#NiQeN+3B};QC3}P^b{XprqKQ@d~o)$+hl}$Vg#b<4f{>z;+e& zGl+1J>~lG;fmk29x=v|#1K1$89hjY9CbL5c)~Ux37&d}dD_rGLcO6a96l=?7X}K&& zx6(7MTeM1xryZjeq=wT=1#-FerlS4DgdQ13%TNBY;wJD z&^$niBg7dsVM_cZ`8~8{vu>m1sGPSjKHS$&NeACL^Kc;HwG_V-#P*vB1s zD%2P=;SGc+J9ByknmLUBe&1K{|F$vd@ADfFw(S=Xj?XhM#ue9Tz#K!wG4KE7YZaZm zf+Av_3VsV|xPt41Aev7(>|`!&D4yriM<6`Df+|OK4iV@#DBAaT=rzyd)Qiz{U;slSieaNdnW3~z~<==@8t;@Dw#8y-e_y6}35$ZTl= zo?6xn2*pen3$YfJ`c1s9^iw+fo6(t++YrY$HXbe>+aB=P-Qd3wj-=6$0dqdjH1AD1 z{~f01ej#=osuskeIRM&!>)Ms;UcUdTlSo1gC7dbAB+XpI`lzK7#fyI#;MLh_mnD8< zh1R9;#o6EfLF`@p|A>-s3Mty2!6C{@y~Dm_2ub0U&3GQ6qned1U$#{j89_s52Ub*D zqpe&QVik^R`rugcjO%1Yt5=qqz7S$oPUztlMy(7RODI@%uA?lblOT5DUcwS9h+JA= zk$YeslQ@)cJ_eC;pM13~ooyVXohc_0EDnx5FCY(lqzD^UM-C4$$=Tgx9B@m4()8M*$Snn-w zd};H}@>d->BbXqCn79jI1T#i*DFwuFxeCSeUcmOo+-i)w%Xd~b*4A#X-F?gU(VAdD zloAgfjwW7wG+H9Z64MC4)q)WTF37MTQRuvtfe=aV%DT8{QjO_-L1mUp-gd)Q3{kBm zSoR^Z3^+n>Bv!RtKG6^tA(O-BuYGrIjcPO`xWdq`KX z`AgqkTT8ch_YPD1BqpnP0aRN5+MV00cYk8tQA&rU8l&+1Z6xDpB1z-4g|l^YU01=A$X`O?UZ9~Kl_WIwTz z15s4eyNLgvvquDg?8XJ$C9@`ld9{g1V2hH)SuJAWRHt)Lu+9NOgGf`CAQg8rJXkK-y!5J9 z{p_b&Ew<9DKHf`L#ObvQ)^PmI_x814Z8IYaXZ3h5Et=Cye?~6-^YhKAh7TCbFqr)( zg8|l=J=t!X5BNQMfaQk(cg4f1S$?WCr|QRqf)B?3i33GKvgQe19~x5&;S|x=U>ssQs8H3QlmE8PYD)2o?;v$iJpi{E-q8rZ9?Ok> z`efV)F;HwLnb9){iEo{RJ1HDO9G&^~aeZ}F>}2A|@A&;mBwwY8Dc^};WE{Dw6~@QM z;v9NAwPcPp^e#vO94sZ^DX=pI2uu7GsOA z5t4IR6Cfd+9AZz#gZ3y^jfON`@8`g@c_i9O^ARNLN=PObjMxl*&!=p2l{k=Y0zZ^( zTD|WsDw5E_nEhqB*<3M>rP9PhCEo4|D`z_dN!@f%K&o z6R25}j@4JjW5(OKTZv;>Zw!1O0LqQl`$yRWoBZ5sXBgN+!H_h;htguPLKbnfY#^6r zb$md!Y?syIRqZ@}zJvc`k-^zNfbO-!{Y~ry)h)VT*-q3`;=?@@wYzqAej(mTJPXjf z?^hmne53mpn<_931^nKp=>V9;d~JJcEX#y%$0Wm|tOSbJ6qpm65D#~O7Xq;IPzK}d zRUsvL*q&55%~;7DuU0H!B(&(!()RiUaK;r0nju0S-6^XeQCEea1p2R{l?@~BQX(NC zc&R1kzpUkuZtx;dbeBa;RWwHl2-_O&BaXY*8`@k24`0=K7D@0)qr6aetR;7XIJ*M@ z#k64_Zi#(@Fl_-b$03UIK`Ej)Ik61^gy-#{z`fT;EaMY^JAd(#-= zrkC#6K1Csyv;!S6!t}1(9{TZE(`XR9#$9j`iUs;7k9N0@kg~KCK%zfhVF4P(#VdsD zpt0}$&bd=*Z-F`WVk|IlD*UE5-Ws6M(RhHjZ$AW+Eql(qi719_+?Pdln3Rxur7Di9 zJ=PFhT-3fC61)`S`Tpohf=mVe5`lLHo#hhwxAcYx95xJ=IvgXXhyDFS(s?e4 zlFuFK4gds__66t!wcQu~4NxQK?lq*uD(iY;u$PL`TuJGrd>fW@(v)k%-w0%(2c@6T zngFq#6%n;qD+1eVXfqi3K8z6OUp#U1rEz@Js%Yhlr`jMahvG~T5Nt5TfeqMEwLrxI z1Pc_!si;Q7E%QCzt_Z!~(7Rud##{CvNUMeUU`_xQs&tEkSoqrjrxF4$^-f^Masx_v zxav`vDA7Es2QlNM*a3TeBYaCEn){Z%5{^hEf?h5G8wO4(Zhmgsx!xvjv|To+SpQ)( z;MKAk&DHZ3!CmU(lmjlCemGHZgV!j{;_wXRmy4tjSimV3`ZFT|kEr_Nq$hngBDDiEpC!vSFYj*kdGlJh~{~2JcyTYJ7AdDC>6BwhMWWlAJcbA zge^ZJj%qqbY?C0&4T>t+CvXH%kwZZif{(yFNfm|%s?q`fz3W{i_aVaGw~0W$BR{@i zkBFLwy+hzAX{X0dHKx&Fx*l#2@Dz4iIyU&Ba|Q%d_Gf)^dw$C4Az28Adq!t?h;Pi>D0dIPsn z;)3jft#;5}R629{eH7fq|& zuWZw+4Z4*hsgmV8%SB5uEeQaPLJd$S3pbncYE%^QsC5W=8LV4ney1-4EdON<0eT0m*1 zX)FcAeU64wQBNvf!AeX(FZh54Ad)ZQg*5Qfu^o zc{Ukeo*?6?e_1zv`IXz3U%C5**3Z!a;m*qX`tn;V>v)FC<_op>xAvKfj9bz~g&A{t zo8(D2WVOjh_7^lnNRF^T_d#`SqJw4~O`afg`5je*scbnvJ>a;y?Gdtu1WFtDf!bB< zTS694T@Wqc`(q?a0ThC3xMMUCu#50f@2}i;1S7%ejQ|oh|3)ZFINGFtsk%Dfel(%b zJOY&p({<|y5+CP05WK=as!Q6$Iq4|i)b2mPCh$+Nr7_rbU}|koT#of)i$+3ip2l(CrX6&n^yhF^X>MK z<_5M_yV3x7p~cl+SHQpcBprs|w|?pOp604uL&3!RR|`1+Gkh$iF1U zv%)54i~&;B71KIbo4oq1987MUjmlryuYS8w!d_bLiRK1Ae`yhIr||BRU3GO;=Z@aQ zhlt6`wIeg>635%XC&u%PLAzGuFsiMp4O+0L(sU7HnTvCy)gLG>2oiBes(^oeQ$B#M zVjUB|eo4^`IwWL{KJ<-mIDM;-O*lY438GftRJ8xEy>2e<$!*jIt(*YhrYLdy1C(q) zAK}b_+K~Y7>i!5HLftPS`rts!R@&cCBARno(8}gh>it#MG{gY+f=8tK760OYx{^-) z%69eJlb@1$J@tS*qkUqw)UT~$zEVA=Y8ECh9G!qjz#z$?rDq&HJtHHZ6aqQq`iE-& zb@ql!fqP9^Wo_wP$h18#keK3*cX6=~#167wy<$76?pX|+Dak$uHPTE)XJYl3=&vgZ zNz2_ADnej_|D)VRO@V{%D)P|$X-}euubJLZs;uRnG#sjKy`jWI^%Lc50G(A_y>s$g=CPPDX@Ub-Q&Y&TsjjZi~Vu)Qx-J z9lWE6-=@7C|Im9@<*GYZ+o|z73UC+6uJ(+EyMsL#+>ZTvC2aeG3vp)u*4+oy7u@LH zmhqA@&J?SL#{qaP#Re2n9<`y;>dTvvQO@sLtX*kL9+ ztqHA`>(rmPE=P773K6s8P5xD7DLs)GbOsd}Zjlx)Y8o^=KxTENZNvsRyNs1d8H&++ zjRJ(>S0TGFxYWO-s43E*aG^l`K>PFHA+#}8X*2@3^mU2G~ zYXS?T&8GI%6|<6@v4jBD7;e+Wn@EnAs8`F+Sn!} zi#HDzXE(YrRku|Bh^87XUv{d#)cp!aN>m^A0IYgH{82(&Y1I3itIo8$-!r{1<8BS@ zDPUcAJ4hd@)CPNUV%WT~ys>$E?Z)!$=o`48ehArwG}*518Q9066>z1}vpccQZ;Qle zb=6|5At9Wn0>iZ9VRcph#s3fpA_@vX;*?TY z#5dcz%Tf8)ie9`Ozo{C--XPuJ|53rz-<>Y8)pnu_N{Qh++u>L&l}dainA$ddUKN|8 zW->kO?Fa#aqLE%N#giIi*~cd!z1{A;we{7n8sH|iJ;mWS@VXK?9rxULcfiZV7_YF( zM8%qUdbPn#Ap71;7crD166QLpOBp6=?7bDJxEnuJv#POgH=R0;!JB2bSR0-`a+^cS}+?tLA2Rds20Du+2 zxIY@bjp9A&@q-?K)!*|k{-;f8Y>c(ksF=JG(=$zSbQfrPqfnkI>%nA?@iY{>Y${}% z^D76KrD;oivHECrXAGA%cEArWUjU?F_(&sR87A=<<7oB(zzdg~OVlP^*8ZH^d@${g zuaJYno2;=QNw<;i1Gwj7158c>MYt>hUMqH%A#j{Jm#-#sFbx8&{HQ-b;x~$K<%j6K zzW!GAm$;D2uFy?qbR)+a!cBN42HmL-gd0wTMXuw&w_Ow-=zJzl1W}5YfDAIqV?J3> zxrKSy>{T3A0!~p$IJAjJgX0wGR=KX^kZ5B@VFLJNip&!40c8RvN7gANf-!9k_Hw<@ z&{gQ7xAGqPE$?4Mkla@z$-p*dk`NXZ8&y0VUQshf|)gPXAei-sA%9C+(5<9l> z&`?&Uofj%1l7fU>h@TMse{yS&sh#ATOTHwK4Hta%fH;qC5?hh|e@V03F2-CJ1G-bd4jzkl-v$4jGs zwPea@uM%7bS{dx)MUVPRs6zLa5M;vm$P(b6rtzgWO-aWw5#f`h#%%k zw`K7ZK9Qyt_26pm)fVHk5><$e0y&wdCfIgU!6!!(A(C69RHSoWq?@3q{45cqj9}t> z$%n(svRSEwQ1mX$JoV6pNUahE`C2;wAjpR$h>08|h!n;hW7dm`y|5lw0ep zO>oj`O^3Kd;CKy+sCiJb7|0GHqQffBJ$D#H*mQW0c_cysQpQ(AE~iX{6Ir1wr@y_W ztjbtUVnvfHeqwyMeHnU*{y;n9p8ff0=eMg0qkZwEV}p_cg@AQjN0XG|FJ&tFE8d1@ zsXo8G1=O+bkDWgrX9osx)80H09AP>eD<*Hx;C&pAz}W#bxfb)q;STXLNe0AK#!`}N za;-~>m!3OdF3^a@wIHn-RR7n82Vh0@uj6$`!Rb7Ll@AR(|0YIzTf<;ff)uR{aMG+W zdM1wgXCMQ$_bg7D<@`8kCjCDX2mQrq=li4qMqX^Sg#CHU`-oKQ&i@j~cN89LyJ;9G zhz5u#5@c?4L6qnm@e4juG{r|6v6PIXxk$P*y&p+*ZHx`1Aj^;NRCnRsMc5u!E?@0l z=q@0Zfe$VW7Q3HvT_{U=YqUB#rW>IVP@gJV74C)*qmvnIoI^_2MCnqUt62L{N zUMKscodD&u{lJl+o3;zZ^`W z!FpssaH*sr^_6o4MX!cPgZT!d017YzCYT_0F_towk6OOiTB@dj>-3E8s3>-RLfvnc zO}4SU?!uKzpINl;b6KeL%D1jwiaN9Swkgy~&F=r4r`h3cDZ&0>fyV#cuKWQO|L|eP z-#=bIgkI$YbLL7QT!UcL&M`~Pke9V7FdbP+2$KhiKr>AAjwKM<*h9$^2AoY6VX0$u zGy*6ZgFTeVt!^eYHOo7NR!O5(TyHsz-Dme{_2n2@C@ydh~akQFVS-P`E^Y-5+DJS)8hn-0YbuT`lFua0iN$*c^|DU(L3` zu?m;Ja<>bj7Qjh)Fc?ji4mF?3#d*B9DUn~YpQyX#`5&BiencJh`X-b{$co(yu`~pg z?2L2R^q8aSG5@J}-TY(1|M;Pv^M{}AoG)$f!dHx`FOU5)&z_reN=;{hPnD6Z-UNkC zD0VWpCkmC0zb!f!V{LfDk+?4obGGZDmx2yvxQ*fcXH6Hx(>K3~eMz9<)mQ1Desle% zIPajFAskc$H_#azp)YL@u85|dRXPKi#j=Y955-P z`^hhMe|BN@t-EjD|A}woKdW~)zI|``{vG_ay1sFL^&aJFxf-Li^Z)PLt9NhTxc_ae z$N#=@|L*N?uYPsyZZlHcMrYjAC^of*9E*)>_1Dk)-G2OTVdch`*1paE;>&L=-(98`l_dvfkY}K#h&gmjh%iz zI03gbIw66R_1SQ3{aW|QXyz5pAR!~#;su}{j0f%QB&Ih3QR(6T{6&N{wMqZs6jQqG z+~A)Ghg8MHnI8jNd5#|1#qI?EAk~?z)ZwQ|I}c&2M5$b1P^)b zn&zdTJ{P+0Qfk{+YX=@wMzK5-K||q!>XjW@EL>mb1xRvsl^qScQX>=-yzVFv*M!%n zr=5klxQ1L1o)t3`-<| zNu2TK^5u}?*Dt*;DnY!U$*O8}wEP|}@!a-9q#?)s{oQz5W20cGVp2;y9308i4X|sL zW00jsJ~I@HSVy%1Rv0r+NLgR9%u1la-GdVV{p`YfSC|=JfZKOTJs)+ zCbpKl%DqcIxo;b(^z`Kl!B~l$t}D4%l8XR*B90F3vwXR7tOu%ofXH^x|010!EfNCBAXgKEelVHH9BATQYZe(1uewUFQDUt@T`+ z5ER%UAc1lb?2ny4kR!6QB%^NRJDqYff5}@LU%R)GYt~3J63G;S);Cwzzq}D6fxrUP z)%(qFBAs;mZ5Yji>8r0UN=|UDN#rK|6t~^N?3f3QGE<+*volh5NDStBUZ{`Akiq-(L%OXZ?LfbC2QoA$$I2|{rwx+IXxs=LW;`nKlm1tNK5Dd{#debU>NgmS6N zlp$(WzQ}{oz+paJGG&1s-5<91ihStpAyX3sQbfPF9YBrA9+(V=UP3q?!IlRqfE1@` z3bu~`CYO<*H#O0=HtqbDHqnNha|jUF8vLI^&Vfc<&Yk<$<2@u5MPamAd+ePcQ@bk! zhEcf@uFz1s7>mUfbiy1|pef=}K1v%FThRrdd^TV5-Jt9eyu+TA=ShxFQ8NTP( zBX_D|8icY$mak2CgA}!P-+P8S|vY?9ZC+t z(ZI&o&x$uMre zRD`;gmms{mT#N~&kPvhyRpq>r4%94uMcpQA*l?4%qC5u@ka`b!Ry&7Mk{*nR-#E2# zYE`SE7L!jSH5NGoaG~akxR0XehUo}Othfg_o3mhNw9m`}A{Jh9kz{5%MXqK)#Jk44 zW>!r|68dZ?(g~@+`D|R{MWISG^^&$UOuW-pF_n`msccHU+9rIWU%9(^e}#_S8yhP( zT}mDFw#TEkR~)I400eyJvC2qny(tK)7S5NaolEUvU^bw~NpA5XT6Z@{{x$ z#^KlM{Ox#6)s8N-oc<6N&hC;hX2MQAJLVH&gKSetVkKyyFpCaUQPY3VSHO7tva}8k zL@*Bqv|s$Biz|nQExRFb^Bv``VlTcG+rd$QdIA2N!N}C!C)3WyZmr&4>0Z$7Mm*#D z9blWN#6i_m5&Zt2V=p{{B2?q)1}1}5LoXQMN`Y$bR;d2i6_1GRIW$;j#e!*(YCxwb zG-Ug_!S;Y*NGa_Cpc)V;wLEMh*2KSSlRQrhwSRIR)Xt%WJv1Xv0Q{u_Qst|i9JpQD zKD>ETtzLEgp?l-rgD!hnf=Z0O@JTEvUxhR85lkVe+<*oJ3Ksd!axtsgV3nDJ8vFCR zDYlX8D$VKB3v!&z>EgoD70(!K0n&5QejS-cVpPeVAELrT|G}!k=l|)zs~2oZPBKM; zmV@fdpNbs-sbCWLHMu`l7>uQOWoB2Dx-{ft_k+vf;z$533y^+ zLj=kByYgBU5rmqoctnmd=Lp{^)WCig-Y0U@ai8`Cx(|W>Sv;tyCKQN$0%H%NR=1`B z{=t)704Wj|5USvr(;Fzb&-=Q8Kh&nv)<+#0{6o1tg@4KY-xvJ<*nBO0-rzUTLQ=x1 zP+GYzu6e`~o(-Y&Cr(0<)Z&W4%cofItYN{QelL6>M^nA{M?$lJzz$)ND)uD$35xQS zt~WUBCfnn|Au@s0NTdOX&l*e*6Jg#&e~W;-=uLLH4&T@L1!>MV-cxzKQWwh)XL;# z<4Th>vPgEfwFLB6h?!FS8*7 zm(uou8uHFy0{tDnj&etpsUNw)s-FNq<{&V@f1eHha8e2IGsJa(j+%ododX1zm^amj z7v(+368KgdJE{-Grw7?hpve{Eiio`01hEC_v~&QM@fd|E1WvA(*9qVE1ZB~O50P;$ zZgnOfv(kT&h6S&L##tM}mMz*fD>>~?+Z+4&*~xJ_ECww-H;9-TieMd)a&vHAqxOui zHh940v?DMxleGH&d|>Ab@nJhBwzELfT0>iB~PZGJQyWOL?sM z`4Rvjw&LdT(?xgK{>hyz%`AxsKL$fWJY#Prt=#cSIu&GS0ot0?h_WP}U_g>bfn4tU zd8!TEeptN;S_%Jh#-4PC9M2AUorq^31xL)@V)^2qUt%v}l28m9pf>q)#1}*V#4U$Ez(7n!6$wiwv~ebIia{_H^~Hvr+`^|x>{EH6vlaB` z@(Qk>Je;_V5V@qhTb9Jo@H#;%%pls|CM=rHfoh!V&!OT6)F$YJS9%Z&ZgR@ZBK`CC z#=8W%0YQ0CPn+p5rTd$Uh&SAH8}U7v$1&Elt9@TV_P{FM$?-gv-8VfmlPXE+1|u|c zZ+_NRPBAwX?F2lw2AT$!h4X4qDkQO`mRlw8hn~dp?@v1)M^0$>LcF1Ru`p|7iH{sk zpZ{vC8UQTN7Ed~%6*uj@i`Sn_@BkqRGNbN;?r%aKO2p_FotUWk&7i^k84wKt4OMK3 z>+C4I(|?2+FL)XL4c3G}11F~KT51v7*%nK+Z%_gykwJnD;$J|(w{U$mp$m~xQ7B9lvAo0`S@67YTbQs`*z%8u1qyX`iF5-K?p=t zpq(^cZ{IFRpPO+_shSi#MWO|=P1unb^7+x%U;9Q0BA3628F)qlMF4>y6Lp}kTkmr1 z07~`?Hskp&wbPbq+j|&*EBttSh60uY<|+^iG}r!Od!>yaPXRpuWCsG6r%Nc&!+FEE zh2#!V1L*FSX>c@g{C4WVw4~ZGm@q(M=goz8h>6{OD`*uU;NA?E3*zBs&@@a00<$do@AS86Wud9(v{=QtM?k%W zNhzjS$nh^lEXYcyUH~821)T!r^Tzt-8<*vuI1h8sF#r)+_*C{}q;2s7r}hn?bz9X}p$Hn3 zovj;-!NK=XM`PNJ0yEcFIE#uV#5F-2n4xB%ukn}3?C=n1(!;P?80(tjjtouUNfkQ9 zMc@LQ@M?PsdYM9R!_`QgTybI7C>A8Yw4q2agHX?cy)EaECZ&N;Fub5c%?6ISZb4;_ zx0>vzoSa(Obi^T>KqVfN=%hr=9Az+58nOJgOx3~{eq;elqT5pUirolWHO_~ORSe46 zt$*xzP9v_U#Ny2aF{QbGR7n z#V;@WOtr?Wt+okZb$SPq42H+6aS=EVuEBF@bAmCYN zHNw1fXmLuC{U)SbBUGJREFL%?!TyqSrSI^rHLs5>F1=E}uE#xUKj~oC4bdlm2ww;F z6#<^*<>wyEl)yPnf)`o=>}e>JLup@3#X@~xR%;_>daffkP`_X)2WZ7EeHqw1it>nD zP#@W`0d^!htcazWOS^`pXTbo|xj@d})VJLRQKJ6w`f^DVK$)s8Nx{U~=XKY;jBuco z(rNA0t8!IIzl`z`ti>H2rSRnWGz}GGeUuyPJ!(Ejd#{XOoA(Xiyr=L0YM9s8Zu~@^ z?N%);dBm%9Gi{fvuJ+}dH}7NpE z-rD`bXD>L$xm;pFnuR3?Dma)yj%L(oY;g}!zmGfK>iUhfyLW2`6T)m^xOj`RFcSyu zj7A~1v3h6a=GueWQP?>DfJwL*$OChD3nr=bUnm6w;|emrd_JhS>-#IW9;~lqBD^5K zaV_eKuu)Lu3cdgbkhs8)c<1~#-CfyufLATw_)-;0!~T^03Ebw@k$}y6VU;krKGbc* zXI}l|Uknz;}zSp1rHkW9=$KzeoQQd?QR4ZOPf zH50(a_R_eN@TWbOVBFx&j-XP=uIlAD*$P>p;tou|oO4m!8*oMZ#Z;meCK!>cAc8UL zIO6((Oxh}oiF1Fk|ElK=Xzy_nk|+HJ$L2lcqUOeiOhiQk{a#`Z%3KfVJTL`;Q!41m zr+|^7J510U4>wl&B_geWy3O2#2=3LhZ1~PH5h6ELWJKUS> zCk%hP-u~RjV(&nrHcMWp-DW<(B?WB?T9uqd3eLfE@!Ic|cbRS`X zm6h&~edhJc@{iAb=JkSPn;2L$WPbktns$D`Co-U8{b)uBhEkuX{(}odPaO`8Q}hD< z=B1pXSIxOoqSyZekC}}(fyKK&0@(q%e9lSWR=9OeaqASfl6xM>y3Tc`o!?ZMD5JrY zf{9h@YtN#Iwu{KlzY_#JM?{jW@q==%j0sF=dhjrthslK~Wvv zXhHLbyEqQSBBAY`V7QC@xHjCyKW!iGLWB2-bUc|?*jJ#Sgd5>6ER>j|Y3Mh!V+$e} z13WoVb-b1R4unRhlz}#c+$PV#2%C_zq9Cd-LYhow^ReB=dMgU ziyq)L+kzWLWZH^v?L*lWG7_sFvqdz%3B#lFEP&*5)6T1YOjU@h5Yf*JAoT)Jfk%?c zvjC6Z2Iq&vqtxcAP$|DL*vu=-gbOKi8va<|>Kr1Xn#W&wo}YSjd_$_M388uU{0NC) zv8X5u_Xltr4Us>}!NCkWeYv-fu2$+(=|RoI6gVW-*OC>)HDYh>7m4KXUu%~S)F#!fMSxtvup8(%w<~L(%bj=JM~HBsoN{J~-p))uV_cIL7zv)o(m)2Fp2_niVS4PX>!Qg8L_Hv{0Kf z|M%5Y?cCQ}c~-bp&&y7OS9Lzjt!}kZnEU8-qd{38>P83i@uBMK0UkU#j69~7elhSM zWEl{`(=Pa^yY$_w-eu(k!&e-K&RjwyX)t*Rq=uBw?x0ly%tghO7Z5_!tcYOoVSmr?h)A|z-m#>SBgPLPAXrLI-7OZQagrZ$oiw%#$UYpDDb&6FJ!}cn0{LPl9Vndc z-2BWEwO00YmLhWk_U6iZeu(AQ5yC;Gv8X}%=X!Vt`|(OP7E4Lu7uQ59W&TtHNr`*VI1zi~`xzXQ*lK}bo>Btj^djww+_L}vL}ZZ}$h?dQ@8M2K82R#G2)FPk-;%c&*R0oDr)jIt0H`OZxbPH_dRc_p(m#6TM-5EO z`(t}pQaoA=puB0+{hTCfOSU~Udr!sJC;9+LSsvi&hqi72UpJ4?V09w^=|;kz)TWWl zg6AbHgmBT(kzlBuwz_RxkSybe@<2FY3sCP!*Zi_NRxTc>TwUhs)#BiuHiO{j{vi@+ z`epTuyzSEE*OorNy!4a3CD>x}$wdR;r(Z7@nvSLzN7n7GXiqaQWB~qD@vg~4=wX$5 zK$J-)SQ;pjH2w4_^0+V_Ln?rMu|$t>_rn{6+s^EXI7U=uEV6mKpm)%lTq;v%$?D42 z7PxrjidM4uRuTY`ALARl*uC;;0i{7%+B0+KyJVadTs{us19>TedF>C@6+0CiMs;#9~gV>S@XRb&Ss z`6Sn4e?7Ue7Hnuko+!)5Ho=tGpP6|GeH6mS*2u0Bl+2K8Wj(oxtPx`pE?5bQtB~nn z)kvXbK*gP4hTs;{HNtjMqpx_3%BS{IOyaz^xk&1GZo!+#!blo_o zX6x^xCY$w;de@pp8`jo-$vXwtjNC=kO5w_Wm6F_ZZuW` z#$Nao3y3f-1BDtrlo6jUxGO7_d53|Xxg6eR{YI}`UbuPz)lHZct%Icb#}d%&u)DDI zF8(Mog4(){HmR)U%KzC`d$z@6k3FSXdkQ;L>74uBZ3cGJw-3l=nEKDeEuR4EigTdOMl9uAn!8AdAInAl!pXSE zIbuaHkyEs2F<+i3wD^xs!bk-v-oKLXH00qEL-L|?j?2z@dcNq_-G!3rP@~^w;%Dt+ zqq3)-`2dvsU*pjDuTFv(3X7Dm?^VhFH4clv{J$tGNSF zyZ8{J8mT+6LvG<>))U{}G`RSgGwO3q4qyj{TBJaLBUl1tRJ)j?knBDuuOUPnecL41 z;EQmuBC-(B36O>xavpP4Ds+|T`2iy?U5+4T2!#zNF|dX)oXKoU`_!{E(>i;@xt+au zi!B8iEW?$KRs+!gtWRIQ*`t!uWJWF#zHu#9hiR!{R-77_mRHMQxkgKHX$T-njjwgq zmnAAEv%%c}MIg(dxQQ65C1t66^B(MF_~cPQoM&n3o8{QGbH9uMViw4d6Bcf2@J2Rg zcEjVB;}|94;|WKBKzkfwg4SXC2#-Vvr#F$+>{%q37IW0l)-KkzmKfS(2ls@KGnc_@ zaP`&#TvB5c5BbGrq}SlBsNvP8#hTA$(JqvEE5L4MA#}IKBeX7E+QF;g%Rb^4tg7{A*OeDG=m9Clwjx(16#?f5%Q4h0-Sxjqic$erC(5*Jf zi>=uA2^GmtnT&AYqY$Q;pLXt7P|&M!5Cwhr0{^$b8Zb=WNd&;2`+<1fMoDcF9<5_Z z6-QV(eux3Tu;EAm7N)Al*^M%_;iE*Akoh2rr#3@Wpts*?cflEi^z4tFcK5co8AIzM z`2w-Ni`~U9_`jNuXF!=?TukrKXK z8&KCsR*wf|Ln(dS5R>hQ4ed^fV!t}=ScJ7iv7+4|V&xTtW5~OZ(5;M0pRt`pb$cP9 z3rMwz>mpA{BJ9Gg>jOY|34Ij$+zLJU(IG(^Ln1POZ|wOdi~N>Ig)fwu^w(R8-|UYkUOa@eokYW;q@STcUC{wF1UCCV^ zBiaAKe98XO&Ng5%1%q?x{=&}%PzeGRD)E$)4H|{eYo;lnA&!N&F#D&biP<9dCSOBR z6u^xI2VxDO1;Q4okKWwFn`0^W->+z)hXYJi;4L8<9238XP<-?zMB*X)K-i+SS%=n# z#I4aDC4q1@P-`3-85al0XjEm~GoWM@HWATnK_DQB4oIpS^E6vqK9{oh6uo7DQ9Ip* zai8OPz!(6qo+Zb!Y5q3aK_jVzjdCPQw+y9M5VIYip>|nn24eDH>3kz4C2WV<3{B0} za0KvcVYVQ!x=8MyK0uI|3a=zHTePiAs&02X&eQnfs)>B9krPDPRp z5NmB%pp^9%B0HgA=tWC6&HF9JHam(9=&g>&%2Iz0C{T2~^iU=g;AP&6v9`K}vAAPJ z7~IH>jj!EXv8yh;c=`R>?c2*6@kIX6uhM^8e6xnGX3O`#=HC<$6%4~$?>@M*a)0%P zKOy`tUdh&O_6^R`n8Om!Er6~W<7lfZ%SxzXAvQj+1?)0%yDBH z0R(WW<}sMA4bKvGhT9%K8jMFnHUwfixoX=aC0RsPPga~d`w`qT2o*wOGz1@sjzfl1 zlF~dKYz_7YusA6}^2nBUFHPkgH>Fv>0;&FlBtxwj}c+x?`oo&uvBIVkA|k2XCp0sDb6b3t0)z* zS4l&zXFvjTp@u)gloNRq<^@vn!oHl1)wO2VLvCGWQ3p=aamD%x4stVRmgQ%1_j=+w zWb|oKU=E(*LO(L?e4}}xEth$v<+H0uTZh@Y$03MP*UbAy;B(Hke;;auHsMylIl*;Y zAME@W0nHH6M1iXlyeie>P z3X4eXg%U0aoy1zniLWZrN&IS5=AxPdyf*_0=;bJBvD2p6sWtL*IYYdk^n2s&hh>VN zGjgT@PgHhtyISEc7hc~=s!B?`kkJ^`ksAyXJmBMSchqu_^7pQd&nqi$W9MqO5&j5Z z%G4v}>V6j8v04Fm1$B!=p%n7p^Ah8Sq;Wnwz&zGeuPlmn9wXlzLaTHK3yN_sXkkV6B5J^#M=*7T zkHBqFR1Wx%5F$UNx>2$8D73A&2%SAN>jwQGDst#M&+THWEUOQTFSCs#FqSnE?HIMH zb&{MvrGtZXiWQX@acVKbzhtIm(zz6tGXG|UZE)JCiJ5W=YbUJ}&> z2&ykr$CGid`g`=PgjP}-hpH+1p2$MzN@ih}G&EMTcE5=7G?kdbt9<@Prk#IOcO*9s zu~z)`8W2z$xu9bUR^E+Z+?hNnu`jrT-6S7%2$iT;9}7@(<0bTjQMiRpb*9`rqD80kmc`F(w3Vo~x_A9#;FTfCSi@mp+sReKnvnjbB_2TK;bI9=6N3UR_dXTO$m0r! zh$Y3nd+0SkB~I#O)v}|MWiuFB9#Kud~7KI z-5eAVrUfHdK#&DDK=awofW5MFv5wrz4Q=(I_zqx|;?7ZduH_YNZ~c;3>@+#45Hb7- zOxxc=U9W`_Kp%ANN$ny^WZTwgbMW!7fEIiAT&w!o?0{e78M+Hs(T8X0vnQTCoF}{s z#a$6X7rey#cf<$^TKcqqM69Sh4;1n5;_<8YNuII=qbJiHAhp@fuTMKaEC!O}kQzz_ z>WI(*G+-I*xP9lSekQx!7i`R*Dz?{dA6M1?lwUv*P(;G&)I6^EJFZPTYag(AT(Ghi z5Vjfay#6`A3EK$VT_}M_awZsA6zUSy}$vbZ;A@N-m@7XN*2g z`bqw_Zqut<6=l_+k+6bZCc<4u(KKA*AieHquF|s4^P;EObN~kaPgI)mkpg~0~WfxogrcLIoH zuVrv-G*B2Yah1rC*nRcICP(1#d><~~QbSbcm=c#yR(xH$aCU{^p#riwz7wVpzF}$+ zozAEun#{JhB@&s4;cgLQ3bYVmCQ34raRk3Q%5e?@?M7yY2tHb`_?!dj#nvqqJ*&<4 z9mz(Z7{b&ne2z)S4Wz1-M2r4qmH%ao1((RdKr~?!V0h0SYRo5j)Z=Jib=vt9EGeeQ zIO=PewBB_L3AmtFeW3{!O^66M00nD?}+0T8`?Xk_5VwdOhPC%lLlq}&1tx3Ni@ zfjYY+_Z3{oZOg{5cpEeue6}0U<#(=?O4y|5GzdS*J8u$bF;U_CJ&bv^uDJo2pvht% z6`u^=9+gO^w7GKqls4TKKc&s<9~^CdW!iaJ`!^S)sUK7*(|aqP9i!YF=NDVEDuHvxN%0$hFnK#%e-fTX6Q}l5F*VWwgW_QqQNW99o z_%~KjE*FjL;82>^a5l-im$juW8&GH;gQV5lQ^ky27v5;CsF1#$-foTV7rJd=z!V^< zzLddJ);v`|&6Sb43K+DU0f(yp=^X)N{b?6O&FTiv%?cc;5=yZiREwB@8oB`&ChTFOOmrBXl& zLK!DG6~e=WM|g)23={+?LrA$oASR(w0jhYU04Mx@|Mz#!x!o;|W_EV1)W*~5KIc2% z_y7Ly=ONV4Lh-0Ms-Hz?PdM~L?eC(}oW3+L`Ar=tE~R+575ox$B|2x|bi;pJn-|rM zNNM8T(|tiS=p!ns3e?JM_sx~<%6U8F9rsXqSMKBhq)hzinXL1IWZ#2Ep44?k*!YrXYI8s{TpRgZAl=|*eV({ zf$9geJL{{3W{=)2peuQ*f^hrcCXY z2B4B1$5oWU!>uVHE&Kv2a-00yCWZ)~DQ-}@VDVUh(4d7kzWmZx98|u&S6@K_bbnpj zBKQTqkSmGHb>Lg&6F=^sP)Ba}{N|RDq_Ae`NavF*w#2N2vp^F0BL-kQy$Lt4A)u8)FNp{g&rr*SHq{;TDi=f$~ zbRbpNo)`g(IwXQ5Od}SdGE!muRU8nd2#8r zJolw*Z`}COTT6ECXU|`}Sjq}S8;}qY7#{K=DU-|zy>PE6VL*OAb8p2nTV!z$Bji{T zNeDt80{Qu7!xt}o7&dH-Z8!_5-SB7Mewe^r-hBsf_4IMJA%~;1Fo#tXJz+YoWDr7F z`2*m@{5>Hal@X{OR#r{ay(7bHsd(LkQ?7VkG#-I8rnNc0bod3`e5mJbkqM$P5qaQj z01R@!iKlg+FOmQ-YD52DLvk%ChiLP5%;Opp`EB*|#rV`ofhr?sIYJc5B>~^{NAS5=c_v=o))(BcFX6+qr(@NJr%8b+8dH ztp;?L6H5<>xAZ>x`h|;cUAS@K%?JMKZB#}Di)GJqjX-b`x=ODQA&ESoA8Kb1B8|wx ztHRyl4H6m(_ZihF;i#N1up9Yb2dYFJ%>uHGh4A#P!H#r-X5} z@Azcp-KbtX{@=zyDdvJ2R9f&!gEi}lp+6%Vn}SYUXV7=gNX*fNw7^41Mx|LSP3{$? z!4g@8sHgg*AjClJ^(j6i5)f>5x{3IR{LZRya-j%WS_MeW{_;0cothpu6gSWV*}$8mW|pyknmDuII$8)laIu-!Ya8Wt1Wf&d|Co!s)bnS3w&CE zdrDTvra9z$2E3?Ye+uv+MDe*AR!3OKRXzu}(56^z&Pj^+g{? z$MV^hfJ3e{4A^qPJRw$)+RP$99B0D!q6k(hl^qjaip&m zRZ_v(=8!-WC-f&Abt2(wpr2HLoiXXMqP%@*b4&PE7teSn(ndwD9{&fOFBll7I$uky z5ha%r3fhB-6F~nSK@EM`!O{V-#;f#5om^G#CT5}Z{N&*{rcZb(22D5pO@FR&ngS*I z`pI&n@riSYyq+E-0{f#VllsvucUT!5J&^kRxtCvg>80nLeY1$ty=h4D-0&X*pg^2X$Q$m~eGtj(bIz36wn29IHz7~Kd z^5k7-|MlRkz$7BGj&5+k6h`X}USdT&4sMCtH}_NNI}giV!|G6fb{w;Tm6k4|2_b_1 zw@c-sKoE~8n9&9Z!B|A7x7P6=`CEuIGbNjJ4(wmg7g^5WNx9h-qz+Smifjzt+2IVK zbwc7Boz#k>-|O$4k1pNcy@m|kTZ1Ko+IQq{0t7BEpE8x%og~NpVw^Vgv~ugJUpp_4 zYxhMI!XmB>&8I~k3HT?gYa7ePmdVACCmPI@cmT9iZ;M_eSkXIvmFibnrk5f%8B-k~ zvnW@X$RiQ}{}BI({3EW~W=@vZ>Gc#Nu=~Bdl;jXs(M)(~eG6wc2M13zyAh0(b_o2J z9Kr?kdDRly$V_u9<{g1O>Y0Poehk)C#ih3gDlSw#seB{JH2w*NSMI;hEb1tWC;yT8 z=zEKvr7S_ODe}^uu^TR2AyZr{qJTcQy_f^xdH7NxJ#aI^9gU?l$fZ}7(2-&{_x`Hk zM^4iz=d`w8>I_p}gz|h)X)PYg(g4L*!4v8z_~z?6bfWcmDlR3vAFRax<9a+%z)ssZ5*e?2_9M;Zk4LXgdbN@nMaskCU%RHR%jed8m-GV~= z*osO_)RHVPD5xy?5&uze9PC$C^rO}WZwd^5A~5Non}1?`XWNp||EccopT$KYaTR^2 za{p<^FWf(0L7Wi%PFMqT(8r(Jn#@-nc>i4Y^WX-nn}9`r{n%Rzpr_@jT7_a(r~=RA zL)fc8B&n~_(rAq;#F~}M)4&e})~7}#Q`UX?9T21Poc2`gKAvK9$}|_fS;}={7;wE} zeqGA7eM-l!4si~~sbs~4q7Z4D;M5v15nZB&_9zVV1AV6bv>wfH;$J`;pUYRSUc#gy z*|H0C-VH9V+|B6I0mp@yfj3y7_W;Q8FLjaQIo&`@T)(kk3khS@>b$gAf`$OS86r>w z;YnfR2~jWGofaExayyXJxkW0QdFpcK1M`u)SY5@Gv-` ztmt4Hewf{@3$u+hi#HrVAOu>`MU8z zDkFHS@>gQ`z{@G^3Z)DcMvpv`>z!c1 zE&?^sBA0K&$yDNG*EqlGR!0vv6qn5u(9mn%$YOlJJHTn z(2m!?p5UFJ$^(y?u5o*U_J)wH^o4Zr4s@G?Vcqb2;nOep5eaIU5i3ZTAHZ%X3?UYIBTHk0twF*I3rUSN*@x-YGK`oBNha8@%q~%2EyjNgfxQb=#eb#Y2AP z;2;m}TG8b-T?dJ7&Lfog7v8#HY=WNUHd@-Q#+icuM8wPmQxy7nk&>+^0set&%e4ii zcz@E~ON?%~%F&KR=@<3L@BLc;_xF6QO0lT!Mn54F4Uc^z4$-+-d8~J}A{@kCK^ z3zw`!YbY}KLsD_E^&#@6YerJS8|7NDtbGarsw0b$*m_Sy_2h}l0@)T_^JsAE*%hEg z)K7ugfi$-)xEqckZqAOCACsss%I?rA0Ua{&o^2Fo_Bfckf3SH)%q2%%69 zOhIV@B=JTIP7hr&O~?cZD&(P#;TF|B)4l$P6ZK)gw!Cq$OJJd1bdQDdtaEIv84@FsLXL2 zXxnKME75a)l3eRC8>HGbVQ*g#p1}G}zc;bH=sQoTs6M!>xPW;>n z4~n5_mA?6~Mh3<9}A2NMi>9rp2uKn(PG1jIvu_~VCRC8So& zCptC_cDn@OTpvv1}QEvacX(7)ObMnjE$|Hpt`;XZyt8Bg%%D} zg_dCkQ#MAJboY>wu>4Y|t%)^&3YEtborI;Q7!lK(MjxiEXvgv517`S(3W&tL`G`mf z*`M}%p&)?gnO45E-G>S!GF(q)x+$JHEb9S+V8=w`YxB`>ryB0Sss2ER(Al$R!@%RK z7@=TZp{KtQ0BLTKeF(&9llN$5j+_mG9<~H609AQ67=C2q9B3JP>@gjy@(=8EgJVxt z_UM)~B~;F>8?DiR{!Vrw26)Y>u(N69L3 z4YHS3>>s9U#S%C0b-^p!1<$VTL-}og?}2|Q;m5x-{5ZC+ic0P$tiMB`2reCd%YciY z~}~E)P>A0Cl-2QNrH774PDi(!xtP} zRHONCIW$&5>6cwt9-6u*QJZB~=o>jHG{IL5Inb@F327vG=;rj)G|uDOmJyVDG%I_$ zxj9*#;!C*N%z2L2R+cpvE)TAjcx2M$g2A}hBT7+Td-+PSTyJ1Qx!+=+6#kb`7d(XF zBI(%%1|bEy+Hh$IS@E~1!;$i1kVft*boDM4II`Qn%`EtDlCv#n?mr zD!FDGg+XW^IyeuC%x+Y4+6*N@RYXv7mz_5W@7dm*b$a-KriUZLfxm9}P25hdbO8Eu zf3$2R(Y3dhf3R#NSH;I62D_)BY|Ee%S5yM=KJ(6<^tWUVxngghJPTpP^f7pYu#Ex* z@(%OfSxWq=- zGdR0pSF&OV=cL!&`ojU49Z$F5F`4b3@kjIYLrEv?0a~0_``WXS_$h&3Baeg4p==3~ zKR*_CE?iaNEOaG+=3?!~MBOod10}$L#HeE~I=lzv+q`#Xv*wOe$v~u0K(!^qQ1na! z^jtRBT=J*tTQKKQ_zX#6=jmV zFG|aKXasGfx7~?y+dhYt+IT6uOgxq+116?NO5<{?55Vzq}yj5h%)g&yg zckhowzA?>hh1_<+UV6j;N8=cBieBpgWZrBIgdDBnC>h`_nDbJu0Zu|FTT zvIH;%`ojOKCPkGL57`@n0wEW2!grC5gu&8CS@@Rsgak~<@eJ7s-cscPE)u|YSmR-l zMkoOiS~I;PS2JS;&xw^&xp3dhSX?bj_w8zd&m$a=qhE_12#3e#i@IQ^zzaU*Au5_w z^1w-fzew7R?jNdXjre9U6&o63UYph_duM4#4=S$~2!}#&L6a6-#`O$sa|YlgVwKc2 zqglZnd{n2&C#&njtm#7Z)R)n@xbaG%AAk~G{Vmo7CX1T(IOq^j9(s&WB;cv7DLfAZ z--e-qf)B!HlN$5A*&Zd10!dVjxC|t2#l%WdlAIS-kW0tnDlt8(zTn_!g}XXZS~Wy+ zL>%0LpqzkQz$*$vUUP2Hzo9BdX{ckjNqx?7!kjfK>qPhEz2jK99PiW6O;7HG(ysDxw7IF7vj0#}_ zB$m`l8g3M-28#C{!B{GPP z!_$dct$M3njJsM}J64fh%16+BVSSIxUcz6OlRe#$Xa43k%E59k&DUCbVYtM}zmP{Ur>}lY(&sQOqPS zBNz1YL`(QITE+se!?(f)8RFg4fP{Me4p~qn6-y=A>WbJXA5Pn8m%|-$%n~1=^I8Nx zj)$aEH1k+eM@omjxPqPcedL*!BLEK9<5>UI%rZh4g$xhSx|Qma$v zLeWtOt-an{((hTWa$Illf?(m%IVTzve(I=K11-H=7xvb@t&k^=eNXJQ!%BNho;ZGp zV%7?pSlNV$abc%hri5;w*n}3HMN)*7y>(&fvrZ-jiz5J;%aYeI(_ZdD$iCqi#Um-d z7bpA>*LQ=GPmz}xx@5~#9i~Q~I@sZzytB$F038>HgcLY9b|iSJ9F?L}o2`ry?vsh! z#&{9AwI)z`G>GYq*$i5cv7AkZk+r)Ji9tS(@9c>GRR_|53*Njl*#PImAK(+s6#=WA zJ%uw;crYzT>Q3OC;tJqi82Xk6JB&~Ko(JF)#~I)aF7ZHu`$ zhXWaMQ77lQF6Hzm?VMTjE!qpR44BlmF{Zp3j8_6)~3{s?`7Q)5a^g{y$Uw0vj<)9sNz zwVyZLhhrI6@zg)MD8cOR_PLh=j_DXW7>?(nh)LmeB=Ee6^gc{06le3sy@-c%1I#t_ zt1+w;g9a*kKmgB-Pw|e>e(0r>{&=20!Qb6GU3M(nghzRTf#2{Q3U-rDtaGaeU)4W8d{r=y0jbOpdvsUV zFJ5{LA}I;*gTJdkGL(j`@wOaJ0*ANF>x59Jfpcucy90(kU{*Ss5Aci684tg#J5wgHyq(*5aRQSqz8 zqJqlJ=DB1QkM3mr!QIJU9O629BguCj-N*-cBmdoDEbRCirhGaF8>O+*M!9Ov#qd=lWQw?;8%A1dHj#Xjkov%p#-0xlWaU_@4ATAcwmqqjYP~1cuiR8ySq!Lu}NvmZDk3m zia|Z3TT(cS{@R^P@YY<&{p#EBsOdwcFER5=wVw{1GR%sQAzui6NLq+FeIiJoOPVld zm62~k6G;%4(0X&odN7 z6f0!lb3qL*K=D<#QcOWK{;*!Ov$q_ZENh%C)8L2fg?~60zAQ z6OLru>>l!0B@th}sRt~1Jx_39YkcL!>y}~U-7Cwh@nm1EYSUx>Pt<0$Y;YLsa zD$JbJk5`{Iz=HpkJP3KzP{><|tGb5(3u0tj=mVtA{lFz@I1G}GeRif7>fLI2Rb;98$!C~$-!D?Sa_tKjuI9E6`;1KZ+T8}{65lkEey7`rKwv%wI)_hCJ}EyUV~|NO zx$FeZdK7~gsbO6PDmgg#Ld&8wwIDfDiM@9j%v+akyqW8YoU%X>!dbW#61XgytC3$T z)(X;EB18T?)g}$40GUWVz=!Kw*dnl6{ta0-+eb%^@d=Rn*Y;M|!8d`*{PSdO4g3SO zgBn=8k}WAcIAeP}N%%+)=Xdc1uE02=yae+_<_`h1Gq`1e$0O zB16gK049L}1jr9a;wN)p`*opX7VJ(Ww?e5AEZ{N-*3zQ#b4V~U45^}0J8Kq7>+I@% zCf-I5c>3}A=!bixD}<#~EUlZ1c7PuFLB_|vD~|dGe~%_(0v@FHun)V%`uVfveE7sg z=EmB*5YW(Mb9;UdAiRkfia|igPjcf7{#BYf za{#KQ=cjIQMXSL!rNNVyLap4H09|MpiM7U7>1YkW%ygIarl;B4~& z@H@;+I^lujv{oIxSrc?VX^$9%+kS`Aky&Hd#U(aN0GI)kT8jVBpyhEXZb10Dy(W<$iu? z=`|zT^DpA(Tr&tgi-9V2f7b%)envqLr8bnI&j(Nj37n0}sN68w9<09#Y|fHHVv9E<92w zSW%idM&85&S^gUaKB5gP?gM$oQgAvRUlSJ=11B6>P3+$&=CjG#+?R8}2`g-+Q-w=i zd_tS0h+draIt6rwVa8sxDiDzc>QkY4WL`i&Jn@&M5FiPgp@k1oO9g56~%qP9d9x zQN1x}2WWJ73hp4}DnhJ}Y|z%7M4xQQ%CMg%3lG+(hCOzmvyi+kE9MYToIGyFWb zr4t4qugUKIU5Yh8u?bmlYate?yC7HRE9?S3xMdpimv4RM($eMPD)RpjVsgAn+wqyV>`vEJD_8Wm^KS~jQ-+1--U^Rrp)vBS{Xn6_#cY>EK%@! zc*akVv}7?|d3hRDrO=Xxfr$&|CCklDf8xfIBPS+6mg^xh)e27beO#4T3m>Z^iI}W5KF`7I{u=Gj28J+y} z3-4)kGC!GZ;Ri=2>mx4bf-8WWh)8WANI5|_?pe@?Gi+HP#f$)*aQkR8FTupDJk4D># zsRB6MEg##}=^H>o8_zjC~P6k{N=1hz{Vif`N0` z%Yqd_M-z?^U|x@8J&1R-x}xnx-ke{8);b9c)XK)onq&$Y3fB)xMs-Y%i7X7tNiarN zRpt>4UUG7u)(1f?WhMjCsAvzrOGgBBNBRf%0Q@;dA$A;u?V61x?Wp3a2iB4boN~@(j9EmXI9t3&YDz+5Ljcnt~0K#My&v#sgZReQ&Awr5CBf-+uxP=cr#;jhR( zEsEth05BkT{5~a0^J7-{39`l^JP>w#ZFRco&Z1*CW3{D1Qd2~|1npAAG+v@q4M(=5 z0+hZ&*2ylGQ}}sGHx(RF815-7L7V&@z|dO=(2*zo)ia$XZ3S8}&2xAU6JkN6>_-C~ zvwlwFC@0V{tv$U>$kO@1wi6^W#(Err`VR#nc! zzlP`-VmI<}iZ_0l65FJVyR=nGgPox$x3t0#ZJxC>2=`LN&uc=W$@{^xG}?Y{7VnSG zW6|x`Zl8Zq{tG2e!T{iH6nBzGf>`T)1um z&~vl&7HRSw5||}@O`68$$`arru&9@+>r^F$!~<~9Y)gzKrJ06L!`a~De#!PD>*}Vbx-t8Td(((ql0Z^5>9DW~FUkq&| zvU;AwIbS5l*_9^IHT3^e-IOYX%MjE>s@Tr>lUrptd*3j{lLg$xTErWps7bDdkizO;^juf z3yp^1#2p)Jfy;(TWv$jacPiIWz1W?$bY*U_#YM7^?nE=dnMKjh&B0lY{gX1~dw@@s=o+bW`^Skf4p7eFHX9dvE~JU5(k zLtVtvR48Ddq|@!~vMG)ya@(ejmxK+SizyrsE$`O^ydT9p2OH$wlL=}ZYJ(r8c*a)h zkprCGN2rwPRTSifrwK3Qqm;$(>xbGs2QAJ0uz_`(<&?*6dIA4e*_u+$c5d}W^K;UM}IRG zZNrlCEci+K51FYUsXqv{u*W@hGL80E%^;RjMcKY)eu45wj6q~TR2&5%FPtLO=TyiD zOyAO1mebq{kZ1e70`Pdp}>#v$Ug@fv1sut@AwskwkNQ`raFkBPk(#jg#hz$pIO_#~Wo1NMhYCT3oY6LsMM{OnMJa1KF&DCH@3!gsB)RDig|bIbk;XmC5IQ z9r)u`c4j496!>_sLh}bZImMvAJ2Qlh8d^J+W_U6uM~zN@jR7X5MyyWS!y##$6g5U1 zJ*4)kwtkqY5mJCiob> z487q~h%Y7Jl>tgzEocZZSsO#6h?J3I*;8J0&Eq*31fV&5yyU)-v zi&b4UrQ=JT((YE2YgI3XUM#&L09T$b0|>(7cJFQ8hN+LI?z5OB5Zp`EP_(vB&z0%y zXf^pA>bKJEI1$6_nXTQzFi@6vNBe+d-xr5#K^9Ls3Ix-_mgzRaO~cL-Y#f4hEStKl zq8)$>cOuXrioA=Tf}1lf8s3<}^66GWU^B~Y)7FO%@jyt2xP+bm*~M^{9*=rj=a-ND zYyhM^fIKS>G$+-rVn1XIDHl<$6^sNl2a8#J@dy%tu?C%sc>^ihf6dS|HYdPGkuE$^ zcwT!u3pE7GkjSugu>|z#y+U$JY+9~2m-GI^XsIT?-OHD5UH`(R8<($Mf7=jC3hBiM z2G+V?p8Nc@i=78yv**gT1sev59o$7kp}c@p`dblw&zPNe2W0P66FRP3Sa@@q6C3 zGRqH&dHjnDm#=eFOQD7tCBdou%*ItQJ zb%Yu6uf$?g$gu#*^`zjUi~M^7vPl3;tA7K%9Ml+;025dD!6FCh*<#X|e2guBC@b}r%2o*n@v$R$|(zNiGNTa#a=3}s&VC)pL#5H>wFVuS&$_ih8a(-b3 zAH$I0(EF<6^FKv2m=Oi}l&e2&CTLZL`Jw4 z<+iWiFuY1Vsyv-5(v;?VQfIULt^(p)OECVW0|n!gYik>OyLX(@kNw^_dn*sE0Zh|y z#hSA~?G%VKJ_9gO1o>Ad8>sDUOBl3HjLrykuJqr_vAn~el z5)jP;xJLSXwmJG=UvTs%*5Hee3Y=pnVplB+Gbu*704!`xk%FBKF$UX;>E~kABcTK3 zbf9zkp#m;w+!~7tKTupBz&S6{yhF>K#bnxFxgPCKR^ zXj4`q5RcKKRc@`1i76k!!aG@Yhk*NkT>$Qn3b+G#0?~dc_E8XxHxrKrJl%PD5T5Z( zdm$E1q4++c+5ffR+|Tgbs94y_(Pw`-cGezQp6Z>pgMe6wiM7%cn5I_cpUDoGGUW&b zs~=s-q+mk1s4M}L;n(r{9uiUEH3L*B%uo@EZkDk_I?|HS_A$pFitrmR>CE1N{ zf>eCYQye^z6p6FBvZPb3%(k`hq$SEk*rRMr+A^U-%kCX6z6*g$YRZMm@XG>$!hYSm zp-H=~((Y}V%nQP%7ckk7s7nIJX9$!|(->_;z=AZHj3z=UcGD-1C)Rhi%{TpFw51l z;ZogI1XJy%xsbd&#XJiFnIR>Wrnew+!`LDs>Reb6>-ZB~>-ty3j$m2jPF1h3y# zw`Q<@N&jN&uozFW2LUMJ8hVK3s-@LTL8jBNkl33WF%g^yel|A>xK?|z=J+<;Hc;6h z7El>RQlURw(B=n$rRf8J)0|iylGwS1xMJlxbo&NIIkpfv--;uFI_Gy1p8VTBD$9Wz zQU`h>04YWaj_S^MT|BXYeP*yF^}ObS;lq+_=qt=9Qh& zgl1Z$wbPs1?8=6 z3V8q<+`uBSF#x*IE#kz6O1G0v^muPoghfBMtoS3lt=#hsK)>{niv$do2Jw{)m9 zvc{N>-Gm}WEJZ>&J2|V_Raa{>WfAsx6JioAL3iOw0c!^nL+XJR{PP@$`U5+l74X44 zagacSS*4S*$T;M@Rn7XD=jNjyYAIq(uw{J8k-)K9lpy@_)lWEHAN!TK+!n7Fi8Q?J zF!a3a4yqR^Q6&?b_3cb0HJZW$^$-qF*-#=k1cUl~ge3e3ipQ~8<@+V^B2mORsrS`+ z4+t`T<>mY!oDax1Kw~|`@ZchD%1s`QUlKQa9@Y1!s7?ifFH7%b{L}eICt<>=N#W!I`I3Y0w?wU@O<>UTJGK< z-uu;oo#;DCu(;+z1%BdKAm0+0c4|YR5R{9fvyM(U@zFt4(oZ64+X8JjmX^-&^s-Sc zTG`l>rZ>_z?r$Rd|hy;`*g#3ELGJ@lI{i$M@=F7If{7kqb4 z+)86ADRN11m@r@mT~3x?nV|=s8D_CTiL;=A1(Y4Ae(XQ*o_61i=})V-5d)&fH?Isa%H?@#cg0hRS1yK<>>zk-rGZ=K7X4 zRN+oHxMx&Mv4l!i5)Dzxzu9`5{;2`<>Bb4Tldc$MbA1E4w~3*C2alwLn$u zT5DFieX?x!Vyp@y5cDFT;l(1_0RJ|uFJzr??;^sjXMuz$Jh70Un81lhUokJ&};?4KsiciViQwA3%!yW>{7w->*Ptt+0AJL=HUyT#CJ0h}{ z=+QpmG5CPG^1dkT>i48_rQ4#L#8iBmexKByDe&*GlX8$Bc@Xl|c zarws-Sbc>1_1M%B=r;*q|G|Sl`|nfq=OLk7h1jPTLrl>tHK30!0+bV2`UDi?T14yV zL9yI``;QZH9!w9)Rmpi(aO(&5O=xx%p>~`6?tnIw%T~2Wz2u9up?2W`l_(b#8JQb& z=h6e|M7e6K92J^3oota##Es`FA$YyXa$iTxheN8rSH+0H5U1#UYDNx3$;p=Y zDQT0=LpL&T4y#5jqK!|e2NAkq-ixSv62@{{L6-tH!vT-xHmaM3PQ@V#sY~TLg+KZQ z-LF%LdD%2c*oFtApScHe51Mt^cWSF7ST1G2m_){FDeKOq06*Kz+KmFSsx z%%xQ7I-wRVlv4TZ{pv+QVR58n;2#3Tr~>nI4+P97si1Go9EQh!Bo5luk2r`}H6$_C zN`H-R%MKGNpqW^#FTPSGk`yZFr!j$Qu)UR9NJ5ESPe0yehAaX_(^VhQ%(-Mf19)A!Ha&Brr!Z)}^v4v~J^gC9woP^s&-!*k zFF#15uLsCGh*$3)b3G%Oi6@0)Vh_sf7(}~z{f*CEx%Qb`7+ZYl#hX}?_9ncW0z!60vXiY@AjG%c6MU;Qc(uDDWE z33=fKweF!k!3q#J-;pu^OFPnM(8=U!Z%66@n5XpHSw8x$bDVXAA|F>cVKeztJ!)QFkp^eVU?tqUg&AOc9Bv;A=f{G* zo?*#x`-~5aR&ZXsjq?L=yP>vPFf*652_NjQHMtw@xbRzPabzk@XZtVOuaW51`3uM- zm-ez*d|FrI^b~m3;P^z>ZT0sCZ{kAYCDK30?hD7UZR)H9c{Znu$Un(U6qcMcb|Fur z-yG-?u9e2TJHHir)F2+bjTwI2L7-&W$_V(GWNL?qg1sJtI?jnF+P*ex7Ds!MmCq7n4H+z+m|^4P&V3PP8lnOXwn&kr<|YHs0DdI77CweR2P*9);9g* z_~ZKTuP=--iSXHk#~zv<*oRIVQ4L#I5&ZaIi2Nc<05qSGT5?I(@tr}2X6YV=JePi(j3`*JM z%vbF+?Bg_ZL-gb`m6{*NK@8;ZQf<0*V&l?|83n5$Rs-6#%w9w86+Wjnk~$nX%7h#Lt+~ z$+OAQxvVq|nPF^MvfWh4^Req&45T<1)lXvGawBQ40T;a`2x_cCBu)CpRH8X`3&>QY zr6W(5EfjPn2izJGFx63DE&35Q5MFh|n3ADPO=e^mBvo(HRwZGRymjmHg_}#aF28xf z2(7od%lXJviUeT zVMyjjtdKK`AF;yi*B-IL>lZJ*MtSmx6@E*z!aqD8eV;itVkn9K06ysYHQ8Wj*N@oX zBR2R*BLqdb;q!P~>aj$KZU5D^WtAGzOC>eJVe|0%5sRVRm?kJ(Tf(;6q#6+sNk- ztmi42rM-^!2MAQ4ypNZGF8+siBOl=X0gbrg-KPmarrHxSMj zPM=}iNoIOsH^>f^2HM@;3%Q=5f+Qu-)dK8+|4M}u5$qNqtg))_Al;q-QT6=jNwl|y zUWrYT`P$9|ju>&*@d_;j1~{#7Ud_T;3oXudkQ;ByS}Z9P2dT?XKG(Z_l~sH}@Taqu zqkR=$+gN7R+9~5b^+YkqK1G~TGD5s;kkSoJt(=s!NQ${t3DZbCqU(^mP#|Gfkuxv9 zUbsFe3a{mYwZg)vdertK4h5+)wxG`>zSvx~a>#`(B7)l3p z$!h^!l&LjkHM`>k-!9-M$dI2h(lO_=o)EbG)vuPSkr!S79B;4+10SC>CU|bq5zv%*`)Y$hHiaEOhEmB zkN||Q>dogaEm@XWgXFArtr6nC^d)b2(!D)Lh`g4*O4$?v|C{sCX-1>RrvT|_e?SzI zmPQa*JjdXmIVkQ3qX&Ib-u+*xJ<#`wT`JJfBNTwNNg5Jnk&iA{~q zBOoKgi}F_|PTV~?K0iKj@8tM*jQR5?`SVG#iG1k%i+nNvT@$AS`W}&&(04H^M4Snp zO&D^Q8($Q8ftdfW85{rp5sVE~pFX<(Z`1q#3x^p<)2%R&jy)oN&(HBu=+tGub&3DK zxKE=FqF@$d8XkWlxFtkElgPwi`ktuu%q!T0iq7W!QChV+eb+MrVhPnwkz7g1K10Jk zvRIZ83iCzB`k9DR{|hDaHWCZg)UJ`L2y?VV*=1Q<#FVVm{lXdhuzm2PrQ2e$4-x5KKn{!ahV@2H>;xi+}WQjM`L$g976wuC0wWj^yn3m_nMUjc1rsu_aqCJ^Hhmp<`bQc%R zGaiUtj-j({&9M+;2h?k%>!52PUJyxTEW9`7=R+Tj-6>ow^ndJ^p5ZGqfDR5+ev6JG z{1-=64U=C`e=2_~su2s3(xG?mCFp?SAkQ7{qQ3=xCvS9~{zP$9)iYy2gtx2VHju$P zDfJwF4|-xQ4%aF6Y@Qr(4XW-fpoXt~0K{m0f(yWO;Ih&A1S6NB#MQ{EWt9F-e`c>7(=ld6HvpQsJsEVRUP3QH2WngcXCO%K7+l!2 zb>My1k7=T=Cx8MJ^6NYSegn`V8U>pPE@90h2U5y-zv;@D%?RPGg!>j)2E$EW2S9fw zpUg!<**Jgk>TA!I-(OsMO)Y^p-@JA0^H;B4ecdx=pFMx^VsW8eSx1Z6gGj3y5ZzU8 zUiyM*;0LU_%h4Cpxf|mFxq}vd^NqvTJp#)BjHTE~m;pinAUB&Glc0*wlXR$Qqdnd8 zE`4$7@O=#t>p^GDS#t*fahPZiT6XEeXO6JfKGoJWUnEw!)NM3dpbV*-00m=U6mJEc zf=GX0y&LQ$rc`0bovgzKMbx@4Zxn7uTZSo}v9YLt`RPX8`s;1uak;-bQq^0RvNQql zBd{F?lgO`cPY`>Y4hKt?@OR-Ii*QzsC9%sgv&bmZcDFWTSR7x=jiKN9Mx|PWu^{d8 z??kFyvTA;PIS<(?O&T@EBJh+(nFy0%!kZaa^uXz923s)B)y+o6h+2IpLKJ*{x z7s`u^*1mM(#`PP+SbE6f_02`AzkT7xH55QU(E9DWi@tE>+U4sHw0wE7uDzJv5U7LG z4Prszt)iXoJPdqclUvL*mKeuvbXUR%B)fuI3Ruuh9BrSljYEij9)%xD977~zYXMVk zUA^>$OD;zkj@1TTK(6lM2%07db&|zMIphuutcslXClOqGcLFDlBr{;qY0+_->JE>) zL4FF>iK+w?#Q!=hBllIo?5?K#E1XV9MhMZwmEci=VAQUA#ck|J`y@u`&Kt<7VL)XPSjf@QJ`NV zPF5Q>lD+Hkm7ewEhBbZ#P)8Dq+Aa<-Lr{Hd!iuHk9n@k?rQ0@sM=sP}WCL*sld_hA zf9TqTHAMLtt}1K`mk?ik@jCMe-kL!q-JWhh`51p@4|<*|jCh0NSMyuh3mRH8E1|Wo zII(F5^tGze>!$Wg{KD{-vP9TC+{$#7T!ZCa|D3~*Ai_ZHoL2-~t*#`SB- zGU`54s|q1d_OMjWT+%F23%)r6*V%<1Srl)xsmiUeBz~8M~$-@!&a~RH|Haof=EeWqrk>qZNu|o zcmRrc%voFe0Li=kjnZ=aJ5Y1BXxM@yZ6)T0J-!mhYKZ|Ub84L8R&`*Yr6{OYBRLLc zrpbb4C2udo0@>M$MU_L@Z}oX4ofgMJyeMtogDD4DgngD#1jMFX*WV}7wH-bbV=iBV zxpMu@3rlZ&HgRnU4|^^})tcx6X!a-!tAA34|0E4qVKLZ)zJ5bbFLO%`R^%8gKE3Vb zwUEF3JBJXE&S6?CZvcYiaCulX&>=n1WE7}?f&s;w6Y8K;XKC9lgXx;n0jKmbRQYxx z+2Gh$)V|eiNVJHlWUc58|w&R`&7L6}Ux0233l8!{KEI^;Mnym02snR+i)uISf8 z>Abbesz~k;COOWf=p+ZxxfGZMkK@E>L$L(%@KNGu#0?+}ZgX?Geg||CRmqsO0IuyD z-9Eef3O}<4!@L?D3-K*J7n~t_hdyH< zHiqzj%ttTNYvgG;hKnr~E6yo5kZX0CeqxQ;Sng>1t{;!@5)}#w)^JrSR7U6146!1(QqLSQk#QMp`9R@lj^y+WR|`2l5Kn9__1_eWE$pz zqB|DbEUYoGVt9Qdl?;n!KG>iVH{eXUdEw2sUPHuN{@XFsuT$9o%}nlsmbpvGVdKSE zo}GyDA()=0r6o)5AFylmBbm@33PVEH`#8%!RE}3*qs)E_PA=-SGwp_?*=$(l zIzFL;S&zSTyil*#9 z&Y0hZ<`SUjpOxZW(_e{qKv7^4$iL~Qm}`X}LY6dIBA=ICDvbEC(m0Y^Dv$`6NjmCe z|MZx7oft8P$4CoDg5A!?4+7p0N{J+MydvQk{BVm)*l!mG<-`?wUBCzw{9QRgO&`{) z$)$Sfy&cdDAj$rL2Td$F;CKgLf9?*jKMJbbwcX!+Egy6Y5WHUc0mo7NQdOWH$Y{2l z0{H6}GK|obZVx|9MUM7@E2AO>NG>;YluimaUn(6N*zeVdh%arx2Y5f!bAExZ)UG}mf_U5G2rCxXOaR>oXs-zS zfiEKWIEF{V_k`W4&<4+gG91B*+&^K7y+RiR* zf_wwY9nME2;)HQ77pYR*i=&7+`uY`Pm$MS=fg$77H*USL^rg2hInvX} zTc3dgRqGEJvb8>J$|Xhw8VULhk*2T;I(M+Tx#-34xF=dd)i;bwqPUc(Y#1j#^g$1t zVFeM;{m=uXmVS#m3}}cAiK<6*?a!4YZxL+O4?M`^(S>s*anw3{l*ft5qt;-Jn_4h; zG$)NV119;#VRJ{rOXdD+nH%{3&PS(!?%|^%F_PJ}PAcw_9vviX>XBF&cNML@ilN|J{ZDjHiHX=+xp-ok``9vw}IxWsq2~zB!#XZ9r3uv;#}Bj+CglU z+RLg^5-5s?X9QsftyoFGTjQ61`jvA~3&qv6h3TO(Ro3oy5KG_EzOx`@e%DMTMM@o! zN^s1n`sl6|oJN?wYo4%*dJ(-)x$_mLWSP2Z?1nf4N`jlYojjX)8Ad{Vga-`n58of+ zAXVO*9Vf4aqJ&(z!SY1@2SxX1AA3-Ae@@VS1p!`U4q6%IW8WPcZc#o`hSb_O{UqJ7 zoL_}3GbWTdrvbLbVya^5w=BRAf%NF9A`F;xFHCqkI}?h5z2Xs(!uyu|al(9ohgJ{> zL241`YO?idwzLg^(K^14<#>{tazuLlPf&4fiQubWb*BsDah{c1K_B*+s_Z~~ml`M4 zUqx@Da|Ub&<;eHUjvba&az-s^<_oDtPBXVBsrl zE=_$9Vz{lW?nebGzkT+Z`RKBi@aoQn`7D1+OuIFm-HLm~(2aV{g%=+O2YAP^^7!!p zZiU58xE6dtJ2JH@0@-?q7IX=#KSi(VXyi|I^f;ghHcQEmpOEYbSa!$cy-vfQX%68b^_mxMjJ$zuWD-A{H5EFF2lxzxz$;`Qj78HUv8nC!>T zE1Rh3Ab)+KDCf4{@s*3zQ;0Ekwu2$&uc^6C>0+VyMF-_YbasB`oHP0w!x-3t(n_HX5~wyTiKuvN(NWn&Si}xL4oy{oyR{V80 z8QL$BZ?*hqECi|Uj^=KZP4gqrR(3a*k=M4pJK0;Ed6$L_SeoJj#cSdkKxh#eOSod~ z;!ygTxP_*y$-!RW_|0N{1%8KfzqlW;L7+^J`@Q7+>Vsi;1Uo1JCaz`P;$piuw7on< z2MJ9T=rYJWPM=fu;Lv0vMR zttcsu3NwGj$-cJS0Egl!)f??u*>yw(Scw>5VEG;?Ae_Y`M5{)*Y_0Ra8y4b`tTq^~ zaA(IRMJ3bM36TV9+CaSR`<9cLcq6!rO*n4cpJ;sj!bR^}-j|G)RsqP$8fXyt6fVmHeZ*kXK~;WzVrxANO0S^+WT~_Y?hje4JjhX5wo|$n*R= ziZe-K3{)Qda(tyH&U!e_S;XiNC;AM+_D~kKc|0@cBdQrIMjI*fwwl2rz|fx^x{9!= zn?fREIr~(Cqz{P3iujTF=!JG(eD&e5e-wr@z=w18>{%!7C)N;Ni@CbTJ|24~N*Md& z6HdpD;O<&hBR2ehW~17%cx{;;K zUkYe-ZXh1*T?Ka6i-Zl_T`U}2szG4@og^%tajAYOoMM$jj{nNR#}~Yd zfxD0WC$TI~9Y~f47!x=RMTBo?xJSvUpd49>xEx|pMaxQZ>Qi$aP#i98Pcvt&{2sD` z3c|H~LST0O(wncrC^`Qk{woCvVmr5a@n9G-=)A0S#Zw^|zy+*%sKCJR29G?Y<{+NW^1wz#twB_1jEqhFN1$i?k zE0aL0#yPo5ZoM?X-xGNe^y+0K`RJ>c z;|bLVW)DtT363DYD&g*zJyg}IC`Kf!2b>c5?1iI&?nxq0nHB%H<76#IjnU=FZwhm1 zBZ|sE_y(0DlTNX&dm?*+9b87KapBbWVbUy)f}qcqJ9IX5Q~|Y5_F_iEXXm58SN;tT z;(kv`m1*(<&cLrg#b1x}ci|e(-mj>ND~YA^ZaxIaSfO`)KKfo*N%Mn&r??n$?%1D= zgAryyXesAnQnBkolnEVNRDoa^;3h#$Qs+A=>^@Y2kJ;C8{;1J;=#sCYwPE^tK+!kA z+AB(YVLtl%m9;xr$u#UC_Wm*9QOUFqC#rn*zKQC?&EYEqzjAa4K7sDb%h9jyv0n?s z>MBKeXuP*gmM!dA+RqvrpWXf%d^1qK*5JB?+e7(xcFP8Nm&__sRq3j|x;#e)JH-JU zmQ2GugzBK|xrT-(W4o--WttoI;M<8fAy4Q&Wlhe6H#s||_0Cg!*)HR2=wMqa1#wQb zw>!D%6v=pY1p@k2eGKk=5%!x$u%04QxG3eTo#wXHiwwZhZhY= z^fKhQ)7=#v+X{?;n}NNv%aP=HwJ$W&pvgVbmWfb;)G?xW?fWJQaqoHd0hUunls}6J zw6AE~km%Uy{Y#vNcoN`rwah2=kMq$-g^ogE2O}C(Gzo)v)WPq_LOfb%hnD+FP{o@c z0@+@n`p$cX>T&jl4_NNUk(Y46fx$7TIN-24F;6+{aN@#kvA%)2Z2ynMEDbdyTdl}Z zSRq0}+jH})9fUkjEqOHYPhM-1Bcuh86xB=Zo^lhh@SOomb^wDq;6AZiWqw=< z#D=gY+_iJfgY$r`&c_6;o_O8Hj|#s8b>eBuI5?f_(HOM0L9q7eqxK^y#Qdx*2ZxVa zijZ9q12Ys`5&^1QFgX3HOA5U_$KTdek3}gR<@CHk^dFg_SrM~9WI-aSgg;E(RAy;{wA@g+=kO2o(g!5N zTq;u7T|Uw(viiHY?aID_o(f)tsO{m(&`t(dkht*<`rT_`gM@N%0UnruqK94ubiGG@ zx56MV#0okLN626t?G<>Ij}P(&WrKYUIzA#+&-uh!v67ypn( zLWqczZ{4j(JkuNOZ13%$HjCcXlEeUOaP^F&EaRJ36up{42CZ*l6Z}K$pOR+KmD7Z3c*t&IhjyPfBE* zVF&ik8M^BaM}DXBi*I6v=d<_bqo1gLC}$iV5u~FaM+WrN2Q_LSKTKG>`Uw{okNv4Y zh*lNH?UV$8p$k-{NqwQ7)Pve3yhs)v%i@7f&ZXfalnhowsiU5+5ecaIQ~N+B#yvLB z1Ix;lN+PFZaDX~CNhRXkBXdI3biEtVWnmaw6lFzVb}gXsKYq6^h#O=cTLB(@h2UJn>>bsh>Nu~lHG!8iQf#rY7i z7>o8497;v%#SF743)5X3Artx`W`;JhHo--v^M2%p{zDIkP-w5--F6~*JUx66>tNr0 z3#xj!kU{HA_+14L)i4opCJuQ;pgcZpRl1`EU!nN3`wY|zHgpuh&6?nC@Zzn~#H2B- z_?1XU=zfR9L`2d?+I&>W%%aBlYxj-ZnU0O;WF~fofSf{~Om)EEH7fu$g$#MU>yz=h z7hfK0snRau4<|{$=W@9dFcvmI8~BUyi?%nAACp@~{T|iEnq{OIdrG$Jk18xpdt=dH z=Xf?$o>h>b;+Mxe%ZQg)4NaA%z8=jWwiV|>sCq#`ELe!y`CT{-kzEO_L@6GzXMEz^ z%V&S$^!P{pL){MW#uZ*V`xCB;;_ef40uJx9=8e3VBcnj^Rr|Vm)!DiSAe;j&RD`!Gp*?{=lgY~KDzN+~g z3RD+K^rTX$Dok~w`BhUHaUu zo0pd2mh>3%>o-1sBYqvWr~Kv8wTtnW8Z3*^jo1$kqk$(m!E6nXL8_D_QplYnDUo?b zQ1c0kZUdPBtw2d*DahfIGNJ}{vEOTAMrECv_sr7cl&^?q==fC(L)dpmUVT__8ndJJw)DLY?5x#&)D)A74F)tTAa z89B18gsULt6Ot7##Nb=?AQj7AGN~*#DXt1wWD_*a+uqwn^iL-6qUa7b4nJsKMMVRo zS)s9tUG)$Q2aw*HKj<7*n0nZVwwl4G%+GyWOL2h^l7h$2@_@O^Bnsr3ZNN}Q{A|L2 zDLggo|HuF9En6&A50$!b*3hhx;S%VUP^TVfZv?Y9`K>(6A#CE0%||C|EdR7JP#E60 z1>&??8cKkk$MvMJfmv{h|0j;tA{_{!?;NWfgw<|1t2H78G+Ca)iUV=P#HvHg2Zx1g zSliHw*Iv7V(EZP%%+HHMwFGvDa&|p~+Att0W%T4^`E(D^IRs*+K*8=Dzb$*Yme6)7MXHI~7xJwg31N?7e z* zK-hcjw?Impg?|-9aYdR>19-V&Q%C>sYtaA1eDtF&dlBqEfVVuYyrsC_s~&I+kN9hpsf9cRtahzqSE7^$c(pLo}S>)lUQsi^f8tYx-zMS!36G-j{3? zx#}mkIFQPiyd*>K#$8@~Xr12&JG=Ck$jmSAOs4Dia4f(ks=r{GO&;t znp2Zb_^ABdLzzd3Kv)+xst7~G@}cy|3-uVAOh}O%y&n$wKRq9v=n)E$>;O`Eit-s3 z6LR>cVxO%X#$zgt?BeVyQ{f^H<;5;i%41#EX9FDsoBdy^|3-2btLJ1s$Zbwxz$U1K zQN*Kkb+%VDLD3O`WQONZ%CMqKD8soDkS8ZYa@*btyXqs59HgM&=-rtro^rqi`lh0_ zo*u(4-$yM|V+0*-Ji!Y-L-iUbypH>jF0{j^Gm%J9->T$-po|a{_#$tEac(G?){5+m zOFO+euZN%j(L1Qs^g|T;!HBn11qP)Xu_TyV6>p0=3O)1|D}M&VQd_Fk=<^zO2GTvH z;6>-Qk=92&V-%ax?bxrz<+n5ofifiBDrHc6F6`K-nwEI1DcX_mg4!3Bg^I1yd_{%! z;XpLHiiqP0ii`u@=bDCB9vNuRF?45 zsX-oM8do_iMt9xpXy72uAoWkt)C97?*uJ;}^smu4B%s4wigZU1R`00_I@j&*^g~w@ zT{i1B;=^Lrv^R43ifdiN11K4c*|bhFssoITT({|b<(Vpt^h(_7J2#$%ZS*3s%d$cL zqxt9u>CB+!BURK8^6VJEN5-e%xpCGEWlDDK#P-y7 zNNKeeYs!Y8_A}|{3?^r=Bjp@w*e0D9H0tGXdn%ZG5@aqUeAtd>4#>#lL7q38hH8jk zk+(4xm^`n)mM%1LX9-~@XsU4pSlRNf`OAOBI-s8g-8*^WR*V#A$KttlYk82c!reo0 zVJ{^f*_zXdt8q}My#I)uZV)k#WLxBPL(F$bY~)!Rw2sUxk-2_PhDC zv9drfrHdmjkUAab?(T#&KoqbpHv<{ExoQ#xNQb*GTjiF#{@a{Lds|X$B5r9(CBjIK zCVzPi4PWUwE<_Mc^$XOV<}*>0s^h5u%HXrcp{$}%FDv8Z&@37d#ZBadRYggFRUUz6Z9HUW-= zoOyr|gbAvL-JlV}v9>S4G8(W4jB+*oVyO7EUlqueKlksn35Ean^U-%yD}7#j=R7Gl z8?mh8zdycBDmF&ZgiJ!bU@v_WosXK#?AltfvK@geTH~m#(o_RPfYnXy6KxHm{9cLQ zoklc_ZPKEfL2T+YdXdS^<$?=B0P57viFeW;j&DR62KVWAE`kQ4WFMRrGM}XF(=Dgg z>_a_u$kr`53|M;Epz>0IIx!8UpGafJo=sRGilNLhNZIK>!RJZ>1mk?RO`2M^qey|{ z6bS&>@ctQ@p(+Ex+QrlfCmYE{hpw7TM`CB9+U_^8GDsHSFaJ=^8H$34HM}0kLS&cl zuJZ`}-U+$vljFc}0We-9Xzs{>obhU%&<;p#PBEPxz8psd5?XV~{3D5*`Tfk&)%q{2 zJRn%esv22Ev|7ZzhE7T{iBsZb?EBj~swTW3j2Qs+gO95H)gD88LeL0|O}Sb67a#kT z!0=X7;iiU}*MmgQx(L+cXT_E12rfAxy(n4ic3~_61J(z_WzMS`1Gh*!qwh{_iR9Pl zpAHL`30Y*6EO#ojhtJ+;xJ(+Z=PKHHT?%%-6~kp!MCPG}Y+|YvD2KBIi3e)s|LouB zQNU9clx6jnH{W)B?U`)v8Vp*f0Yp&Tr2blnZ%a?12S;2r=IZR}yC~YH%ALn1M+P4x zDnfM<{22C_Qt%;51NO_B<|k>bsnAG?(#r~w_hzf&8x(|O_E;suVGzTppZ(PKE%1%X|Y`OAhWW?V$u?8csJ!se%P*XZ%aQ^=A6y=7=H@KL~eS}mar=r1yI zjM5UBKG=izHI_G}fd+ufjp5d(th{qaIv*DqNE01u5)zf}$fQ>2 z)U^AU@21x8%}(iO2L*FodniT0NEbe>C`5Zd#xJ3ivId&0n16S&&M2d!1vFBsiGfFehb7{viK8&e@pf#LS{~`d5Uu zBvwrtziZcw)54Ck*}+@tk;UC63!Tz) z7X+?N@Q!<&8(lI>~K-XsnqX>^aU^1|shJ3|c@`SX+TlTtdgS zYCC~idjFM@4a62)ZdD*V!2~CXP`Mlu9qUI|uC^0FJBHg+22-mwqrJ943E@is#MPA} zN3^awc_Azl}OyO>m`;G^-BDUQC1uWQGo{zMBhgym9Gf zLabdGIJS(0iXHgT*y{s)mL?+wqd>w4XYt~lp1yr?LdIxK9T%^1`SmNG$sO{pLMGY_ zZDrRc9cSA{OJ$-ZrbgC0B<%ZI=gg zwt`dSdj6~UP2Vrm`r7`t`RKQauMG{Mgm8_(-0SvSXmZ7%sX=f6qH>O6(17V2rY>}; zujB_}-ALQ(qg+P83wzvLK#P;Nv9mkh~D}TSfch+ut&p}@Q7$Kp&XFWvV_J0_J6pU;F}N(ZD&3m zhGqZveDwDa%chEDl4+rg-0|!?fM@sEm59{kM#HgxFSboWiJixTJ@peUZE4hiZ?4El z3pu}Rm`)h3kBg2)tp`^(S|%@l=QibCd2w&!x3f zllkNL0V?d|-*M5Pp~Zl4PnU%{a$O?6EY}vBK&>;#aaLgXswzbAeZDB{DcAGaDH=pd z;^<>W;}`xrJtI=Hv>soZ?>iQJ$FuR7iUpWZFA_G{kHwa7GX8WM?OyV4>nPHpWx?9t!cCj&6m-C~LaUpgD z)?)UEN=IZ)HT2964;?M)H$-t&xlNAG=lN+-EqE)sg*=ttFmEZef4&7007DT~fD7RA zU`Gy~o7PJ%$N+(G@7$7bE4eVf&m{{<2bOF77WP<76{UM7%>*p~ZG9ElupG!lX&r0< z`O>+l+@lK?Y{Px%{V(x;#Schkl%5a$xbq1qVnin!Q_TszK@g=7G9s6rqu9z}?K0mv{#b7(fm#ojQlHRZ$K9@Ql$n5!jp> zAPc~APwZNnsjA)P$;5!sjwNzI{S5+d0JQy5w}~@5R>h8UMX0(MXa&d1#yu;Y1U|GZ zm#!Q;Xaw1Sn?%q`5ibej1Ar=b@tQ4jvY^3;ncG&EB=1y4uD#3Di9n1bof*LWa$cCFf=7>+~d@hIjN9cM+sM1{qIArjpw!3kq`wYoj)-td|^iPZ%0E5F3TR z55iA|00{=MAKJnddGojcfa@~FD3n%M6)2K5`CA0cohe-QJ1cjfc9b4cn=`m;==jN< z5<9RY^hb(1N@o$ytCIVKrZ}$rV`-@_}_SaXSRp&NhWMG zV(YimmJ~AfNPR62szH7Ulqx8I*Ze?f1x%~IfMStQHoDEwIFV5^(vmF%a^P+-{0oc+ z2XfYuyNbv7r_3F|E3OM^KPmW0(F+avmnPe*qSpJiNF2Ey&tx#pARCap1}t+ziI)sV ze%~kyx@MK0G`du0LUX7F`)%6UnRCEt$V8@wDZ3juroxVQY2d?B%Jgt#k^TC6KusUT zMjHntzTAa>_xwZm*0DaZ0k}FIz**QeJta5RXrpI%c0Gmr;Uh%Vve!DkpT!_Z3)<9oO3JXP75Sj&9+P7z5Q_pQ zj)szEOpZx)xN2&GCTz0@G||KjB37H~8@qIw2al~~SjT;&4P$yX3=~PMU|$DHKw|u2 zwEoawTo}F`4@aLrfxRSP4l6ur!fbiizWrJd?mOnAPl`n%jS$ACc*nE`PLBoQ;N~F0 zpbClqS%`Aa;jgr)1w(w+^AE+&my-47bFP^MLLw~1QK0J4>N-5!&r!3wc-xD79B0Ka zv%h9sMrsJ%_SXgr^ial5mGuIXj9dAke6tX<2*X9qpgta2c69)`PM=E?3JhUQF+Ixt zI6Zmc2MW*fF5K$kEfI}AZ&g$3Rh}IHRCmWXI|ezcPEMh*2L`M0pxou{2?AJCoD-)~ z86mm`PAxr)lLV=vIwUpxXSs^GmZjr@{F(58jOeP0^wF}qRi69qo?FGHuRgW5Cv6km zw{rY9;)6W~SHkl8lHE!-r!MN3ET*tP*A(^U@cO0k*tP7yZOAYnwy5Kmp)J3D^P=iU z08QfwgCa;3BuLgnZm&15BJByg1ErEJu^x;XA(AM7E za7vb2RJRbcWAZF z$2<48WcBb&%Gw#^3ZWy>MV`sn0K^N{yId%H#KYl^RS;aBCT9i@yT4^Z+E4?h;XkuG;{7(GMOKA!xgU;F|7$MmQ1O zW4>zU6oTDC>J#!D{Am)Vw8SB{)}TL4X`v-%_)0&5dd9L~;m!hlR}ZkTm>4iUbHBD>XBFk7Cv3g^Ar+A9j z%#Oc}c3Qrv?c1u`;mC-UmS=l6r)6uB{*V`)kT7$!0V0`;T;pkFGSXN`R%kFG7l9v) z{>>xyLgS4*rSUlu#g&`a$8Y?kx(KQpd3CY^dr4n8k4i3^ z^3XZ9ZWgOIVKa6l>twvDQoR{fD{`A^@XP)n$jHC7xrEXI~dv#Dzd&}6G|2XLD>bcK}kWlfjOF3%65TsRPago z9+x-vXr-@CrHU`NE9HEgYIx|WCxxVUCU7>uR%lQzz-vy24f&vBDq2g1_Hi3AM>7o~Hq<_?y}7st#vVZE zxwyoq;Vs*Mh-187mjW->#2eW(QomRzd|GD3P`4S}Qe{EV31rfZj8%XPRH65ibQ3_& zRb#R_52f;~3|ij)eC|v0(Qof}8h0S-tSRX* z^Q8VfAAKjR*;~u+Zr{1LdyAz2(Yo*0cLmHKoSggO;e6E3;a426g{&w+){Icd2cYc5 z%404DrBMUF7F2^JjyqeLiJ{GN7P6>rNt%^MH-0(*5Er&Ba&d@>%>I|10FOyLWpInO_p1qchLDATPG{ zF{=d1(H#rn-=_CMTt?j^H=7e%h3OMweL%H=h9mRrG^5b-2@$KjGli+*^;5Z~9wAP~ z%tmo<;f?`VzZuQ<*_~}z%~!K}NdQE`$z^%Us) zK-(ti{kiqP*kB9K5?{BN5E2Yw^a}w2;z=CY9`j=#of&9a=4?^J5+FpZMdTQBU}Ds9 zAH)*}h!Xt{E##wK%a7&xZo!TW?QisN9C%21_J}97Y#N-e)t$TL8X$zNwdp$g6)kXb zJybyKbpY{E0wP&@m?j@x0Ec|^Kro;o5tw==+WeN_{68D8Eu8;2xk7;TD+R2$SNyMQ z_O9`HgKaOgi03`LLKb+UWh3{tDN0X@*@$o+6bcB#TIfi>FN-F2=qf_yB;EOY@U3?+ zR6Ib9$Jm2P$|og%XofTi@a|v(zGt3khMIr$ikPn7*(if^XUH<$q-`hjMX^CVxwnf6 zZV*_MBez-Z86=P0Giee3VN?VACcCa_dogU`AXdokC&NpRuvCj`YhqP4STP{(KOjUM>|}o}qugzZ`q%9XK97{Ny}C zo<4yUi+>=u{D(WIru>FnnL}Rs1wZyZv8DFB`dI&@Td3qmD3xVjdQqm;X|qL%)%E6L z@2w!USekuFkc#iwHmJVKKSA$QysR=tl}>+#|3!Dm;(mw~)BxZ=Ss)F!{|*`l@t;}{ z2)4HSPUMM3t1EafR^CYlF}`$nvVsu5)jG&$SsJcp93Fnr z;KV&H+}t(8^4(%=)GT7lqg4EfR0wFcnHB^ddmZ1G#@kHNu%K3VKhdp6VyB3q!g%04 z!0En){wZ=Lk^EoB^YqE-**&`sGid(keDpE@6@wwTV~-0xNi^GEbrgFd!L$SrCRwCb z9Q@tRf$gGr09x`MLc9{sHs7MP-NaSpQF$-k#EglAyhkrdLEb&*Zt_@goW^6t2s#c{UnpH))nE_X~7q8azw- zr4s_kp!e}bh(e8bV|p7Y;rE!|?QR7ze*=(G2_sqo?wkjJ$W;G9lZ;l=6+}be=6XAc z!V^8dH(%FlHWrabwX=aiEZfMT@$%k$2A?Yx5tc%L$(Lv~yNb?SQOITRE%;huKLnFO z8$e!%_!mcYQzgOJvXH;tv)&}{M6OX%Nb3}PSv()YuH=#20)CU#V*ubCHi6-e4zj;` z(X;`0^4c}UPYAP<*n_`X%ejIAOZaT|L{uU&G8Qw_lI}pHt1qXFuD2_z%)!Ex=5(8Oo$0;9oJo(cuI8=Y72hIqR0_)~~ z5c$x*!SN2VW>%Q9@9+7|_yj>NX|pVBCPxWg&KF!)5PG8oX0|Yt_sC`;5~6L1)gZ*G zTnHIAQ0pZHl)RddRZ$@1CfXn_Mez*f%UkQo3V6FR!!Q?wmEx=LuS%`t11^Ip3HUK* zNoV|uSHn$JKpc3;^CSJ?|7Y*bV(d!u`>(taT#_}cy0_-mEfzU)kOT&t1&9LM3bM#R0Q(_`03!*U2M2x-kN^e( zB#0jjWU&(jLW00>9%2V@;yfhz{r>xR&bd{^?w--enZZa?tUBjA-}m45PbTD{w+$(3 z3VVLSzKBnlgU{L(@ZbHNZD35xGWi^wms;AkLQda8BpZL@G$bi`!ny}6B;xbbxwGl6 z(=8U~*jRw#YZ8S5L?Kp-VZ?7Dem1>_d8DlWkY-S>z%jKN1xtMzzZp*+?qGx|E$Ref z#OoBv*DkLw-&p%)Lo#-tktXKgN`D;~Ay!UvYw3b=wspw^!r)9|L$AtITnhwf zoXkMtJgFWiS7M5Gcg)^DSfNdLIu#YV4uytpglJeU;#9)U(P2(j_5SE<;O54I>=Q!egL_ zEu{PsO+s{s?ru!PY*O%JRjw@2X-JmwQ7#%WLEv9&U|d@-}wKFV#@dIosgwaa|dWXRBSG< ztvlrR#v4*ObbD>I%t=;Pr~Ln1`@GqNU^PUKr*#S%Q%EumL-{_;h1+YFVWOhWk%ky{ zi@x={P@P8{gd+6F0S6d##_J37%;K=nrWcB9<~oNC=~0moFUjNx*WhjAWPpNqr>eDy zu>#r@&A)Ds(VYmPrljMJPws(Sr{Lw9PEciSH=V&!2um@^R@q~|cjpM7F`(wM8j$HO ziDatnc%4hk<2{=*CCfZ0I8>GO7yDrmwz|`8==>GU{2FD%~9RL>! zOUPMh)PUL^G~|8$O-Y23n{7xJsDh8^wuWx~Bn$Lr$Jn0?Gv|9Jsje4IgRT z@}t?tzQ`W|f^B0RfDyHk>k&@I(Xl({1o-{rew>i!q6lPr541`U$;sg4C;dQ}@CVy( z51sIHRP_^H2QV1$Ka`{bV0@7WL@zF`Z$)?qKDeU4R)BCbGPk{_j!xbVcptn&N=?MU zK}70rdL$&Jf2f-gAy&UHmr;oJhGMAr;FAp==2L*TEX$jO8gu=%R?Pc+vK1UK#v$Uk zAU*LT`7sys`e`o&HPZ?m(Sj?|XyTZr9M!YE3ZACDWkp-~ExXGlPC>tUmxW%Sh-y1S z{^x2c3URfmoF)xSxewH~x2BskXygkQWy6#0_9-ky^ZP93^ziRI%&TW_jBekbwa>l(8iA4k;a~ZGqstmR zp=FMH7DWt<0^5Ql{>f2`XiTu>Rv5Z$5i3iJ!LV@qI<5!wds`CG!iQo4IYs!_K_#_w zQ=|pSr^^cDup3YoYnTD>=9{B8-hB6sciw&L=SIud)<^4c%*CNE!&E(!oA?P1FO8fa20~UP<3{5L`;3c6O9bS zwhI5n6IE(G#fb*?@}wu?SHA}74aRtf5SCLxY{)P9$G3VwerF+gcnq$>g*G60(6*j* z^ZGmQzWzG?8+^EUnepc6jo07(^6R=ENaHowqafrD_y_(83*oOYg}Lv=NVi2~Ka}Zk z;ZdJ2bo^!g!m0N2#+PL;Wf{jh#S8y<>@u%WH1K8lPYBv|C{>I2sI6hB4V!^pwIY3L{tga!tW%d>oKV@6l+T|E#G}u{AfmL7zNk&ClYc{9%(04D&Irn$YJ8T%lM%Nndy+Q0w zN4VI5)#KfoZ5))*!TqrEb7Em@_55F(Fa0nG2$q_LkJT)lFMU3^4sB9PpR-twA~fNy3zmF$F-iEOuIo&c%Tr zODnsu&_PSyZ>I8#hFK(Iw&{xSgcA4?+RpX1&`XD>8PwNnaDv+!axUD3Q*24$gNOrT zCXhj{NkYcO*1S;ubri;GNW^kYLzY@jYihq4I1n+Q;P1z=)ctW$le?hs&THs{7Ccnb z)CveP!Ufg3j*BDQQNbQE24r$s$fBOuA3BtVrhV2Ch=1G$RU}ErNQX3 zcq4!VUAii{jbG-dLS=@G4L7#$VOj!(_I2fQ(2u=?kU}mg|K9h5C3P~x&dvbK! z;67S*S`ACxBoPU!7b@NpEm`6e{;U{_Av*5S)D}Go(CCW zgUfu0b-~-H*OaP3a-O<}@8?k!pyk=q5s zRb$V6if9W0{&@4&f+u`d1F*n$>aka-Z)yh z=4gZU$-%w}t&=wXUqo5sv-s+rIf@s!%gIC6OK1MmvPqz~w;@^)QX(KS8cz`Ujo(=; z_gE`Uc#(XHX(|#>1nWg)Iu1z*LlX3!B&@6-!V@cII!vaMOEesES*2U0!tgAlvBAo` zWDb*F8id+yp9sQgYUEqd6mx*gMU%THskh{V^Ei6YQ^ygyxH%KvMRe z0hruq0m?#HI6IlSr6E%VEuf*bKDnYf^vB{Jg~HgJl^yR49A0<0Z5rU6<>LA+Q=tyce=e(!J{1kvU@3=DN5LGFOaiMoDAfOcfC62lpQ z5>UshWK|fVh#}0U$Iy2!9ctBb zLAK*Lp`LOShM}%5v z51>YWigtb|5#2qw!)-bYENzwoW3=X1WkGcUi7~MR_epIrP0Hk{vJEnCI%iosM+Ka) zQ{&u>(ZOy!d1ZqNcK(YZ_n+h-5 zkznqd%|f-#B}Ea7?NyYe8YgIVrjCR*vp$iiyGQs6HW>a^Js(D5!}Aftv)E9m+;05O*`do534Bq82wxk=d*sdD|r?2_Ejz*&#liBqtsv?C^=Sd04N9X#i4 zS0D@di@vJAO+@5W1a)N7hI92U%_(JVTbC4pAQs)#4*eqAnI7fnTpF8RNm&-ljhZhl z=^v2UmXzX&S79P8ff$}L!vt;bj2^$g#hS^^!yiY5FM%enn@eEim$1EPsXT>ggoW@= zM1~|b$#lBCc0u3s&Oa4LMP8oytvK4S3DZE>lRI#~9+#GkkPoqzS6oRl07F*T1!37` z#5PFfy1yfqo2J|a*9d+@T1((2SCs9=m*5J>{U%l7K;(5L4unCjkjh||>L3x4)Oc9} z@IhKJA(0z*C&mxJL>@wAi?)3%mS14zl_3Yz#%?2c+hW`W4xfm;>@&X?=V|e^P~hZI z2Lw(mhQECZER9AV;pQFW=O{{YP56b3ask^CqX|KhSS%8R3X3RDLN`ugt~A%=YIU!k z+l6k>(45n#i7VA*>eb~c@+IXM`-)!8YZ_)_TYL0i6DXH;ykCDk`cQLa28fyCr5Z9Z zH7d)Mt+P)6e8D*i00=n6TW*?saSI`y-V0M*9V)=M2KXLYafqTJU+q#JO+EK0mfBlx z1=JvrOI4M_Qe~1yENC*|$>YGZ+7Rq-!ewaQKV+hsTj$MOSd2m%!|XZr__$R=%JWr~ zyXDh8>CI|?UON;=$+ZyzK*p;V>sff#N{Mzvz-LixR0{}-%?2z$H;Hn1Hv<^0v~>I8d#BwP z2PZ}a$R#ro8`N-TaPg;9aQK27{P~5*=RJXf<5rC{hIV}{%75%w7tSmcS94fB)!dmn z#RX6c<|0WB+SPgBRC7+>#bhn=mkGLs#t6?kgf30W7m#|D$35B4p7#U6Mhno|7Yh* zzXcf{wP%FscZcy`5}yXLpGEl2{Lg(c4na^9>(3r^IT*yn(Zz}}rA_K7_5n%^SyK+h zoswsap=sZ^Au;CsK#Fe2`rEkhaXPx7Os_B4WBP@aYlSvtwBEr!%RSjAAj;IOV?|z; zoDhv=qIg~UJ>ocy-jG20k#S})6xYg`U$HTp3S3)c^!uvAh7LvUq_(ocFj=`fQ%tv- z`%9~%jIEG|h)l;(3hc-ND?&RHR9F*RKq_E@RRlw>b3&Vi z=i&BjCstr(-0!8I>fC}y^a7~ro$GC;4YfhTgE}u5usy!QLTcToYoTXT%dhve5N<;f z5zyE-__BD9JCko8?m%OwnbPo6^00gmL5K*DdcltCA7SuvH-vePu3O~Q#_2r0o^di( zsT`5%qF!>JC+Qh596K6Rz4Z3n(Jk$=T_w{%%G^Q#Nc^L&nsFrLilu7TXD1mqV1YNzJSX= za;MFkV1PX%}enWGL+UPGx zF}_&8eUF8FQ&joV&&-#8f>081=mOp>2$$lVEXq>R&But`Md z!~$@3Ie}}Nzv5RbA3uDH^A_~StbOxc>CLM0X)Q)I>)4BGByvUOg@J`QAFCiSuIju8 zEbVN$N!00f&Vh5vZ!{)t%UfBlIn@p!edIm$(RA;*@=6Dua6hHCmk{YV5|=-?v$DFp zZaA##6#(?tgSIx;(iT8FuIPdxF$if-p|(4nK0ut3qe~!1!;J3}lGBey9vz3dazFa= zJcwu8YB67WbH4O5)d45S00F6?w}ESF#wZLCtig#dxKaHwTJo#x1bcaXe%9tKOfY$AqxWbt7MJY8mm)eCe+}fsBaw zU5E$(7uhRhoE6GU%Xkbw{v^LMxr3i;o;ucHrn)X<4p#j3KHDrOm_}|ln5L2@ow&QT zRBt#Oq^M7&r1`0SG~5gEJAxew)`{g43h-YX44@B4Y4-i73riKyk&>=bD2;emv!q*e&%%#IG|Ft6yBnAs^>}n{{OY||tNku& zvsJ^iLX=lJ+BJ*`rMgp-`-d?9D!CI}N@_6^O)k{cPhivqP- zjE8_iz11l2mnuidpBjb2pMijfFwt%7M}AHPlI9NdHQkVdJf@Z==m-|K zEAFDX_pxaPi+1JOVK+z-8X|g9xq#9JtpmiKGG7wsI$=Y(I*-)UHUaNzHs_ir-?>L~ z2%;LrI2&5Ppc+h_B~nSJ<1C1S&J5$00!kJ@n197j`nUzPg<4uOq~so@Xn`Xa?xO8h z=S=GP8bR!^HYZl5sl$`iCmXw}kOXYJIa@{iZYbfnGDB{L(T$jvg3=XMbz#UG99pEZ zlwQg@m$N)bb_+^U4~ivjSf9OnZ3wk9%OX#!8#dd<55@jJuWK^?HP&ydfrLxkE>apS zyNqOn1>cY|mP!)z-Ei=2)x7kM#b|AP=~6@b@s;XPrL;v__-&CcpI(K-GLIiUb`eCA>v^I~@|4A33(pP#J{%8N}=>GmE%JW5xv`7A(U7eW6YN9^3=S#m=Eii0S{VKI}J51Ph zyS``s8>{I_maOcz8x@!SjS!5(-1&c41`f9%}(2n7Q(?`)090{Wb!#_HnrM zTy-WxRyo{F6_;O?X_^b)k3wMfe@tu3rKg%87_lMTXr{xDWS$Q--l0sM_Bst448fk~ z+4d#YFp4dZ8yo{FzPrR&nteh+ri_me9)iuqsE?2bu+F-3(9e~%YooVbeN*Q|$^8u& zsk@JF#oyj4i?7JmsorPklNF~HmeR*J*H(V5JQdP;9pnmx;g%OvzM_|9I>HzL0G?!= zFefzdrwk+y89I4xz*UVb-Y_XC-^M&5lP5Mlfc+?>T`d%>kY67klp5STM4$58G|E1f5&X<)M`l|LhDM^VTE~O$DmWyokuURvNKl@EK41w0;k7dBc zRfH?#CZkTCqL7*9F(^^Hur}@!WuHsytRbmVo5;;a%tFOAEgjoiFaWr(10*r+HrLYg zKufb`SyS+$vMH_9mnBT9FCln!ucg|+UN-qY=USYDYw!bfy!-)%#5b*x$um8MMR~e3#AmCU2^6_4K{O#G%M=R#^Fu_cn1OiN|mD zHXiNl9qz$d#FQXjKN;W3bv29kt`X0T%fI%~&9!wN_xkc`3KNxn4ona&yWT<1uSpo; z)%!B#qU`$$gu2aAe8m#3VF1m5@&>iZB9nlclKoo~TBp0IKd_c$R!P%n)%8r3KR z1nA-meFRfQ3^`SZJElR(O^m{s$f!3HHUSVaqR?W+1W~+U&^&~ zi?fPJ7WNrS<8nKLcL0g%H*sweUX50^e7Xk=)5M?0rC*DkUkZ*!Ml$%+krCh;h3r6O zG|G9JLmmg{7s(7ceDkGm&zArLO#b9eIuZQTY3aFTU!`FLq@r*Y7F(`{eTuc1FIW!@RQij%Jd@l{Qf$g zu-G}eoKc8#TM=nO1Hvk?I>b<O~y&z4qI= zPrRztdz(tV1S`Y8qN7XgVIkrmBUMn=#-B?XR;bZ=0?0ism@LuKS-zJ?hqORQ6UvpL zPmG`C4K0I2WeLlRens>#Fsd%7`-7@joI=%yYnuaQdZsB}f?xT z7@Q=50f{k--Ng^HWpfYLozTlRSC!z(-En8gJoVDj3@b--YwC)VxF+le*Wd$Po?MeN*s_b+sHic~fbW)_qviQ%wlfC_ zLq6wv{o~0E0+-&PvmusV;<*zZ3WF72+#YYiQ3Z+737}(RQw=*_O`lR;@FyR^SbphW zm@ob0_VkdBmVx{?ev=Ud0tA3_bB}`jd3aZjv#n z72y1GJO+OR9AjOX`RYMMgqvpA;XT|mz!QsK21SK8c^Rd=s=}|{ZOJj9h1Z~uS}m#m z5rA1Of4KLQtjNycYED^GqHsK=?l(7xHy4hV862;PZ67=GVctxJ!+t@g3fe6KAZAP^ zg$&>qfk~iP=fk}8=OgeH7qZe_+Cwc_2C|?1r8rZAOns_{OZRd#oBU@KP)p`v8C z#RbhkgG|JvTx)%V^k?-@|=A@^rtqFP{StnH zhy#*0{*31W-TM9faw7n^L1`0Ld5mHX+%rf6u2>FAPgxKZm+|GAf^<;j!47(G<%S&k z9)OqJp@S*}+cJQ+Mdds$Gf9sU86-Nomj-q#DwqG749<` ze-*h>q~e;JOO;e+EBQc`2}6G)@M9(u z{2TT)J7#2a#r~7FZDB3BdA2oWx0@A2)MOdE|wAjVxI_`&}5ZIxyVaOKT_$Y!6;N94SU+L0AHKc7jLB(9G#`c2U>gSnsT==YGq@avU88dPSC)ZIM*uCEG zP5H0(MGBSC`^}xAC&`%KoiF`Dl`#>^t@IZpV8^)7gWh6Y`5#a?FzQC!>BTdb1FUKpJlv;p_N(lkZ;I zogGxUw(ho5!%eh+%$4KH2cun#4@5D7?YC56q?xxE7)j=J+Fq7X(GDzS*me>E|xX@BxY2f zkxz+bt})oD5T-vZBb1`16mVPS$zb)A4AFcF=VbQdV7m2SJb(2*!XSV^bfW#0!A1sG z)CnuPQu?m|)L#thYE2%;I4P?rQd3e`gjD}WQWo_4;Ue}ANJ|8JYevF8_1~ZNh=&uD zlh95AYC&DdgqrdjaFyaxa`_y_JDAyEF#>b;keURX=@FOjphG(D^3kdP5E9-lu4O=h0 zHsb&XQ#-sLPY@HJA&-O6cyE8MR*J0~omU#o?di!B5K@Mqga^=cASqBQS5NNn5^dz> z3`LHHJT8Kwk_L7`u5gzM`h`xeemrZdb+d1{PQW)i{6NPf;VCf#xX`e{avyqZY*QDD z+7T9L$+Z2uhrD==w_{0toM!H&G~GJr)O>(bZIe?IVkd~y|9HN1fu_^w!UdruSD+V! zisD~JJsvz`>z6Z8_HH~~M?XV`IanD!9-0~ED>&>#{|Wx&MuJCMFd`8uFEt_rtsA8L zStFTUG7v5}nJ*Il@gJ-3Ipi?y}m@3T~h9&?w3{=LYbEB{sr2TX; z^Q7U^sy?jQ$>*4CN3tK$VmO66G<|8D>eyXXiuq~WPJDBXm0er7rVH|(u>)%>1yN&p z=bckC^52**T{}G^J7X)1!cGPqJBxFyJ9<6c3V5lO&K7eCk2w4KmN|+@J$11P@C3Uu zTy4b!v;;={Nk(>gS)la5kVJ%U@C>eJq9T*9n*R=0Ea>m7sIVHXt3KQniy&fWECr_o zac~q1$<-&}_4^(eA=(-vmB!EK7L_b{Z=0Pq@lt8THmgU*NR?)96oZnw(+D0Uf*)0( zrEldoi$@ztOA!WES%&4T7@67x83YA%yr%wl+bf!_Wairgcji_HPF>BvL zYy#v7g7UQqMqPGemo<#|rvUcD)!WdEu=$x@9YT-dP|4b9R$IQA4wC^oIPEs?Ay7>u z@FZ@4D&2G*nZw6*7d|2+3Dg54CKCk9STqixFurujfJ}74q|CJ;EHrB9<15WYH(+u| zB2!C)XFMi4unt~A?cfGNLWCH_Fp@!s7GtNV2KP&L)49tzP9qBbU0@^kisC_m57iQ* zVX$wwck*oo5tS&Q$Y0|y0vIBVgisFd0L9jjthxs-gjN7BcoP>x{TD}~{RBHk`i7n( zhBP5Wb7PsP1XW<<2tU44#Y04p+Hi;&vH}9zPnVC4jiii`1DK>$?f&C^7EKuOI&RN1 z$X8jAfJcOcRRl&CI{X0QpP9Kr=XNqej@qGtO&&9pA_G=pdp^b`yM*=uJi#6LP@(1w zlZ})LzX8itSbdP1n&^^L*@J@ipzXOi#JGf$Db6;>_cxFeo4u=b!aFl0s}ujw@>2}A z(<9{G&6Of4+g)S07Eo$v!*xQa(duT9L=!_wNhmH2&(%CCYDTo|_WIZFT)lbg*7DVL zXLtlUviy{59fRmx+c0jZmI`4;AspL31+YS_Ak8B= z)U3HZmX6TTlj;?H2N0Da9=DNfC6_@Ir=StMb^-ml+q(hhnZsHcX5u4BY7=|TcZi7u4K3V3JMrl!zJwu zETP1Snr|rWU+LWQVSwzAhD8&xR?8a58}p>Q9r+*~=0=ojNf_V*==RxFYE970w1et; zNFw`;BZ`!5ZdFk-9rN1MsaqlotMRh z_o#2UttfaDQ!LkXd&q?hRSGoC5U`z8TPqH5)ASNORuT4b@=9@G=Pd*#PXlhT=4*gf zgB=dB+;T@2-8QZ#>Zt zd9Je))qcI$d zhcG>$bh?y|ld}O%`##9N{(uR$w$QN%9(fLlfSGt_W}0BY%hqgX6OJU|D1VKekgJi} z-A9o8TO8K3QTPD7A?_y`tFM!Q?)573!cIv=(x?%MJ zN;S|EK{tvJurCOY%Q@uqh`Z+=pa&onM6L{+aC9UIw(aS=MN9|`Q%nh3P4DKqif1iK zE{R%4zl$G~yUIoHR5S=`N%6k!15e^1J6qCj8VXMU41&GF!W=YQCJk~%1{7iBvU3f| z>Mri?ZulO+P+o}vFVlgpr8gczmDVG)Pry@@pz21k8uaKxCkf3^zb2BdrauP6n(&(M z#AO?BoQG+=7NOv>cTj%FG!-YDNgP&O29H=cn%giFy*e0E8h_q z(TfX;r=ghZ;i_xI{NNvX$1P(1@S9jkPFGxNe8eWoD1`+0a)nB|LqJJ2Q%HeQg-x>9 zDZj1SootiqDm=2q2|?eU1i{Gj4l{(bNEkc`+G%XGnJ=QAmWG78X}K97eKH8;R&JX8 z1E|ac<pjg-wi`b22Ows; z-G!W5t=v%Ie9UX62tA$#JU4#i8x#XG!91iw46sUz)+;J92E`k6G_-+=MlLMWvXrp9 zwnxY(_vbdF)rG2>+GH`PZrPgD2sYmn_r1I5z%GAd=tIBxYDp9W4Qqv|OAErrUZWNZ zOu?Yq6=EedLI^D0eR^feeX5$%KlJ*jyliN}vxDE1h7**`Q}+n-GYC@UDt1pg{UgF;ee!uN#4 z^^}Rm5M)y%L8YZ!mFgFsuzyZejd2}5HMBZ_)XFHJcbzO&gE_nk!lgKQfTeZ#yv*&@ zE!41|CIa_yMGDHVb8o?O$18k0g1FnxDiG;fo4mlw5e^CeU5yp=_&$W4xDdi%CD*u> znah6Z(gKnm95i;4SU+a)AVf}!%R!w79k9yPqP8-^zcpX_X4fiLb9}%y4+Gduc6h|R zbWtHQxv7P)%Q^_ECsO4t2UNsvKp(Bf z1qfro{vy5ittUw1qSV-$A<$$wGqPrg<(kOG?=m`1lMK(ZW}q^qg(oGcs;-|$CP4x2 z6y|F7h(9AVkHd@4nDJ_qb>H2AglDe+qd@Fkx;H@;A95z5@A?aK;IbOx7+8J}SHuFQ z)r6PeC3@KOOYWER3uCytl>NvXFshh)WhNZ$NXBuZ{xS|kxl9f&@`-Aa1pzD;lJ)R( zV;D0Q)NCqgH?8u^2M34fNW0fZWVW<5?!ej0!l$$lpkW_F62$)C^SG~q zHzgTFDk`K~z8hrAQ&kz*?d0$*&ldC9$p|mEFZ_*GU7T=Ax3z@UU?X~Uk!`J5X#{w9Mr}>Z&?2IEP5%FNpA%zLT z9^@|yd3+re6o5&S1>lKMa)*=f04VuAcyA;nBDj>BBX%+1i3vr85c;Vimt8!6{5m6b z71bUcs$g~VQhRSnCo8M#w=Rx;<@UeCXH< z$ZyO6V`!Lh?Jg(n#S_#L7~jG^RWlDonB2t!DGAFF#1C(+-tcvW_$3rd*Ku1^E5R#b zNPO6>EljmSg$I+K4|im?O9fd3#t|&R$z~%TWL891s{{}=*-?86pN}uE zt=++x7>AK|;zB|o3t(hm%A09%|L|k6(P0ShV(dHg;6cxw>aI&Y26s*-fexLG&<8`| z>KnS(Ds+eh?_t2tG_XPH&e#-l6GewI%=fIECR=M2?8)#W>$HNkNq<^tMF5qS2 z0~9x(zDKSt;YD8*zyL)dU;=jlE;9SLVyjVAA_X+^3BG0@kRTr|c#S+?3a43xSoxNP zrfY8vzgf1R0I>lDfmzj(dI63rW>qY$X}i3 z)o@e?KU#+@e1-K3prlk@!`dmrS*|aHiIk%hx_IWDN|{ayL%X6J1gjDM&wh z2L=cG6Ez#KkC4p}^jK&yT3)@mzIOBKFXzz-)O_){FX{J>UHaA@Svc0{3#_JkUxd~l&X;~3t3ph(q#xp1 zeO&&NTsXSG|4%8t^#IJudl-|c-P2_m-+oTOT-t~o5bP<^q7ge~ca>&tH{#lIGefQ5 zozkE6@Oj2KS@b1*UZyfZK|pTLm)cz1-wzw_S#0x;TX}WMS%%kc8P6Omf%|)A?E&Da zCkQ}{PCm*RX|Xud-TXi7ZC=#K423W|^N1-t^K`Z4MoR-J)5@lmwp5dk}`IkmxqQsesQ#%C#k_ zcf{#D2sLrv$k{_@Fmy-D+2?@93n58E{Ct6u6n(bv3b)1K+aOe3!!!4F$Hy-UR^$JkQq7fveV9l0La)m^K z4iz$z&cJwyl#omMmpcLZc%TobruFUr9c+F3A=9P;9cl%1sWU9>&^qKSd0F{I+<5fg z#>WQXB@cgQ5R)>)+6O9o0xVVP55q1t*Z?sk^(TYf%g>>!kQC)R0IdzcO|>4V3~&sP z{iWEE)7DW)X7=BxxBi*P}_zrkFXy)0fqs7f<2##w54`|^@7%fzT9diS-t$v1UYK_ zlOwD4j|z{S`P%`@mInFIfH-25v%_{C=%jOjk#eyfkcS*RJUhwiJT@|d;*<^8+N6)D zu4L#*#y7}}Cw@j4Td|+(s`%g_S%5|dVMqXtIWHZ`OS?_T$V>R4AGU!b#HuE_J0x^k%DKMtpaiWHhj;T7Mq(Ma=I0p(za%$OGxz6?cFkXirL2=k zc#%febJADrw?MC+O_-t}7{A6n0T8=`dHQv1#NIRifXEbbqO%0sj@I0w10}9QWCTEu z#r~J(OTX;8mmyxDJQV>HlVY zqQxGiZC>^|cnGrC1G(}?6J!3L&Sf)NL<(+)(FbVobRCnw07oG z^S#*DT(;6-mO}u4jnnKTZr>eXU@4P6tw<0NSI?RY9!nIjBa00lLa2o)s?h~PXS%D=6(If?IK#;QhhcGo6|ZWfk7 z4CN&=CL#mN4d+-y|;qOT_uX-j}6OOe)1*8K3q`uQRj-Ng?9nTkvQ+-KBcRejT*2H?!IHsF z9*3m&f(b@u?JNcYRRc#GFyFW3qA@g9ygGihmQxTTdK6o=c~#l8d^)W|3y-_v_LJm> zVa@GyM`UA8u?DOMj;g%e>;;R$<;Jk5T-9E5@7OcuE>NXE3SV|d8}<_}8Hqr?5>Kus zC#w`ijKtgvf;NZ=6?-HDUL-4+(VQ!ykaD10Qv7@QtO>{FR!^=U=#8wh`SQdCA7G%h zZ*Dc~B()tY>ApH&`g%yZ@0Qx*@T-|t7}!wgr+y*eamxoZdb)^*_Pc%brHJJK_Y$HF zN|1l`SRD&!H9zpNN7<@onP${yyZidWF}i3ScvK`<5C$;UH9Y!!ihQ)kokh^aaW#hS z5dHdcS&iuP`^Meo8 zme=oGSy^A>HIHw zqK*I?ODJ6bU=42~>V|jWOgw`k!gRT1Ox8HK}?5WSkj!&i2^?e7URvnXDAtPAmWS2REgJan?y0fsg zMm6)u_h(<=eHWsbWZpv)+ZP`klTXc)*5{=7hu1pcHYehZq+*x5O0HDNOuv;oYz~ze zNV2mm)OUmE;*!Q5*+q~?3aNAsBTe_*x1J`j>R6$8;dG7f63JbkFMWxT{RJfV_FE0n ziDW!vo2`@F(-|vlNe*0r9y2NjlY#OY)BpKF*s6OH$6@ugl zZ3H4Q6f21Ip%65&DW5x0a;{7ekAp9j)k6axm2x@BC2~#;NECH0N~lki>%Db*?ed4q zch;7#FQ~NnCHCd=T&`N|@IyrWeq4uV%U=Cx<@&W-aZOyOi03l2JuWYWa0-Bq&gu9g zsZXNg!dXa|^urcB$@_2YvLF@_Q_VHBVX#8C9vcBHxp_vp6`a{nC?%ph6S=CB_b8%r zl@*G-dhrmKJ+xcPrP=o-IrqmfYauUW(h+F}*Rl=)WgVYy^dQsKUa~qfiZBo6K(AG2 zjLr(Rv{qH0k7RUiW-vFKQl)!cp%gg{y5rHA5<8 zZNBtVJ(+j3knxkD;(*E0NleiQGDjN0}h07z%-w9aTTi84cN+~;SR=XP&TuN zEP2dwh$c>91vl6+5UgL|H0n36hX z1AH-#!4z`AmZkd~juyMUEYiD$hA!ki-;*!m?|DYN%K$obTwJ_invf!rOanT{3~+EK zN1q{00E;Gf)yMfu$gblap!sV%lkSAqmw#iv^k<;IJMp3V8v!6fi>9OrAZ(o3gG9c_ zZZm$D{?|Cl0P^|dr$s&_jzB`Z7hO>6|FFU3x~8dGn`20cg?xXp+g9k&Vb_S!1ulJI|> z9Mh>N|AeD|D^gAaRKwcBgNzYA{X22KNQ<>}bIO9fd*~6{hotua1uO;5bc@w7HDiMp ze?Z6+WNAbI9a4)ZUNXALM5TL_kRn#)m-|K?Q{UbmE%@T@g*kWh&PyDizg(s9<*<@$_Dh>tC0i>!!)H=^%4-_3vR zAtkBm6d9i<)7rF;mv7z3-;uJsILp_d$xMpyeEu4W6K{*BR!? zQ6p44Om`hoy64pyxj)~->8Qz{ZlY@eid;2vt(~K%(#Z0=K5I9nw=d&z)X(7)2p;4A z&zHRZmi{G6im8}gbUpjmV+$=u+jE~(4|p?yb264=X&%w9MbB;>sf8&O0+0l)<3NIzYk8?FefY@i! zVA2&g3Kn0fT6=)c;epPA%x1(5o98HG#fBBwqa_g=p=|G`e=q@WjUVB>h_tZLevf)x zb|S{IMlXq7_A!Eg9-`>C*pVF*^biYBfC|R(Ga1e$`W!~u z!?8IG@}vDl+_weVWfA|_cY=Q;RL>wUNXfE#B4vCdc+kEU`K+?^mF{K46m;hNNo9kS zz$&CPl7;U>#`aq3T6LH5A7rk(Lf5aW4{-q+{gF5Hdcra=)gnL!8%k;sQ&!~ER0{fq za;#7;HyBM*kP(%L9buM%Mz#6?GVtld1%!CeI;JPbi$)x|EkVH0CrMhW4_#X-u4?dy zS`52#iaSmW<`>0gkZ@6&<$!6EC;<=B{9}Adw|=a-UDk%(X?FJ$1re8zZ`AwaCjUOZ zb#wjZ&5zd;Fd`^u`2k&pr`_0=HjV>K_f9RX4uqV%@Oq(?So}1i5ckG@NH1UQ9&iqR zmSy!v+ttO<|8pPPl)iCgd1MJjr zI^2hW36a2sSn$gqC>vw~kRF%v8Sz#9LoVY1Wnmf_|M3m@;_^dI3FuxKB@>Tw*~q69 zJoiC4pFRMoxHyi4R-6MCJSSDnP#y&6zyQORr1jcM6mg*3H*Ha=K?WvqG+xlgxVpdQ z_|b_bc_cqBU?rX;N`N=&JzxYHN4vLCNiA6hx>esel2V5XLAgM)@w&O!$k^_pxF36z zr-={oBxL-u7?k2^!T^p_1Ykk=xg7T>9M(WoA;&`%a>09L@S6h1I-PPoE0h{;5cb#z zKbjl&AIM?cZjHbHQO(<8D8^W;WmB;AxBmYREk$_?(04@o< zpj=dEVEb$&&=>Bsz>r+D*~EcWc!Ej&Db~M$g*hr85S8b0LF|c_`666A#C8>L9Vq~C z3N}^tU>Ta?ycY!|iO=Yt^E-=3P1o>QN^p{x3v#)ZBtF^NJ!DU3Eei;Sh@&gb>eu_= z7ghya^{KEwd5qj=h|8b>S_(o83822#Y5O~Hll9MQ9GU6_0NJn6c{2QEz3H4>dGER0}v2XAaGVE_}-l z8c_`-dB}6ThN-e~`NigpI!fn~f}C+H2f&KfEq*w+iYgZ5z2lm>pgU5jj6CMzP;11P zY+1Tqf%$k+eT-ZYJ7Ilcl4+(g`{39_F+Gx9qa!3=#-ne3(Fb64AoRKnOn@9j=EH_GmXHqA*OdxZT4 z@|JuMPLpyPOe7XBI3WZWe#gFsLLCRV%m|7A0HPWkXj&x(77m^Jh@4Hp51exMP~vS;)N1NL`AGNSeB{VyhIF@_ z(Tr8qc`nh;danj05huo2QL7LRiZx{`1F|oVlAn|Ot1>6v0~QpG=Tr!ZdWD6d?)%Wj zE5Ym2=Y@7+_X3Gx)}ug#(>75=W7jUYW)NbIiOjT0 zD4_14o2o=Wg=ujh+9VimX78%7h6H|G_&{&CP<108M}rVEej5+UdOXf9gzF(!<3B(% z_fW(JF~E7khzKE2CdNi^EvsKaEZ|$#SK;D3sNY0PUvqyBceTOb+|{Q0mvQI$57pGV z=(;jaidW8Z?%wq7_C^i@$@JAaStaPxAMCM$s*^@P16uGhw^2JIbN%Wxf1Vt70HRYE z&KZaPDe&ai`%^^E8}5Vdu=GXnfN!89gBJv%`Y4Uvd@_*-~)Wx+SqyGwOj)%N@vj_7+MkwKb|Z4E;NbLqetS`F#`pQ z>P7W)a<{ouNpkV#IglInhd{z~^I*CQF+IAAo4wfD3=`n3SHC>EvR13rR&?O*MVenC zrBG75vx`0v7~p=5h6t&3(%r9<{6BDmsE}H0r!S;l1O{Fslub(g?tJN7%X;u8;AE8F z33*=tW4J@Xq7D?=yFan?8}U6NJ7iYr2?|YGr4mn78!Q_YMkde-h0N`S8^cfQ1||WykMpUKOb_k zjs37}QQq%>?F)c}WQPY9;!*a58Ug0b39(vYeN4SEDC8 zfFq--5wC&nJE#yub`IEIjmRO*C2Y9lfs??>39+&7Rxdxpl%8^SzHIjpJ*f%10JwU- z5~x$SOP3$i?1n)55-6T&X=u(vj@2z(93jigiw6f_9lz(cUI2|m5$Mi+ymp=#4usBBEe0Tsxt$Slm?QVwx?a zDQ2pQP|8;V-E7=kt_WxvJDpZOTDgWR+PfN=vQ(7Px&*arDLUys`yoU*=?fZKPT;2n zUdgWYadsuQFb^#Ll!tOQjCg;uS7FW07S*)3=y#<5N~?@7X`F2^ zP->3nd3IfCbWZ#tpj&F@LDid>`I~e3WDf{_`LHlp-91oQmtA_SoYi5D(e_~KB? z?Y<&B2gM{~!$Oq1MiV?bB|ERgYHFHeP><*Vt%%`pIM^G4o?dj$;Cwj0!`c>CFWGqm zipa&ACCsF-u`V=S0&BN!uim--tJiHcAAEe}=FRmx>nk^w{r6>IF_UjzzgGWFIjx9Y zUH)402a+jINHa=j->LuKU2yPJ5TtavImVeYEGV67@L=$r#=qg$kAZ}4d1ZBF{SGpo zSC`k^kajp+zxm0c-zSVgq;c;#G^0m$%RnUB5pb&QsRd%_dJu4{BiHx#e z(VUybJCdC}#86U?qALIZ$E^KTdNO1I;@0|YdB0q4;j7%A-J5e9_|2MA>gOqP>A~ZS zF%}-WmGc#FgDAYb4khq*)s`ph47qMjyaE{^z(H=KlF~f@WY#0CM>9F#Yr6aj!zR@LdB{b75)eFe=vGAt=uM=6;-g1Qf z2osxjKzNK~LM5V)h!xR(!6qZ|lB*4#TE}+E1(Qn0eg8oW1my&d=%I+nMfErLFrzbp zeY`>YH|9$}sv)>W1Jgh3TDYvkpvFxD_o?5CPq#W3n};Uh4M}nqxruG?zyw|KvYgdp zS%Gb#TD3gIzi;#fU`)%F%bBqSF(FoJKRY^PtIC@l`|lJcYFeeTKoG49Aw6@~C=Hj; zZP7gLCpAiANtJQApc|#UUcEr)oLisrOQ9(@H>=qTutEeQc9aVqe`I6k?}^!n3uu3F zkaRnS<7uo(rEN-J4}v`N=i?n}M>U$v4k{hOLh{I&%g`^O~=vTef z)!%SUpx_`Io9s&Z%BKi^5Pd9?GBkb0YP-@y$iP!8?u5*7db@t(`$luuO?~fmH}3lT z@c{wIP4%@m8#<2$slj8twA%SVA>g8wJHp}^9iGb`6K@h3 z^#dJFx%4qy7cARN_Q!UKCqYibU0Yr4;PM~6aLseCZK zi`+0wBK|Fun8Z0^NmQ1h5Olt?xr;6=@r8w;8LfI`Q9}r-_+2;F8FqQ3ZJw7`*^*wP zV}ED9bg>7bN23e!a0f{Iou{BQ3xd4_H2!jIy@3Wc`&|ad05l9jX%?Io9Di>J914vF z7!Dx^hgx`#sCX?abmql?$2zcFV8W*yD51~jPz;RzY~6eg0Wu3F7H@qxRM-l%i@OyF zDAO~057~a`OiprXl4ni~q5XtC?xXetyJc3@ptwMu0n0K@CYRn>qW5=dSnSU+X}h{egJb4CJS(;N#e;o%lY zx)s97ft1U3pcR`tHL(7{eCfxA7?wVD4}5IPe`oq}FKMepZ#=@yV_>bmG zKQRPCt~CI}X8^<_2j7|hVFCCUHUd|S4&am;!~z9_{0pnZFSiF+~9M_M{D+ zY}p1&tc%rGO8&WjtG!c;R9ar(K%(D9%>wKwq`{Fb*cV#*$ns4ewxl(p5HNC`COgxR zww!EVn%=!6MF4;RASnY9l#L;pyb>=DYq!bN+tlYWVvZ%dczLt39j1UxVzB>MVyO&UOREL6*Q6;rwNNe9&`g$9HM>{@J0{y)_9)*CQ^-5 ztf1Ia%J!iCMZ)5@H3bi_M}aPjH#RmsqNZZ%7L9+6}69uMtD6tj26k^vy2Dg z73p6D-*LzzBzUsH=va&#vAA0?@`a@yMxH~zAChBWWP$OW!wE(bJQznRZ|U#F)*8ip zv=xs!*~X@U!DEu>fyh`mkK4g))LjvKJ6k(!oagr35`-|wqo)tvlhjX8((-%-*Yk_P zMO7=0IvFqh2XUSbpaA}pL6lM;2uPSte_!vmjnBAAtbAF6K7-VokdiHbacOBO4UBr~ zFNA>+#PLIZ+CX|7yDG?HxXFU?^vUN34-`pAlC^j8xItgvXH@c|eRw^?Qh5i_OaES+ zfs_%)FqGp-2anJ@UqxZ=JxiAmFz{?3>f#~Fv!|BwETurwnawn4(}oFd*XOZ?Ds=UD+W4&x^cugh zH$o%|y2U@cySs7E`y8?M29xF<;40K^2+E!ov@$- zCpHT7MAYVUOtG<48w-lvpnoaPM|yL;+*A@26tUgH6GnfVxNsV36I-!XInrS>gv6$| zoXdFtJ{Fu~#W{4wiUYYj?`kB}>(S09;7QE};^T=pUy0ML+8Fb}1EGLec`+#oOt^o4 zV-utB2tf|`2Xz#qK;2kw7MtG#%9x3!fnk?#0)o@Kce4|ML~eWk@cPXwcTn(r^VWJ# zqFRiXL&zD~hmaT4)d(S7R_1x}zuvWj&)>FTI6JVs^Y|EuGo1n}+v5!`hx%a`=@p-> zpXMnpUAko7hv_bpFo_t#1ceF>10-mWPr;ZpEe3&I1hbd@R5s%iwaOTjLAK&TxJy~s z6^q{khm~!L&4-*cjAUNFfnE*P%L0UGPXdUCs1<3FK2J;b4VF@qDtR5yi41e|7u1#{ zsFcINg+9d$<aRFOXA6$>bd1}xOCJt6@V-d%z0!YyYU%HLyIvf)zaVw15TKt&t=7EDWJ~3MuKxxph?s^5z6d{FyN+s5L1EKHhk1qj6+~#^va9 z%=PpT4wafOPT{tE14D^Xah{6B%o6*Y(eG)Om) zh;zZ9Ooq85Vh7ZvxxGdNDTnEoL}9bP6iLzXLnOOy-J2Q#1z?mw zz@@G#jG?kP)+qybD2J4A3D zVw*x6o^ZFo`SxVz5eyt01$Wbe_txvFchnARO=o>dB-kb10&@PM7~H(@T}?*13LY+cjvL6E0iOO> z;?zWIo;2<~2r!Chfx$>H!hXT91>&9PzAo_m0NWX@9q#Sb(z2itPM;FKBx}`|QKo;7 zHqauDHGwVVVP26ObdZOMDR6!G9$kFn-sfKU_7sqm4%O-;7y`nj1P?F6+c1 z`2{&7T2XkoasoRpX+Htw_JWJd42~%Wt4N>_K2m<`Fvn<^Cvs<`*<+Z)4y#Wvt_6B_ zwRFO(`3>ChuHzlqeaKU9-Jeom;>5ae@1_m^MD~zMJE2@_lzC4L)&av4S0nYPz)}v!x`OM>?Huev94j zO?6@HP_5K`U4wyW;T6b^*q{yAgQhlTo2}7M?%dwXnL4Kn=U z#V7COvN;wQCD0rLDK^H%Cg(v!>#UVa+YrUEt~U765_rUxU%}9C>Kg#|wgE0c?EKBQKocme7o*5;lVy+##uzW2qgmq1iLb1W zqd-UGP^@@7(tdHi^wWK$MKuUwtsmTD4Zd z5}@(>JNs%o3*bhcA*@69P1R#o21WvPZlmXr4Vn{>Fxc-t?{H`IP5XxRKK0n%qIZX+ zznJhoTA~_>8vBMpia#32)o+pG2n`^f7HvK-n|j^oWMAyE?8^dc8srhel#2++35@lT zU2U9EzNF*b&p|s_FmgVBd|v!T8|4Hl!MD3p;1_+|j6EADpJ?zCN!4+9xdI++kKx|j z5^Y4ZXF_hnuq5fqKg`-y`0y`suTl5UvdUkkyBZ|zJT zP8lB@f|(L0U|NO<%%*f3bZpD3qsxu|M-GvOaSjbU?a7lZF69uG9HBCKs%fLCfnzFB!`^ zI|690zlTG3Ml!#7=?&sL0)j|Z`IQmPWDuO!opRkJT*L`($dQlbY99Ksd-~K{{)}dW zoMI>~i8Q!0vsXAEeYz)}$~|ziQePPKKhrTSS(BBO^3s_~wF|&!#eI0jQ-h1Rac8l@rY*nq0wSd-0pTE^6ixe&ItX83|1&#yq0% z{5@qex6PLR?O2hgHU`mWoVG(UNRpO3DV;j-?I{x6Rh(_?9JtZ;o;v!mw8xfAP?5D4 z)hWe0LkAQIVK&n0eCb6Vkb6Dc^YcA(4>CIr_m5+>*5pRI$HHlIWF%o(Gc3p^PfL!K z2vL}B4jZiRfPvIIz<__^6=`Z)$T9%XFskc-S%S=0Mnun_jLmsyCZ6&35{|^dL_8L8 zb+o5?OAR$8c?ak+swGQL59Fe$1H#ClcpFAbA(OCHF>*605hbdt-i*LXvNb8hjUM5u+N09Sg5~bgbD$cXzfN zHh9+OD1lXSOFH=tDpvP;0M!j^5{L%LL;KTerVyK5f9(%zhp}I3fJ^7k~-GvW)zH6Eh#wW;f4D|2n#W|E=>|Wkz@z z3HSVcnKl$I?sFf-G1fzj+B3a#*sCBZu#yN-wdx`tLC1@v3Rw}$TJlL~dNTIQ2|UlQ zrluDceTLv!S5p)OY|X}I7Mil+@a8DL@i4b@cPEpQXPbK_vBIgu0m6 z@_VHi9l&rydUG6G2=m&{0dbk=7K>i2g8OV?Mr{G=Z^lO;4R|{AjT!5JNWj+DPy+PJ zlf(Ia)B=C=o20+X67J8%t|BD^B{v&=tVa1BH21~P$IJ?R`CYuv$-$z`;YzF_5r>~K z43=nb>pn|)MDkb_F)+O`&5`0n!>p>=KwyD;5~1Z_{jP6mmMd4*gqDvpeCnCy~FaXhLe8w`X%0{%HwX;L&D1Ig zY)Oa-50a^a!@G?b4AiH1Qn|P6!Far%i*3x1Xv5BSK(KpE$~Vv)jDD|ZJ#k4!1Li^i z#2D*+nIf$M%lOb>HkutGU{g}C#npdi#h8fB_fG8XuB)^n}n z)2drdBSpk72ooX>B#Y|&?|_84)l-D(@8gJR?1swf(1;Se*G_b_NT{!bn+(tG2#hv7 z&h0hF=_y#PlFc<;;FMEh;5KJusMfbhM0=dDt@dX!Q z?ub59o6&-LTRE4!*m0Yf@sYF~$pR5sP0i&IL)QVZbW2nUz|oB(b%jr8V?yu zni`?m7%are5W(9nOWb&-$<)}1-Ccky2~kN^*g-lN!AE&ati+lWmXRP-V`U^jiiwFq zJ`sk)`-U?_(NW^126<~~V7sW|f#oY`h8V51Zf*f@5@8GIjL7h<f7O+i>(HQuB|Q(Y)< z_wJa@%|gQlbF8KB3pi{rqGmynDXO8dZN}NLn>@1v2qBsXhw~eOb?h(^Y+O&v0~QPS zN9zd0$eX!oknvSrC>CHCy_JEv-Y|I_yatfl`oW~O9!zmjFz)8!O#F5^tLPB?8bQ-B z49LR?rao;P&{NnOGpDn2z*aO?dSkb!pF{RrYEp!3@g4&5aITBF)!1edxYz^J(*A>3 zME-<|g|Op23ruX1rqybolMW=~Yk6d`w{=F0P?mg5h^$yKd7P8X7L+vEykGmD7hOiC z$#Ukc`20E`+X8*SW+BYQ)K95M#3BjHgs-abSkaerJIU2(5V0y=09Mc;5l&OFVzi{S zL)po?#!}|gZq=6t=CB;0ms&^?Y9R`os`-rZdT!V)fuK+m9D>BtL;mr6=}!)r5w5We z9nYIK3yoFc!ZDys^h-l#K|poDStss+!{wn2QfkjQpaKVOp^*3hW?_-|Bh@0z2!Lge z9ue3jm(}%z#_^OvOvI)jj~B&GQ2@wDt#WM8*9R-Bo>h`mACQI*<;o~VU`ZyE{9q-7 z50MZToIV(%(s>tZ2)qTyOw*$caBzZUon={;1Jh~E3Wk&On%aef=ea(}2h%KZTZ@o$ z6N02UqxCB!i!A+EUR}TSbptFlL?u&U4eAThTbtg6Y7n1wP(njI;Oql<#2y`o4hkbu zr8qOJ1)T{4&t=ddBenn|>r|G?OK#8(uf@aMZ6A$PS+EHq;;RDQLCzW%{XyUf{m=nD zgy_<50GytO!(Z`r1Y6^i@rb;UyQK_1X$PVNuuJtjmBtHU$@@;nxw40EeHKFr;Ciq* zw%P7Z6hn&-NkUXIPxuV&4rH<%Ee&F%C5)tOG`BfK+NMGP(4I3vSmn0`Z!iw}t?QTl zj8xBj$$IjnoHLFa_=^3Uq-p*|$U9*I+9Z1yN0+azuiRYa-J%cy^QGtYZx|WzIXB>E zA@u%9d}W<9Ct>w?$|>CuN$zYt)8>WbL97+)iZUjJ8=q)AWPX%a%ZcW$Lu{|WP_tJ2 zM&IXk32>_zB$D=M)?`T*y#{Is-q^O5OyV8%yOULpuBp)lS+(Don>ZOJq9RpN^BhZ!usQ}1x8fB>+s)xqQ zOu-UJjq~X!^74E#BUO4X=F~}JgWY;WEVaJ)VZ2TgyZ7me>>}fDAWe`V>55abFIIy9 zF)bA=WOD4doskkDNV)pGaWP9Q42D_(c6woN=6Exftj06CI-kC1<*>s=7DqCi8% z8_y+G41}3coS#!gwN)>O!nKUE0eGlL9Mp-j{B}NHXo>gPUtk7@u{T zYu2%`z^(=7dB%oC)23up!dyKKSg}HeEi3um!-?J;O4TGQ^(3wp7U?IdfGsf8dV{rF zS2JNGk?Z62n{B(Q6m9|PtJUJBgmcp%3{`;Ucy(;YQ9OB)RQ;FdOV<`k)r%t&swi-? zn{>5q+-0Y@LQRO|+52-C6)t#}{_EIC$L6yNM4=r8>>=#1sE_xjITPz2m7}&TQYHtL77o4*WBi=(j!p8? zY7G0w=x1UXB@bAuP%9w(bCl4y*u+8rqv;1X{(Dw9@m5`4v;<8Nn39jvP`Xhc2 z6y0@ZIr9SwLXXoAC@3iiH#Gf;ySr0_&p<%>1L)^j`N_#A6w(jKhaGZ1AfF^3T)Fh8 zsGwc;fQafpK}w%5{{~^PTlAreqaXQOA${Wqwfn@!lxKVXB^O}(g$QZh`Ao-x0#?V9 z=|J&Zj+cP3-6d-EXNL^-W<9EECoZ6F-ab4QRU}*Jf)`UAR%I?&=HF=Jf`1>W1I|a& z4Y*g-&ko^BxmYL#+E3hr){yd42kha3&&o^;0}60vS+Jz)hmW(rkhN;L8hdcz(Zh(7 z8ceR2xdM3{>rkO-(h(}n5*w=UkVeh5B2;w}tcFd)79G@{IT-?Oo*F7@aqLV0GKI7w zn`S&ij=kE%&U>(04|d0Q&1CbpX|{SVy5w?jYSrdUwPGs?6aXWSjN;q9!-;!^gr1nT zMptadwwR3gM6=O3P8r(7&8tUp^Hb{tH+eY*D*pa&bGNXcL&(dcI(BpQk_ z>j^I*fpGxmP!f7_WX!EYT`as18;W9tfhZ2*R6P%;ty1eghM0l&f1dYFwne39!kGiF~>Hm1F1tOoQo#&R)u~7CcZq zGA5G1c@tdSU}m?Vv=NHTp|&*F!~<0 z@`j)f8^nL7o6>uWI6QVcy)@kyOf!Dt(ov=$Q2t{q55c1Bkt=dYf~W_^gIW3lp$a3P zhWtj_>=LKb0!?mS`h>(g_O6{0{xWsc@J$qDSf+-jPsk`7tYuA!GdC#n+vWS>MhP8N zOfg8esrl}PR0v_jYnT#xj5$p7y(YP8^o<#a_2Brn#PVuYflIBDJ=RnWi&D$6SxyJ7 zYI8W)0Rc>V`83a45G=8GwgN!EsdGrMR}r+_6J?Zy2Gfq7W6G9LaFlnLM3Q1mNU7FS zEFR#R51SK(ZAuyvE^D`hby$sYL=2i+W~~8N?5hwsQ`RCGZZ=!wyPUfC`ez ziiAGJUYHV%kl|lo5Asf{%W5_dG_zRDUd#>VPVi0X0>OR|9>+A|*m7mcAc_@OqkytX zZbW|=_2#Zvg3Gccw`~AEsi)#!WT0TyxaBTVF6ewlg-v01T@yX4#0&>Bi5=4ugm@zt z?Wx;rc@15trUAsYOkK2{14!|W&T^2tt)Y=&E8wB?w;^6yi_lSkS1omP4PXR>o{O+j zyFk<|I(){+(ThVQ!FXYU%JCb!QYG%S&5uR=0aPSO)HNc5jakO9d|BZ_0#B^lksbMY z+%65c`jS=_7#5L;1D#qEjJXu*iYZy=u3j#H%dB2dU}j2m`nx~ z`6gqFbf|^aoW(k3N3=3{aPivwYLQdVZ)hj!4#t6Rb4*8$oZ5oVsf9z>ohQZ!_&g=V z{LW13uq2iTkn;+46Y!wzL%BE|UY#~7$V?arXI97qQQ8QEN%LjO9Ss(&AYB#m(Z|pN z01NIA94?W7DM!Xs78;hYgmerKpiFgXE7YvCpJx*Ib({bpu(XX7g3A8cL_!-3HJ3ar z!jrjRaywFgY7GgJS4^Z3U`3u7dMsyG%nfCcb6SCVeiWCQDNhQ!)LvoI6jdlDJYqf* z0@H?Dd{%5dkzlz?@`!%3O;5Ve>Bs>g2^nZO#P*9*bt~7`mVd>VdmvSpu%b-b=X4eu z>_^cH_I7FAn4-#1ren%}w6v8cqgCNk?-u&t=AfDWQ=Kl~Q9esp4Q`@*H?)Rk`QE&; z@?pNb&g}>ViWk#8ChVtDqI|H=E{`FST>QC#X6j?U=U{cEtcfu<@{2K$Cor*7t(e8T zVKaHY%EJpqWu$3#4`vB#r)V087%q$Dn*mc<;+SZe%u-}8&-NjzsvLH|_VI^r(p;8t zc)~F?i?Kc4LfcqA{r>v;S49`SdjI{`@bl>^-C}FIMia8EOY!T>+QcCtjTW(@v4zwia@{)b&sHXT8PK1w-BwR;`VzZUUy8M+W zIf1coKkSRiSl(x0zoOmf%<$5;13BxbATTfAhV>{BP%8k3z>p@$*2iHqp0eA_Iyz)g zr9-0thYhNnGh~3XBSej6OEE=bV{p0-XIgK~NIc2{)TC@|$M9N{3e>RghIKlAx)=MY zzZNaPW`KCOr!ERC=hor?ZtJkMjohE8YQkwG5f0G?$LE?vI}OM1Kh%yYR5Qr!xeNce zzH!blUu>UOQGKBhUsQ&aiw$r!9R_VyrzDBND`E#d*)Zzm8@%Rav0(!nOa^>=ry$Xz z8@l=N^6H%%mwzo7h!Z)J&k@7|Sy!lEU->HPZ1}l68)^LDF@~S)p}hdJJZUZQJslST zG{C#&ZP@*FSUQ#KtO8poG-hwD^^PDEv$ZipVJ9x;56F@@k&XcQ!QF3GmU!zF5g4P+cp<@O$EEj%A47T; z$%@cEDZ5=+nqJTwM2{Bn!Ca4zX6&7av<7q`Vd`gTJ_F%E9!69Jv32RJtGz{_ZkL*zph4)w$0D*>``uyHBp1l>h>9!m4$8xrYF4n;Veu>wrK=1iKqlafga0fcZ5vG`-E8Aip4iM87+*G9axhDgF7 zsH&&EkEC~A2br|I6l`$IvI(8W2G~{ijrb5zfXLk49V_~K4I>#|6D%oqG}!#fXhUF) zCTbGd_z@6*4QQ*J>O_qdNa{j)H(|6$h`50TKwn2_h7&fRlq3~T0GbF0>a;jyu8T<| z2%r|4Yed^gUzPUjLNS>9L6|E4{Mu_bq4n}pACnucY4t;l_X9zg1w49_G%3nAG_`nq zh{&-8<}XWl~A4cjq*THaL4z#yE!!O`ZavD@N<6!Q#ovCgcxGv7Lw}B*_ z#Q(r6G8{$TX10=7BDLl3ToJ?rDVzt3fCT?Yq-xil)4U}HIO`E}Pl66xW`w3>x%<5ep_DcLcXv@nw#e1q@!R!dfW6vJ4+L2`uo6;Z`?hC9~55)fav7MG!-Nh5j zV}|LSkbJ)1BpwH25Ht*+#so`K6jIG7M63m3nz`g?1T1+?=>o?CI1dGHoffWPE9qWf z^P(q9a&c_)bxV!Bn*4hS^G42huhvq8|w$N`G5xybi6 z$s-`xNDhahq$mR)G!yb`Cvi9K|27u>(LpB1HJXP1Ioh16xcV#c#g+md?~HfpfZ=cn zUghqVt-E@ASFl^s14UOp=wBtctQI#G&@M~%o1UB4%O*S>5;PP2AiyCoN?Zq z44DM;B2)@JrY4&veZZ_F%r>dcfzaAn92*qzFt8QT&?~F!cW$h#x_HB7+Aw{jCk1*#|xW6O^W5EoXSV$!{GTvq?`w-Gv-@~^@=j=n?D&+A^Pu%Bj!x%U2-Noy^J^C`X z7vN)i=VAN-(tvIlVRdyChffwwKLmU>L$o4Om+zzZ6 z`|isFj@IQ{m>4UWt^X6(J>XZess#ujCHyzETlGv%V`ql0Dzaz%+)j1`rv#gbKRrN! zjz1OGdB?61vd|nNEJT2iu2Ys4`_}wp>PbpIgEs--lPW5qIjap;M}l=0)B^@k2jD4_ z7*{@99MvuX-GQIT0V6)0UiAd_=~2+hxcz_Np*XmRTgG{L2X6e1GbrE$^S5Z5H!Mom zUZd&Hyc4K#GK3xA+Xcioz-$bRi9)93rjNm%*t+j^;8IAUWgzZx3tQ8)kL5?J616}u zwJi^1IC5Z3WL|ZM5%wV8#{c@v^m;vzz>Wfl$xihFXvx$QjIOL*17LWw9=T2%-?d7V zskDgMxtT-p>Q|k%Vd94CX2OeeqcgHDFi-qE%AL`bR<0Xsg)Z zpF^l(eh0)5GYy{lld+Z7{9+s{x=Y%IR%w*wBJ{0Q>We2NEh1iP6U%0LU3J63CGZ-q zXMuYLe0#MNgqs9~Fz*Z97u649nQ@KkP$Ek`fQ#TblOw_{XT{OV>g8+KZsDTWRnNnS z4+tC!$>lzAFEvJnqDm2j?XH5)6VPwKDYb8)$1p}Alo~1Cb@&iI=1Peq;w!PT7p*MX znQTc<=WWBezjJT??9aYh{ts8f6`di7%?)yoO^ATKRkOK>%oLW&QCW96=f$QieMHsdF zU%>zaNP7C{8Qfd{H2iEN{9eNy0}qHL{1ezB#-ym0inv@;ajZ5br7z8Onq)r@DvFTKPb(cZ!R|dWgaelRwfyi(;ir1bA6c!+r$* z$ysixRseyX0y5ILfW3AG7BtXC!~=#oWHVC)L}L#)mJd=z5DB+%ua8B$zMFuNMdXdH z3(;4BJqZ07^kDjQ1a9-sMkR2#Czty3mCTOK$eR=o*E(Q;3HIlab(v@DV4=~A(_efs03VS zs%T?}iZ%KM%JGeXg0+N$WQquE0T1Y^AtTwozWrhc`2W~@7Z|(JJU^)1FZax>GBZ6% z$O4<(9c6LZGt%D zXLrW-x#xV3|NHg*KUXRyH)HE&Z$@$NkBiW|d^`gK$K?7>Fwg!(K&>X26Iry$q4c|p z8U{!ZO2QKmjJRluP>r-z)RJBMV0Oy2W3-8xfO$NJ|M6~>a+(B&>+=4p7U9Cw$IndI zR}~Sk*_qERDqJ*$0hKx;uF7)&1!_ze3#qES=_dZcTg^f&~Th$`&&rH=?uM$@U z%BsyFEZv#(BcuYtJytUr%r!+?RLku2{x{Xvv@u}6yqeoJX;hvcJcio*>NL?DsT;N8 z0qtF9vS(h1S*I`=tqS^45NshOXRS-VSY7b%x%#tGvJ<1ZdC{9ycknEUusT^Y%L95y z`Qk$yGXuG(?QI9=6Mi|Q&8jGwzj1Hz_QHxIB%%!V{8jq_z7?#vJR{-rtl6!P;;H2n zmf_)INer$Q@J|+Vs4Fd}J7lq-bn_F88}U-efgBWH_x&6h{*DVRwAG~2u6ciSx{*Az z@nGEvJrB?->b_EUNo>JlD_CSDl0svKap)yF6PA?dhrX}ml4Fq9KRcP3gJ{D6I8SOn z9N}EuxwTzS6=LwHY{ypMvy?t1LrNf`d#DIYC-VOZDE)xRZwjda{BB|dVUqm%>?8V# z5Mb0oND#9uzH(yIv(GJJt0>mbBRZk#VGY#*LQnx$-BnU5h#*LmUk7C}P)C|mssuQ+ z@Pm&iQ3vBQg-eZ>%3kLfM{e|C`vw`r=nRv|eLYrmdLnweF`4=4n%>m0CA}%-VUog^ z9ZuvT%uIiD-LA^R;rf~jj^GoN<0;cwEiW<|EPAn`K@>sCcL~?U>v&z{0zqWgNFvI!!=qy* z(;x2j$>0*3TV;hJ*9qhb&g7>8$Bh+BWgolLBN!sYfo=1WOBydKk^w;-!(p4gBm1!r zi(ndSEJZl*V)?54x7bZB+SD1>OglbR*B=j!;-m@~qhd#iqwaMbMuyLCj(05>duuZD zQ>6eS^3LPp<8^emlYKhzL9U@l1vXLCxjd6aQ7a%0HzMpU2Tzb5*aU-1$50NX7qlod z!Z<*;kEVOQi3`ysdM~D!pS1QoiL$&bs30SjetH20l?~4t>CXu-DPw`VZHoy_C1Zj1 z09q-w=N zt>&P+C>rApb4)kdh^xa*rWwhIIwg@|dzW2nv5lTdP4w4+rb>?jB^(WEMEY@txZWacYn1q13i2ROB+LR7{IwN2C@&!9ia)uKkT*}i|~_W~6iw4J?HgSzEB zGh%6;=V{~sgL#ZCV)Qh^%kl@9&>&A023k{ze-KrU6#0ktOwXa}!Y{s#eyL-aR&lZi z)E({tf46qGCj|AkCNtmZ0JUl^;&41%GyIj-lFR~=DFU`EksNKyML=?$xPDhCywkXp zlH%44`RD$v*leu^z)R3;W!n;r1+7-Mui}ma6ipq#D2SQM){&B;FrLtV5w63E`!GaO z=9J+yS?y@QxVucg@yGfR*tw&lrMRA4VSk++-}{@&2C?B}k!rKD27z>vhvt5D%7Wq~^KQ|COf%l_|6*5z9l`1bE z1e?n_ZXPN^DpA#Jjtr5(;dDPCJdA-Z>I0ncs~?~;PId*$ys3eTnxWp+i!~6vJMKK% z;h6k0FUgXIAC5c-^RnrKF1qZEuSr+E?1{a2RD=R@ykc>eN6{o!fCs1nky{N!8xXVw z1#7!fUhV2OnWTnwx;Y1g&SmO`nMtTQHcaB4g=o~YP7KIX7GtIuPBL5pZkpOeC%o(v zB0B^C02MGSWv(#LfNmtKlt;^rKwyEmVyBxfWzkgcw?w1OkVKtrmOwU9Vyk>F`-sneKcebuZj+^Ti6w6=T& z?rf?EC9Ty@D2nPQDu&!Rdww`VJ->^|Q-3)&Um2Q;9eLG(7QAZ5#Z=3P<%K(Q7cVa5 zZD%p2%0c8=a2KQZT0~)hNIIU&L6FDH?N9ozuNR**aA@j0v#zMu#s z+qoE!m~IKZa(2+kqGHIHL41!xI>N_3f^y=X>(d)+xTBS6(a$kUDtI$G8p3L)+i2jn z+6l$T`QC*K7o0Fo5BK_80H2e_%s-3??@Dzg5KgfHP8IyErCy`;wzUfp%_15Q18EZ} z4Ct0w+&yKDPYO3gk#ts0$*4GibG8l}7h_jJEiMV;ItfNlYq+;Q+#H73F2#bZ5xB$+ zZ@vn_WSsodUHXtjIIo%FXNU6U8sifN(_doED-TIlu){(fcBlUL6T=R+csJyv^<;71 zm9gd?j+N1QR@1nE41Dx_j_pkaNG#F)u^QOjkV&DAFu8Qmk`yEz6zT=|F(}4h*e@@G z4LoT5o~V|i=jO8K=H`@oXt4R+T{}i0vQHQS@Y)eW*SVcz(+f&c+w`)xHXJ&=%=~vT)sei``?)#XacejW+nPZ3 z{itCep3w$0S_}(wz!yV!uB~L6M^{<_M`$S{))qQIUEgLrxJ*O z_(20Lxiyaa0`Si9b*sPg zAU6A(v8ba7LB34VCQD=F&6qSFfiCw4}=&Es8AT$5s33g1Qi?>#XNa>;YIrf}S`7nkh?rE{X zAV7*!j)caW#RWWV3|1TYGaVZdB^0RpAZ9!ob?6R)dQ#98)fg^0K(uc6P1vXMC-A8E zee2_&x5vj{>!0gbD-xKy_hYU9*wsXq1m?Y>U(Dvt>pm-j)$nQjf*GvMUW{g_6M14Y7KX&RC zZjG6ups~Rw!qQAD@34d01;S^SmhhiBBl42kg@!QV$qH?hU$#57a;&Dh;sfoKj;#ycWW zi{s(YGh+9{CZ@`TUZNL(e{dR{m*umx5Uc>vhW0T#|fIXWUfi7^WwQtuw`;NrBeZ@=|gc?e<;>vRKeyT3MOb7kqD z$&{99_-N5gIw->OimNme9sQQCJPF#F|_7 zBbEdDmY`zHUDzs(K_q_e%*M`!CFu3qHJ@#5i<@^`u=B}NWOdFm;hJf)N0?bIS5 zlh7hh$>gax`TSoyM8k?d;>u^{KL`PJw1#baG7!LbTJBAu2dq#6s&q@laLcNP+qk>6 zZ@EJ;$;~Z621MMFv*~sn+x-5UO@#~hZYBJe zScWXcQx;Do*3&gbTXj@U;@|4nt_MIuhRyT*VP66y$S zdB{+f;zopfI1(RZ>^Zv>wMOn*$;lc9p6Q*ji5qQ@8!%7(&c1(VzP(YHPpr1ss0w+T zZ|@Xu<7n{~jFkdBo>-7J#b>@fE?#EOzabN;3YF;}O^T0jm*nt!OapM!EkBk1ezbkLW?L7l#4{+$@=NcuuG21CU^jCT6pZSM2M;od=&ojCRPVxuQB z)eolUEn>vv{3?NA$)#iEEwI{5T;#xDGiSzwMhatkpgunl5I6(V*+A+d2d{G&=}F`p zHK;V@TqWM6Pg=g8{MEL$fe~@7a1Hhv+639(zbs4q^4Y^J@LZb~RW_UD>S)%D`Y>hl zqQA-0`k(*%sHr_bhz|v6cs}zi{>sz(B%LBT^UQx98|>tfE($qucIIGOdgkCGwP3?+J0kKbpo3b;jX;2!I^T4ZR*@JhfCu%jPCLJSl z-NCz3-Xroz+d7gFfps(+;9=_ej!Tve^PE!@>q$^QpJ-7pRHxrzhs3z9raXO0fm$&%z^dp@Xkod6j>SYq)Kf@rIz?L3icKaz@vc#J9v!Ns5HVFVv^RV1V6ADi z^?Kj0KVl{6PVd|sz01wv^p~%^(Zeub`AToDck|4pGmR;Gyggh1KwCx*Z>!1skS)9_b?a*Hl-$G~4ZO!eudwK^3Gv^)H-+ALY|08l`?xh-7&F2_f=lry;TqzyE`iAhIj!{gLAD2Tw2n zfAhmd;BTHR1drEG5QE3tA0h~kuTCC?$44g!!#^Pg67qOL40LrG16BEjQ{OuQ13k$E z!xO@w0P6{1@LCrJKLbw(O?Odc8ph@Sc>)yTVwFd@3bH6xEkY1)H-BSmdA;n3L6m3# zP<)MQ?M^p8mSn$s&8yTtaxgy!pr6loSIXY-$Mvy8nGuIbw&+Fj zzr9@4@!ZdRKZfY2Qkvp=Dw3WM>HI<$=^$~^pq!tI9d??vWoGTikZ{@owaRt)#b!?P znGY^GPtN?sfUHLSK8Q-+v7fyH6TF4fuY;{9<@4jGdL0h~Q+y)r*>G7y*-E7>Hl_u` z^PFgeflDrsiRMk*V>E|9Xcadjm^c}Yv}H*$NPC|}q45{qtIFqI(1!Ym7k+Rl%IAKB zc6+ZZ0()LM?8{!G2JA;@uV^mQY_$KmqVfJ@=9v#JPbcU&pYtIJ`tp+^=;)pZO1)5<04}KO8~FaC(~78=XObe}cmLkL&dY zJv7?Ln@rLu33{#^b#i*7ood&R*mp0vJ!3N22g?>$1J z&Y^E9`oYKx@EVHRLc7_Im%P~dwE?**J zA8v$@jA)&I-M|Hsykyb(6IH_?#kq;|-8eh~`x6;X^jpR0Of(HZn|)rN6NiDUZ(h}| zC|4trQeTjeG3qP z*cjr5M^7eE|ir<67@2BZ}!{|ZB%V@vA&)IG@*eIma||R zyk!$4UXPQ+np|;p+MI8oSi$I~G3h+YFKkjE)}%h=us=1zz{z8RoU}F=ch1e)@_LEA ztLV#;5GnVkEh0bUE<0wz2Fs~TJ~=c2JS4;(qB>)fP3gD^-Hkfyz%@^BBp9?8T&|pT zDpK|-rAiR5Xb3YIZ08qHa!UZunEeZ;ji1BiMA5ubAg=fElH}NMsJD2%fOCEw1UK*V zOBX=d6nYfImaOlROo7HN+@Vy=C{a?9V8T zq6y8lwuhVdz9ajG93R%jz_?BkSZO_XfE5^&~63jad# z>cqvd{G#egmnmJTNd(hGDsj%fPbIeZc>6MVCaAu3gulQpTg0s4I0{4&T86RDIts2k z*mZ*7HT$p=EWG`qtZKDRjp5$?mLk6qEBf9z?4Cf1;#NHxt_2tfEn^ej`%FxS-6|GGWFPlbRGS!0XavSlU3j4^zdWP2>Ag@G5ho1#wj1wv26j!281V z&UIeJiS`0|xqB>DuRF%M^w*&s2)wpf!!kfRLh#s?w5N32JRy4&JpX<3w|BANE^t1# z_3A6pLhN&YJ=Rz6300;e8n*r3%U7fml=eE;h6K9)C|^!bDh6X$;xuY>Jf80Gdk#;%6aGztvP!Tm_)g>yOSyZtfS3%=G{mdhBiXSpoI zL5VrUA`#MZZ`v<=fT+rW3b$~|^&|wY^kBoFP$zmx$lP9k1MS$hq(Lj&)8vT4TX;97 zHIw=pjIY%(V;99fu9d9QyFHo=v^~XpcfJ<;mc0R=VrLK_im#kei4^Eo4kNw0wjm&3 zuWL(-4#c>xPTXpdK3Y}f$k9Dk=m4gnxHIJh6Lgk9a0aGkY8QA4?i#}s#%0<#I93^( zx&fh7v><{fuCz-bK@CWa;D-uIN_riaS{%@pz?o{ODmE+0r9vX11QoZ*6h8lWfKZ7v zjU0BHUj@_X4FVP!Y41}w2E;-uTAW?1erq(sEde9er(@Z<6uHmF#0Xn3y0;o^bOW12 zmVX-!lH~?^l)CTZwhqWbat4UDv`wwZeR$lubc(6oIqK|UMIt|Xvydq;nc`jpUdVG5M z?34l4cJVz%Io~_C+ju4HoL&#RT~L^DW0(LJq;zcu@4X|+?fv_>aAfNYrQ41NDM|am zw7jTPY|zy~6DBD0M==Q0&w3BkjV5`xDhXF2NlzGY-qwd3Fh*dpi$K?0^o5R5-X~|C zky(<(RHjShlLif2K1cU^EU;sk19s^~K!~0OO1NFJ2%T(C~W=7fa3ZvJEc1H!D!=5u<0%c3_YnZA00}SNQ15=(LLe zeEB@KG}oKm#s94BwmvLog^uL`4N}aTpqTc;TXfIO1bs%_j${ks{mNGwB*eFQngc`) z&-H5Wt7m)v+$Z`XcQ*G%@0HGV9SZYKh;ui>F(-#QKW!XM>CvY%;9vT#4>+4Hs<*9ac1fsv=ciW;NsT;{;8ZY1#2z9;{qiR<(Y4HoF6h#0h!oXR%4Jx zzo+zhVdX;X!TOU_QAj*9chaRYr$HnKeFjvY6$;$#CA1!cEy-?X^znGPn_Pd>d8=G# zxGpDQvW^v))Ag5ed1o?jamV#@Tm99%%;yU+;L800FRqD1i~)&44 zsM|a}V{!{k#i8i8aj_#qRJO0svpCac-3fN$E(|(lGl;~|Q&Wi?Ye1e?`rnu)j?#UU>+_r0qcybh7{YOakCtXPzwaO@- z-eOWNlf2LTe=#&D%ob(uI5?c>7?K_xXw+T2Hh=*}5Yw^5r*n|H2QjQ0o6I}Kojzz2 zXIJ^a(0^yAhyw3ve#+;@M>&$Bir2Wj@>P3*G1=0$kpzw|N*5{?CUTgbNyyO%h-P-O zi$HFSv1}n&F@^?uHI|PDFN4<$X^4WUP0w!uA{r26&||oPZZKt3^fF8y`Y1*W;45*F zb%)KtzIEw44iA8Q$~#y5o!sF%)2!%htoX4zixfQ)%Wf~Qm+Q)5rcC&*tWJe~#V@3TusNGFAV~zZ|pIp`E-u3O*_#xP9huk`|^gN(^SgWL3RBfr~miMtPCJ z5epx3x(Pb4+}rHo4tu&h#*7ZVr~t=;5aj@0faJ}n4*r+3))EyHtNnw0Q6RgIX^VqF za)m%Au0YT&HD{MRcx%;I(=Dba}UETIS#O%Fcg+K;01|6(%p)o?4sIiu>=Y7NjiJaZ5QE^+FrAMil& z^IPkKM{&vOsl4=PWxDaA1r?No67JgFa%$32EnsU-;*^O5wQH2B1@cDs@cXU+naFoa zBT}MP?@SY7!@`i6p=m+e2;?>kD-kj|$8~^$l-QkgZ?H|9L<+`Y6_$&jpaFB>Dguxk zuB%7Vi!7vJrk5vg{NBqDgztURt!M}3BRp4Pb zE=#um>&eVNY2|+cHjPa?TwsEF5%&wSfGB%?ipT26+8V-8epz6^!|8G}I_@O`MAcXO#hDF>eUSK~;_L!d#lZ z#ei5+xIu@Bw7Tr=f11q9mi~_34_XwopgrAvvyp=B_l~kaXFlAlko;V7jG+9hb$W!ZNmJ7 zHddQ5;e=?ISh#S(k#d5@U)*56tUYp3RMLOgM-6kvBFc;T3Ywm_EjFd?jrURTifT1+ z?eI~67k@vTMlN2#DrpDi2IFJ1{G`i~TPoOLK}@`KjNR99iIL(Pkp~nc!3RWE96oFg z8i0%_4lE%S_u;^pR3}-e1vp084sGi_a8JS?sT3OFIiPX{@Q{EBdoyk?3&ur2LO-xr z*#A12SrP}(5<#F(zowOBA4#Ee!d3B4vJJ1mBV7*nMmzU5c91#Je;1dzzOc2~$HjEc z>(9L!%QkCEes)H8D~lk4(Aa00(AH=ZGfeJpySLtoa>bAWBN;H!?rd(i2MCIB;U*WA zFM&2ZE7~v6p9AOLIu4uaU}%VskSf_7iANM%Gj4H-*z=o8zW>XzgYV8hn!9|qH~8)x z%=t;3a+ubvshHkVCiRCa02m`34x{$|XZbFcXWLI~YYpPLZsK&_Qk# zL`~OrW#vsU^nLFKC>0LDXK^%OxKWQ!>=I66l^H=;#Y~!!z;6cpt)#htc!}BB+ykC+(Q?yK5;(!1+ zjm07sd!R=h7!lG&tU9HPTO%@@^RTpB6Gu>>EQN~D`_xow>#&4`vXh{Z!VXMUnkcc5 z!uJn(7B_#DzSZCBK0WxNf-qx3r(Os~Dy2_e9}U`-uu2gT)iG>yT1^E(E!?C5FJrqH zS$5q;-ljvH=eleIjs<^}T0X}sicf8bHiO#D(WbzV6vcfjrhnrcN#gBrX#PV~Q29YM z^-|Btx(Xllv_d7E)Td&7@>Hxp73;~fPsKVs&yOh9zj&(anDl2%^gNa7dOGl!QvHiB zPG-Jj$8T$)?hz}K=@GJeD$}3J^r^PYQ&XL_IAWteHPzuW9M@F;;^&WQ2VwGk>#2M{ znSB3)$V-$F?MJngZ^p8Rc^&fU|2FIv`iABi;RW~T!pGf4vJJi=sBn(mRE-Uew$}NJ2Na|sl6=k4_=~=Vf8}eb+{yqZytG)7M~qs>*8F&@og2e9 zc@(ZrYd50{a8EZ7heVK^QA-v#ufr*3-MH>{e{Y}h9p7qCj&K!GBPlvs#nHl!eP{Z; z+NacmB)mT16Jv2mcwzq9wS{Fvd*fU%4|ds|!CHx=QBJuz(jlWc`_UK;39LRX5G>?U zhE@Sg1XB4qBBuqO6ABTx(Q{@C?=3E`%3MwP=fj~DZ#5qNg~h; z(HvOC0!rDoaDR6WDdh{YP{}AHo$E!JQu99^Y~%h<*%k;|xd0)Ut>M}b#R^!$cyChd zrm=@xHy3YzJtx#X5URcjb^^nJm&Yo_e`K;$NxilB~!IgcBl_q_{UIdnzO?WKj=HF`>XbS_&; zL3hqfRDOWjNMk4pz!ORuVAO<6Ld=#g`G3~KfMEV{Ott+OxMcUD^$QkT6Cpn8ag1OGr5$a7rS#rL_BSs>`5=x*CNipFyu!*N1 zP_c{TOnI=jvAw%XH@O&$b#(=4mJ!SR{Uz*;RMC7qn#YE&zSA{ zob0GTTe1JfdfvOf@QwVuUQgaK0xY-X1w{*FK8i=H*uj+^D0jot{uo7xJP{WI76Ocj7v-rCV1XKnmnBfmJS$UvLdp#5+fST{ z1%BlP*bI!Kp$;HPlu45XLJ>#b@gg7B*aivUXcmr`fvH&JEurgzAaP^a0owEw;7}K(!IM2 z^VfZB<>+268*ds(urEjUw9gEkC+E($;|d#FE?bBxrO05wD{TU#wQj;`k-$vWMDO;hA$ z9qa*E$V@ZaU+2-^e?PWxMh?Y+{h8YaP3;ZsvRMFBOggeN6H-+5j{tW?T-9h*5N{Qc z!2cR*J>-$&92N_+uUe8J+5&^o%RucqH6QkmqSSR_wO^DC;{1h*k$I?FmafLyxJ6wZ zNkn0nqR?lTDpN#85{?i-YXBTo8t9T{-d6HC#XdAD8z0~e5pEnE7AEWts>zUK+DSpy zJsu4A_V&9?j1_#X3s;tv`t~Nza*C#4LE%fT*>^|DqEHpXSJq(J%)R}g9JdWY8rUUY z@b0SdPz$7USkAjXTHEKb7UeE-YLaTn!?c3(7m@hBmi{Wt?=E@E%?n||jPP2WY~$di z_jAWbftW6#6VX_vrME<4bj%j90dLYC zi$vk_iW0pMA#2nx*$R)Q9q!Z6-+5O`&>i1j_(I)`Lh;~0;#a)(^5^L4H zpy|?`yGviYyRhsbG!a9&d(w+&P%*~SmgI9^6DS_UJ>0ub+XOOOXl^_PLF<>ZWoL;? zXE~BWtav{Ub@1!d&4yXLkJD}s%iA%VXx1SOmtE9+#}AE~MUWz_!U0U2YVYhQ$O^j&>8AMWV-CNMq69d>UB5Z!gPAOKXp?*+zej9d_p7;DTD= zj(rVzHBF}mm+Qr&rFSWvDS-$qDSWMlWKwC=1yVOUp-fOt38Bg!Ah1*=CdI{Ch)Axd z++tvkigJE&$!P}#KZWT@M~7#oWpkhsAfK?10ok_%GLT5)z;#;}Mm;hjRg4HxfEFK6 znBk}+J}2=(34N4%Is)YgTLnwj@sZ9+6 zIfN{~xtzNsaZVGLp&kGt;y3!X75mSTB03>{dfQ;G@S#(xi`XBf!ag_V16Ev_v0&^z?iS4{YE4<%cb@P>Zc=;Kgc6F2cO97wVZS8?;kG6)=$ zjxP#Rh)oi3Ar+gsz~r`!gvN*LW}lilbEoa_-tz#M#rFQ_Wac_%V@MTxtn`$7=QO-W z_x)QqSID=>Xz>>8WgfIfFJk=7<9lj4=Nqk;70As^6Q-O@zX9EGXTVuRSVYRScmH3A->Ewh$b8ke~Aht*6CK2$<%= z!)6QNOy7bwSgH5v3E-JTp+@M_XlE{M*_^ToU|pdEUCYMI&5a(S@jn56NUHA z6r99Ynn*^3f`?~ReC)Alt{uzKznhZ`5`;g6AZYFvA_4Xk-Em}`*wll$CK4SOP5K8S z0uDLK0TU!;MxK7qri6AZlVWpujzyOQ)FZ(L3~^KZnqfh7h4qOTcY+B^ZpPi^yo5Be&M)0JU;gFqJ! ziX%+O#BGg`0P@Zvt^VR|a1=+qzWBB3sFz-q=iA3|0o`bvOZqhL^mcjrVai6MSpUcIg#u9zJ5Aqn7n;uC)_ht&_zvtKt8@3Z|V;@?_h5h(GHlD2b?1&JSCh7KiF zl7MOJIb1!*C0Y#cm!i4d@3R0Nd)z(jonyBe6>%U~a~3I( z5Tn$-)Mt`oNcCzsU&att$0Ky!np+g7}JeK-jZymDJ?jaf7OSJr>R(^O7Tqv9;8$H(LDwv764yY?49~ zVlbw`>qwPpT_O0*$_8|G(qJZYMS;{ZTPVd@O&Fsa?BiXu*^~x`^l;_jA0w14XenxZ3hKx=wP}MBx4~QbYXA-|C<-iv=^K(Kb&lTWis>6w8=IS zMx6I=lYJ+OvU=5;F7)n#YfdYujea_s&M^MwkQW zORPG~2BCIB=8hGsyj%g0q&>6-po41ryQs><0UA`v$P2OV-n9f-k|~f|&P&SkMl`M- zuu7*ZB-M~)B6iV^ndeI+JxbcGhsjAXo;JcMkm(a(`K%V1JbpdIxb2Y3;9;V*E#4am zYL+pIQJF%6xg^UGn+0z9LGG`EBnT&yWPhS^VWM^A^VsO+OOElG|0JesV%(GxDVRN` z<}P2V+dP#3%{7Gt!z8^L*$!UEwI+;4n~m3SB?y+-o4t&i091pQqg$V#SoPsB_*305 zctK#0-rJ8h5dKW*-+3ni1wndsKiVMpb8Ww`K5Q#o5q)`QekbUwn=2?A)V}RZC=2=G zMw^mFI*1u#qlDK=9?0<^@WHE6EB!GTZ&_E_#>ECb|E}Z1#7KL({8H)6XnVrD>cT9gLi^HE=ejfjv2t!{A9qcE|fi?N*8Kh}DOK{G;1ug0J5~P8w^1;|gtDJS>-(t6@?o@^#19WUJ$7B_Jj*1L2dTR4LO$@4MKI{i9GfzX&z_kVEczoy+o82T9mPO_FC)Kt7l|QB1i>H-ixxG&ckkiY9{OJSsXdg{^RToW3qk+|mSK5M zQB1u%^pX-oi-g;y`!&+i1%B*|e{b=+mp)q(DFI-w80xbF0BJ|saZ4a)m(6jcvw@hs zLRgxRO>;2g((_2a;5J<&45PwK6LgcKghyFpnmdoJ1Lxs2jd-A1Cax-IjgvhLZd9AmYF!sL~MEb%+skRCw)ifsps0fdLpL#M`*>YGEA(GOLVO$43Ft@K1LPpKOZN~suBmPLSneAhJa+{ zbqW}Z^8f263eh8#Up&u%|UV3ga^F{|8>kei%b?YG~ z4h02}?`(dMAb;lB*i=pRNOa>WD^R@yY4B*UrhUN-&`=wo^*!{FA$(#Og@gR4+cm`j zjW*mtD>Fp>e4yz6#bTPYRA5nHg^qA0adL313K2K$8X)N%rF|_)fK_ot9Y*@BH*r~A#SMGZpP8i-+2EK>|a}mBqIdy?@E~yjJ zgY>|-AJ66ayixByZnFYH^~O+$Bp9&@^s0Ro!NC2aVVB&=%-6zS@J@Ahzlp-xmuO$< z__@ls+#2hZtz1K&f>kyaJRG;h1@SMv6bM97CfEi$XW6CVDeJOM{U0%HEhj*g#S!Q( zEZbEwpj&S*Ot(afu42$A(gPy)r1Vgv(rDsT*I6-e@$?;NmsxWg`#HMAyx z(p*JMl$@@Zv&^*37KDoJwl3d|S}|*2m}|ghydSI<4w>wrd5@A9H7WEL5NI~PqBAY6 zP`piM*K%8U_(U)Aed#ABGhZ=JsOzrY^9bbc^MX4onR1=~e0@OY&jzr-9UsR5or04A za4DJtPCwe&oR@l4vY}v{7i4!4IGg!&ZtqMPB5Lg}+@(+H?k=<)gL;qE`I~?3WCZ#l z&fk126#BE%DD-sm(9NfGgIA}}sO>L_^i#UOYll+lX|&tm1+i{yJ^LS*Wa`G2zT<{W zsly7DO_gVAyXz)e=%vNN{?;MQ88<JVvb*Pt8+pSua|8Q*L)c-NWqi+fgCsW364C zPw*-%DwJTd?Fo8O+JI2J+NiBqZ?(T1G&Tx@LshKR5od$pP|I;gZEx0E;hSlg!-*l@ zygT=-UmOS@)U7n_kjI^K$2)6?ZX!CUD^P2;ZNN^u#+TmEc(8#ZR4kRi=G}OjY6+-M zLo^UV^r+Ou5a4q`mR0BBBXL>ynl9PRk=!$XbI9|Y0X|k>i)UqXAPmhL5HJ(!_~rIj z`$0y{!C<#dy*xKNu8v2ri-n3Ny)ASG^Nt>{)Xi#Q6RR9`_3;D^;id4ySEyUdm1EUr z80$V23TS1Gm?i;?U`~$L!2^4+?6o7R^v{wN)&l}BTc|W-A+&dCW7o(Wm3Sb`Z1jp^ z6P29~82Q^AuwVFCZ?1h_diS^}`Qp7;{Yr|4u2wsw3V=|$z#+av4~=vqjVq$}j~dW1 zr2ZIoQ?fH{bkhF6nACAZ*OqXjQVjiyODm(VW5y z(G3A)1$CgFtzeMa>HwJ#c6ZL%4H86j(ti41jm72OfAEWI<)td3 zg;WveO83Xp13+a_Diy~bI(Nawb^={sCingWfT*gP9MYK$%bkxU8B+YDA<-1PNVYQy zZvjAV^blnFu&>9bs1H+6bdHL!7j;x2N+V?G*P-)n4=|yKhggk&ml4Jtl*2)N<_&_sSb}8RUyE&LoTp%rfj1x>NkQ-G5pok-i zoOE*v9H4b}SRO&9ZoKG6(07jP)1GJ4aStj=qRFDYI+(?!MT1A|z8H#IOopj92D~&J z{}`d?G-FS)`9drt_oyj>!(yE{stG@r9RNX8gy{3Tgf#4~&{bKF>>@NOH%>5Apxjkpr~f zlqUB?sw&dxN>J5KU;=Pgj>P;7q8${5bm%JT6vW0G;!X|BS&-MF1{}^C+T6}wx;KC4 zPO(etkOTm-i{b0VDa(|NP5{nDHJH0tsiGtmXbH0@vP5_a$Iu5`8*GGD=x@dX2jkb% zZb_}TgHseZ;3^7VHlD+BRX`EXGZx3wJ?BjRhcXFRb}?}Qy=$pd?Di2w#dz>=I6~(( zv%CcoG`fi=_DYNK5nrvA#^%^5Be2eeM>uJ$?be-gMLdGPsQel9g%QszM^S(L{`>bw zTZ1!aUXuw|Vf*6zDQH;*4`aGKycv=!tz)GB)`xo!z%Gr$;j#k_h(d0mtsRW*U7YA7 zxDc_%U`TcKIwCv-STi|lqR;mjqS^P=Y6KsInpq-rSt%>c^A&uUSa9|vuk z11YgB2n<5)t2J-?17VTdsa)8Qvg}Pz4CCdk@7A4q6&V=f8{cUZg zQZ^)!A@D2Kxa}!GyUfDnGh{AWq|=JCzU#Bnv`u3iC>f}1x^!JZRC_p5y?bAB<)FC( zP&^f61c;=6f>Kf?_{#OEBnO3C3d+_d*NN!Pu{)p~l06p)C$b2>B$V}z6};{6pr#a< zfHNPCAf|+AIHz!H$J#pFWI%6%U=-G&E%YmdDALD8JwsY9A~FsqP_9)P;cm$-aJa$` z&DMD;#eDDFo36EV!dou&2DP?xkOx%=`?35<+qyH0W8fA^#V~pJv`wO`s>C{Derj zP*ygqe)i=6S&NstL%&_J!?dtp6jyfh21AKxC+6Bwmgpiu-lfx@jSI2hS6@k?k}0`Z zu$i2)Ge^QSc>^3Yx@3#`Szia26qXHhofPm^)+0Ls*CDuw zOq$oI4w%wx-snZ5e(X@6yFZFA-$wBOuID{xH2>t8cT!Ka|XI!LVF-OFpA6T$Ftk|MXP1rfg)j=@+VUEZVT-M5t ze7$bKmvwX6Y>mQ!pP7gGx^^ofrRT7Y!`xj@JNk5Q9gLX(oJy|h0(>Z|s?^FQCcrk8 z4TbeK7@GRIzWksj!`$;nyZqp@(jXc0TW;W9G_7G2ws($FiKu1KH=E5#*-Y;oN@~0W z1KL8CA2j(#V{M0Niw;zYJuMC?I=#TUFdL8-V)U=42QgrtVTKpTa z=oT#&B0)boN*-DOAw~?bCSvKs$V2(C@Li23s^u^oDseGYv22`=hz{|imE_2k(ut96 zi*;1GaxQpPa7w(rZ@Svzbh9kv##OwM!Zy1)>EQL;>{%MDaK&_u$6I24J$0?RD{^4H zR%EO7x@|F@I^`%NGVCx3sNWb525&82x6GM((Mj&K8DOHIuh|4H04(g;@+LTmuRTQD ze=>TWMQUAsAeRdnjTF_csWw(bj7WE939%@aI^#*QU*6Es$_NTzZI%R|>y8v0ZSz9- zhh$)qd>a*Yk{q-vsayQRcd^jk=uHJ&(DAJH)XReh^RAY4cfWl;lCGz`weI$T)c|*k*m5r3Y0I$^ujFAik zQlWS1N&r+fj8)j?sN|H4#LFTyRA5qB6aV>su}Qgg4h}9TOY1j5ZScuEHgde{+B|TR znaNx8*S~>_gzw&(zv(!?dj0yFxf-P@-R*tp&VZ{42Xtmp)v~ca22gN(km*$-lF?~`iCIl_N z)ZclmOA!!=(-0uj|X$IC=dc(MYf0dRFogSsOw9&SEeos8v`|KjtDuIVr4os*8w??>%uV|99vm$ zp*D#WLYaVzM3QCNWNQc{V)f|ghkyqp?g7F${B!SssgNQ;3~^+@&@Yf+S;P6?s_Z@( zDj^e(2xti^%TZnR8}_zP&CiaB9{9i-Xy}SBP4G!|2+OUog}vkC6GDs-PgruZhk%Y+c9HclVV0dw7-UPZF1~0W2A9xOk}emKF4+R z@7c zQI<>D=N|(}bii?mIy2HTlo)qdNNiu$L)7s@H;8R<{HO0seQ!y2C>@PA@tZ)2)+Oo? zkkZvl*NUL7f=wf}SZ?Dz3)V9gteU}~Y}Sp%$FQ(mZFBC#kSJNLsZH<}sCW1lV>w5? zrPU?^I>Qc>cBZ2XobRvQ0|?jRMnl@cib?#!IGRn9vqXii;-*1i8uMV0P^5DJryv=H zTn-jfRg3T`G=jVetAksSR}941j5rb$O59qGXtaWfZbP>p$bEIfDFt%mQ;?U8O8<&J zjxX3Ow;a(luaq5|OTlTSKla*#E)}&x&J!=W24Yq>E9|CMh$qV`7;`m@P-%Dw6bdR6 zB&#qmqSK?U;1MQlp>SrYf7+1E|X|uXJk&IMkdj8louh_Z^dRA=^vepUk}MkJ#HC5IWxzxjB1|J38B&<-gEA4s1!*T|3{q zZ~@0|p5tR2Okw_k>v54eQ=ZW%nZsexy?8GsTYrprCkkuL*Az!I;VF_Vd7(2d=nHBw zavK=3_|ckaj6WWm`n-i0eJI>T#lMc$Vw3-FtV!&=pakD!MN55_GQkFwA*8roDveZ& zH|`zj-eNBGm1j8^6LG=D4@Eg>!m-*?&qo+`3H8YN)vfV z+464a!qe}^0wtqF1x1CRWNR-Q(9a8hhzt|SYZ|~Me^v8QNbQu;D(59fDx#BYC1D*K zi2z`Im^?EE#oOSdJCYjycz#iNPdLIq9_qX*R^DI^&_&@7mm&s^%Zd<%3 zTuFkmQwfQ$-QVANkb9Kuk!Wu9kxiDYj$P3hjI=mG-5-+WCgPU}X9Qx(v;QObULp&X zsGTKEIBIYy39TnJM%ee#oqKoYEB_bUOw7h1Y2AB&+w0C@c8&YRB%@Wi_s46{bFUuO zq8M)4NqfbPF)i=w9->MYbg>BO#k@wAJp=SPs2;~A$d&o9OKh91?nT`1-N$`$a=9!K zh5*AZ-V2+k1??QylC~{KV?{y&7OzuaM2kj7O6!gbrJt?0M`_ z%c*lLuAH^AZbF*WfJ0y+!xBaUQwI(UH=#E%-R3?HCBQB$;{{Q)V7fw$7KSKn(Y9|T zUp0k^J%de|)6&&2$l+Rpc8+&{v0L8}vY==nPq3C#z`)`jsP!%60~lz4F$F_BcU{V4 z3Es7~-DV)c#;y7Ha+DRyh(7LifQ(2wwM~Lh9RteN?I~zpcu{w0)8SJVy0Vg$G6fM6 zAe?p@xS%~suE#k2&cfZ5ckaHG&r$Hi^Yqd!)*0DqYDp*vfk)M@$ZfXIwOf>>0tXp| z91Qn5pm2~9Nct;K)sya0khmEJy;@Nr8%5xrXyMx&&S50pm2QsAF>=QRC0;PmNdXiV z!kUf9`ubpXU)-M@)L?~*pESi;?I^>jlu+6%u$*7JM;TATK$$ssZU+D1rKT_aXZp^T zyQxPtMUr(&po7C0iQZ?g8;W{HQ?(puxCJL}uqOrRx$2GKxZ}*EY9>Ir1usp;DF0&} z$eIN3bI`y7%FwWJT%*Daj@bek7ZQwVTpnfX0Gc%40QpIjrYQf3@x(}NuSt^a*satM z^QLpoNb>5#;izf-qSs?c8$Xo5f?2&FE%Bc{iK^yDXC zYsXc^&5Y(VlnqLeL5DeLgQ(b^MwUJWq=&6L2bH>c8>WPvq)2_2mkPNOBxz-*9S}pn z2bn<#Eoid)!wnR3J6|eA_-$q-L~}*F_eUF@5E}ctU=pef8w#|i<0pEYsgz9T#flx!(w=aJjxp zzT%u0TbOL8lwikGB!+yN97!5kno}Mt_(*R z5@Jw)_KIH6%{I9|)(gIUB<-yr6iK+&l(JJjB`7oDY3wAUDg;`SQ0IX0~TssdQ7PXZZI;&o~)xC-a-fm0u&iRp|BcO6cSOZcY+E5gA zA{|i7ag;n~HLP*AH+LnC`fSU@5dsFqMCGn6=aD0mfI6l&hR7LRadZ*XN;Ppk9eh4L zy-rT&L@LXQ`z+~p;)h()^kC<%HKA4F5}NWyL}?vWLYzY1A|*$}6d)h9S4^rx+QU9;h$|74)-sa*x6)!Q z(sEQY>TEV*(I?sE{wcgcf+TwYA6C6zC5f{alxm;@)VILZgLKM4F$(Elhz}p`L&)wh zkB{T6dUAyZ0^d+*-@JD3+R8WYEI7E?!(|@_8rymd@2Q)-QEg!X}~o zk#(j-0}8VV@D`a-$jms@m)P%b00IXnDWNWrSIv@CkQ}CjL2MfX+{XhLRd$Q1W!Vq} zNylb8b(KsWIyzU_uyM3q0U0R!iNo%ZNlE)1(qb{sdQ3%Lg>Qv?-L~CRcuz z>M9GvIaf>OV5SC;Q5E3g=e zU}6j&2(69kS-@3}=mrs7oenzd;1ZRVX{fkqR4ZK6gPHH+a0kNg&@*P`Bm6vZ z!^DhaknFznk*F_Zw58`#)h*ItQLzUhYH?KHUoP$<5$f`8y>$;AFHlw$*M7+hInz3h6Pi5EUk`4`7v>~f_ z+eGgPh-MecIhsNQML>B*p3IQ*%~C_8@J$F#ceq)NQuomuY5UywJ1{L8gc~*u^WS z{_TMC3M7`pL*8+C1ZIk?7~jLS-ur@c z-#K0v;#g*e_8&NTA#|mG<7X@wx1NqzBWlHAMAe5{a3mcUS~2X!n4D3NX~n_D1{-n$ zqWK$-a)FE!q~;mK0*{C={MKaVeGwX!hFNFjJI0K_YbqbmQN|v&^Y4h2R*{HqmGhb4 zE|)GZro%@b4W(1@Uyg4LIr!R=%~B9OsxWA4}e(TL#Zy z?LMUlxHKl}5@ImlMNWdbJd|Z855=wXT29?HXd21rwj0@KZrg#n7`{01-jusov=0xz zAorMOAk}|SX_$^|iF~~!;joQLu0=8-H8HC;&rwO(+9J0BA8nSG%M(yLlYkYx-b`F9 z+c6o*3BXGLRI%zE-UetQ5QOKMPOvA0PMJ`TyabaGADmPa0jh@hEUfHc(cb#L z#GDNrh^qiP(^!-uE7Rb$ftZUIk`8yq1e@`-oNMq%PZ(o83pv&FRUbI!#$4g2TT>vP z9*0RGlwfL*nMX)?@Y~F<+JuYFc3mQ}!=UM6@+lJklk$EdVeBrCyQ4+}Q3iTg+5Ros!||cX3hdGKLfZzg(k^n)OZfAmj(kLMqm2%8DwL z$p__<3SuKXOSQ{w7vPevzyQcdBjlWcsV}S-l@!Lwe4ty+^+K3Rn@cIql66VfpXt)W z)FnYc`J5xQ;3@Yp9kOIhEkdF*|H$nsnkC@`bcDGAp9>Q!v`S!Ud0L1ZvtV+8MSV#D z*OaYr@v`WrgLXo7Py>nEr~0*%%5&P5aF!6`zSL<-olt~Ys_G!(LPdBE)gnrVpo_x7 za;WKub~09NI)Pn^a#T{X9ZVF;oQ|>#DNtGO(U@;)Vw<5Ns|HVW0sn0~ZU_vpY7iHX z^1KbYzisvyLIBSg&%;hIHD5A#ZYHS&5mY@;!9fN)qy5eMC?97tSDG6HuNr<`%eji} zy-CW*fkTwmk6i-ZlgWBj*A-pp+4_U;*Z=JK(MB?7?+tDavbQ&D-}b)JyZn0di&zTG zPJHr6k38TT4slKf1aM~UwXOn_|Fg-=n2I5LJi7i)B`oza7J2g)G<0%rJSbR(<#p4el75 z%2CZmOkSiMQ*dZFK{-@)mVu^|T`gEyLa;1BMv603wXHr>p~jW4uvy~bldeGS!u?UW z>nKM~khbfREdtpP!X8dLyc{{lZMspVoCW@lTaKIq)^*9L-9JP*at>M>MIWs$xg@4A zI1vN@t!u?iP8+}wzb~j7ajK)~SrdJQVWrf~c~yS9 zaQ?8h)H$leF{biwWBnY!z zM4iJGYk{0i0e+O){jVl7Z-v^0D$sI2)d{uwjUPMpuop!mG>IDmObNf3N1v`ic~W5+ zLgY2aHQN?@utx2y_+`|y8n_L=@+3~hzy+nLr1PPHrC<9*gI4VLQQtk6W6MN zKru0_cx$}QYcTL2K^NCaScDIW7nn;AR1Pq{Am$SjRT!0x!c-H=(?b*{Rh=jVWL(CR z4X;$mgQR8{Sf_rmzcHqsrW7x!*j}#I{UWM)y{p7d@6;46Zj&qe?F!r=BkUeOMRZ-ka{Gz;k_ z97Cnf#@&c=FB)@=w275dD(b`49w?+VUlCZsXCTO28r8{YSMo+zku2YRb8gFFCI3|Z z;2zl|gqy|92igh$b?TxW+5h@z=S%@X$vtBx>G|@w`Uv#3KL%z&X;?DAJii6!9C>N& zm&S>-gsNI4n@r=dBb&8gSWTjWRbZxk1K4h9-ttkgkoh`Ha$Z}_gU^oxdQb;LK$4Bhgm>+Mud4^ennY^^x_wT`ODA) zUv9krreIbHarRQf4}TMa2`XiOP|xuu#;Kp^&aTQK={M0MXN&2Xm1bCkuiZ?Lq*b8Pgu0E`_~u^ZN|CaQ~y#-xq?+10PH2VM|{DqAgD;qS^(g>-M@qT zqf)+kq69<=)yf0F48-}@D9+$vyvOQ}o6whW>tFCCD_$VBV%5m|u>6_`JQ)47ChcRr z-PU*?NIv^1M-RxQNh;ATFfH#rGUsCi#^Fl2~^_z%KB{;`JAGA@)&S_a!|YrBu#CO!49$BHCe8z5R6 zp>9SxJx=xI%%RWFIR6%jjTl!6VS-yX=ut4%H?pQ~tZ))%PbvurIl-+}N=3}u@UA*O zht)z>Aso;3NvcD}@aaVA$sA(WLOP=8r<#SPlWexUmI#8hHXvz9K%yM7TD_+W%x&lv z#?IBsoJ5iVh$BgUP<}|r9g21JH4N+j<7DQKDO$r9nT*^?Y5c(PwHH8^3|Yj`DdPo* zfVKPMAdFv#wbz8fd!oxA9y}2_Yy%N!(o%p_!I&{>6eU8P$Dm>$xkbJYOLmjBU<_-R zbU_in7FT&)$W#b|`*K(-ifL!Lgk38^q6C$d>s1e?YEYi)p~J(SNwlbwIJ6#y^dza8 z<74uFM(aUZ^Eh_O8+y)}WC1nz4oBDauA}Rj-ya~Lybd-FNw>BgjZ=6C0JU$5#Hmo# zMieosx&qQ#0k)xh)rXla^(FmH7&urxV5Yxx(YcP7!{o>@9u609JmYueZ$%Pd&+`DB zKuShQE<8NW2i~c*yrQPOGf*|GzC{_c+s9>%AXVkSSwJ}sQDQ^|m=k0!vY<>e9JCS? z7gB+@aK=F@4D@RZ=|r4FP`FuYRM1R9J}Zg@*d$Ld4^;>uC1)HW`UT!h1e&*#i_>Y{ zfyp^L6h|dO{L6tOkXw7r_Nh5^RIN$6*DJMG-%e?F5mK zOnp?wKXXj^saPFqTgO}d-Pa{t6`nnbh$Ff}-Y?yI|C^ZNi%u7EPZC^4-mt*uJMf-ilbl5u z1Mx0V;=&ht^)gemzyvyq#_+*k0G_ASGgvyXtMh6Ge5-M-CNg+rTU794ug3|9YJEn0V1{JtEV`F4V_^&47y|7{Dl*lCkKPw$~0W zvd-8*7dzaJ18l)vB^Xg1z%IZL|7>3B);!NiNLQc^u$lPQfRx0^v0?OD=x>QzHoXL2 zY~9V#2z{sAm8Hvne<-EPWuHte5bXisu+Zs;%O$r&RWTsH(iyjja3L6mQ8&DT=oPpK zd~CnSVUle`izz+XFp< zUTfpW8r`yZbPg!~Q3fr6*e>MUK{&L(%BDz@6&%IDzc-orL(0G)pU{&^@gi5JW4r#^+<*hnm47?NZ_CsNtmiqz0@MI0*+2C69^G_ysA1LED$#b7Wpxxieg=07 zsvv0^0Ni;{n_A$N-rp^(@aKLqP`5%_a!Zi!xXLftXGc>HC_h287I8_3RD77<#lWF= zR0*HNn6J$898hOd46CcqH0L$8Q`K(=`s}0}d{171Sqc@^v95u-F+{!Ry1424Hw0-% z$u<+G@ZZ!>GP<1_e25k2&KEBXE@(BUt`2;oz?hW=+P!lK+|JVL8Ns4%CBSoh)}@l8)z$W82)fe-T1{{^@~yAn_g+8AiiG@*RxMF zkI(8uiJqdC@G@Yzccr`H(BA&(H(36EvoQmU4Y6S|S8q1{qMyKh;DRrs2f8e>V|*n$ zP#@0$H5`>=mJUjCE6|l%Za^sM883j z-yH|fF=;@EgE1kzJwy{4$ZJWo6O33=&YO$k`+&J7~LE{k?q{JOThh z86CcHe(zCkTJBKV!&V87)cv~D>P()znIAF`pxO^uKmbVbB@G=oJqL^ztE0j{61et7hWNGN`_lMg{Qh5`FBql)GhoyTRQ zsrSOddyC5}x&QeDs`;oj$s)B1%3nvt%Og~(byA3qd+X-n?XTy!D_#4Li~s_I((Qre zF9>8|rwBdlb&BJ6QCK&Jh=Qh?1pC_B9cfOfA3)ngR#%aBdxBVa;DfkB5T*^8rHFY5 z6X?X^TTAr~YNa25VE57DJsSS7;X5etZLL!{uW?kStQ+m$C%E}Zn%nIIVJ0@SyxPhU za&bskz=YMg%vz!LOR((>5VU3|2EB%s(pwP}E$t!B^=PNv`P;>_ijmt(%XhBj(8Ndq z!iXM82W+cn8jq-o;RK4b6JmsHNlKhP+1;fZ%k^9>DmL&WS+5Rg?(1C0!a}JaMZ|d3 z`Wy>Ga=3EY3|UxvCJ?({f>kUmKZ|JUiqvQ+nYct3oJQ#tPZE&;$}>*9WD-FbPF{?O z8$*DLi!8@DMt91_rORLXnaRvgYkWc-1rDy)e^crs`_DhT#^W@U)dZTx)z!1fw?t;B zE537x!b*T!#SpsLGrY!mDQ%0$4v15P_<&tg7-U2&k!}-GkLu81CRQ4n4JPA>OrlIy z{3fVkUM;zBz27kqRx!`Iuq;k}Q0}RU4EwI(LB_5T*pU2Ay}I%;CdBASYp^i+2WQH4 zYMI`9@*h+*v1YFzry!z5*L;Q*hqw`=u?zxs3SNX<3E=f)9)YMqp_pBqXgVxDASs+? zpl-^Pm&OZsk-9`(D=@I7jW0Vl-afiV%UZ!J+pG2(Q6VKZUqzs+bA9b8gcGgxC{dGS z0_+NU%AH6R82H!PoGDWEDnnmDS=lcFUdl)dzYU4}iCo{iKnbo(2*r7c@7wrcd!@L^n*}Jj6l0*55Z_DKml;8ufRlj#* z@y3$gS>qOtV2Z>OgDC)ASeP-XB~k)f?$P}syL*p-K-@r_eu1)y|1*UTR@joSOZH&d zZV|bYMrH!4PG#!#FYubv=u?t0qh7o^XVww`SeHHyR4`tdTctelz$LiJ)Vh9B*o~ea z(jF92zty%Fb5v0wgsBeLG8OYpoymw*BV4iusO^u#R1|y6h@)XDl7v)-$m)-4iFN4x zWDl5_GML#DsY%g-2~y%P%dxHEb6Cm!9Eb`9n50!B@hDTl&_T?ysofiTRo7DxTOg#( zpG~Fu@=25`JiwjNx~tUZzZdhA42w6W@~htlE_(}B?c~rH8=)V_Aj-eIwgQ%WpjB=$5y^E>69-Y?a_Wr(g`;}9de01i=$~z!78ESPnE+)0kBXWf`T_i zG%vC5GFC^HiJn8e%#+BrDKd!;jqom3i%>;z9IJLMKAJ!@DM;vW z^D!y*j*NZbxrD4e@Q!w->_p2mb`i6*XcKbP@&gx+7un`96?L*l0zTQtErY*do?Moxykkf0`G30CRb7E41pzBj^Kpt z-S$9UO%FscOyS@kMKjLw+HkSqkpR)459KGR2~&u{;KwMS&v9^V9U9U3E;+8vXI1Bl zFU@y2I9@g?#2vi!>#^&SmkLMxn5=~DC}=@nF=Qt#!;Hy_BAkzrzN2l%Fti>ymN5`R zdxbk+Zouf6HS^$^LI~v8BxGer@!&*|Hdv5;OL-j{$;(NPYDwnL5xa(PYGlmf7`}VC z2cIbmm!ycu8mo zdv3%j**$QNRl0$oClMv$7_TV#iy_UqSS_9d%B;#oE_RBYp66X|+69z>Qj6X)s(=#? zEHFbDmm#i|a*ab~CfLkY&Bc`YoQr979v-HN0uy;1`U|=mwR6aEV8<%jd15b@NLF_> zFZ-u>x7ZWdRUoNrU%I#O-n9txY;5&6v9@<`7_DRi@i-d_iaH#C*+6BW9aNQ!mxuv? z7WWWtCsKpmpOJ>wsGT~EhS$SUtF`r)-tJ)hGO|JZJMTaDk7LJxX6RAA;;unNHn3cg zS_~xXF%m3)bv$}7*qK`&9e}vx*7$aLDn7tI1wr~wT#F>QBG;H!egA!pKd_gaqDCy#Cf{BMgyDvP2@r(`ee1tt^S#H&WcOB4Sj8(<6Yp{_=I`d-CT{UGiaad$Xf`urr zMUEtYWh?1Uh7LVaD z(#|jplyi8$Si@=ldlcusIBJ(%O)Cp71K15zDtNvJ<^|Q~l0vFRBh42G1d9>hnaunF z#dmMAjpJY<>-alD#R{v*%=6pq%Hi_#^zX)c!hp0*@-<($d;+1UeF=>48gBO)+&eu+ zS=biz^yXlyz=sLArEW78kNdlJsK(}{ zCFIs))_r-S2{BH&0~WNibb-qg%L$_rXTGEyO_8d5Gu(@IUOo4HH%$XTdQ+pX)H|wx2zT!NLJL~?sh#ih6Vuij!=)l%M8HYj72lX ziK-$|0eG<8A9D=ILco~;kW%D8ivwgUV#T8YzXBuH%9x+#u(_f+lrqVnVl`pBgFakK zIA{qp?6lDThk=1L7K(u|FZCp)Cza8c(EP^p~P=4=dWDT12j$6#&j4dMPxcm?z-gvqWh+PjAtUf{7!%IRS_ye4>97(91aag2+4xpS09Z11|s-q5+ci=fj4C^3#t``wHfZIi< zOVU;BePhPLyJ-F(UbGcClUu1ar5yzHtcNmR6oUcabDQBOekCRhRCQ8`5E--v_CfHN zVq z!c7=Kpq#fOb`*hogH8J7m=$g~k$^u@%g$@D_4F4)pM}W^I$`>XX9t~e`;$H(sh{Z1 z6#KTzZMJ;S2z95KB%*|Vd3F7U)sA50bk$+UAkEg3x1jhC8eorOj0#thz>ZW+?i`g` z};+FY^`Zc`@l1?Np}TywxaG3{e<0i?^=bqmXXTx{3*8Gt(E=!2!lAG+ z*e{R#ELJ1z%_LW01?lhhNF}e z2u3R)d{;3Hb&9FM1Ngn&+jF9?V zQi!5UTQaAp`I;gT?jKH~4z`6;b6lfFU#f6Y+>ET80X8TU0Isex-?Jjb98o+Di(d;B zRCfFu28V^xn&xRoa+-!}WICzrfx{(B$iY9X)rvTx`iHDD%Hd?YloHim_%Ew0qF{jy zrwLp-A2>J4xSzPmqQi19WMbgiNdY{ER1FsodkPow41YEK1xTq=ye@g&@w2!b|!n|qU)&#*Q_VD660 zb8vp5i2aMd8p8!;P*B)f@TSYTP-~hhq}jtV8XECX4bt~0LUOR9P__{x_h!YEA8l+P zOr&wkvlN)^$9^;yepfa=nd9veZUUl2M$-9JZH{^h_zBr*9iPUztWS#7&?h6(8Jgfs zy$mJW{ydisg>JdgqB#p`R_koUWL(=qc*9DIm~-rszA}etG7H^q;?%ENk1VL8Uj? zaJcOt(8s>f3sN=dZ!xRN^hrImYk7v_D@UaT+A-@UuI;y#i1xw+or>xK6guGyBIpmb8eS(4{?ie$O46orD? zmNZ;)IG}kK`vv&*+`$fb&`%=xStW6jG;YlfJDb{+y9>a#qd(8~2uV5S*>OUbhwo_G zup5QkzRs@OJl!P+P$Ex=q$}kbT%2-wXeQu^L_2Z_Isp}~k3`%T*I~%6#ZopSy7;hI z?8^OpM2<*Bq&FP%9FX>ST?x(%WpzABT01uPafl4eWAO%+Q4FK!DrFyXvPmQYIYX)o z?DU^E`rw?lY!YyR$$}1wl?L6aP${AphtVZ@98IDTwQ>Io9AAvOgv0!B%#wAM-=4pl zyc6-nTi~iYcNf1gACwreh>&>2u(4Q({Gjy{1>V~eHsTcG$obriK|$~c=<#>0xg8HS zLEc`Yp(hF9*6=0i4}oA}V$HtkPY`3|wWT6%=|I4J>a*t}yZFY|->>X%ON^{nbe(-)QTs6iyZFoYwj{Ek=3c%boONgj&)+!q}j4w)`ZNaBa5s@Y0p!L@a#?K(YCWa0shFB@ArG2;C>NeNd+u zm`Z-&f)P5y8R{Ml1V-izHUL4&Z$cIhM(IPgq+b_>UCq!IXtW~V4@)tQvyxJbs1ig* zAlIZKybw9w=b{iW9R6qjyGBYB3~(vBfuT+vI6XqvW9nToQ0=7PCKen}xCr&O&b zR6}EuyOvx6Y!(0>IES#wO(@@QiY3unQ7Ig}U&F1wM4g@3x7DjkvM(z3M-Djhp`2^~`*oj#jYM7I&3}QK5D7Gai7N&M> ze(ZzqyWB0%0(z4)mz+t57)H4~xPlzSn{x0`F}P;aU>q0S>>*U8uer6Lg_02306P-V z7zAA+aLQKzPasxp^a|S!Nf!QWNt$MwPrF#P=94dbh0GYci3b^ceA_r=^R&r;AE*h*llQ$SHV3F3bssLgS zp<=3|s|^7Zq_F_I73QOGQ!PT+f_i^vf3J`Apa;>Ii%D_VGVIVNzo2w_MLS(P)YQB5 zqUZvFUN77=kJ9+tF*B_r3-0N&=jxMfALs9UL=q3P?85O2pi3@QW-s8APRc0^%6 z5cyaZPG?9+6(Hghw}wiOipdWy*ZTQO$oQ~TU0?V{$EsA$()Y)bI9yNQAo`7o9tMHk zK{3s7*d%nqI%k2!=#wx%%YV3nqTzaisXB&lKZ++uhjKP1Gq<&|j)C(3XYWm8G`+Gs zuPB%8a#eNrEZtSJA@R0{rqWr?bb2XwRoPWTI5X^uZf8c48SX06Oh-k=k{RvDh^UA+ zoSEG-0x=5$iAAhp6#~S-Fd8u<5X%SP%M214dc^ht=nu>w#3F$PF=+TS@cWFr%(LpbOkN%?$Xu39WhTfL&xrXqY zDUnOnkiOZr)eZ!Zzy8`rb_YkuBHv$q<$^-MA>kY3P$ZvAZr*c|YCF4ma&ovOT`$hS z27!KU-lI^itT2butuFwH%PZ_$c;%h90Kw(K;Pb2l(Y-z=TgQ7gkPA*Gwt!_9R#5JZ zFc(XN+h2bz@dju4<6TLCdS3p*-D5h(_CLAcXJLhk4hnDrAZjn0D2VY{z(JP9kMT|m zJo*hst`=DLVD%Qrq6j-KaO}Lhva$B|+c#I%H{M!Zzd7OJ*@9ggQ?T`vdEr;)j0THf6dbcSgfUZunH6==Le{S zJTC;4+WqwXPFkQ>P^hMk@d`r^XWhHgrRz_Gbw&E~%=vq>uqL(~M z989`~j$=EU5*X=PR$l0m$PJQ>bd}_kf>C@c%JdY9I&vk=65o@V3-BG^8EU!%t4Tjyu-1KayNKz<7B@UA05e(7fEr6uOdGVtJ#%?2>xbDs>TN;J>|c zFiHjDX`nm?uH z--^`y>(eEI3Wq3yLCpS-8CrhEo(3tmY{5?jvkaV0q<<=y-P%rZ|H%sGe_*=wqH0A_ z9tT19k7mgFi`{QV-1OK>t>b6^u6EEg^V-*g&#PVLgX37=$e>p6)c)>mEY}FEsd}?5 zP0ehBPp~v_m*&F|rzB0W6x3=3H8{e|6wp-;sSVZnWl>Fs_3BMx zd-wob%4OUfDy;=~Ee(~4_Ui6eIbShP?()PMKXX!d^&gM4@D6~6F&*v7oCGn^ITJNC z%ufO&(g(cJOEhMgPj@Xu#Vh6>z^`kzj}&MeO5($KzMh-wO$?mR!|s*7UJ&8?<2)`-KIZBSv6zKVT6&rm9DdQZa(Y&#OiwrW|1gOu4F zyM4o)@20QSj+xbZPN5*98Jk=r=$X2{$S+b$3eSW z>z8L~h^&GfW+nC)qe6(_$+np%is`@5C{*jRIp?9}qZ%+g;}Gc$mpSDx4%TwIwOE~g z6J&m4a1gWNw&V`fkezS}Lh10$_R33TftcVX=3b2(cjOD!d^OnVfxTr=ltQ@dVH-C#sNGygpiG$Vzmih*IW;~ zig7HSJ?sa-sqI+|R?BR6puc@eaR&MlAxiIlK0jhtg4PGV6Dj9#8xtCrR^q!TUG2)+ zwRhi-U3nn|k50H_D^;_QiQ1u4G)M@(maL_OC}R^o+}n&X_YSwF6am_fk4au5s^MO; z2lUdA_t`tz8ou;z_IID;@oZr3VaMT49?CVXjk&92C1G2Cu$Lxr_=n1r9xoZ^=El`` zE^l02zL^aIXJTI#MzN@BgOPlhNS8zP+`LU-m&>kcNCCU8T0%kA(RfOUXvE+vbhZuA z+|+^w21gYZ-0!Dq>tY^nky}Fo0J*%dYGtrd6KGDuy$aNViJA-3c0iYM#TLAX@^D+N zV$=Z-lILRtSY%*GC^l|ow%Bx%5OlE4fj)inW?J9rB6k{5#OS?ul z7zIG7&W);T@wR0#T}cj;-f&u`m4sX^CRN!TI8k|s$gICOcw9rVc_P)1uR1nTf~@hx zfImFGU2r;INX(Y+9t_1TNrI(-8%;W}@F?vuuU>s`efh0-S8C|TM?oIIHD!%9ix?^7 z$OASM*lmYGg7VK$W;$eW%Jho1e64$1rv!y;d8r_QGAjxrLW&r#28;{{BG{}EgcgLh z-VUEo7asIPC7#m@2vlCWo|Y+hb9S*cItfk_3x( zq~JSaYbuvRohBZ-7d7U96)Xd`ThUokfNJ>nRv&FMsJA@j(rcX`{CS%{`-E7C!KMt3 zD9{R!+Ox2gMmeIsZ2k)4SVY#fwP8H{Y<}&*={C5a$ZEQp@gbyhHX;WJ1!sdc;xsXT z#E@tUt|E4`i!6QRBfxs|{cE%aUb{vXTFr3=#F8aqKF-s5`QJ&k8Z5+H;aVAmcesCv z%ru-UcB7hAfwz_E1yqt-J8%}cImF;$vHK!o?rLgRN(e^LtHIwh-BeP+qn zJ!`M7E?>Ly?%L(SwIwk5xD0}ufK;7xRBOXgpM>5(H#Sf%zteNUJ>1St2Au`mwYBB- z)vJ$oDy5lB$Dy*j0_pMqAhS-7yiyTw$iWC?mUHLBB>XeF#Uq1#W%b4n4|%o}m$l-E z9l~FrYas?=|B0pf8E-6HMOd4hxiwZvm^7nCep6Koq~WLEmX2uAsXIWdHKnQ30^9na zgL5)W&9Ja#9fB z(jfVoQ#BURNoaSIBtN-bJOJI2fGU#>jKmL5R`5!#-sY6ew$07Ta8U`v~3&#<-0(uV^3 z>|_ZV^AF*r<=Sw0hRmyldNa0rqAZUFg$W3um^DOZw}vtpI!j-KR0ZiWHh9Y$In}nh zUh9h(OU=MqZfQR@pt8RU8CGBr8`;1+}WF-7%)*(rCA$C?TCu102ls9U5zn`iwNJ+R`A~yjo?R`C@`~ z+VU6Lg)$)`4A<6dKOn_vU?rj>8b?nVHuPKX-F&|WZhSIR%$$-^N?E-vFk%kjJuVM3 zLRuhk=RS9;^S}>d+&Vo`x3qIB@?JV|neQgH;w$v7I<{T`c@E&*>Kt>*+390`X>sZN zX0(8Cw9VrD%G3*C2X`%Cu{&vltB%gVLW!7w)tHtfL z3j50qCZ+OHv!pJ>*|yfE}C?HimtDTp?}Dj=3?q2X-S#p;}nJ)ey*({ zT@yX-xEO`=Od`5!S)+x05s1ft@ZxQs-n|P6SY*^Y!s2u-P6CS4wqq5)pCs4c<3z`Ug1Uw*E5yk7?HB?Il9b}@$$S>+ z7dtiVJ~yQP^glFR`cCMNA(XC}M;zUqKmm1CZ2a^Up(biigaCTx+LaEp@DgA9s@lv#kc z&_hO0?JKk5aEo>{mgd@44B~+vOIcINb3eBHIFyk0tRs5Wpy_DmPttJW6!57YFr3#m*BCJg{mlz*Oz$_nXS>+SL67IiJSCP2kLl6%d%OcV1yIv^k&;4w zyioi$*zZhWQZ#E{LfZU*%BWRXutx1SoNlkM8D2`N-8mX}ag0aFeJYwdusv=4mLOKz zO<|#N%aS!!Uo!sq$EQm_>GT^5o-Y0F9fBDXZo&rN8z|wlQlZ#=t}u$&FM7N=w!(JM zohcoCxZUprIBSB1|H-MsifhFHI)MF`NPuW-E31$Qn9V=aP?z$^3kO7*y!sIUTes`M z9NmDL%smVdzmfw$2`w?cd=Ew51;8AdXKp|@w{Lhj(laAH4;r!>U{WXwiV$*L5a3~S z@h?r6z8a0Rx1Gp0%sqJC5m(vVzP36ul&;fy%slhw8w`2wd2wX!HZsnVM%ZxM9 z)Tj?e29iN;nw$FohGA0-2ookl$GH!4ZZwV#EhUO zh7l#TRH#R`1W6$-Im@M6EO!h;?UVVFVYau}zdl|1#+g-Z*+aR+SJkCf2p83rNLOUz0^3XGAh(SnZByqb`iaaqLB5u(OF=jZ!UFev0heR7TV_AAB#kC0x z$umgKfNN;0c_Iq*FxD>1I zm@-Wwhwz*-OVEC#JgVkD>vMq=lpPoCjXqsTQxg!sMEXQ+oJ0(!E3u!gtTl;64d>Yf zgf$)P`McZFYj&9qKCsX>x}Gm)Qw--2fqQ(7V?ft68QBk+A9S=xg*AAgHQYY!!wf{V z(!vek8rS$?(PLxzQPJTU#3O3}O0&Lg_6$Go{aQ2D!es+u?A-Y&vo;U;>{h- z+D_CTXScKHk(%b~B|AkTo??2nx34IYHOY-IUpYQLK9n+;#Cy!8qvb$s3~0u zgP=p$c;vuEPP?a130Z_#klMncphe}a(WK)`2WA#|ykd>Fc39gU@1xU)(C9r+lbz`n z(I$`bxvc8*1>V+&x4ge4w%`e_Ha{{qQM>a*Zgu+*(yBq z49$FcxP|V?wzn*QVZ!%UqDh;lHey8zTd43TH$-%f)bxx^+T14>-k1*<#FTcbY2`6j z0l>!U*?_h>H3BMRINTpx8OY_65M10s$I8aG@R1xX5w&JN{S*IJrKwW8gX zyvx%=i!q0z!~C5f%CZC8J$dntB?uI3^Rn`}eAC>Wf4=$b!gcq#=p3Aa@H1~Wcv282 zZw+sw@qZ~U>psV@tJBGyR#)pSZx&nu+S!#ZW{0D*@!CKot!lT6!DX-|5z3sYz0D)O zZ^E$&aU9+zPYvv&1%#03#<1QJlnpVPd(o5V;@y@0^7Pi|N}b|#S4u-wTTOd1zY(*h z(0}-dSIB&!uKUSNdg`a!JmZ@L6C{#ypQKFd5;ok!;htKwRc-9%Gcirtv>U|&*o~C8 zb5~RR_G>G@4w+e6vR%tM-eg7$=-mNbsv1jE^iao!RBEJ8QKM+n`MJ>PvMC3n6_Z(i zP@Lo<%9M$690oyqXpWU+V@~QZRl5!UaEkj+8}sMY&}J(oRekoYHr6~q&_Rn(aI7~PS2?`4;eC%F*rzmPd$G>)*NN+@-z z>e^lS-FY|V^n1r`)2BL=;{8+z`5(p5IkhEPpXm4W12&{QENgYuV(kE9L-&N z4c%$>GSGM2>;(p;%Daa-ykBt)IQsMYYnieGMA?zqDPoW=tWQma73fHknk1I{pt&2e ziOp%>J-E>TYkQcvgKTgY)z}`$%k2IuU`y;gO8(ZoX?<3UJ~48Tqn}7_a>xqmZkCmj zf?^EGf}!vAc#^!v(Ind!%t*2#0od{bVMH=p{K z+Z0-79AxoQGGDWw;jBbwx{* zzbnyot`t`l)gcOdoo&(F)@^xwEm=S&wSR06)(``hwP+^BPE&^^9R?ck2HNE1=aooj_=AZ$_eYR1RampXz{WLP4XhrusPFEWZ`p3BrK@DVkCsUU6KfQ`srqfX z_m!#Q8t&NQfBWg3ztN7K&^teSKzUmj-%mZ&P+!E&?@6YOu2T#t0!L=DE^Tv@45@Z) z^V*9-rY?KbKJ`fi{AS01H>2n0NS5Z}1obVZF~k)6VQ}@6DW$+LIrqGIO%5(7f9Ar8 zk_Rbn@N?|>?3ks|2MrC|f`adehfWW-8SL8fH9sOp2YjK8IKJ&t6>d`ti8sTbnr`0a zSk@UJ2*k=d%Yd4k9odD}`j|6~yjh5I?O?LHva(XJ{ruNn3nhi}TrrfZB35%W#IV_Q zhGNm}%-l8L$&P%4ylgf)^LcD83>&ekyuscXf5_SMg0t@k_TNsIekUG}maC+7cm2Pf zW}~Y8*QeAJwmx!qvaD7TlpAwUfso$}*G)_$a( zk~%!u-`Q!|Ezeb)6$MIx>HSk>FUV*(p(;do$hjtuKBlH9ogUT(n>!z|5K0!~%+i5? z++TKQiuqqpPH%IeCL&sjl7YmDfqsa6nQCL59DQzw&FYXbq|WImkEgWt$Wm~+^uw8f z@9wFq%b+2yw`ih8I^lQ&#rv_CCE~yh##KUSChnge2}^ubYds*MZR=3qrxr{M^DyA- zk*u}*gfgnOclNEJOpTX%{p7r>fid;Y_J)!y6Yp%0Kpy}ab2gj`@OyTvLYIJN=vj2a z&ucp;1%~<=p%EtpbJOqd>>@;BHB&EcYJopZu(v~1PQ70Q4Ug`Epdeu4`L7r|k z=tgz2#1wn?_*6v-QmpXIlKZi_ADIgvY2ig}resB`m=G(<%cb#MW$Lk_F?0p6a~R7U zb)l!NgaK9WXItiqq(Tv-p7yyI25Q5?+`t6)H0JI7!&~r63(}ZA6z&=+V?{_zsz`{_ zD^6;)RghoV-8qk91h+scw(t6lz|MTWkQ-ex=my)d(=KzeJ+ZvmAa~z)EoXWMM^+yi z3B1~}l#~}VXL=@n4B|MlC|R34+8V)HX=KK*9{8r>BP~=6vK(E7oE@7Hv&0pQWr$1T zwKr?Lp6$knV`08hNwOpW&_Q?^$@`+NS%q* z%N!fyL>ZoUFn{4ii6YPdOHzL0WMmoaE^+4$xgJJ$Y?QPL_^cVBuU^D$ovaH;(k>BB zv4l>XwSGy76HeT1!wabq=BXj}=+R!}eFhQc;PWt2t()UlWC&ABLOOqa!C+o5p`6YIbQKbaxXSI5?PIIm%xqtTWZiLyfaK1H5 z$_UWv*=`za`CQb+@L&hE`4)0=;7Zkj)F{~xE-#vO>p@-Uqa)`7r}xm-Gbdg=LPgOL z>iG2DASrRcBiQcdjN9sm495-Of;!-_0g|0n7o(bkXQvalqn5y$xYxa`9Ifuz&!#Gc*eikn($#z1n{tWb!5c!mQ76Wdu>)I*XX zm^n2gd(?q})s@SWuYK(s-f~s*Tk6dA-u;<;Vs$&<(mcB^A@^VEsyQVm_#$7DcXIOe zuf5h~ke(@#f96@LwURwsUCD!?Yz`i6viVac%{+zy!+*-8pE4sNEF8!X;F?uxhipCcUB~8=#@x{sW)1@yrkv@Zx6zm;Fnq>d+ z#mEbf$wE&b>`w0`^Zew0)o2vvN&TM}=1fNQNut^L-UsgNa26SPF_MVs8iB{F4Q|$f zp4{Sk^yZ6k>r5JXI7l*=nkJEVmGFpx5ta_cM<@ttpjcy?7Ac>f;!81ttVi#@K=g^A z{~@-Mz1MjIb29b2>K@m?k{j|(k?+v*v1ML_T6 zURb-KZf7Xsq>H4-yk>H?uQ&xbnpt z0zxEEqgGHPdK-X{8=i(>YOk$gD;hz{i2bhG|KbGCBY&-5$M@1M;!u_B$}Z zbbn9Lf*8^~Qz#Kt>j6Vry-NiTBMRf;$Qbr4$hJpXY(!eawOhOA`I(W5H6ld{DFgJ* z(e=XBUj7bkOoJ(BR%;P1=-h5$=f4h%wuj_;?CtK?({Gd=VzX3 z)|)c|y!lOdN&6LEUc0uwdhNZHSWI{C-o4FzYt^VwDA(qgfa1u4Dv@!8hMVha4M~po z{1C!C>Y;=*#y>MMj_y;PT+M4Hx{rQw_4>xm_ug8+v6286UH7AeuxabI)3?UC(snhn zEG;Y29k@H4xM#?d5B99cTxWeQtT1BZnoD0-keR#JU9-s(4?5~#GYW*8wv^Sk-d(-+ zP9BN_5kIp8ue^&{I;@5qN@<2fqxwe#aW>$1VJZ}%CCnr?TR`u-ds?jy$(zFkO^bTTshUT?oN7&K!{nZ$cKYS z=a}QXw8(xM&;G678iQO?G?9Gwr~pG{R5uRESrLK+U(!|)VEMWmk}gRdAd!62YuhYk z1HtZP5{i?blA{Bh9#XHN5NwLed$#V}ipfq96n2z$*(gE=Nhmc6Wx%u@hAMkmFhHLa zytWb$_+d2HvHTIWqfnkq4QNu|RO=R<$))yK&0s>SuC+T@YNS9^i}04IKT_>Vf$M~ei8s5`-hYRh-K}+vrD=podycD?@FW?s6kfSoJd$>xFhZXl6IhIVVZQ^u^`2@wl256Hl)nL z9|`?c;jhK(%!sgz%p%C#2AH@O@-WI%Ukeokm}OS0J(K)?e!8@B7Wr{y{NoYeb95b~ zq0Rl4`0?~}Z3U9evBr2Q*O_}{0;>8WiVgjP1@E_^B_g5MUGD30UL=L^L=~=7>Z|bA zxL(7h?1xa_avFDAm?l^?m|NgkV3B3mCQa|2a77Be6lb!*qA8p;<+BYXpY^ux^{GK+ z&ZnUad7Copt%B5GMP{dt0@yB8RIA|#Q|W^puWM%oHQ)(3HXIIdsKr7V!_X}F4AxiX zU}XYf;)ud!4jO?~#9|+pk!4G!`o>nvcLo!j*6y#J8_6;z4?0}VY=oBQex!MH@>3ob zma5}t@8nzGnq>3JPWdz?L@tS-kX)>N)Gesx$1SVe(r^FMp)VAinxkj-fEdlioWK5H zf^mVg-<_OGlBcNW=iInq9o7y?mk-1vtu3D&a2@Nx+zkec?iRSNj05v$$ki7KaujjW z25>8U5rchFw<-2WX=g4cT{ITqI7>P7a~#OW_sAJ+v?!CN>t4oK8dc0@b*a5kIm+9$ z74w4V^ZR>vlBWA{7JhrW^hPg?oY!77*I#xm_H7<(MSMW8-_KAg%ntUdqswPM-?oj%rG0R)2(QqJTlx%FD}80qp{(WaKPloW?=l zu^vH;0Vi>jHX5GXBbNU`mljk6uoyG|W@E%hQ&RxH;y0&rs#@gkTn>SyaT$<%SMZ7J;>08o~7k=hLf3<_^ zjX5}w2{G2sers~!A1UHJ%<&lfE4+xkBpOU=LE!{Nlm>#y*)tQuI>We6Df?k9VL`CogeHL_?>)CcAYDo*T|umFqj%eB^U`^`oUV_d`i)Dw&qhNv2!tJmmE6 zqA0scFO&7g%ls($TWQ!}NmBqLKjk8-&c#x4JLx21(Ern3HbbM^ACj47y+5P|aGIb$ zkf7!a>?eiNzwB@k6nClMq&l_-(BcwN@FDfV6i(S9?H|qdFO0ijc$X$uT!WRAU`DEu z2|rwgFPGn0X}*ryT+@m2g2J)oiQKwS3|pmtMNx%dLWNHS4uA0rpQATCxqBx4s zd2?k!KiLq^^E~PD1O`xcw~oLTjxy6E&quo5(wi4cRauOka;syXXk@$+)TnCr@7;PX0e&ygOZbpr>4E##5UaQeS?LrhayWa%%MsT=Mbfb zb7<1TIV9=f9E$X?L(t8#+{V^wUD&#toP@48#+tK;XNB-nI&dw(X1!E+S$^y4+O>y~ zkzSd@jej|Z9e+HBAAdZDA%8rFBY)gsDJfTwe7##o(77IeWBl>UmliyKdBO8;p%OW> z$nLS*b2%b^dZ#1U0a_Ok>@RE{oN`R`oZiAng8|;Wa-~!A9256frUx-lemLe$&;Qo<8P43QPgZraKvh?sr#;pOnv3&LV)!4ont?3gXi(uWJ zzI#GQ+oR*VXrbCML?yPU`8AZL%hV3w91$voA5@?p_-9z#s_EgJmJ~wiSU0^pkZ{_p0&>C`FkfvGA%U%2Z&N^lr*TFzc;HFg# z>LiW%5E46l04=k|9f+Wh*q7Resewa>7@V_M?=_rmA0?PdD1?d3Yg-v0+48BwQmNAr z|Hk_(%L~$`w15HgDSO80-~n-oKqIg0@5tdmJbN>PA9GBw-1{Vp=RWd|57+XLV-z$G z*3;`KPv9JNev!Y!d^F=HDM-3-3tztyNqW+qUC0^d>c_l{vkulrgT5EKbBET)485s! zqv!0yVPAfCedEgNJFDyIpPB=Hh9OwXSa&+_SwmX~k36)PT5)U>wkE7io+%9%Ve*Y{ z=mc26EpiFgAT9=hK}sebTraj{j8r&1|7ahL)nfggF3OwvyoREu!c518QR}f9igCsqM%n?Ug_`*co%2Z(wJrA@_RRKY}|bR>RW4>Fg!vj z7Lp0=#J8%6eX<%HWj=4`z7C;UJLB)MyBkt4HaufE5gjh`l)CM#QtO8OZq!lXuSKj} zyZru{3vmPR@(td@NGz~)Hay7%y7sj7;0Y`+wF<{Zf}SWdmL+|Z$_8`b(fO4<;?gZV z?%}t$tdsW+_U?b<>!XO1wS8sf@+vnvY+PT19u_N*PZC8C_XbTs_Q<~6utqPrq>n@e zTFm-)*513heC^83jhic(#`!T5v=*brQYRdjCmSa_G`2L?EB&=RcpKj6$0KPi=A9dB z?_DRK@{`+?+sIB`>GwpIaDDCO>iX(0t!&&}eJ8X2KjyulOEaON4|g`yZs3V5;@ZkP z%b)NfvgOi~TZ9Dt+uYXjP?g|GGPwl(E|HgwZSMD*o;;D=_{10Sq!(~~9oT^9UwLXz$T>Iqr z;^2wz#kC*7UK~96y?~@RB+x-_eo`leNmsOwlP7dee9{YG6FB2O@&cNQ+1!XaEPe!H zO2@^M7gfHPPhMR4YM!{r(sF(TVoR%e@}kQZ^P}0Dk3ob5seQ6yEa>b>NP>X?;8^+O z7xJ-*v_~*;-8*n&`O50|E`MkFV{{KZF}pVsS4z^_V0gMw{VldOoGobq+C z=HPa-CPlBU{no=_dKMlPhuucCbwL~pb8IAv24N|q4fhOJ28LCJMVsgFjm>Rt&D`zs zq-}2f-124KQwWz7=oqaNRD zYfpan=E$lb8r?m`U6jhrVmEaKa7*$T(3XC;1TgwHD^q#&-bxEifBfh>qkLP1reg`z zEk#;c4{9Z(8g}dZ0ufQmM>TS6`p(_L7i;F0%01nT%cdtytE0Kc#?4Nv82<`Ka+TE?D$3U&QlVESB<}FI3fm+PeSD)#=htfLOsnKE=-6u1M~4 z2b8jJzxMSk-+Ag!v{}~&oZ7W31ao5#nb^M}p@7dl~9xhwId+7JN1 z%X=u4eiZ>ow=0`LRE;)^F>jlbOONBwE|R}R%W!rP6%vu8rqR{esD|@&WG`8wamcMH zH;4d`qu;nNpetN8@@_YFJx4tn3TKq0y*FL@16*o{fvy}Wu8^?&hRJ;}wD-%e{$VQE ztPLT|HC_6Akod-NBl17lfYVllR^F*09_Jne(5PC+q4H4|3OMBIDT6VQQ zzjdm1c_F6MJ*rj1`Y%xm>sEm?U!U9IZ7ObwF1T@<@l1y_qBqHC`!!ciJ1b3RbzgNH zY08n08jU0dm&(-AY%Zs|zuI3Q)XVvC22h+nl)r0dl z8aP9Nuj~*y5;%&SP)`}{)mJv!EgU+#bj6lep6^V>bAez{P#c)L3uvuy`~g%T>3po> zI7sP^(p~G(!VLiLkgIF7tJgn#4XvFslQHu~atbNiT=O{n3Et!ykm*Z%2O&MSXhEH8 z5p056MX#|ux={;7Cn+wL{>e7_BcbT!*B7J6WYv?v(W9*MSEozAON$afTBl7O3)M3k z`5sJCqGsYt1B} zflP{a!BE>+Jh)n=sZB|o2gXlLbQE1h1VGYR0qT$&M)5;<5iV+Y!D0;z_+7T^0nIuE zP=SWjeb3awTtAs?qha3J4{HL(?kj+Mm>gK;heCFxi)(0`g*e3Z)10;RRE_%bV zrd5(H5CJ*74K0qRwwmO zW^p3v(A(Uj8;XiF8LRfO>TrFyLB|Edu?|^Jm*;?h__G?=4WI4=d8`s%l}K-_+_qfOz%)Q#e-UH zjBzT-Y}&&Ko;@`*;~aW$utgTA9EaOwC+lpUm5EwQla}F*yt)_aLP6K+-*j=_&=r$(Ag}pk<@(Bvl49n~;Ph4JjZQLA<(zWD z2`#cB9G+HUTEXPtf|3sEW~>us>&W>t7p%svy{a;33P_c z98#|BMjm48Mp1`fS#AwTi3l@$#C^ds`crGd3?6jtN~`z-={Wlsn5yN-4&F7QJD)^# zcAYAF>Ksc2`IfpUx+}uFJnF~nf#03@fu$PLY%~N`tEw5Joi?wd`OMj+KvyK;Qn@g^6!M64g zI&wCR&gs__Dpk`QU6>4pomVpO-7%_cFU2D8*_p`@45jFV>2BGr9(EOD2}1qm4VR0I z=P~j5m_B6D-#10h0hHOeI=+yXtxZwy@NN*lJGMorW&ofhymwBZ*&It;+Iz3;^W0PfWEScF%$nR zg3=+dxz)E|%?3kO7zoI&R&f|`HK>pnLx`dSm*K~BUtRjIiz-T2pD}^uhcraQkd$!_ zxq9o)>A?pZhO;E_#tD5ZU<|Q?ogH;<>@bX{7&Xv_5H`I5uAzFy0Xm%1W`n2HL3Sgq zR?W%j*c%%d-O7B>p`mJF>sZmo*a~duLeE3R%0Dt)`nfa33T8U;?bmkj&D$-r=keJm z04fJezWK~wZzMk4iKKN?E6^E<_0)@F(t~tATf;QPor&q-9PEeg6U&!z#J@~eBcS>~ z0zq;^=(Sef@x{QY5QIfzX;lkxSmKvHcc{xoHgC)6ZMvMW&kA=1BhNHotf8Q@#hiKX z;moo1PvHhv&x)hzXZNmG!a#(Ya`4^ z0GlY-FMF*qkZdtEQ&vb)7sxk}?+AJf=K2>Now;UDZG&xiYX5s}9l}Ig{!qfycPUqF zMdK$F=wg@KuydGer`G~b8%GgCb^+vvN}zxBv60l|&(`75gCvyC{GPUs*>ij1XCans z?WN9VIe9UFj;!o{219KyQ+CXlGB39AjtBuEVV{Jg2yMk8y1&hifPZVc^x7FL`r^dp zek@c?WadtU#=FAirQDLGKi{zUuC9U_QmS5U%qPp)4TF$=_lT zc>uy-A>8Iomo~%VUN$rH`OYO-HiI)FkefYP4F1I^&d}{UU&o%=p@)o?6z}a%*MrkTU*1ryB)Zn zEDgn`O2EygWHfdP7uePKb2*SmCaGw36v)oNDcS#f$t&?kZ7j8qAE^C^TZBC5+ zI99v1rn|zYKT*;`w^&*<*Juj#B7ggUk!-X@mV3Jx%{}l#78D+Xokv!@xlcEp?Cx_y z=fHE9xnTwy`Bn>{(60QO6T&$1$agW68Z7t0Pd#YPuK(%Q?+%B@G28y@haF#^MGGWb zA*SBoUmF7{_;dr0?#`S^xJTV&MMQPYkohhT*XU^kE3g9CqGb`ak!ECD&Y1(`h8By) z2a)Q%;A|XVbsYNBSO={dVo5x7Bt!IY2ALHEl?;pkXk*sTH&X;B} zKC}`4yN0aw#WrFh&OS|qfcNx}EyPzFJi|s(HiWlDsE|QEf>*SXSVoOFE<_#!mw)B4 zarwIvm-3s?yr5IRzSRI5Dwn-8EA-=ZVY!D=qu2p(whKic#q6mW&4^E^*rtxdiWW&Z zQ8;Dxy9Acd2ZC-*5H4^Y4P{eXcu+xGb!gCjP@1;vTZ}h$ID%Y&@$qBq)&Kf1@zQ*a zKb?EzX9rc#zg9}}GY#IwyQB}a7tZjKK2lr!_RKk_VlAN|zq?GLmln-U_a+fIYh&MF z$&KA;*qxjkr|uUf7uw50>8XTd9TMfgI zJqBiX4O#%tYjd9?p?L2wqJDp4y7bBdb$EF74B7Ks_BO?cyLjfu4A>xl05m7d{uR7@muS zJhGxC4d+{O@FNXyad7_Xp0gKpSOl6HWMjgp>8BVKhyzWB4 z9UHC|R|*;fo0o)%IWRcxrX#Hs~SV*iAUTY67grJeVc9Gj_k zG06NzDCdsuMX#jS0s)ent#_C)h zO>bhm9ew+cy!n#-ccP?g+aQ*Fx$_52&9t!!7jo|$eDEEv(6Z&t32kYdNj2ZzaVXC>Cx_>N(t~-8TKp7m$?QoW*lj>XK*81 z$>?)>_gE()U|YrFFUiia`-?elm2jn+3uA7r&KbNYxqwcR?s(i<^-D(DY$jbaM!Ozf zs6Rbu^PO~8t3umoY9U{PJ;&(C^Ws;&w|aBEKzR!p9N1smxO`(}c|Gwj0q5JYwz2ZP z%h|;kX14Mgm+XSkC7PjXb6=?G&Q8bOVrb~?G}98urxY_MAkIp1Y~KUTBIe`c)1yGN zQbKPNlj<&#-cBQ4^(Qc9Yn!xq=Bsb7zPnOb zUk7bjj^OvSs!R#VC@hi!!RouQ^fpC4dv{M;DKmy?-w}9iei_81P(I@d0c)#`t9Tg;TI%+>2OH`hvK{-Rdz54bVM~q+~ zv2Va=OlJ6TIs&N@Se!tM*L!2_?VGh;ZHf&5(^$tNC`$ePH@7diQY@(u1h4tU)Xi#H z+Zdpt)6^4!}(wt+KIyI6&)kfIT8fk|(_cN)( zP@$tJIIFKnqA--a`bubBTO>+&(xgin_Yh{A9VFE-8^NL6kf7OEd;4v!u-tfy+ZJ^F z_v^1E0u0pCgDtym*uT(*=FjQbaILkVEoo_Tgt>$MEZ5W*FEuzs6`DCBq!VP>r>aIw zt%H~Rk{2P6lln;ie&ttQe>MCm%wz6x;n@p3XX5x5?B)2#6m{6rg%)E|-HiwK7+H%( zo%$mj+!-03nt?f)x-0c@T)IN}knL%L-t;8j26Prac>n?9)1;Acx5;g5N6d7j%NDnT zo1yDTKf>f)O3e4jz7;os+c1jfgV3z3uCm?pIDvoFoX+^SRmPhq6J0CpQeRKhMui5; zwF$MY1e{NH?rt8@4noH$S3KZLP<{*5b#54`tR*<1r;N=;(~3T8;Vcdc zB#Kb*VRDjRkl8>58%c3{`^H*ta zY)2%!cXo6gY?5B{?2<$*;VI6t?5%yv)x;r%N}x3Iq&ok6w}Y@2E9#Elk9WE^is+>A zvJ+u};au9;yxT!K@4)-q?pC`Q{!2eQUAo9=hwIBqaL$VibQ*YmifM7btQ$VIcc^yP ze`o{Z0hVV4&FinFx&PEr1A30S=K?F;LPQk0yLozgOi6PX#YKK?(A0IXqwsf{Ee&hO z#nvXgbWEIekeLV|%?Jy;M$Kj}qy*Ylfk|X-NLoL1I%&l#0=-GO8fQk_X;l|@E;?IH zKJsnyJ8Cs`w2 zA$6X{+Y&*3;Q?()VY0XtXZiYS@YCzB+1X{ww=k$-BM~u>#x+=oP~QOE=gxQ~P9H+q z=YD9){weM827)n|DOxx@{pT8FLkidHB3*yo*jo0D9$7jNa6$nwNWZN z(Ri`R=HL<8QrmrkPjP3vkq&sitEv@Vp%~m0T=v&;NVU(02=-$JCuR6_dW1hP%&VZS zDOWjm>At36h!MTchuaO!$?u=`;a=g+V2*LTa)xynbOl`X2SCblK6#>a7&dpk;HIOq zrqC=~>R-jIwWs0%MXW}xRytZp*fRl@-eC_S#PLh(fo#@+8dP9*KQ~7kOS?+@ z)<`fKj!B%M_v$ivhZ)6i(Rrlq;U*3Q@_m#8ny2Q&9ji5~I-i2&*SPcX?7lsNXw*x@ z?)=z44}YNOLBSmJ=Yun-Z<5VGy)t_D(MFG7~VMA;rk z1QgL*H%YKgc~+nYtgO}>=jek?8b5gW&A>AqPJSzE{uTg2MlQ*@@)NLXKt>9(8wx&E zHHfa&QZY@$oSYnsL!xkdgR46m1J7~9kZ4(v;T-(a4JFp!OY2XLJ96_L#ue5nXH=nD z*FI3vqiqQ6;9$d9h%w0ddc=n0kG|f1p+kg2Z{4matRq?Jdz0KUNTZPv%L8ld*8^au zy}UOZB$1ofQRh04R?8e=005nLVlR?0th9HOH#*OSCR4r*#+-(-8GSsdzs^{0Wr?6T zRkDm_gy?Gd8ug5ocgty1rzU&~Ju!u50x=wk@yw3IZj1I#{!sOHQdX0GbIrP7;9&J^ z3w*U=nd0Q!4PTz6;-zw7F4klnokkZj=$vF=qQ=Z+X-=c@kj0sF*4SZ~9p}RB#mS`? z-ViPd{+aB!-U#4&cbVM?AwQJ254!^%1QN5*ap}jlpO}GxZumi3_<%5xHRnULf%Z$^Lh(%@XDwud%%Pw@+OnzUmRzg)ML zy@L-`Flx5GwTJ-X-M#x#Xm-@uC4ggN%{*axnNbJ$(`!Av8(>7sM33IOBA+98_~-~X zES^Ttg(0R{Q8T<1VBb(9v@<(aVwCh&dz{gFSr`WGP&x~PoE>9Gy!PI^@0#kuFTIwmLM!(YDi zhdbtKM0p*L5=Sq#y;Q955X8=>mt}6vIXkB$9+ZCj%r5qgh{U6SoI7IGrdH7bDK}OT ztkAJlx(Fd5%mhOrWo~8z32}9(qZlO}3f`xG`1a-joqE;4kL|YJr;1zB+j&PN<~-Z% zSZ4EddWiW)^AAhCRG)kgFoBBZDmbC=l31B_a8#2|`3Ay`P~h$F?b3V~pKK6Tjwj$k zV^d})^JCltq3!(KnjG8R&PmlX1c_kADazbNZ%pu-9LsVD$dYA!=^fH#6wd z9(a7}g0i5;NFK_GyW0w4CyK`wH)q%-5z52rN-CrO6b7GMo@HIL2{M`pJ!t=;u+WZ|Jya);H9l|0H z<}AEaj3&xyugEvA8TY}?_VZ_qmOC;zH~Z2b9YVg?#*Cgp z;sBEYaEKo)Id-TIgthEkX2v;3zjx44>PjKLF;xZH6apr2#XKKCE9(|r@g{Dmd54!NeJDyZeGa^w9{vo zSpS$98$Fq=-In^xc@no&Fq1`ZuCIUlrR|*$Uot-AAH?jpKG?x4#RW@#6chraTuPyG zifhIyM*yw>C7ggjr0nq?4jy*WCgFh?KXg6)4&QCon6B`~tbU%DxY2M*Nmj%8M;W$8 z)cEFswQPQ04g;@i<85tr&5gGC``^E8ZCzN`3hMG#zJfm==t+w1^$WYUV`mb5Tumh~ z>eN~gqZ$Yjv#!Kgme`T#|K<_h*&5p(oif{pmTkSMSb(RTjBQ$-uHE_QES$6?KOqW7 zEuIcDCd*9&8$D9f5ilI+S5>3uin$h4s(B49gNeJBl)a)IMU2aMHth~e9rauv_Sa7! zUC%xjJ7S7N@iBzWzh&x>MR!A`@UV&IS4i7D@F?VpnuiQ%zKB{*l-Xxi`M8g5k&i(F zl;+e6yL!VC63Jt`s+2iTE*jt&+5~~;83d_TD3>%RMpx;b530&HHDzi zuS{;z8Gd{7xU)o7j*n$qMPzi~_USDyaduj1PMO5gbBPN;ZAob1KxV}qdDS?>YIwGx!Wt~!iu8J65L79V zmfbr!+*kNkt66|a6RM`V+Tb-V*vHnjz`z2Scfbqxl7FR-7V>>Y!#HD}NvPMZth~GYe!+h>Zd~AY=OeoSgo_U4 zZsr0Vua&DtBSOQKDl@U{Fz#k@`myt{8@BEAd{=sTgmMa)~ac=*$$~1;}W}Zr6oCiZ3cnnLD8@&OVqMUToY9me)Pz^(j{9^Z&%AUwy*-N ztrZ5e3|~-xY%9ov%k`YBVPbhAa%~WqEBG{Y49P!dC(YPgc5-NY@i@q@VLS$1>kZ4Els3l17RuAt z{JXYu(A~i;@@(;J=t-?=lv<1wYpl_CaQL9LDK%IrKq6On&?u*!w3fk?HIz{7g3Mmi z%0hFVMPVmU}URFo6;mtGtg0}tx2GCdqT$SB!5kgPIgYW4>Nj8 z4e{n4&J_=;Y3D&*8_zR+%5UFvW{MgW8BeKme$yYgz;M5bwm@$G8f;{olYJQ!HH`hc zx6(99maVyJUO~qinLU=XY_?%yg5cMwhfV5J&S0U2!my+rm*_w8r39Rbu=75%$I?s( zGGVFc$x%tl5jbja0Tf~L{W9EI?8QNogG;p$p)e831{N+&#QTq6+dCKzhZ1~BUMk-h zan6C%yt2KEP0d=pAtd}HpzJfQEjW82_UZ{q)CeITfi98tuyH()TqKOX@0V?m)?LU)J!-C!YOz;4FWmh~nUGzcX zS_Yi`#L;{)UF=~OAkEpfLk(bSg6h3JHt%g!0zw0X~W z@=W~Pa(6VB#Y8nvhA19UGDX>Q1AP9Rd4~OoL*^H!OTVI1Bqg+;FXuOHE{Yy?rHBc( z?5yGP#HG~X32BWIZ!BN%;o5P zFq_`8MVx(lS9kU5^inWfaAZ~q`_&R zz4J?RZ4gc;1I`C|!^5d@MbiLa(^&mOC!Skc5s6B9zCoLYotc@5lc8uw#J^w^7z%3H z)mT>ie|>o)+W^?59GE+5io&N0Z;jSMbNV=5<0wmc?W%6|>1mfwAs-ti3aSy5v}O5F z^A*KyNS$EaP{xZRRFz`G{F+nb2ApNyf=;3}ITpk)Pn$VPlAsndJ)ByDRwFy~g+z^4 z*^_n9`Jf=SF(NX>z_vPY2JPG?vr6g`>kI!PC4-WbrVkq)YuWwoH_6fyOqizLjnF`d z(F$BpjzNK)P{ZC+w6h=E*(?*LSaw@1`des@oRhcqZWF$(apJmYSh6!g&e$Xq&$Ti0 z!sgo-o!As-cp2%BhwBb8f0Ku9S!4826v|G;oIOP-bI@i=!sE(SvVI zFHM!#O5-wTCAdd1$qvI!fO4E^o4o0Y^PHR+vlD1~L})Sgp)V^E=WsJ= zQ6oB3LGmDXM!*8?3W;?y-Y~}I$nxf99I9SG-AS7;dgKYl=rC6z+v~BNL}Z}D8YMBW zaw{+=cXoGGN5n?YW6RQz7>7zY7h@l~W_Wx*0>4X0`6VXbAT8+0HN4F zT#9Nosx(aj)bPkI5*gZ42ikz93|EuEmcr#Dx?MkmzWA#1A=KE2aR1yHVr(s(^reJ1 zSZ^&68nCzlWJvS-%oR!Ky%(P2joN4pme z9oSR9*FYvQ;%T}XCB;Yv3}OJg-=WVr#)~`)gb64!MJ!3pl9&n+RwKq>(nt7{>MmVH zL40mTLP`}ASZyAYnT`2sJPsJt6SrCGlri_0$sfta1&nS7uSLYk>x~1K5J|2x`b=vz zj_l`;pAVAqYH)RbkZAU++W>bSsza%es7z!hSnG*)I?jk9s~$R_+g(WXT6YKg`Vb*| z2RKTknTB@SNyau@n-7N%43(O0H)!M3n^^j2`*zLsAUo#KaC2`CH-!z)!OSg<4a1kA z6Tv%j#BQg2_5(wTW8tKR>yQ)4?L{TyNC^cf2NYInIMLa|(G!khb`mtQOHILc+As#s zqqLE!_uC0&G~`fC>~%%a+I27T>7^bZ-h!+lF}a)Z{e+1FuY?P$t+@uQw_!UgF`iNpTYL}t^Wq_;YarZMB6<=F8-8@Ne9m?*!llV)Mf~5Ho{)(`2BBPx69Q zUAe=x5+*3PaiN2!Ms>VsML=owRg?31g--4Ezzh=;G)$WYw1Zgy;v$A8?`#zP2m+2d zHwW}xhWWa4BVJ|89O3RmaJbHg)qqhJ;j7$gsh}FrzbUt+G6Fle*LcS+qP3SB)NO0i z^mW8VltqV;#^%_v&`O7`%<&$&x57(zOc5WQMTLs%Yd2TFH+lKerB^S$+&LfTxn_9J z!#_A(deN_MI1gI-=1*6$`n(w>0I)VjGOn+ zhOas{P*$am`1_fHVJ_VnP-+&a7qr%&K$$`k*O@hBB!&rt?&F)G zoQ@*hc~W^6WD?RbH`zZrUHX07ho`Dm)=p7~=KrugL8kgY6{b17_j0?m_A`~t;-t~` z->{E{7pr->SyI0FDMf8~NA~@>ogd$DDDLG;lXLe|sJJbMx~4QFj04{d-r}9fc{=V< zx%u*?7xMK&NXejC*hSz{$F0BR33QzvC`)x}6V#%5$E~~{?4(XWulr`Jp)SAvIBLk- z5WVzi4_}F=1?t&*_fHc@i|xAKZPl~3RV|a?)4eiRBYRPN^vUnlzeuPQ#>Mr(Ry|{X zv1PVX`%U0}%2m{(&Nt!bm=E8JYB5y#qQsD<=D02u1^n1PIjH5N@D*pJtY4$ zEzg{J{fzX#?F=hs7FiT4e@YfV{$%mzNO$QZLnu)FlOU0y{CI}bXLCD+{u6tZY3@oHAXeu?8|2Ocg`Vqe=C@bvXnRcSssFS2tv1Z*EYKLz!s7Qv+XKsouA6; zFv@Cawu6Q2bO~a?{1n~6asxvGl!%Z zxWHO-Rx5avIf4ml^Wf$0oclG3t6VKAVG071u7)pB-oNjWjky>&Az){p-VWX2XEN3DS1<-6LmZc_H|q){g~1sf)zF2bx3ktE zqiJkszN>OPy$}}}*~(b2tS3clybRG1_0YES9ijOb3keu=hpI4IS$bxduv>SOq%AH3 zW_Gi{=m!1{*X0xp@Q(8soZd;Sbp-~`CwsDIFfTndD17{O!$=J~ylTW7jgBEodpB?n z$O}`@CrBPcQeM1}T1n^b*wH$>!B-vi9v!e!oz6`}F^|OGGn3OlXWU#@ zfqeFr2GY=d#R^9cs8MNPg{bt2#y5y*Iz3!kxrMs_Qtu& zmYQs}NPw_M!z-Bpi=J=W+eE!PDJ{~YFm`;zVce`96_6O zX%y`uFMY8J*&&_#n;P_}gy>9yr{z@I9i1XFgEF?5%-Ix`UI1qk&7jZj5fQKB zNuqUdwbbAalbGK;)f{u(;vdGR|H*Xe^~c~-QTYg`KI;W3sat;Lt8G1!M7bp9*-hGS z*y$3Hyo9?itFgul)^ex~h@xN@CmIJbcvibao{ygb`Op=ibpCmqsYY_RNSz^M7H~-! ztH6mUw@%cS8l=>3q1t0k9T_x_ZYW2l4RYGZy$*XuWX_1V$a8*&27#!*h@-R*MCH<6 zoLszkG2Q21bo^WQ@!W)G{>!$~>jD>siL+AfARQ}ql;i>dG2++yFP_@iwx4TT=4}kx zpiNZjIAwj}>Z$spHay|Ew!4otmr%LA_u<|NCt=J}BL$8Ta?wAZ@sMpMjSm!rxa6Ws zN(TS0+rpa&i9vhS!mJ+?(Jx8w|$2+%GF{sp0<9pZrtlq}#%et7r_;fQ7}r4bWW596uLI zPrA$y;YFAi_(e`7?ZkSyC(})Je$2cyJ5TJKcyaQ<-u_|yc^8!^{=B`He-`*V(=Fcy zL>rRUZ_^#`T3;W%snQke+Rb77ZAB0!S9SLsO~zOp3ne_wf8MjTc0WZk!8fm5iJnAP z*1!FxhWN_lE0f8~d@}og_5(jH{PF{R@yf5fj5A-@eqmy3=h-j%P%mHll~?uCrAzqk zHI-!rNvE2$1qfEgc;%9R!v6|s{O77aV2sxnetcW+a7Ne1LNm|aX&--M;m1?|__g{N zn$ySY?cmC34_4=BIKYF%OUw?h!*T3dp|9pK- z+)tDLJ?-mP7Vp{v|N86o^@BtE`u+O)wYj-p6506+{O{Ob16>)FSnZ3E>+rUzy71Mj zaLIoiK_Biqbg53v zR7nzzBRjOym8t3T^qJV8D88|4uk08|pU|Tt`@fkk{k)?My@Gxst`zJVsuIO+$xIHE zE~bV8tpL}rI{e&G#AGwa_N4`wwZ-a;jTGHbeQ)K-8s$QUas7RIccA&SQBjhhH+9f* z<2^KzNWf|O5I+WDJ*ndiMyvDb?G9CLQ7G*OzJ;2yIT&~*|T z0wW!uN8Bf#**;i7L5e^^rNYdUn=*DQ94kmD_KgKV+32hvS8BV@(J2qiSny>kMUT5; zp6Zg(m2rw@yO|_#dWA zf4ex(!Ap@RJ&fcn6wFP^o0guI2*mGjG(AzgJ;mH-{;{@9Xj?$d*~@1;fWnp8*$2@A zYPQLYJAkaxA#EiGC0VB-&V?)3YoMXw$rQ07Qk4j0y2^!?sPrNlet-24wxqXtks(DI zNXU>H(U#daS!pgUKDFjkvkTA{CSMy()@Yviry6~{NJ@^>)qVJsNo+hJSxkvyauu6O z>H;YCAr@cMG;;c3yV1lsHv6wmm%gaY7Bsj92y^>JQ%)R1ZnSHGKJ$EoaJ?NW?X5Yy zYh7dMctcPdfw!^ox^3+oMH4iX0J~SwnOP;JYA%>})p6_{UntumGWOEtUn9ye6W#Gb z$as?naTTon#NQDCsLz_59v<1oiG$RWN5}X7HeGtY!#CVzd<9_5zXv3#PCQLn#8%_2 zKi(iScmTuO#?fReSYTK0$42Pp*TUmn?98aa1(nLVvUEex+qb0TtlZo>M$N&b6p8cm zu61C8P}j&*)X{D9*WJ*|JIa09k@+44)(uX_I;=wmb|5}=2G7qo58NRYq8S+wZsm6s zUL3V(&l$e8C5W05|j8g(OLYyPxHxgSYpPK}I%Kmd+p(SUnRo znz%T))e8jlTHY(4W)>ZKzv1oy1#Map~V^3+x!He{+nvlr;*{ zD{FKf1#Q?`cTW^-U2~v|CZdJ4fHT?cus`zJ|Hj#C|D4tiwI@9<{hJNE;i`G(;p?5> zZn1P5F>o>PQz`LGgy4>*h!42>H%6h4yzakAUV-g-?(*-9mCkSUpJ@QjExEn?@U?3Z zwf;g^8~l^l?l04QVz}&QS@zDshq=E`|7L?{uvlK1TcYPe>)vDC0vnw^ECP!LByWe7 z%!hl&hX)c|wKr-&WcZML_d_})4WcAtK=|gkkz(`i(YI~8tB20F?B7NZ{#7)#GQFaK z@6jI*2unb1t4)?mrU6h0NkFm8<4{aSfT~fUnVn;lRTb?7X{H7t8Ca`@VvqMW36px% zUqw|Gk1~jAJj^su0f6~B2jwi{BorOV3*BE`3P7yUX^lYB*w!&%i~m?l<4~0fF883u z3Y(GI&IUwn?HD9f$9snY>FYC|K^^|t&Iq}Zck4I}Og8P-ZKDj2{~B#3;YoXPT*>|} zP_Z2L)qw)UwJU;nm3}#Mm`vTxBDj&l@2IHZQn(D3NS})~c5Ri%wfxEeZ^l`ocLKzAu!JOMjI|MULz8{Bgq4Y{@(U<8iL?JFO$x;@5ar5yt< z){@w3D}^!U&1>i_bZVq;K^Hn0ac2zPaO;k3@$gz~>me!p>(2R`zeM+Pzk);yvH~q` zdEgfh&_=m;jbJ;l@x8U{E7vw|uDy5T@=DMeyyCxU$l*_ccE8F-YLFPtjjLMrLlo;T z{{&_FuG=|5=GpKZ6n=CM5td@|vwx~VJ5)2RD9TSPBXz!^|A+IUmq3XD`EW&#LesG&E_MdyLnJ+k_{MxUO1 z63y8U;BAjyC<)<|>I>64Rdx*3)_5TT!)e8<_gbO{_>RuF(Zz-$4%$hoUu5Xt9OpB-jNOK^#WKV$z4sN-J>wcnbJBJ=CM;T9AX>75PNsQZq4tvG z8xAffXVuO^OL^@NdzX4~4d+dT=t}V6o}v~H5J~Exj6a2%BE^CH+*K#FV^$}b>GT8w zi%oiO1_dcx7j|THVbldL(`$HN?FEo7Ci1)!!=8`ruPdq}QLnSHz%wJSMYS&|VD;5S z)8^tzX)+E>zG3;jD@Cr8qkFW}dZ^#z8GB8o8DIYC>C)dZbZi=pOwQv|9y0`F*`1^a zc&dsG*L&5D8hpn++SX%Mhb~CwBfA~B2DKFyM6MWh1Zoyb zcL8z{n9tKs!)JogY+p8qoG0}rX|!%OpLvPJ75FN!iVKi%b*ZZ4ZHVjf?m-h2+(njl zdhiqg=ooeHqx33q}ZD3OXrhJ=;fgy1K~ggrJyyr`SkRU_Z<$!|bs(IIgb**SZ= zaUH7pE5&(n?6`x|S-FFSfdi3U{JZ!R{1>aGffP~ukG>lR*KA!4oSo5(qq%tK7HG!AkdNrHi0>DjP5KhcDH>nFJqn+f4kTD%Rftv#^AKnZ1ale;4pLwB8q@C!< z$X=VHBS9K2LxYccUMcgEauUolLq}4(n(SGa7+X19xlhfUYf>--yo%miw_2=cY@)cWx&r$@H!G zseY~WXs4X&blPf;m;RRqhMh%;VsDb|=M{GpMx8Dd!19JnxYHFmyC-!t+dN_o25w#$ zZb-OKn&l#KWKx@RK+XEyY*}C=_{QDqj`T7ZWH_>=v01yObjx@AeC^5Os3Iq=)spMVGd9#ry(Zv1;vcVbIl zhb`>iWNzM@^W{IweFW1D(yo8Xwzhe0Q!QFr;Q72)uKy&q#xu~t84mr1l14mDpp}^^ zwt7sDereA`4hEXdVRiMH+|Z-$TaSi1`9bWnhO|H3);5so_R_=A)#PA9l^Mxx%qEw+ z#MSh=K8b)pj{ve5#j0Sa~`)Fl&7!!omYs?>E8J^axJc4i% z(BI5EKBZZb!4l=?R+T`3?qn5-0zrv7kVoq9W~S0Na)EtXh({q@@-Un_i(9Aje4!^| z9JYNWo{?`2CHqZ`|J$V$Z@_&{YV);tNkf)gU8fK9W^46aytB*khVy({j|YhuD;9Tq zaG>$DDd;-;XD+z>TeKkW%iI$4O;kO@8c54rI8Xn5tg^i4oVUBWystIxpHsa?kF0Uw z;Ad7>w%taR^4tQm<|X!G!7#%r4$FHI{ht=*{kRq8^<{?-sR~ydswn5lSLO{Qv5u>c zsWk7)+-maXV{`0+0=;K`u(S1Hi_&s!{FLlQGJM`^!%K!Vj^(4@qo&<_EhUfwlB)Qo@k6 z3UR?>3n{#XWq#|j7HPmAnYDzfhq0EwlL+n24jC0QtkvQEQ`Vw|)?+!!hOBk^F|pR| zkHA{`HYs7qTGXz7Kn&!^T?UN1?>~k3?fsVbb}G?0~V@b_|>snZkgZo{8hRL$1lIelC)} zTFc_`Ga_EI_{niLH*Qcf8X*!p?x?9o6Y(ruf18?gGV;t6Z%81Ehb2uCI$ITk^aCpI zk|Dhs%PP`Q2*~ZpssQM#Z}rk{5~JV=4K+H}@qR0G2L(SM#UH1ZMRW%@pz4?`;O6Sr z=ryY&6rVXTnyW9N5LYWG7Pqt2WHs92SvA}VnQ17wIo=rd(SXezRztR%u$AZvZVqq# zs_HR;gd8~Xqlo<3tQLIV)73Sq_Od+J8YD*53QiAD_UI*GHRrY&7I%~X?_`tKye8Zo z2)(WdH$FPDj5}~m(^bUDdJND#q&0x?d)+ifM-YiLEJ`KTMgC_&r`LP(V3qmLpou(i zw2sLKI}fb3#Aj6|#!Sjv+-S1sCO<{_>^@qXH}00R`_pWlwguIxp9BtY0w$u$sMqmi zzbLU}X1$HHt%;Mm2a_^ll`{q?BW=Pbwf=1q8yV(w@8k|Kyy-n%aIdXSBv^7u?6<{F z??xvvwwu%g03!_DZO^rqWVKC2o4^BnRpUfU2u|eET*NeIQe_8xFU;PVmAQ>}n1Ug=rndgrV;fWIc z`Q)&5_{7bIIB;h21d$B1`0}DTt0`zj6y`=w6Nnxwg&OGNHeIPL+dT`!kkz#(-~T=p zN0bI>d%1qHk<=J@2*4Ji_D~d47jG8ACd;>!4=hxg&~SNYrd4En z*WIKHp!Zs=)wG(v1iIja9O91MnyT&1Q+D<3Q`4nZwalM+@1W)4{=)c9Y9UW zrur7=QD4@OSxN)E)CYqSWY*-3B&|edxSL)yi}-VbEa>gRiil6+e+b$s7e{Q<;yL;?YFw2%F&l(vY-fdFyueZ631m?(C zKt7B~QO;FC-}ig7bk5*XvUrb02}b%qEW6guUwiADlXZ$34v#6}L#;9mt--AxXQ|b& zec>3KGqKs8S6``4i4H06clX1i+H3Ix*JAW*nKvlizmGW)cRM@Nnmybx093E+sURBh zmv=$g=6(+yL3`cKr(M9+^3d3ODmS4@gzSlcL8-I%L@%7kg7ei^F2IT$`dl7u+Ci71 zJf)!P_MJy3p?{^xzV4>-=bQsd1TOWolgd-Rlsw-y#;(>zT3bP*YF|`iFThK_9a7P5 zT34gV600+w6S&9>ThHQF;hKgD0qEguH}-0%fv?$KpY>CADW@9kHXX^ZgKf}9$K9VI zz!^E7feWiKI-h|9a$jjo5cD^x$8I7en|}Uy^B#KE)}2<{{Y>rW8ikm?M#r7MIEQhl z&4o>Lz@2<>@c*;-t|6AE>3vxBT=p)>iY)DnlGw+JwOieup4rQIEbYuL>FMs-t!z(K z(^azmW1M%1QHTraDoYjdibFzd@CQLY z2=c+?LP7!#2_%I4e!u7T{x7GhTD!Bm?52@AINX`*aujC$gA@ z9o7Vx-Geg8Oj>_{(scT-fL9oE(@#yQab;B9Y3bx*PIE9t%;fWfN zyUfFG&O){@M zxK7V-h#3vQ&@tgDkBF*2iD{Zfu>jB}tvyBx#xylUOK85V6VNEh z!d=BVM3ikB!D`B8-`4mw5SMcs+mYm;GlQUk>O6KX2s=su&o=s`X?(-Apwk14CME|L zZPX{7{4LI&IK^ksp5}$5k(& zAT?ay^e4}4)mvzsDJ!4Cln$&DJ~zOPAkqs9g>qOEU+2~m!6$~*X?#HAgxz}-0^(rC zWoNu^z-DVGe^tl>!7(ZsaVXkPAO_U5+GW#*BkPHPL-j#HoQ~8}fYvMI26k^4JwSMU zws)N?L?js;+%J`?;fT*Zk8wfn3xY=sZP~z>7?wc9^wHX>hRg7BU(mfNZt{bN*lw9l zU=^?nt}X*1LbTAm@#%PewnlZ4qIxeJK)dMW101B@<-t1QI238KAzZU{caUjxfFYaa zua5}|_+QFNQ$nj9P@iUmvLGWixJOIZ?d$Q~XH17;wE-qs>_&wXER12jb7{C8@5Xjc zR^1%yM_a5Z+(VT*XT6#W29^ZF3Qo}NgAanWu+Hhc($3X=u+ zYe2?kL$0DTg5CBhx~eacseS`0m^V@8x6~YA&x*b1Ha8#2_*+8RM7^VX>ue;gyA!2YA=vD)Tg)}eq+=`Q<;no9JtuaG zi`8wU+7a%70km;{ePjLYI~zB@9;@FwME7INiLNiH)Vtz;Y~nz53n{H!LW~=VsBx# z#ZG?7QE~g58}Hq@)#3sOlO<*W5D!$BgR}HKi4JIzIJ6fJwwn(PshkU=WEAS?Ar3uO zfSS3j5WYu)#u#A(O*go|)=2_&s)=I1M4;l~^6wOc?5I*2lWjsqLY%=g$vy^iP2G>s zZ=9QbG)3U#kP?DQn_*ULp0fy?^??|ozgvoi)tmpoSV61z5szvEJ+Z7&f!eJVMQ2OMyB2L z90pR2SLZ~>Hva}J0H&M$a5>RoXO_cTh+~!=sl$CDT)L0Fo@@>FGa(Lr%bjprnQF)i zc1KhcxHqc@`@vh!;RRys)(gD}sWz?xxA#%-(2;J|e5Ak{A-geD*flh7-?;ToA#aO9 zj%F=M8rG^?wyl0Ay>8Q#fOZn$mr>i$2dyYGNO^~crH1KRg*ES0LcYpvHz0UupJct$~*B@#7z@Vi>zzg8Kh?F+z&TU*c zHQ!ZGa;dfnd~AePlr)^};OrE(gqLz{<0848M{HCBaR+XesDbas7#{<=uw)|jT5DiL zc0Mz1aIdAg(Ct_Tzy#g{<1O^K7qgQSZa(El%+XdSAci1?imi&0-p#eIZf&KB5!7)Y z9fLF3^wmQKnMabh%omuP&S?>Z|6ocG6rzA6CK>JnQoqk5+AFmXTKAszinQ7M1j0c! z;VYu#BV8+1eS;=Q?IQs9o!J9QG~%e?KU%w+#u{J4XUY;ArD;6m0~pk8r9_{i*1vJ% zPOTK`y{kX9x6a+RfvvX0;w}0XO^BnlM~z5eRfuJWE<75}FgS0ymny?vu|Vcv=L{G9 z_<(#R_C-`#BPI!h45;z2IWe}{NX+U&T@xuY!4S zcxVArh1?*3kPpEX9@lxC6wyKn3tUis6lP8XD2E8czPfBl|&uF<{8TeEzQi~ z7wFW36|Z#m!)Ro_WG}-Z;wFXAZ#S1K*2V6JPbm%&_(lec_t6KPSaiNt7%#h_%5hDg zAbN$q7TgkcQ-g}PrR@Qc(fgs;cO;G4DS7yh7Av0tfotcn-{;&M*SRZ>(0~skzxu+T zOSrpK&F8oP&#p3K%}~GhWi?Kop-xQgIZS`GxsWgudEJrNkh&3ePIfS&u!jjqj1Gl4 zrzPJPHmm@kUI){W+38tG_Boj;`Qp4hpVoQOHXz>XsNT#`ViIoYws#<0m&4g}w;J;p zs0gZ|(FB>C7zMYgij~Ntc|d|;t2xw@;lF}af7;)uYh`lQa-q&n43nF?QG+%{E1g97 z4)UudU2RXs7G=z9!9;)wA&k!f8iW;*p8HBs0g-4HYlilS2W)@ zUwVTK195=H2oV#*=)KrWtuo!4?`aT*euD2-F9x%P)yrqVVvJ9hcnv~-gWm!<$!8QZ zKqB?E%g)p7J!*Zw<0(Wcol2mm8B(z$=h+}Ln26jfozfTunRFP}2uQa&A=bF6C&2vg z!5HX3dq0U;_K=KfK)%CbSnyh{dqg~uc+jWW5*U96tx|5S-J4uXd@fdg#`srM2FZoy zLLc{~)gjp{V?9CgsX#%9Sh{D`ONUtLtfv2XA)uG8FS6~3D|Qb;Gb0N4IxB07sG)4x zt&OxvO>J6%5ean$)t_V}M89mbRgi~B*4^S6JC7NVtx#pHTFd`@Sly)z|VGQ0G9-V3OcVcTQPoJNDv;Wnak%3>+^PV} zII8_o1A%qI37(yu0>vo%@VjJ4^|2JYNiFk&Qm6)sWrVNS8f}`yx;IboAhXm4sD(?dEI7Q}4 zQR*)`V%9k4z-5s}hU5TajM6&wa$jWF)p%(ukugCR498j_QAS$i|AoJAC*ziwE;Vc@ zI*3vxHQ>F=T>x+am}lLDs_{jcF~X#8jcbVm#EUIj!E-%kqe6m3zi{>$pEE$s)D6}= zMtN)M(kLRwDG-t&q#;;Z6G6dh}oh8wvG&$B%Nj%GepXHK(Lg$C%vXfPB>ifH(sTj}FlXGJ@NTvFr&; z$(qq2R>9LXr0X+$*LJ5@Iob87FPrW*7dRLP1!7{&5dw2p8&CntDi3Rz%K$Z=?XA8k z!wWkutC(%XP;{dslMT@)yNS`9oz`V&bQMwi32ursg`vEIo(3S$DCsnUzGmF`PQpus zq__7A=sZ}fKu23C1Y-f;)!;x5#1*rg6jhWTK0L7o`wx)>Wg&p2O~36NX}5#@ede51 zKS+bC#_LI+mKc?3#yEL;l?MiKFVx|5w0>uJoK#}tT9;*G_wTcAXSOq0h1rja6nm7k zMH=q0`9NkddQ0R@zRbJZdm_cf)iq!3muZIG={?hk9m^cAe!e#+#ol0Eewo9r8q8NG zPp~Fii~R;W1F-c^tMXk4IY55Q7f%6u;9{~G3uhZ<)_9&f1mvU-@z$tB>2IuwJ%S;F!F0}>fWC{ zd0#$QQ~zj!sA(d^g8_b)2?ll4$;k%+1JD>n=wAW=3{4oWcj0hE~ap*{j1kgB!i_fQhpH$ai~P1__-8 zKZIE{2T(N6i8Ka9d)f3wus?11hH9QpCH={I#=y+3Nz1e?=g;wo0)tAWrA#fViPj>3z385BWyQ@ zRq?mZR`jWxv7BaS5;^PHOJM{>PD%S`sO!R6Nl*cX<#ci*si%C|&B*Y9=tr}9gXvZJ zesWT*Xo2r2dVXBe|T=X^vPOlsdOR0~WrvkyYh5 zLl*fsT&vg4vfut6E>^xkr9%y)WT!EV8}J86cI-^98L{~x31X(7_@sIZL-zUhe00Mj zd?t1y?N~6PPI7Apsj=OAwUUs>4`=Y6y;%o{FqdT{eX?){FoL%)ar+V(*^ScXjj+0^ z&_OOxLDPH86n7U>O|*l({Yh zcIaU;X-Mr`BN}|9$2>$}P4Cj^Pg-aoBC~R~b_&C4jckXbA_VC5GCb;rS1CIH%?D)u z(eY(w+Z>4A)S#dooK9Sf76TyG$dX%z7`S?zD9Ip$f^ZaLxt&YqjdP?-OVzCMd+k2b zFc~EvJ9Kc>Z*vm60Y9F|2E=}kmECXgV9FA<8e732tP!?xxBmu;MEqjIwatym&8rel zRF9`;CydZw16pd0V;&au(pdz?sFb7HnQxil*ao!38lJ4Le3s7%m2aL1T%@a(XKJ8rU>B(S}ixEg(0iUu%&- z{z$isRs$Y7VRJ1nsc1)vmDWPjN9I>6V^-n_(UxV=F~{ZMEzXgM1j zI2~kdt>QohZ%3n0^?=3w>r={99gDLE+mSKrxLruqr;U2RkSVQUd)a@HLZ{FSvG-Ln$ z>-CM>>s$Wy7y<{0%l@sC(bDC@izmA>@_&UrWG0aL2w*UzWf1-(y@unEU*dzLTGQ4L z2S<0(arnqD<=$*j61wTOGjtb#Ak$=h>&|apij(}|Xm-w*;CPXTjCj(>tMW|*rfF&M zCFR>iRLzq*Gn`qmgqU{ECM*{0+buc)8@kVRnR*9B%BmoLpbAyg9Os=h;i-lSkA-xC zQYm>Cs>KQur$Zy)T+(0}1P$hRcV6e515Sy+k|GP?WWAO60|(2>n)~oxOk_dylrj}1 zGzS5jK1JmsAWagk@Bzfu@V;KsL;C+?EF%v9O#5LRnY%=_R=ZswkbPA?P()E=E;-;a zhC_=6tZ!^>;y_%{7ME`%cLG1w^k`?woXNgjK6D*1tI^l{#K=6)19k``NxB-bfz2l4 zo|4igtoc?bw+6HGGhs60GS)a#IAmu%#D)T1i3^h+>(CjK8ZWJRx3xL%=oxAcq;!tG z5N`>i;=HgQKq|u{FK(c!fRXI0m@ua*NScpn*X4fQ+*n_)N52j0-40fPBH`ZffQ|BL zgYBtza?BB74Nr+CE$u64=?g2oYtYc(uwT*E6Kd2`cn}qcFhl8KSkuJ7Jf)19wKxB(;&vFf|_D|78cf4B7 z-#=Qce2!jvkgT^ZXkx)0zcL?PvOPjsu;zC@Ui5peVI2I9& zgeY}EmMR-CIo22tcjH+0gjqK3pPN5x`XRmc6gM??H0Ut5bC|2~<8ty{#ZUEWQX$NB z?u9P{d%@UOD2zS`8cJb29sg3$w(pr!Sv-31$RqAdaGNpGVxVtiol)dCGHi zXm;o-knFHt{EuEjd$iI_6t{X2VnOmA-2}r7j#1lM_{XX$kXx|Lk}(|1Y*KqD6oxTQ zY=T))eUKXvLt%UEoPqP>s}o8`JnSh&oX+TmI3VV@fugY%X|7cZ1+eTnFEK?mE77i_ zpR~m!ZkuJCyElFFPD4RJn%wqqDJcxFQCyZ3a0yUAiONX6D!I4V6ZgAspwt^O=vb7+ zRY%YJ(OJgV0Jcc^gU`_?;3wJw#TdM}?`Kw4R;)k&k1 zYy2;@?9L-FHT281;_c8aAEj=Lq+VsgZ(*YOd&bIa9VZ^fJSRS}wty11K2VhC z#1v!nY-9jE(UjEH@dqKLI#W`_DqfEEBejaqK^Slh_HlWNIm3aI4%%t8!y!wF38UFq zPZ21XAE2TdZU!^j9+P5Z2}g(v5Q+Au2sb^EmCdt|@OpL>U>Fh$k0Fl12AhmilRP*e z;qrCeyZu%4QQ3U&ZAY@(Vq=S*%y4cHDgzcm7rxl7F>>K_jWX?~Y#`QfJf#Msg@n^> zMf|X4cF@I-N907gSl*gzXjTWfp`}7cXsySn*r~y^skV;nT1@3gh_pQ#v9X{)2>Sk5 zKZM2QJ8Ea=YjmQM5zQixhSSd30;{y-Mi^*I@J}GmL2JRG=wj3a10vXR2Maf7b@pcj zr-8~FupJtMY;@1rFUjbZ1F4HaZ-<04L_S$z>qvvIhIh!vORF&$W1HleYK z2|;K0>xlK=KgK-4`I9vLjD%YU(<~)0DngSiE-f<~^&)EJ-%161e#m0zmL_$Azfjs) zpV8g;G3rr-Mfl2oOv;1F2|=fZM#Ai-mhm;u)B>{v$? z!bHUCSJ8`DXx4QRHQa+K&RMY+*tbQvO0_n$%Wh?%l7J$3GP`H6&Le-0z`nGf7thzQ z9?q;)urR>#5DA?&=uL!#rjvVANGFqJfQO!=D*y+4ae|)iWOMlZ~ zqQ|G;ac~R*$dIT}&Xzwrk$Qr?@4drE1rkDuf8^guP`Z|tqlQ5bH_f}a@u&#$K5DGV zqZ2uj$rt>|u(S1R};TW6=4^+;8|rc5kyVAH1r*K5bPD5*F#+GRL`Hd{wGZ-vMqVmt<}E(VevzjQTHl9zMyv>#pxzsY z6f=k%et@ojjqEaU4A0P(V3V{76H5LON%N-kqEf}U<^<6P9wA(g{Yl@Xsp8d-NR7lZ277+bRwHIzyTFUxcx$vF3R;Ym!dwC zxU~aV3kgd`=`c8saM47f?bX1!Rn({aSnX8%a#(F(0Ba+~nVUl6BAtlLVQCo`hV!GT zNAW`dI_@theH;i_pQH+`UZ-Y^Nnl&3e{q}r60}A^R+QU8KFtY6t1uk?*K6+Ba-N~H zmd43DBZ-0;OUMNpV+UPQZ7G?ZZEt0})QFxa?U@uU;;rM9Wk~J7Y?Kl=dzkKERtuN^ zVd+Rf5(&i~U04dtcXjtEGI7?Opnwe98hhW*>UXg5OohqdI2@@m3Y~z^aW2RNBwNBk z;#0zh0P0l-56Wv9BE<7f4=nACadXTV>J=3vqpWOLe%qEUqCWUZ6uj85!{=8SCuW)2 zIg^<{#$`*>Sx5SIbxc!ufFO2V54HSIRW8YNO1 z-#Cma`>{3K$m>Js!Y*viRjAP594k$B5Jb*qYoQ@s#slyj7h0`p!?x2~JSDw-{Os0S z;jqJ>VPst1daHphWyVb?%Dc|+v~`9dZILPS)&#s&K|g{b5Lv*{12)8W_qZl@KY46N z-MzJY(`M4)1N$Dqdkh}c8UsQ}N3$jrl`@V#P5>|{=ni+h1-L!tpw#M{pn@jVs26yh z*yu)2EOBHDP>tJqt)_d7lt+!AE)bZmuzG#}QnEOo3%^G1WbrdC1H|);=Ir@%Buvgw zrDedH#GCipJPnG49VZ+05Jnx21}joS5=xk;(j2B5wr55ow67DH-UUgntKf8AU`$+??QS z0sRR|!5T5G`KVdalmC>zp>UuM39A|10m~Gdkq_fB=F6<2pgpSqDW$T22Cg?1&+2f` z=!PXb$)`l+_$b7*_>o*iG??j4*x3phZ%<(Z@<^xt%@!#;?Sf9 zzxa&0O=RS4*{%mEyF(3PhN_)+CJ7eE#5u}^NF1&||l-A%kw z-88{I)j5)m96vQdI^i6ru!S;G*1N4OpnsFOBP3pd%fao2Kkh4kLEpLS)xi zeXNhvz173k7?hYCTUkAqi@gErhnG4#{*pzxt$FuNu=N96#f6LkctfcIuQ~d{tGMQ_ z4c3S%mtZP)nf>g^ee{+|5XjFn83fIVzt4{81s7~2WXOs+|6`WWh3JflLO)UxK$M{d zq}}0lP$O%S5OM(wte$et8{?4}5{$tZjlI<={f{Ra&H=H(p2*|JC}t@B5r6vcmiVeA z=XQ|xI^l@a73m%z)~S&0DU=HnNe28_Nn(w^YJRwP?jL-;NaH&^y(P(L=?B9 zZ9-^G@cIcxDA~bqJiZWDV-<*D>QqDlMS}4A01-onchCMzh*|iioZz4tC=(KvER1pY z(C2MNhvbgaCb|hD4mE8^r)gejCD~ z?=LS_w&>JSj=X~9bS#RHJ1Hyj31^M8Jt*NiQYB1Gv~^=;3r?hjd9qUNxwj}Ali(;P zfjySQs@7gIPSwS@`#9>nY72)e`v|D?p|9(e#mb+yYj&9?VTt4nwE_OkE>03C-iMPf zD<82hacyXVy7&Es^^1#@U)npIfyEk7?TZeiFY3D%(8KR9pkG?7ysC==hnQ`@?g0JC z<`*E_G*p89hsiji1&{y*idiU07!Ygr8W@Y`X3Pj;l-mro9K)psL~q-I0({1|NL{;~ zn)M^JZRsAfr1M7<~ zQw3OWX9_SXU3#~EDn#Gy{gn-1q;PG9wMT(mpy9;eD5Dw>^ z@70L9$`(RGC#i@{$kH+HfO@2cQT7MIz;2xh!{hq(>oO>6SipstL@op?`zE$YwV0|y zRUiy%cODh)Fx7oeWnS%$UtHwAb7zfopUregGVsh32|XPFF(VOw{uW^{6Ii$+>MZL8 zL;+lT*5hMEUxUvGbC@oDEqr9xxko|luw{6$j!8>&bR0vqE(@oJ#ci&Uxo=~H3%6*5 z6ftRMB0zv#F^(3&Mi_xn90x4cbahe;F)?0AD`suRG+Mzt@B$a=VQt(Bj}Y=ojU z#6a#vB0z&i$xACit+tC)&7Ksf!*<>v5C!8o3&|2Ebg?*lP!qZ_nO`)6$zV#-(qG{8 z1JtTvk#>!PNrKjgNK2ja1J)|Dw0qNSIrNxoY=1=$U^Ak`bGx*0hl?rL%y&7Ry#GF| zF;9u1R!=gURE|Qu4_L^B%y}YN1g}e5X&zD1w!)iXV;&n109FrPoxUo*F|{Q@z;h&Y zbg&6S60=L)c%HqbQp8*2$w7EwT0`G(WyZ6|*99yE-TUu@-o4o;7Dm)yCkg=pCJhn( z*nkeo01MztK?16GIbx@+i-~KnWMU~dY)ABDkVt+2G3OE*qSZ3;g7Z!KFEz@@swBER zc`286flN3Z3}hEH1eg+dIUEh0l8^mFAa^Ux5*~gNpxyLPI(S_FpeqeX5fRz~Hl?4X zjDL8#(zItndtGVBQ9X8L?vBO?{2~Pjc?_XrdFf0ZzfC^oABBfG7?jCpI)*qO!r}#0 zxT3ykR4&S)9*hT{t&u_@O9&jF?~hGlw|VWj5)atex{k)=xt+>-`@PLFS#eKMOoHb4 z)M1L`4dEJJM2as3P}-U}vXZk4_>Tw2Sb75*r9c5+n&wLFCwbGm1}9Pvh2sEKiB=9q zv*$=%R{TsnO)FBQP3>`u=CvA2z{qR@q_{o^pg^kFMLdZUP6UJ^qQGl}x-LkVcX`(B z_Pckt8qD(hCzIQ^zqPixRnu-^wtxpAQzE)cW_BY`Xg)q(myJbHit}rYYV3U*2P6eV zayYO-Rd4YT-B4n_yrT!iqvIIkT)NYNcQqas9DlW}snKAnhtw~?EF|bxK4n5e> zU{}x&j;hyEx$ly!L+uD-*KQ`1++V(;^^MKDRjaR@buGn|upsxH8KXr{bEpX*Im<&q z1t!-8Thn!?sY&Q*>p8>gZ_U{BwYWTh?n9X*-{}-+rM+VaxwrAoW=%wVq;hqX9~^(c zbMx8l3*)wA2N#bg4z_Xa>@lntVzb6GP?jU_Teoh1qn_sM^sZ9ZjghgiFtS~gF@Pmn zG&Fi%!#OK9pya#?F?5dUbOIG$TdZ^%8@giZmWMjKG{Fnp@R4^?U-^4E8P^zA0v|~k z68V$pbZ?Ry8C_hKV?<-0J$?bHylA8L50DwPmg=r?xoTP#N||-$lezG$?AvW52Zr~=Qu9bE}SfVxE4uUYyz0OEpedn#d zsL~|Jl@24;TeBF&1kGD~O5Sb1-UHE2)Y~2sEBw7lqpL_)RSj5Ut)e%@GvP23QOXE78T|omyQ(hFf!P z`*$%X#|D?U!MQ0w2P>&#tcSdhI$So5*aa5ruW@3toTS30sEbpEWco28DekBF^fyNC zCV7E{hzwVQPNz?TOs{-7r}bI&bI>Pk5r&tB2@H_jeCJ`1(wp2d9At&Cjy6#w8%EF? z(Yy}7meuXi`Nusn5WWk6?x4Qi3aZIZCbO%4g4y|m+hgl+X&Dl>-5M-n!aJ0q@_-}c zrriIv(g!-z*$<*d#Z#M45$!vgd;_!2*;ynSBh`{dHUeva5cZtSZ=l^5qiu2Az(n_<O;TY<(*-ofDAX%P14?c-Ya6F>x}ppVd&1CzDK}d#R>PeH}dy#aLxxFhtA)HQ@`6q<)yPtl98f;6vf^H(+DzeE;>rcq$C-P?H z3ZzO?Y=A0)pK8+F6A(`~?fv4Mx#mz=O~YA~Brxj*^^l@%(t4KlEtFg6>rUP}Tqet& zb)q4%GF#izGm*U*p_zvUxxa^07225Zd6 z<#$__3~w|C?#G=$x7l1!bL`}T>D)eP*Bkvco8EJsbib{+V`pM%bf{^PQbi*(YU84i zKd;$yF9>Uj^2M;7fSOw35TMZmI=Ak89IV z*)Vj7$D<~=zw$9EAcZ6PxtPU;J+KC_z%UWG*tY0`^FKt$1=LCJqyQuBMO*a?%P~F{ zPd-7Pl21RqpdRBz8BxKm?Rb+4j%*uPXeYl6X zbq=V^BgSDYTLEhwPi9gIOIG0Bn$@H+=O*ncyfd*;Gm;0KHBlI%O?dEpTk7}5ZK;oF zv^1uEEKu<;j#&qWh%^s=ED-UpUZn3nIzNGW+{u2-&wn+^kz5{p5`19~ha0`~aJqxa zF7mf|fK)^BET|daA4XgZK{7nlh-c8g91ey1*b^U_7WfZOr>ECv^Xmu}Zy%o`2!Vef zI#Do5*T|B)kaH%+5>8aw0t^a41I1YQ+qXAwf9vkO0F?vT59=H4_jU(?DhX;pd+^T9 z`|sY~+`RGCY9h-M#QV6d+4E_UAxftUzO=f84M6miN(T4fa9M}W(`Qmkaqm}89dW0y z{5d08h}bV zGP*;!j7gL?(&}&?~ zj?M1Nv+9$zx8I%ISo>Dgs~0pwS>5Rd{ONzar@(?^A}0SLqQ8d^Qh`+pky~Uq(i1`8 z$*EW{am>JwqDzbt+wVE${1m0xrRUBqiK9*hG%??)6Re`~Swa~}1$97c-3e?&3zAA{Xn{&{jf zUX1OFb^gO7@J6KOJ+~hc=R}W^4~1*~%f-si6xS?a7!x7K#VYgK_8iJ*(hG&??Z&P7LCPAlTg8O25 z-j#4vOGNu#N$B+T-No=05wM$a@XoR+EwHr^EKlt{=v-vwE?!bIM&xObCyjIY)=wpj zFP2bBO%|Mh&T~9y4nv)(*@tsQ4%cdDW%a886z);2!O|EMR&5D!r5oZE3LWBAorUxk zat42{h*8F#S7e)ko!MaJ3~kJKnkJ^bKQ>>a;MKeBI-?^6s5wLmZYgWkIWL)3{5$CQ z#D0kFoPchWCNvgKi(zqwf4o%l5ntB>Uq!V7d*=woF{#jrFN!(xmL$*bbsw&=6X^uu z9Ad+Ty-o+(LzHSVrVD$TI|KiuMzr0>!U47x?@DSh7p$_sR7h%OAHJR);t;%jAK)NZ z7&aM7XKHXFGoNu|4tF!5x(nEgLCS58gSgF)YU5JYTt$huFm%V`B{rJrlr_cQb=5^s z63%z;VCUL2_}DIEhmgZW!2~3L;UvqGDG@Ainm0kNmg`x|FV3>VnRE;hul4E+QZ%c? z$cOHidPgLco$$!S6zz|Io*I!W+&{!A(?hkz(2;)wt`ooZXgu26D3sr1q>+^77=pgg zI^swY_AH9{?-wh-jWIJ9j~`JhV;z_6K@i-vkeueftzHY6a5b*M?Y)6+YSa^}*Fb6c zjNJ_orDXQk)o@rpPSKAE-*`PV$a8-_kwc0|3(KAg5(^qsnXUJ8AR0Q4_aDxI+j=Tt zk4rW+6Ep=fNf87_3+b+!W(XZ#=X6@*ox`|qyzXGQfJn$q@z}}oCNMlE45_l%iI^;{ z7d%_f6$3H=kM8HDRytl;0XQ})TkR`lWPTO|Rny|YBr~t?#N5p|AvEeb^*3IJOm*>r zI#(!Lgp-OAi@`T7VD2KhD-cttL^%w6Nyheg0z}!J>@KSeNda^&=1XW6JQs1s|FBs3 zz4JMP3w~DK;5*OG9SrA`z5U#+Ty~c;_5%G$Ta-c!7BZGcrKTHSEYU!k0o$TtE=LQl z!O7M1V$OJ`Tf9NhgHFp~k@Y$|mf`BXCNM+X;UYbWzoW~YD}&MDs3*z9N#@J`;?&b62N@P-6D425PM=+G^umX@eFsTht?_q%tU zSt#14mO-?1)dDmM^fp)9SmY8s!xc{F+=A(DbHvO}B5)1)r1u!d!qI6GZHXCr$g;2-WF5R zR(>o+Nrj6$LhMKdXaxnss(=)DXR7}_nW4hT9R>S5pwWbJ;}~5Uj?WMcFeBk}DwW*4 z`L)}(?r&^;?e;xw%&-2czUHY)zkY9eIDY66<7LweuCz~=rmUhz1_*BhPtCJ2-q1`* zvl@9E^FpaCc{}8h$1O~4&vr}-;~_tSc(7Ar%VNdkDNR7j&y;YueaNPu;YiGp#QINg8tbQ)XeOm zlKyGX^7DWB0$N7HM#Nn_J9_i(d&&)R1Sqc4N1_5`{ zx?R&`_U+CItl9;89eRMqns+PygpQX-+b1<-$7XI{Pahl}Ug>oUca$7cIc$wqM+=~@ z5w*c=Y8pnEO<)4H`v5N65XMCrRM5fej7>B`DJ4VH%hsy1#h^0R%yr9{FAbJRj58=! zt5XmYGWRTVRdy1es<1hghn+xwc`34h(^ix&Zw<=DjOYi*HR=**1N2b`cgrr{bwdr?xQaDg4VNNh$m54>(=wPKfwUI%xYmV4 z$qpUMr=}lTyA1H12=F4#-e+_da>yNB5K3}X3BH;L9vz~QK9^ei(ZX|UW9k-^we5=(e31=(ux)N*z zbv#%e77#~lRzRRpj;SF6E$)NVT#1x#Qn77YaB1=g_wr0}^({xV;&3z>r9+g6pfL5c z?&tq(Q)h{uN^@99G`&&TDzlxL$5Ly>4?}ulvn7)rvMCiu&~(*Rt2@f zegLa6P=tKJ!QR1+u`$!t`?#`r&H^w+P{@!qSg^St5T`A|h^-n<_&7_Y^av_*;0u`S z=g_t^nJg1-++X;wvz|MG>>kv%2{93B`3i0hHJ)~iFYi8= z<94$rsYYC=RKac-ln3ibAMySh{6Q_3w=Rx|jY-C%Rgn_cxgXw~x>$i6tu1*0%#4)= z$_2H@cY$9Fh6BN8$b{BQiNR4bL1*mQPT6_I2;-UQ*A207pLd@zrn=me{-O7r6TPD* zI;9XJFNigOf9$H?gvu(Qm(wYT$AWHw`U9u*b8(0Ozsa%NO!?3o)#5!ZbH(S z2q0?8fyL#nU%Nvt+gg)rxuw-)L*0S9ynCqUNU z*;ROwD4Lp~CkgYow923y+90V!nBiJ^_#w`oDWlfpAjhMpTXU2h`SI6H<1fHgn^^v! z4fW32JCQW(#bMuJ2OTzRv=1AdZjy|#+5q>OW@?RnUNhFG#9My&F$;_-GJW^}a& zY~T#>B>s~loMsns0LERdTw?%v=0_U8TdwVSsiBvQ>H$;dV47|Tf4+E7FK zn((CQ0WS>?ei}-K@?R0H<6heKDrpkL<0m*adIvKfall1s@N%{C{3lr5TG>yk-EcSKRN z2x|~fO*llcE^~ZfNVxQg8MKq#Ndq~{VtAvL+gn}eIz&7qxFlh-4W1#-pK@bJEz9(q z&w=`8HX*fY&{oS~!*CCWj_rXS3X3MUb)87sjF(Y>iqKA$CMTc4iWJ z5zkh2A+9lblPsv!7|rxszZNG1f}#Xgv`4kjtJ%qVuNs^8m|LR70&eVd#C(|Hq`iBj zD*L+oGD2B?8gw~n*Zi^B`OO7(-0EWGjmB1nhvy;iFp@8f!hg~*_*9qBdHDUs3ynE6 zjD!=pJwJf8OKS=}>rO-hX*(n5cW@M$Tx8JO)dweUO?Mt1+wHMkdqvR1ChCeAvsC($ z2bLG&JV)r0kO-R`9HHjy0Krgz#xyEw;LXWdMaXJ)f^fOz1>pCvLvb9E1_`R;J&K>u z+o^`L>Ne}7jc4eJ5f+4FE%L_Jo$X<=*8O;kxt=(abmQ2R_Xl3%mT0_f;9 zcyNLmZy9V63oO^eSJq=CUup5i8G7VX4qk!2EQU?_&8rq=f#}u(yRxp{4Ax~Z+k~O+ zhY+b~a%{FY#Rh=;NQ4n_vj>L<>I=`MivX9cA7!i>*Qz44;$7r*ap(nG&Rg5|*jNy| z%p22DNr)inc+@X%xFY33M_-?vj4~$>_LKOi_Ob{p=*u(9B2!Be2dy+R zgD!KNeEy9NRNh+D&KCf>;b1vdvp^dU6+aL&C}U<6oJA77v14sDrf^`1hygT)TdDHS z5`O*jzY(Y|3=4px1C&Xl^=yNI9t4JSGAj{)A8l5ZU12AdPWgqHvOw?8&Gu5~tX(sY z(BS+2`J7$X(G9b<7l2}&ERBayM)4O69jpFY z#u%^$(2-?2CrCC|v+S&E*2deqnV~s7fR^O7qZ5!V^yg@n>V^kU%!uu5kDKYm8;g~H z@(hJw`)tj7`VfcUxE;u9NhJLG7ts*)kB|I*jlBsZ)b3|F$a8-sfgVJnfyHhks#8PK z{VbHA0n*ZWM`E^?S&{WQLR0;!h?kUkW1=qMEjPhzm4Qk{e=;;J^?orNH)S}j6-yA| zu3+95w$@mY=})?f?r@2~^ZRC;^qnO;1YAIth!>*x{unnVXq??lLp~~*z+2T}-ZckR z6%m}v=S|wr~MQ z5^IN@)usi|X&7z>%GZHVdM}}&t!#h-S~WV>lHJdHo}dCAP6SvsM@<-2uYnj6Pk9~8 zcxwkP8GCZVvzUdel6_o~NYGA_YUHR{5c;KQL_&02ukYxqM5wbLbbB`xi(ZuApwx{^eeDu%Sm{s`QQ<2AoBPXp;ygOqUV+E<*XA-yDT7+j zT0w$OpwO%2Nb+4Dp@TZEGy!>);VI0(SxwG_@G|%){V~}M z5Et|pj~0O1n$a$?wqQX@I$lKpi~=3UVCQT)->y~dB~Y4ydoF-2Rgbtur|%p)Z%znR z^WGuufrm*Y@QCq3v(qI)3KrvOXUBuEZ4aAc*EDevh+s?#bJPSG(|G^=+q#6qTFfRX zoZ=$5v_w>;paPFm+%{<+L}hjpW!r3{jnN50$N%vZom%6%D^UPo^>_wCN#rrR<+4B| zku44uJUYqR_VQ~RE(6x?p=gU2K}t?I;S@2J&=D0-WQmF3G{;EB}r`NcW&|OmCdzZ%cPp)LMpS+E(L&LDxX5%VnB_XXN&!p^ z({3jX;D8*&BQ&}l3F#|ClPnZWc&5PQZi$ZeU_qlD3zdqYt)59CLVB>%=y*ncl%}nhY1dj;_0u>-0r#;RXJ=PTyHtHo)R-TqY4;1-+QngIQ*f@|2heY8Bj) z!Yv!u^%w1IQj)pu%$wCIH{hoRg?Wlt8nzlBZ9P0=kTz`?sERUkYYO8b{_PAzV@o{^ z8j%CX#fc6aytWA*o~(-Z>OG`bXtfi2WsqDAs?-(MLANqZ;}_ej#_=h;4nFPJzWE!_ z!^+**CYlTggVBsdEFS@Df4q>i?a?9Z;IfqL4H!9$Er2j&B-lh_zyQBaZH?9;Tj3g1 zu|Vr&dSD*dgc{QD0Fi704;9h>IfV$M`I329QX>J@*>ba_=-7iO#ke5><i2!ucpH9^l4fhz-k3_>D5nsCbH)hM?mFIH!P!7hL-(LZAM<8pEzJOgBH1 z?Q?gIfDT*mE+e=!)zqvA+cO4tP@w&mrE_jUU~_3y;{KR}y~YY6j42v=3PGYmJd2IY z>L(k%lLe67Qz$4?NwTqNybqK>@sx40=`n7F>8teYa>y40P{#Z1iYO$<~MHK=?*$#G~~VjXAU+lgssIg z<%mBSgTfOLP^)2S?Bh~N1Q7Pyd#Lh(VKC>DNVL7i?suowHsPhOFIIky5los|IB212 z&dIe9r}a&Gne|nscfO1|RQuCB>7;$SGe3CHG4pY8miZ>PwinxzjT0)q zQNG@4c_9>nghr`-tJhy3R(1*rq1-Zdwa?+L5yfK|5T+nMC@L<#JSA8wvJWAtN4{_tpMSm+`?9d~zQ&m7}dBr)N?()m%@ zho*)0#!H1Gz3uIb%#Wp(kW6eApDQ6zUo1@}o|2)rYJU)CTo5 z#n?K(E9r?Xfe8TB1d~S*VoF->P%~5WF_CMNu5WB@ZrtqM$lEtAJL{zU)bi}xxC8)T^4nq@DS&h!YerK;$n#t zh?>=P`gc4AFcjAwxy^WLjV4LbCLmQqgOvL2Xs96e_U-q2m-{O2tNoiE&(8KAno6db zY-t6*QaIc9FUK1Q=jO)xdM)$YFoW%$L>M)UWYG?!+`foT?ylYK5J|owo1q4C0_cUR z*r@NpeD-Kcmub!N0RRF>(I0&hqH*04nC*nYg-K-KI zI43=ZBOWc2Ytls&DBXMag3)JZb6)K##fdl>s=~n6l#hg!=7HxFPN!&WgOo77Z*QPt z{S$Q>x`cv`_vXmd+F?#@51j}uL!o6YwoNt+4Y*IyfOFb>$QzJey0=*QoO004+18HJ z7z2o~gGT%ZZYhM5ES#{*kcT_oJv!Zwgw2)fxkD*&yH?CkHt4YE`7+z{?ZwI$+wFm5 zU}1{|(SZ7gyYtC4R|+FLgpAtp?qU`j^y2fmLDJ_|L7_)N288o938Rvl3TZvLBz&fvl#hkw0shm_ zb_P4Xjnoe0;#Pp#bdm~trG}3gZJ7hhTHGI~pysJJ8xnV%^v!>4+;k4nVOjwgK`T_q zcQ}Ea3Krnsv9ADV&9He{N1<1hNZBO&vTr3SvY@>oBnCxIHxSHbe|V^e_D{rmG=yFM zi_P4{0(ooee2(NO1!E0qX%24Sk>wn9?H}~`5d^Y<2$3MOnPZ~YC6Y=MX2@T|=%B2H zsb1sZ7ZztSs%q6ov3;oUbrMo+%X)<#EXq=Gr@W0jdetZ(!4h%DwOy@ixd50dnZ#jZ zApkO7>Aog#h1jsWq$CKVvNeFG5WC1b};f*%Z!EZI&RNO~J+w#>lW+ z`9}j9BQMfo0OXwnMAn%u*t;xW44B1M{fEe1X@PrMb%#;Bvjcu32Fa4!+==#DrB;S!?rgBRaD?MuR3pN`US^eoHo87VBbLT&Sorf8@z>${N`WHWw80=a#LGb?kfaqbg zRZmcV$lV%5J#o@5$2HD9+v#485w8G|v@eu>;1NAqFf4$C>ouQ(NAL~YqCMmy@u9|K zqP9a=2|Xy721Sg|@X!t%C0b%UiDd zxW2(yo4?4sQ-IOj!~6~<7|6N<1oPQyyk&66a8S6^!j_j_VGP`%r(6oRAATzrle*pdHX0EhZl?v2rs>YfNS_ zazLnETjm%X3i@>QyTI5!u`^>>elzTJenh_s8zhGeSYyPe1V$;XO;q(*o-r`Qe^HRn zR}soUe>7sYlK4icXnMpe7A&;Tu$xzTP-hWIqW2g$>~T64T)2y1Zqy+Q>cHEu=m}^u zphpQ=m3oT9D)1c}C0EPX%Iz!BH4sHekJI zb^O~DcPU6}=s*zWGc~uqwmDhdezZOL_T8)Pa6zKx4%L((3`36@aug+yLz8~UGCNUC@~!pF8mn0oM%d&@3LY12LVf@f&0ZHoibGy#?^1#bk{v1p4ATk?hRC)N z*q!aOW-g`FaBP^94^ZGTGDX5X-0RNO;V=C*uH3YpHsgwrr^4+T`iPU^!ry~TVLo5I z^}FFQJZF1V%?9?t6esL+?2-xghFT)GrwU{g!&1Z)W_7(%W=Z+h(Ww(W!A>P6#_i0H zPP1yJTt246PW>}X*+HCkH)@Iw2);>(Ihn)^xUd~KFZu!EoBNmnq;u4{GRMd9$&{ zXP{$UxZt46ENPH5gnERA8WxcUuMGoY)bFvyj>XGs%(rg8`xQu6#JKPQJ5alUw^jCI zVXbigXka^ z%yEPX`-M-tIbNgE=I0Rhi2IVwn)&-+TGAj+Nx^5pY&SX)k{a2PHjc#>=(I!$5Uu(B zZMV|2mf9L%foYb;ouS&7Aw>hDcI*+3T=bBxMd>@=GWU#g@&c5D;$|g7CC{g*CK9%> zzezAD22^>VV&#W05z$&6i%*}rhT|d_b1?YuZjWZPBM4L$)0Czi&_gE-d<5kw(@HCk zyeuS8T$YH*f-S~I@A5khcH9}X@AoqTFNX((DjzAuV30R@&Vqj%aoYdROeF zB_JM*e5Q{jG0A34Noz(MF0a;0SNMcvltNsijzWd64qg$_S!K_dF09dFO_YAn;u$@l&NELg3=6qm?|}Ve8%oEmZ|1cau? zW4lbAwXs9*q}HBe6=Q?X5n%9(j)~|Ns=`%iwF-#neXCTF@@J}+>+;QqdSK&d028P; z)zj>bg-5QRZtsmM&@L{E^HI&H+l!sH-F@oT3>U)}O2a%dfr0qi-f7;l889*u)VY;e z_#k3v0vsS>WL{*AD$M7fL!$bqcF{HEU(FbDHe*>dZtayn$bq_xm-5Rtr-11Y0tse` zycIUZD=((wOy+RhmEiu%I=Q82Kv`=P+NaGy{9Oe67(pX$L<#|d99rnEG3tRK#^*z9 zfH)Ymu8G_OO&uUm#rgJi$1ED4aJ;ksPHTvPnjbJY4>Z`|}fLiocOe@dC5N zxHLhFM%3msR{dPnMRiv##%M?i%{6taxNZ}s$s1Iu&JqltvM+UeN)-tb3(OgUiWY-P z)s%wtBmPmJbN4?|d4{5E0<(mvYGD!z#&P)*I-$W-(un#knjhrmmc;EYIK-f#JgpuG z!4i3*ZF``M83ZFm?zJhZ@A<(SFtjS1mU_odi1eVnr6QwQPa^MJa{HT$m4Do;^0b++ zpw}R^{59K%(DF?3F`nA+-g7^nGjunFYSknm-+=l>truB~Qdg=PcWmHFd2*r7p_0$= zMUF<2fRPTXxLC>O4lct;eO1(~JPj!qup%s24^IhMpIRk#kIf5SP(h1{a8Wf5Y_M>X zo>75zdiLNHB?v%+0K_t*90!7cKt6bCAqErEtu(M-S;7&k;HkPAnzEmLHY^C1NL!U$ zMHnECq(GTwzc-#gf6#*wIL-hrVzJ7nhtJcKOlY=`|kMeXfV^g3!t z4q?+kvk0e(CW1mu5L`AWP0j{VS!^<|?5Gv=ETp*r41DTU*%I7u{epqG{rcR3VbX ze)qa2`S{s<W5N1l%A0lE-;s`R^=W9 z9Xq4CmCH!b4c7A*4Sm1fLb|!?Bu@r5aF1tvb%-y&Q}{?a@H-p_(LGN z$cIrbOp`%2Rw(TsO2L&ocoCcbrCdH9uniJ8#~-w~@uZ&ERnw3--I#hNP35?XGaTwM{W;;yADu?qQ?wYZj|g zVp<{lXU28k&k^1G75i|S$X7EgBh>z|7z$PAd&(9}O~ypHQ72QR$w#drgNrz|Ppy}Zw}4$Q01 zVdJ+9gH!H{CNi2Na783=WwG+no%z#~g-6Ez{$k~4+B7O=O7D@8LHu3)Y_F89+3qNu zU#9qR>=6NMwq=3`#EaAw$sXHn21f0B_|gQ%$-tIeXi_Z>dYyQgsK_0dLs9e`3BjmP zjhhgfD(9ey8p=T$rH{AmoH|V!#!p&^Gtg-Egl<_P(=?8jJx(&c{*{W5Mx;0!iteCx zgNIW=z}?`-34@{*S~* zH@LK{xF4LxA+o&R)0uv97P6-`?d%AF9704!8fny!3V0(q9iL%QvK866XE8q1y8J&x z;H0g~PwdgO;Q3(qIG%y~!%SJ9rL7JEDd8r~OvCf-~Khvhh$(8I*>K8_gYfF_~h zYuCzQT*SC8%x+*y5Qt%PLZK)2q!*l`P;*ymV8?LgoSOQ2zel8=&3$?cy8A2qtA3jV z{MWa;KfLuCAFc;;kT5fPt4is3=jQzzcgn)CD50M$HOw^_!%}OCle0Na@ny_h)qvSg z!OSX?Jj7UUdK3Hz$Q{>sY{HA3@nJ_h{&+N1`Kd}@Bq?L7vJD+YdvDKSO|mk*6aVWP zTP)w?i{>V3Kb;C90RYjsW<3ND8bs@W0zbu75Q;@f!%RzeRBjv4&w1qPxQA=6)p!m( zLUz{aH`vpOc8pfXnH>(AO3d70!Z+rg2cQ5vyB3Vbm~X zvHJYfCll7Dy*P1E$&qYBKRJUsuNt>QY7JY zPr;_v9$e1YW&YSF5kBW4;d37)%1Z-DJ@vND$QmJQd%Vha&nOZXE_g zF^$&-ld06U>OmsB%z$h(iBczrDl#% zPfFDU%asAng{j57PzSg3@agqDGiTTugA)daG=g`X#n!ZS&N93PDMdCjFbYA|)N2#fb`5Y2 zWHXq(Ae>wN-|0%~u+6qg_hS?Q4VM(XzNc|945bgB-bj8zF|I3rU8xP8SYcfa-@E>;*r2+4?cKCKgFk0KgEKOU_N5e=ce zzkjrS`X~(kk9{g3PF`qC0zDh%i>!SlM({dI3z;tU(LVdxs~`g~Hyd#9OdtfNLM%Br zED%M#eC(&Ecr(T|qXZY|LB>O2drK$sJ(H6WkmrG$jtJmu*xV)1=6-diVw#BZ-R6*K zq}eeUOpt;$WZsg&>EDKtt#u;49$XO9?w_((H;4PGuFIe~1U#Dje96@6oa%0&V zvF|_`<=Pw$CheFeBDoB7zB4wJm)A$-MoZhOxeJRQyt+0(_e(MT&Z#1U zd^-(iq^qdxtz{<3%3*LL&;o8cUNg3560zjRig9l%+UEL5MutjQ0dA+~HK$V4Pm;5- ze?m}ZBmE*><4B_119~j|9%E=LW^IbNa@{Vc!PMdh7P(tHJ{ucQLT2Nhh|zHikG?C^ zX~lP9#26Ukz325X{!Gnjx1u+rsrebZ1OV%&qSrSkAJ_+JJ}%kTd1vFR_usv-`SlQ8 zz@j8BgZecf{fS1S_IF7N6j3Hm9Ad>@*f5&Y8ipwXh*f^LjWo(vuTFk@(*5?{y^VWU zDbWim3u5%Xi+ss!zT}6IFv;&~VxmDG*4E$IxcV$}ffJekfV@H>EF^rP_Px7XarHZ! zAn|yS9sF$@21@^kvYix9yP%^kLmor&Y|w=Ek`l8>+Uq+G7GLU)!N2(blab{U(FD}c zzZB68;$tu@9AyLmZgIv_^9)c>L>UX(f{B)0lo^1qxH|eLrdcCZfL@$6vR`Ct^^w3Q z&?$eLGd&J-MonnE2;b6O< zXD>Dmrq`%b*`n26tubp1!ftro(6{A{H+}-f)0u{aE#06<}qZEX0I)bvXqC768S#1P&NdUM_wEkYk zTx(EKflPLoOUK#$3@?FzE8UHq%y&lE!D!go;s0E${8DRha}`|^93I^i2DnAvtsomE zjSa6{`OkB*{V**MAw&E`cS;I_0BIpk1JSP=@N5> z;^m3o6O`PCEC1qO&&}9s)h?fjolFusIrGe&>pD|BCsEIg-E<4Yf6t-)Ac*$VMvjyn zq?I|vsH0H!X5xqC?AaWes*CT`+f-XM0hA4M$25WB0ID`JAgvB0B$P&97iLQqc7>|7 zcZPuoNWE#Oq85k>D6|*Fqu-f5pk2=s@XerhD?q;vxOpJ#skqPpGTg2cqE0PpPUpt< zFZL$1p%UkfqASeE=j7R|P;_}J#jKBpv5l8In$E}&%ZfuCAE zQz#D)&;=lNl1yXyGSNd)2tEI}R}L!BwK~6XTou?!(6H9fU50mDvrE-)b)))ow8F|gq_Z(uOy#~P6-EFR7bHc{@RE&D4nJ( zXdXdG91d>02QZ>9%#Bb>llkL7BQ1^|kEy#lzYlyB7Wr)-aS2Ia0DZoAgI|(B9Ow%5 zK@e)l0DT;myWO!HZ&rd?`uT+VJq#gE@P_v{&eJG@w%3*M=QTp#~XsNU< zU|AwC^aO5adn$$Fi8!EZ(M{#jYx&uW)OS|nDg{9@5P0OE3Td)SUa7O1rZ zB&m`7`y?1Lz{mv?!R|9i`fpFFNK#+ z`8GWNngbP&e4kx^?95`e-1(26&yF6jlblh_kKxhPm;dCl004`RPaZeqvGP}PSG(lV z`utFU)|SA8k1+tGhDHiJgL`r)x1i&Zs`rIhB5g%Q0HeqAq`KnjA!EFF^4R;ky!@H0 zC-t@iToii~r)T3J0ffP~A3p2Zh8=1>X zGlo+PS5+C58G0smS6vV>bTP zt12M~Q1!6Gk87f8I2F{OLlQhwTLTIiyb}_nx_Y3~+C0CZ+_H^ngGv_99r)oL+gywj z`^V*xy1QPHydfRNXDCHdRT~fO?k^TFL(c7b8`l>rzW}y^w?U4G77ircP-4c-7(4Cr zM~S+wi0X2)L`lVJ2FxsIgPFO?9JOKQ7$ii1^?U8}at$@k%f??d{*ci`hCbOCD_=B2 zjlE1-6xsVUwMR=iS+knfOiuQK4AKlt@cz9H&ct&38VWZ(@keFiN$_s1vR0E!?8`cz zhr`Y@)~)fzc)03lzEY_;)7f`VqPsFIMqv{@r6@xc9PJxd zegfrlXi!UVo7c^Y7RFoKT_nF=hx`JYP=4W`SLos3bK7N(`RTdzLkO_vOUZ5W&IpOv zsLLZgA8kK5I66Brt)gh69tl6dEeQ7t`jG|1(u_o|$qJYsp4bxu(cESG?-`kW7biSP z-VsLlUeVH98;CDV;{WKw*I(p=)CfEU-<%^4u~|oa#i%6cEmGG$jYltj9$Sdgq-@ z`mEDA-USQr;%1g%sIJd@%bIP@zPz1# zVO~o>r`f)KN4qACagj&DFiR##Oj0+XEONkrz7L4+Dt!2~C#A9j~wfCDVW zE;9fbcPJ%t)l7T1xf|f7AYh-;*_tkAw2FX$c4YQDdTcq4&_puAyjXZl3*BPSn zwe4#C^4*MjzNi$fovz^po3oQ_GJECU&z{pduJSyayHlTn=LplA*4JnZcb0-8*%l0ez} zGvP$nFQ2ByNM5b4>eCjc?~puAf(VWAFiR&Y=6DV1+Q!8o!fMod39;_(OAVjb^8sRh zGqh?47nQ&Kt;NdQv@Q(0mif^x*hk;BS0;8VJ_Yme3?zf5_b$TJkf>;K2{GK(V4ps|xh$G82R9r2o`2hV+M4y<5 z_ouZNuaaw<`+qayPVL~(D!|7uB|lJ$Hggteu?;$;)1eC2RXI@*H_ zvAE3Rx){vf=MBcF-JmE%RM<~`w+@7ZX|cC9jP2+KM!=4Gs+ld9;kI~glGUA&8o-|6=Fkv}FGiBq&ho}Y#)|E+@a z{CWRy_5jK9-mYAPMqEVut9C$=dpkdXSE>;p`mz(0@s+or$;a?SF!85A+C0!a+uPmF z)=(e)mlH1?oaciBW+sDZr4jsq%EVzioZjR+Zdm1@5fH#>J6`I!!zQSzQP2SJfgt0ha0EPl&lYBXhG0XLUXH!~+UQV7Ip5sIw56HXy(P#^F{=XEAAkp$ky z(b&+Ntnz(?`x>Um)^DFJaA6|Oej*USz9O#ItoQzL5<`V>`HXyTJq|w6I6JIPZ#X zqDLpRO0YNR`3ar&0C(x?56_a;)Kockq|_owQ`|%bB*6m3VfrJvI8lKNEWU?$r-8A( z`<({d$*R?!)wtLa?~`zPghtR0Er5c<08BH?q4~*096>=WH;*Yiv7m|pX*7z`n?Lv%N+@i2)n@qTu3aF6hDYw&7|DECXhU+jU6fgg1%G< zj)5_W0D7_$w;sl(K+Fy7q;dvg7X?wQP=7Jd9Va@3JX|EYJ;UED-**{Hbkj5H>47&N_z{e=}Fr z4Qo_fTtq~Z^o&=yL#xEy50c5abgOfdM-Im>9CFyOJN=711cED;&^It;&v5TUs2e(( z^4at~m<{gjm=CuNQu1r4NDB52BC2OiT=(e=v>JSg;u51op2q`~i5qLztbxa?!cEYTwE=J@JZLT#)Ol z@qc*q?E|=9v7{IO=NxLVBv7Ko*}P?vJa;j_)lhmU1QkF>F3qfXBuBJX*4nT$)^(Gd zd9K%D8vJDMMXeld8y=X3l?1QCrO4XGJ8wt7>Kb{KxKaOh3$$VAt3lfL*Ea6tD-%6Q zg7!A0_GDY>pA)V3-a0$B!GQ*m-)p!F5L7sNqyglNI-2Zl(^aeC`3Ykf)6o@vri(&@ z!#BuTsaDJ!Df_sAO?)Nb5Vi$`??uGg+edoLUk1%-Q*9MESBee}!0#S9@is-Ea+3%< ztQQuwf{UQWv8lyFRd6BqiN%^gHUm?&7%v>6GNTPT$3YlIige4AD2KcIkh)0WSoBw{ zwHYNLD(Z;I_oGU(>2OHs4agIw-$@4xW>;;d)*;kw5bkr^is)IXuNftbjDhCW_>G;q z3}e6_q-uegwNbiyCg?Oah60Ozn7LUx?lrO}HJV8>F$N}jPn$^A4`0|;RL^6LX0Ywg z*xGw{x{chiF4^dAo=lMk*0UHjZ`q>Y0iEI+PJ6ODMJ+z!6N0550?aVLH*rwQaBfHr zOl38-p-W`!v@=@1AcPd_k}@i}x*@9^g`kFg)AdL0uHZozMoKB2eb0TL~yjdH`Igis&j!bA^143pCp{ zT=OXdx)3O1G*}1C;wb(Y6krsBK!b^;A}I2fZ4`Gff}#?hTi&UQP0$EXA^Y*Rix2B- z2~!B*Ymsc@$?++E5zN8aeUxeK>&`>&nyssU-#WvfZ(^g#$cPvOT(pArC_MobRM7aM zQT{ghLO~`VS=Jwk+ZoShiQ(2>iO+tp~+G&-GJ{kP0EPK7M+zZ?Yg{){DQF<4a}{GJB$Dtr3%H8Y5JVARq)gN*P?Xm_@?K z{ytP$4(gH%U!J+SEVI|OlB5=lqw5z=X*65IwxNG(wM$jvutfHcUPatD=hc+5d`_Pp zJ?M05SY1O<9|NGf5n}s@laB+|Ef27U>u%&0WUeTMhQ83pU3M+=UB7-^MhxtqorhE8 zWFWVAgjg~g;MAZpAWewF6}GTOSP+hKHZrhSI1^4RQ-*A@#3kem=Bd%x_IorEa@&27 z0Tn>rjibb!m#*P2XVtAmQ^BiU|KasgCJEyLce z`XZ(f{>UfL>9{oH{XGg_UvNo~NP)NxQB>v=Fp#FEj8^g`1M6u}6e6I(l>os&45K9u)^X zL-yo(kTq&j@F$MIBZjb(U8Z07?VN+CECTW8f<(%h$~#gROE{E2jqYO_RC*GoOAd*K znF`9;!c5vyjCyOYRvw#IgGiWHlthl8BN-VwaW1T)%L*G}wN_;U1&@8E5#=!h%S+N{ zKSnR;f)5a&8yXV*&PZO}0dt1OOQBBykOOZkEI;2=2ZDqxGYA%c0T4v1q-IOgKY*7O z>RLm)6^OSi3e(n3a5w2gT3h6@!V=tC(Gs{ZtSNTI8YMIo?xV8`{;q58=swnHCdf%J z=Yt0!#Nab#;r|kK9vp-5T0?dyMYpWjwmCjOeBsesp%in&8Ahu`OEPQpw6P8s@bMqNX**)s%(5D7w;M3wCUs5oNx?%FyO_2$;s zH-GKB(8zy-6=0tD1r1WRrScUWQTO(LfXdaEA@-=`rJ_RLUMWAnt8YQOh@x+7xSHpB$Ee28&ZZfp|*8#**RR_?m-1qYws8s!P=L%cWV4Fd`9h^5d zG?p2z5w((GiWoY&HO`9TF4}_MzpM?QhQZCQto*eEs=F&~XOk}8d*~*wpsW-HZ*aGO zcM~N!YFb=HkdPkRhbSrtwG;w2jKRw<8~1Ym6voAR-1G^){{+586so1amA{@aeHSaW z(!R#ZsU?rB^u|6iLS}~aInYGJvo9HU6pt_YQ&_Tc9P_j0sKZ}cvgi;tar{xo$G6QG zf(Aiwgqi;7e1Qe9NCPom#*uS0xotU}nQq}J2agW8xQP|esogu=#%&{%q7X?n@*XN- zlnanWHy8x63?hCGYp}q&+`u>`ig6Rl;mBTF2yzDoYIIUK;Wfc8#x7`iZKv%C9uE#ywLE@cFznliHH30W$!K(OI{L{pW!X)pP@({;2;y zdv6A#&Wd<`$e*6t!5N~3lPF+~|5PDNDNynu(m zInMmQ^0(sMB=Eoz9&w<9?@6fbfQ56WaEX3BpOVF|p;vr68 zB_jcA^^3Wl>ON#G{KfhslC+FRPsa|mRLo&)ug8aAM{GZVHt$a%=`sfEuCp;S=yi z{Y$I=ns+)jZlQBLl^)<%MVyD=8eKpMa+k)rLqF+Rljc?7Iv z0+aqy!t%=JEdEO9?o8o1@LsDs%IW155jx5YJ$G^Ay_?4DPmGXUszC_kD~UiM_uF9M z_XEPV0wnbMD?K23N07AM=rA`%xb(-iC}jZNg6Sp@t#rOI_JC+hyr91pg^~>S z%ahC)_zhJm;z65UuY#Z?Zi|s%8KeN?C?G(*Ggq^hb5}tQC&(%Uw5;?%G$aN8VkWi%? z%%(AHAGi)h3}*^^4(ljjbG$ydW%l|9NL_ zPf%Ua1(Go$?pqmb#~#N_OA&vt>l+UjvCHfgYBr_o%DGjd7?gdSGDLx6m)n6D7b%X+ zn}HEgGpuFv7Pnp+E)rb0D~Gr*3atwgZ299U;!mrf3qMbE+Yozg-HWR zN7v4j7tSgV$CGtJR;{7YM?9;5TCuG-F&0l+)`*5$aBd@TKMWdsY*CUa2|~A|A|jrB z*2BjtyV0bEYtsd2v4?ruxuTimjI3%E22x1g(bEV0kP(@_?Sf+ao`>>4L79|03bUD- zk=%eIAZha2hwlF;gf^=bBteXZ?PG6)q|c;+Dhx>Uau-NMmB2i?sG!4n^(1DLlv{N! z*dT)4)Z^vlutk7j)Njq4##_|AB)Z5+7+2wA=ps(AP1uUq!`FeF6R6m7F0tHkv6J6} zS6gEzAXbxQ2ni}#qJgGIEfy6i!gBKx9O=~SdUQld9MVV503Dm+p|K>2ZZqM|Rb~3z zsJEnX)zWb^wjdC+(G!d4_8ttb43^J(>@mEQ!HsLzt_{BZt=D58`7YTI_sAj}zX%9A znh>FoPKjGapB`*afDL`o65i6h3o<#^v_KyR!8K?fEb@0}9Qz^~EqvO+S`y4GnY@6E z={<9?|JHQj*};PvO520?iPMX|z-PW6a3w7Ej058QPK2T%cDjvQPO0acqr%0sOdLb6 z^D)Ue$I63GE}k1)sx2NM2oP6q;tA`l4HoO#=LU-#<3sc+pBXG|<5Jts*SPId+o}>P zX>JKYxWIs|DE9}mUjY)DYMHJbj1#x75HAo<1clhx3!{d)3G6rs1+ogi1~M>*Kb|=H zEdDoSi*y@2kzbWY3i%&!v2v=PO*9gHaX7!I!}-4;97Tv7cQz4*`r>SUQD^i2IJeB_ z9;UnZWk3S%ELwO8P0)WYxU!W1bcrCJisYdn^LmKMA?$*^XyANvK>G^Dy#C1TD`Xk=)vYYbrz62%sC1;z|HSv#?`V z_G*bon=M#w7@L(uP1WDa&-7%j8T&SCJ?w+ui}$Spra+TD=K3MTt3`=t>vlR`K&(p9 zD@X&5RprxIsOgQ41A)VXrHw=Ms51kExtzHT57pDrhwY5SmTA*Dif#ycydCddG{ZZW zqXLMm5oWc(`QBbXWaxk1qIe5^Kk0(Xbpmmn1l3!37}vln5#0fq^_EQ&^+p+LCE+Ey zqFXXFqO2zh!H@o=5o{ALs13l5Ba^Sp%egWsM=l_(JF$HA-;8xzV(FBT1hrrr(7s|a z@Wyd=lR_a&HL|v{8NbD{G`VLP&ulOuqn@f1+;}UIF@)S%4o%+D!^>rbeF2TW8s&53 zRM38+#xHAmOecc*j)!ZK#oeqFE)iLU06YRN+|90=Tz-3%NF%aQv6!3g z1EPSf6~1l$jbVZy5Xc$+(X7r!M^;bEx9jOhkyraR z>{&}@blXuG(}0`SSlWOEB)Wry26L`?V|;$|5$wSBbsq5-JfR?1>#+%8$mVcb_$kp@ z-kwWGaA*}z;r2JUXVzC|IU*Ksx%`8Joor!TKPyl1zFeO@3C0;Nmjo7(q;y_?%96E6 zB*9DEQvMPGVCDy10J)rZp;68v0nJg2@B(XPX483Hfsc(9JlsJE1tcaeil>w!I1ULL z+#p?tQz%mC0mq@_qH13P!AS7>;Gs_K93Ce~Nw9!-zslP3z^s7Cm4SG=jif(yfY6k# z{+;}^ccVNl8RFxjESCeVYViO!79^w8ZM;`zeRbnG)JJ!CiD)j!b()_OZ3ueFRWmZ7 zM7092fyz~_*`p-WPs@F!5-38MCk`W5*MJY;+~CYP=@Fv2!Z5oeAs7qvuJ(xIGMU4Q z6pP&UsxPQ>#8$k6&e8^pL?zj;* z8f~`^BsB=(39eAz%GqD@ubdDG-T}Y}iDJTpzd9Gx*z+P{gyVhOHlp^ZFCuAKsf|!B zklR39W>M(~Mn6Rk!#=DrE^$0T6+XHQ9G`;IW>m4reC=TTDLV-y_ou1OOm7xIBhQH^ z;f!z|DJ3%GayfUrFC^rk8}v`YJkIj}wdum2;rh^*(GwQMQ`A*DnUsZVz!iwCDN|Qf z>0w8<1>=cYQ`NaZ13>qU`pKdvhyth)Rq<{05`JpMP2%&>`%09h-|Rd?E@fVY^#`_I z7Gu%W)r1rRyzW%fYAKaeAq_hv7>9pTO;&KwnRd z6n8+#`GDR|6G7i!S|Y^aHdMTk47h-N6Y9{>`E`K9G`sfYXYT$WWOc zJ~o14D!}Yu_kLqnLilM~Nnu(<27nJ%jUA0^c}9cfFaN_mK@o;cVB=)(*t35(-Y&|( zMXEgHk|7Z7SthB|>^7NDD>3}6N&Z;YNHywpfdi=-h^j4++7XRo%$MX^mY;OpN>u&2O`HGPgZZyXP z?m)?^3?X}@E1}hz&R9rd$y9?}5E9jEu~ah~bPR5ZBLth<7nY1IEh3i~RCG2hB3HDj z5#(B|C6*H)is_RqgV0St=_usk5T!dr#?K}3d-}Syz+IJ8VoC?-r1;Eu3RJPd!e|_^ zb6+eN4DEoeT1TqY2*p_Y};&72DPk4O)8hhjFmdp;!SO^quE6*>LRwrc7dgWZ! z-M9QC4AfP;LjoZ1(~%DJBI?-xm>EJ?g_5F+3^Iwj%@J_-I?<<~ii`V}jsVqqfl6_8 zDl^ec0$~C#{x<~BG23d?B`LnQzJf@WImptcyy3 zjcv@z>bK-*h4aLvT)-G5`&_~{^;VjrP*%zwUcTI<+F#JTA#S9=PS(d0-~BWHb3pls zyC>LcHS4XKSl964)Ma8$lIb4I^e&WK6m;cOk;ZJ*N`5ek-S!n{J1!tOn5i`+{f-)-7QI=_ZK}Zp1 zR#N->laSt>vY_M(D*A$o7XHzfZ%!9p6QeU_QvK5eQ}auX_fY13;GJ%LW{#y0Vl7zYP=R@` zPIv~3NZYh!i>OwFR>Ox&)k->ZW^iF}$t`TjE0t>s7#22{i5Wd?>^=jKbpe`L3>zb< zx^>dx!DZxMZo7)xUL0%ch{`|^4pi3!3&WPd?p)$0D~Y@Oa$io-KpR@Ui<`0JCTJDQHqk2?kBVuakS&O? z>?4B>=bwGc=~|_w&XkMBw|n8SC`9D9#5I8Lj_i=+R}ck5#GbFGC@Uo#8Nom(C~9a} zT+i^AOfBxN4QHc?E9LG~TCy)CoL0{hsB0IA9otqmJNE> zT+-_5+kPYKl?(VI*A$KPYYPhtah*hS(aFnjEdGH*xISzsAV|nz!NW&r6!)K$k0HS) zdl=5KHw}UP;@=586madK8TtXlvspG>Fx<^@Phu=BXjGER+XTFXDH>@s2D3YSu)TX2 zcYfm7IPV;>3EU3{t(9xSv)ed?kEVqc7<#!a^PQy3D1JiPkvm&}nCVz=I-9b?V~2BD z5K%4*vYx`cM0RWNR);JQ=|UfG(9jntmnlsUi9Ttys0nTpHf-W+fQCP}R{D0sS|)N| zCdtEfjg)k*>!B%+o8Tslb1)kG8a=Mu;6@olaNty)i}^@0$O5n-SdRe|iGRnrX*(TT zb}9fAQ@-R8?J3{Z9&fH1s@5fVj4U7 zUYTKn0#FvUkk66WW;gJ5|5Q2n|8Mg&a=rGi3ugycnTC)Y1moLiRzba+PUT+HrOT}V zdLtf#4ec^$=+!_!uRJCl3L@+M$8c8k2|oyZME-L0oW0PlN~90Ywx98;xw{VLqCUa* zAnz_u%YtXx-~4X-=U{+czX!pKSTt)p!7jMIN;+T>c;6dbTwa#Nq&s)QD?ZK-x}c%d zouUVnGWafk@K*N~3XR_6fJY3{GlK_uJ<4E_zdbYaGp@?n#RrqlSMB=kUjSwk_YKND z9)s_GcfcEIJ1AhswJ{>U?OB{l3T9UIehU$G*rkxV%Lo*I&%=j8jjg)ab%}kn@oSvLI*Y4xR7o{;=k5dn_2he$>tXMEG-Ub{*aQgx-|GTpv$+kZn`6m5t z8x~wjCI(c(>dW?rFG_**F1z9dC!~7P65HHnsfBMgYB3039bD{ej?WDI<&|VGJCQQF zMQE3H2p#{ct5k~kMlVIY2qxfBYt_pP$S*$GF&=S-1n|$dxKz4>NR@hDR^&;)B&!yU zXjA9(Vb>l~4(MRD^Q!j6S1wRMwiG2uyuop1OcJbf$d2?-XyGau#V+gA3ViER=DlVe~$LXhg(7JUJ<0yyD?Yf zl_EGyM?#EvD{LSv1L5Et-Ho+~;%~e%w+eZPB5cv8aoaDONRe{1s-e-~#+_S(tCugc z#!gxi6Y;YK<(JEyGcW&z>B0pS)<~9;MUjnDfu)Hf{f?KgvSR7n;QaaXo*a5XFPrcf z$_t%3t#C!wW%wyGx%vaCoXTPsY-Jb5Fl;b(6-=fNcgiuVMZ(V%&*qZ26#+Y`X0PS8 z4PAm}pSc!^$*aw)?r>SpHHF3&X=aByYq#cFBv!JyJTLi0Zvs(x(Z=#inO>nw6)r$^ zGpp~RARn!uHxWcfNG=oxt$}Z!sGv96QLf$o@Yd?Bo44+Kbo=(L5B;z@Z8*eM&)Knx zqf5b}DA_rHX(-5Cfv-^p0R-kYdP&jwrS$FPVd>c74|sP}E<>0=8d7!P_d3e0AqWSe z7a1MRypSs|pkYnxsCVjvO+}tv-Ue$ujn!AKUcGjwANUxpPgg@|4Qk;t0ME`8r1M0) zq;vrRksbqKx#-MM`0+RgDaZA(gAay&=cDT#C=CZlO4D~C`ND|RJJif1Rhu!v$bV7S zioWGpb&ex+g3*UZR~p_685`HY@vV-_~GNvpl<03COF@~e z|MWv~b@P&HkNqJcP}aEn!haU;Y!k&ZDu=&vYs%fa4#HWHAIllGg<|*|*hGorljYy` zSPAi}Qmp5(i~ble&C@m2M>z5&5S>GmQS9t2Z5+a(eSr8y(S_P$xHm$NCN4b|Q{3*` zLX`wi@8^nt=aN<`o`uCIGO_DC(W{(il){71^@$vxNtnu4-YAdy*>v=z$lZiR7y%Y0 zg`Cw5ku&?0h!e8wK%oO$J<|EPa#8vX%4T#w-1~x2+?*yVKNp&}`DjiHIbxBUBv-ZQ zOzG!}y1SK*_J6BaJ-CdLwR4GPOB@rV`dwRr^mZJDM#|1|keP-@`_7obS&8kG!_1ds zVp!bxCw6ZGfb%4duJ8vBa(W+gxkMi6l|s0=SQIaf(lrEyxKGo!t-ZI9q5*7PD+l|LrZOQRSq69fck z$-zO?Ymad^f%Xv7`;>eDUt~|_!uZMV5ObyYUNX5`eiEGTqZm?~X`g0$!8F0yT(UPx zAGm(-xnTSjV=6o_6#_eS^&!eBN`RFK#x8Du(B_7m~T%$ibLLo|? zB6Z9Kw-D&2{|I>^!(&!Xd(BxJ^yRG9-KX|A{iZjd!sE_3UD4L$aEnx za4?320;&|5WJRnAaGJ($HrXShJZveimL)unCCx6=rr#K|O~3*f0p#F=rW^>Y28Ty$ zRV~!Q^ClNQFyWIx&0?`uQ~V3_Ds})}B!CybK$kV|{VV;|IzU!doP2>SL)O1>e2of% zA2}oNUk!S1eR3G=$efn(`WR;f&p?_k%(&+#5;(*N5zL(7h->JwI>C5B`jqz@ z&_e;|>tOU;o3BhZPT2xk3<1ot2L5V$a}%is1VGvi8V1B=k%|;-GTXxIm`DuOU;(uYbn{@pJz{?6;U$`i!4} z`z2UO3=lL_;ihrq8e)LT;I&Hw&Korq8cSwC4HU#EmInB^e9(ZGOF&V<5}{(1VIR51 z{P&oS#xdbkjULf709OQIJd55yp~0TH_=doji&B^56;LcYkylshSbp%@Ys)^F0IOZg zvB-8-ta{5OVLv&>WU4Z4DCs!M1bv{1O=q%IfzCfBP$1M^qPumUrl)`j`#}n0#D%8I zfRG;M+WuT!>M*4P;g#6pEU^fkeVB0%`A&qgu*G-a%0siUQ~@zHy7d#lsmVeyXtdrU z_guV=i4x6Gq?;_X0_xcqE!n(e0T}>Q;Ka@)isVS#VIMk%$t23e>-JFs6%(T*ip9Zw zLVccqax9xYNIPu{Hzy&ni6>!CWAe(d1SG`jfQf>3YNZj6V;Ep+@!{Ue&$P-3`@ny& zCEP&G{uXqoz}%}QJ$D6^voa5XLRg`YZ2V03vqN}Z|Bi1oaIy$-qy}0UK5?8d_;;rZ ze^hES>3>q50qBqgFWQmaji~IiZv+-s0gz`H%oiq%^H?`-H=q^S$%@n#JD65tx@mwt z{gfbL{NAe9$RcB8U#*ed5fKnpftmQCM{lgJGKc5k9D>DzI6_dA1trkdh!fHo_Z?4X zC0QTcFo>4x)%Pm#Y#+FqF}m*4FVKjBYMm0PA_=>IHM|t&FduM?2n}icN~$;#fbhdw zeU8OC%~%p2HiMR80gf025m`it7~V#%3gyS<B3T%3?-<@2J#IR9CtT{ z)8XK(5=I6-l;B)lJ@X$07^}cQQTAhaP@2^Yw1m2vuY6*)qJ`Fw%S1#%>xU>pSlp&D z5RgVVgl4xea0E)V=^11(BWgLfTpt3VH9FYfjDwKr&oUOvzSj-=WE9%;U)$Qtja z$Xe(f)S=k8I~)I%s0@@FVkYZToSP^x;OUYCT5ExEY1tsJ%LB1tA9g!jkkNRhC zvC@|(7M=0f(LW!=Q*?kn<6<-TRRa8EA{l`IiOcM(Ta(RibkIO4z=4e8#Sc^k5EKlw zGQtBRdkr=0Q>);*^Mf1jCeh69zMaSX-#^A>4$o72x&C|poxZ)}ftihKScog=y3T;BK1)f zp`bjbjf{cF@H_NBkt4u^!u+|}5K_6x>IGF8J!cQG_tSo?$1yo5I%V#FqjWn^S zP%aD;Hp-H`U}jwUo!2hHyb}4ghv?Lj+$AFe&%`@yW-xA)_97upp1Rln)+}i@k%-jf z)meUaItoj+?a*n_vXj^qn++5eXBb2o&2xn)A3*cJ{J(Tehh8## z@Z9V1I^Q9__2Wu!QRm{Vb3QwwYv)JjC4xL1$+%tM$m#}Nxx}J_^}_>+1S6&c>ykGI zV||jZ96aLU{9Dx`gHbYAM|{@!%Ik7-O+jZ?V!4hJ*PI6?fhU!(D$~Q!?Jm03%@yVFfmXjm=^&_ikX8Zrj|KBVU{s zYL;=Fw&?o3G5Q*G?b#zl*r#usw>;PiSABFKfj6d1>Gu+WcA9eBeLuHoOyEJ3?q~y+ ztBMJ^$|Hg_*4=sw-yPt}M<(Sdz!E(QcIxH~WTJLDuS&xS5WwO3IvNLHQS3Z?G);N? z+J_(5Y4#{en6^?Bgtsu-6NoAM4~S-h=uO~+=uE(+Uj+wqm#Ecs)1LxJ!B zwo~q55+C0CtMsqH=z~T2q<%To8H7lA_)4T)bZxY?y~iqug59t(u0uvf{e~zX53NXO z!HJxRbmqjXp^n-3%8r78dTN_vY*75O1YAfD(!JAF-^$2PXdeNP330094;+mihJB8wq9 zO>#30a#D?;0mV-)F8_81j)7MzjM4k2Pt805Y@r`-O7tVBg#p*6fap`*4z>ZY+IbE* z?RgG;zZ36(>lhk+)$hN_>x$$W|lJ+wEI-Zu|(l0)5~zW^e)t zMMXhkc^#KYpcDexA*k(-_O>6Gpv2=e%g|Z4foc@K0mCloB!OhKS{Y*a_UHgp2VmhA z?+m6h>{AKfd~j#!-COVVvBM3ZCt@uCsi~9V7L=^uwQ2>FMfyWHS4rD#+jojQzHQ&1 z6uaZ^P8ZH;Sqn!+%RFonLbZtF3+@i{=d20__b^WP_o@i|y}<9xS@jsgmFbCd)QK^| zINXtWk`}>?6)j?hLMD@RCH@8;vKDcdgT2VtyaPnq7Dmg_j$l57d$JXAAePI7Xpk@2 zZ{`M#2#gR|+_x(r>+A%>=?=695vM65DY`2OTiPfAQf8mbr*z7l$4)_$Ff$m}O<$E% zLpwz67j^^+6dTgA3HrH-Dl!Ud5^^pnqS)^gfdeb-p{l@@1UShnAfFz7t$7jl$rIq0 zCim>|VOH6g_giXU$66xWT1NPfs58TbLx=kX6*JQX>IuP##p{F*fuqX1XagPLB%sIS zvMP1va#=hb9x6b>i#6$lhv^xEzowWKhy;n)$#NdCIyTo3fK%N;p*kKJ-p#u^{cB-H zSEI+16${~oLbW!}54%NKA0y2$cNN5q5EfAilH43a(iy5qsWsiUfnJ{-Fb>oIpk-~d zec#$_QFJld+3W-251=Uh|E3FIEfis~G;pC*sME(j{qyZzafaDxn#iSxc8Ifmd zjw84QrwIXuZ#dcn!9t1G@ex!Bn(eI~N+9>)O!C*9N2s?sz z?L{gcbF&91mV|A<#ej#h9Eg=Bg~yG-{QLKYaL@Q8hC;Kh8_V|*WG?Rf=!fVm364ea zF{(W+P5;iFV`A%CE`E=C`fFdp6$(`;PjDA)^EbxpJ_kX~aF>z~{?DD0Xa1{zPRIw4 zv{Zv<4a$~k=-tVUVXWi;ra(E9(eOZ1QV4*=vT`gF+-a^bLnXe?=Dj(nFt^bUXJf=W zh-hWuqK;hh(P*&mOv1{pen`IH%B+!}xEm~%{m>V?4@0|sTvyWv+HX4J5N3T=)W|Wds9x*Wex(yjx;I43M~2r|is4XXOkD5LAzaH`mn#GsW7K`^0?inq>^I18(;Sk+4F~6=!}i zc?G?S#mp_vHDwJq20?9^ai(QWRY!fZ&HFM}#WpgBSuM7Z2V&26RxJjjujPSh^h@S$ zqyxmYnz`)_SbYS$g2e654!03+AvZ``LBn1QHujx>Pi5*2pUjdsch;TUqo?kZaKhL> z(hKNN?u={7HPxpqafIpk42(1e6J{Jya}B5C&Nq+e8?x{$T`LWBL+h#iubh%DRvhnf zT=mBv-%}FKx)B2U3OS;g5C_P0b?Ujp%kY^@5`d;SHdSQ$KWMNd>vD>cr7AEfq8-68G;6nr-;FO z2S7|%SES);htgnS6q~bgl3Bhoh;Zh{XAqCpSp6d1Z6nxxJRmiEV)@rO8+HKh|@mGly zbQn~Ge|L&5^Eb!g{2M34xrotpik`a}J88vexIhV>)V4qq2;PjQRf3^QLOVUSSj}EY zQop|B@gGGmxzVS6N>oJ}kHM~TsI0hg7D$IHpd@iiwGEFC(YN-BNG*<5m7;OgUNSg}`)4qNC4h<`+c%nQ(<|A^Q%IT6yao^i@q{K-#D-%tl>$r3uIhkLKQawd`FQj{Ci3`Ok6?ffHzTd~4{dpR+K$tXmC3)soXO3heP?YSI)agT)&t zlE9XbN`Rb)k6m)y-0668lWUuu*mb@k<7{>El`h_aoMIotad+473R{H>_5gJze@P>p z{~bJ%%YY-D^%+hLemwp0-nsK{ed9NKqdDI?p~0V7nxFh6I34N+`;+{DC`a?sFg6BO zFm}rVFq+W}b1I4D9uHArM@vQS+2(_3SAZtgQO=LA7jeZ9YM$1oXUexmI{d!&w`P|g zm5Z!MvJtJ;DLkK|d>C+D<2W065WBGA^aOCi`;XuU?(k7EPsj^A%~e(6E=6oG$?7-; z|L%0*74E|O^%XaraH&!(40?^h^B>a#47-xWU)rBO^Opiv5yJtV$zzglzrSqMXJ(~p zX;vH6f=1+&)C;zQGaM+SJoS7)PtxS9d~A~CE$F!-i9f=rV$T>s&H?Nz`U14JkwUyV zM`s+nWI~w`5$&&{P^x`=RFkqxHarWn7XuKO@?~6fbv%Z_AgY9#M0q~0b=Bc=0!5CE zK>cw?{VrO-+oR6(G-IMNu+fk7*}od=lHfvG%R|1KIOknv__=@i8WMG5gi*o!DDy%i zjCTR*S+lgsZ{ceOkFe`{vVO3=FEbuwp#ULfdMj>?0=f#X6FmWC*yFiQh%;_Vm7~bN z2C6+NnuQ)Hbqd_vXTyVSsgYD{@HeQmkvhF(xO5LbMp1g{qm#BEZS_^KESuf2G{0#4f%A zgK>KbFBsM}YCNZie5;;BXvrt~#=*<267u#0u%A|tu%#;w=nn>Kh_9dTQ!+f2Si656 zoa&=ib3}3Tm*vP<+1%y;{t+aCAbUu?pv@AvV0uUnB%92h`%hx0gti5;r^^h={L^j* zsh+WA-@MB!7B}jkY9Z~(Pl64g-KfT3!4vmrISdi0#Y><&C>%0gu7_u^5*vUdsmVpH z_OCHDz(h#9v6>9RTYFvbXfC5moQE31NjNe2aKZ@+dj?2`VlpXX^46^;on=f!IQ+Sq z5v6HsXl|4Vvx`!shR%Y=Hm#AuY!z(L^o0*j&h$t zkJ1(ynyd200*oe=rY|>3^5^tw-^oFm}g;J~MLgwT&?|~+1 zliHhQFRGCI#B(8O?Qolin9$y1x8GxUTo=qf?F+<%;AwUQ;$(segL?kQfWmWdom zl;;+)W8?gAXe?^x`L=LjO27Y%h=D%lz;-o2kn57F;L}zeTyT107af4x;(rW=n}~;q zz-S-O`D0@kaAQo`fM~GfhZs&6;f4z`hg${Q1W+@~r9IJR{g4Kv##i?tkA-vwm4hnt zgq({^yeSto69Rh^zqj5m1;w03nJd}2reo> z?*fi|P{v~FTfQsG$#mXKWjE-YvVIFwz&oyM{TXGX_{BgZpv7N0vX1<%e1>A1^?W@# zYD#(@#QP3!dEXo!?y$NCKaW%3%CJS~4&{f1c&=k58ZoA;yFZnNT38G`U=SiQm?%RU zZK7D&n?w>Q{5E_FfOJD7KfN-)$U>kt0j9H9%3!_%Q$ga4dojb-sl)beA-Ml<;bRB7z_s=*8QQrLBI z27WhbgbXEbfCz|Cn-eGr0j~$;c#)Y^#G16IeH1awMK&fm8*B#yfTX`^9j525#nh8R ztctw&EDEc`PLh%1Q9YpesI?q;!>bpToMw5{Gi&+2%UUrIMM1*3fF}{Z*%>oi0$G6| z3anCAvDsLdJR+%}c4-OlHaIp4;}}&o@=Q%r+F7b_LFoH~Te$mS<-?CnOXrFdtXpH& z%xmt(b{R!|(A#FKadHNAKtF+gW9EQyZa(8uCWep$pd>h8N)9QGMti3Fmt8t`Sx7RI zxXhW)A2xC`8&C4XjvsYS$1P0Pk2$#V!|CA{eAij4G8B#Trx2B6GCKKKu z8-yZJ7}{Q}bO2`^@ny0ef=s}TeE>pb`hEyE1mc*NI^=>OX7P|Q4aB@0a}jOgzyV^@ z{}#{0T5+*cu83v!M(p@PKL;R*xRb9Tm$F;67zBmBim7i!Q}rl$q(!tt4|TMF1}h=S z<#KkZMbmrQjVTf;6%bC8BCe7LRY$RegP5}BLvC%K-RnFW*7@iF!CX>bi@Wv6y#kp^ z1pEdBjfMER-l&3b3YXyrio#r_C47}^pRw@}RYH?trCg`YJ7ctRtBGXtbZ0z92w-!# zi(wH%Twt&^;@jL@F`dz4uF!%@NalD2Vk>g*aT5f$A+mY87f>$IYt{(5m>5EQy4BqJtnkG3gD~`j6-^S! zXC3`@IfZ zGa4FU+3Cw;QnH9#uW^T1%7JsTJfBWG?Bb=@e8bf;3$ub)bOqT{$5?<6JGWOc{& z(OK{uug&!Wlc=plE>9d)l|uBHElP)_z?L8vc5rh7e4Lj`lN#wW|DcbGRwy~yq9ZDm z{q9P^DacFsA^M*bC1%R;*NC|n$BdEQ`&hUbH%ip+I~9uP2RlXJ$L5i73aXp}_URub zV(?)x$-VH9IKh4P+J>Sy@tRnf;kPk9M1uw6V{#?kUCS`B=~=eJ%tdJlBITh(i*TgHElM|+cD}d6 zc9~(Is@4=aI2|*6WHey0U`;`*Y%DH{gqffhq?`o_%bHQa-Qd|4oMt1A9JHjDaMV-x zV_wA`n&hq-bsjM$h}>sN^P&!W?)Io4GHO`UIJLY>F)y{}Cej#EbGcdGml&OWu~uiZ zHW?U{H#b;oPoNLP$$cGl;09vpr5Q0`5>6O`h1Gd@HJ3QcP<`-I#p*}_rLs~h z*SG9#4z;!sh&NF>{U+4})~I}neV~ZhC8%~RTNy#ml7WXHych!2rtE(*UHBEFK>@O4 z6&* zOj^3{V}$%j%+xo_Ke9H=z~j9IVy+31OGDB_@yA{G@7gxpXuZ^t%^L3M4u z*MeHgf4V8tHgAcj6J)!)-dDos70$H);A-x}rRRZarHG42+0u+z6dTJC3JEG>AH^^i zPg%oNH8o_8utZLP7FE6;qtC@i1h9-+4+4RnRR}H(&oHBoXK?8RMrVt0Mbb2U)p|tL zlarHJzj2w|U5{vAdH3BCk>%S>?Sj!Q=pgQ}hS>V3;z}%v0H3&zJ#(mXQHD%1ngJ9X zy{30j9Jyu%lwKX&nsSAMGN*GgEI>YvqshZKZilqvepdYACmgdW` zNo)k@Bu2+jlg)_rvip2NC`!J`U3+zC#W+G#33tYl91O3r0o^$m?9Pz83d4Q%P4X=qwA13cy#+_hROH#00b_-V`k< zZg#>-6D+RhlOxrHj>I9BmTBaDEZFSGN&^Mw==V?467!@YyW9Fz@aU?7(%;x&qB zbZ!2L_*uCYK`t8)jIIpzY&O*YY z`ji(-5>8E+Tq_U+J%+M0@Pqn-YCfIzD@QyacKWJ`xcC6qjx`~((?9YL@+G!dn7TY* z`^+ghC{2+}0;tCw5s zsQ?)nQp7GS#O#pyscTkJXQx3{yeXEkup71ITs$d21Xl*H;ML8O>%rUETj zHLDBqOxHh=4MA=^T?%tnA=8FyYX_sxSVV+ciqYs(Oc>PxvopmLZ3wy?UmTjy*6Edm zk}u!|+)rucwRYtSIwH21hbEJ89~8ICl<>J;n%ISeB}elrN>gSLICQtj^Jtcr}j(5*PQPF`$HZ zfVi0*r8ChZivh1sKr(SQp8&2Vuzbrp+?>O#w|EHWOVkpm9RJCrp9}CU>BK?Oov~U@ zi-zvc74=(MCD4n6itE7{*J}WvqAo5k_kpGkrWWwY2nO79kA|>T`RUljex291s1kfh zvU0!VAhSA@dL-r_hKyKmgGDvf^zfJCk~??~EIT$G^O}ENS~fFwpf7(nQKK)1w#XEr zNhzbT-3zBTE}V8{*0-V05lclR_F`#=qn7$OLhW%gsN{h)v>1PCD9r8aCI^l)5XZ@J z`8n?UdO~>Y0e!r5dUxrxi-N)>Q_vO9GL7S0)ieZ6v+umId@*QROeb&{f18{xtKfgc zg{2Sl_+((lpxcIOFJ4@_w7h(=Z&9V!;=2(Y!o4EUCJ3HL(TLe`T%8KZOb(?29zRr} z?8)RxBBJFfcRxFHaQU){;ixfah94Ni(9V-gL#_@kHa2nw>P#6^x1rpm>BIBMX!)@Y@4W>0kq*x( zxd+v`V&I$=RfaJ)vQLcaTy7rDUCo>n)w$y5iSv95gAY_t4j;RS;t~K+B~9wOj{=m_ zN_7bj>oKh*EmF`3MgnPKg<)-;7UhZId(CLP53Z9@<`I5p-=ezN?SCn}g7KW*RssOKZbfl=7^>}{eEa@lzXfNS;GFW7bJPKOMEP_5Fsd#?M&PW*weGSGE z+$V)`BPB<* zA>JKAr6slA0Y?nV-R+G%>4=74>g)j4#Em_8=J4Ol<4veKk6!35ZT1}iVK##;t%xg) zHduUszmQ<Hei%Q>XW5C-_QS4x#`|%>@cDZ^z#O^Ua=Go=qsxMBRzU_xNIQiLC z4t*5CUjn=o{5mZMlBo@_q=UZl!G+r&h-(K6-=YS`2ihuhPbxpaN@Q5QaKahlv zXbHC825C$W-+1%%2XCIfGdR6(GPREfR9b(SheE8V_J@mwMjnc7@Lp@C(aB+Ant&O{ zy7I?Mk9gh55Oaj@lYl-oJG=-wZa(u&TFH!rmnY!{xSMeO9%PZ+XQdxsy!`FurR9tG z&tQ4^P5IB@qt&Yg*YA&p`&JbVv4p%1C7TRX15+RFNxlnREdGoOJqqJ+EXs1B^(rnO zg$3>;4!K|78@>>%PE(C+qQ*C%O4;pBxO_-2l}o5`Z$_> zQo$IEpfc>Egpy=kLQ`G2eNunQcA4w85zB9dmx(;R&)Sgqr-(&~U*ADJJI^Teqj}tgY+ViFn5*eF>g@_hina54@uc8E4`$gJweMsUi9blN zh(rov#w_2wc=4gs>$hHO_3b#kdnkn+s|cp=ARIG#B=nlv|~7>a<+xq(R#ZGE(JI6|u^8~-pD zjPp#phQ#GI>@QFjIy*m-EL=g3SI)jq9@>hZqda`jA`(DYLRP9io-hylqj`&0v*QWh zQN#r)L5|y#G$>-T)bH^G>#75?L$Y=Wy3*uq+NM`f%KK3ua=0^|mk3r0{y1L{aQ8sz z@nVwnd$?~0vYZmnvgMGEA4514Aza3z2q+4C=nb*XB>@c6yk#~oONv`ZRf0ouWBOqN z8`4L&@$>xV!|PWE-??<@LaS3J(}yTD@gf{K_@8qC#p3Av*7=#D`K9GILWwirqaePz zjGTI!WcVB&hV&()J`x2uxoYii@Robd%;?*|$;2mjgMzcZ9Aj?%DY$Ak`n+ItwXp`o zFf03n`LK%$O;l%$_C_528ABA+PfegS@^tF-@Z8|^#^ChY;Pl6X)8BKS`R9m9m|LQO zwW6SpSz~o@I(~hGOY~d03@JPhDH1^}l3&yymK3_yhoIPT1v|PI{1`_YN4)EFzH0?# zPN?Za1*sw+`pF`YREQye7IaNmwZ{PkiB@*cA**PGM=aCIwIv0Ll&O|x>DUD{x@_+r z?xug8i^r>>8l-JG8UdKz; zaU%^(@&wX?RUQqeYhllLs9FHC@?;y-XGBeig&T7@UB}KDpyNrRk!-m=S?7FElRvoi z)ct~H)2zZQ{C15(pI%23cjb#U4k<#y_JG&%T@fN5EXt2+`vQN6F`7H2J@eA*8r_qX zTH8Xp%`M748@HGAWeK>$9fRn#%>-&J+U_ATe%|c2Dj>V&dzD?{Q`XDn@j*tR*a$id z{$LE*=yV)K-DVz#jL)@!k2nKLq23~BP?*f-&R?QM@RQ}=#{I*u{P^N4o$H}cc_$YW zE2GQJ!%S^%vPxWPh9ON*0@CTgpCA1Bn%gp!l;F$b-2l83Z)pIOey{pXaaz`Dgc;liq#>>DdS> zoJ~87d7em&VH_w@I0P^c<;vHRslwnMF;}#N$eZDnZq!-m6=`q}wPjSAE3D$bFjEMm zm6|-WDkwUcY_|eD)H!NMIYQk#<7@%BE!dw+-0BaeKbL{@!W%dMOt2xvcg6i^@3U>3 z+b$2VGYWb2x9nZHGVsdMa~+{I0<$A+x(EOAaLN`;d}{@$y`|99-eROPtWKRfa)O%$a@G0jQes#T?*vM8aPKf@9-?<2r3~{b&^Mre}@pw~G=|+aF#%#tcc} zadc17sTd!;**?y{_}@X#AqgMoK0kTw5_L>_{mUvRAVE!*2 z>Ga=$lvx|yhbzouhP;Vcd7Q}Y2`g0vrOla=iC7DSw-{236A@P2w5%uG44s!tG7H^* ze)yH+IJ-YRP@N8|?8y(njtq2a_{13j9be z6{8wRr??+Z9wJu@-u&WCcTSy#cU?8nsvw)dj=ad&5UT1Ay6;Xcu2pj{maur+A znGV<;6jI1?zDH$U=L}&?@<%4V@v9hb6hHS(^_ZeF#_cXHg+X-YE=++A{|(G?fd7Os z`rkE-&pd=pKs(2EMO>`sy#wCc!^?UWzX=C>00czq;7N=BM4~$ zqFypzMBsFcLMk1|lNN=TIk<}pjwD8|fZN8HQ4O4mm1$RG;sql1MR1V9D=uQGSxd1U zI)Rz*GdHNX#rIVp2=^|xH_=~+rDfADu?TCay_8?ZjcsiWx(^U9SJB6sBEG!Ausd(v zKrt?WCoAYshZvsf=X8m9!WOLPNO4htz0a+0J?;PwJC}9OUhJ-X0e-|;=@suB&d&?6 z-4=iDF9wju914EqK-a5AY1chckWI6dVrrC|=cvy(8)NorZZ+iNvNp_A3W&WRv<+X8 zodH6jK+MeDlh0{77Rq%iWj_E>R-!&c7X8-`thN1CLf6 zdq+5|nLH%5)5_m&@9->ef6ov-LvnRo@40{mBjD?D9MD4(Lu?~Tu`}Gyb3TsI|J-!p zvYNPvqGA#H+8D)HUGn&1<^;+tAZ7l%2KSj?kL}Gc{}j6{!MWIE-rw4aW~8J-48*GD zgeOl_;|UzkN=$=)ZSdp5lCSn@Uas{J#XBAtF;(iF3dNIgPV(WLYNZV zKlzdT#p%MU75_)bUQ%=`e)G!CFTEZB&743l_V>LHP8#t|!pW>4i7>5C>s@j4aRIEs z2x!Dw?mfkhC0EQoWZ;QYlZw~|na8Pj4_okEtWa{UX^_}7C}5w#IK8s~BzN2)!c@Bn z|3cjhIeLIV?Vd}5GC&{rn1fZAO7zeT*wsgfJiYDbWRniIA7%RtSk7WMhbnR0tKN;I zJ4&Lav54_JgTvB-;3rGMGhI}E0Hon{z@rdc2r}U70DOf&F5DJmU@dN2YCQ3TPi2Vw ztLATD^~*GqJ`y@YS_V1ruud*5lRQ5vo&Kg8+(c=t<5ZnVf?_40=T9zw8h$q3#-0xA zeJsEQ(oQVZgSCumGX4_-FtrVqrE54a3ZY^x$iR2{yz>|Q(Y><24ikpi_eR0cCdvXPC0YAT@lUH*V<| z#d2^r=sx2-_$CDZ$?t`ZY`UKOR%<0BA|d8m!AAgEVV z2tswNn(nGK>q^h`ar*L~e46^Q-0b;m7T%mlT9>OXSuN2@YgmuW?ImiuxNdkBL`Y&| zrxPkqGb$=3ML9lNYy7Y0#XF+gX2p^CPcFA}8wu>A%5902Kv2=$;T&d%LRnpG()DiMpO!HI#;^%QeihnvHY z01al9LsUq@+92x#AV(ms3thR;MXgUf8XIhH(mI8KQrkWm((U* zs{J*bo?UkMTweIouoItgn#gA?BN$X;BG?p+~Bwy+)rU1 zJnM8$wGouLafS42zPQO9N65gU252AWCij2ok#2G)O%2ZKMaa0Yad+hG{Mx@5gx9h& zA98*U-GW$#Pt4amjFPzVmTAT4`i_-pbqITE>u3GZ<}MU_I`0PdZN z!c)ta(8qN7@|%~V-pzzIJXNI#QhekV9n zh7<@s{~yLE7uB~|%24P}lwX-Qc!>FYu}wLTRs7D0Oe+A1!HX9!1q$2sqAfW0tw-Z$ zaQfrZyQd-NteyfWEyr(%Q)#OUHOqig&y51j_D?V7sXFOek@Wui`*B!2Xnn@bdw!+VR*qU-sfX?LcV45|LNw*;v3t-rxFTUy9M=ZIpH z{voa*E6BLR$~csV8|)mTkFsD%(1T{BhPi(~NJIvPBxhKS`uK^+Oou{SsB_bn-D5z( z->+0_W0U~^jMxG!bu~^!bF(fF4wo*M|-83ASO^# zW4q;s7W{=fnS zFwS6iTbgbd=Ah<@TU~RbDbHjc&#lfbAh2$gecZ9(c1Arul~cGSQ5LjOc?M-Nb#ite zX*{Jpl<}|E;`&S;zd^lw?8sL=zcQt?cb#@JDcs3>+ZdK4Hbl1Zi>yd=E6et6UVPCPNC-73iFh?Y;ct+N<2Bi06G=a>rV!Kym^%?kAqQc*eqKFn1RQn z+{Z+yIDNmcQItkQuY_-Te%Ywu^A|Oc07CtPHD%J!glZtw2cI*U-$^PWnjAUD zh9^AO4NkAOiX1(g@`-iC&3E3E*!@R)+Yh|r(WKIZ1Iu`ta)sewjagd{ zhYaJfEL-QjGMSV$U#Ka}HOu?7?33&6qJN(e9?6vSsRUI%x60vmbg2)@e5$&1HNkFU zCUPv;ak#xc_a}(l&Pgvf&Sf$nAhN39dKG9WLA8^Of%DULqAf2HW_$cOn@>#H9O82G z4}Qc$3G1nk{xmws^Jt|?4lwK^_9w@^5ZA4;s}8q(Z}X$J7@jF&*Q8+LqsKEn;u&vsO0nc zIT=-T*UDlwR~(nqQYFy7iDhfv3$LJE*<`C>e0IF-j+N6HQz~iZ9`H;0xlbz^_+_yQ zzF+Mt_TOCa)ZY1XRuNB9y9GX7wJf_Yn}C_Dyhmv z+3ZR2_VauXfvxBC-UqA9*Rs`-WAiUyGA%~_I-lk5I`I8ge!~?h=41%)+`_3}CT)8< zH}|BoXIBucwE(aWBxA*lP@;t*t=LGW49PSg&RjyJEeST-0TLqnu?hwKEriOo2doK( zbk*9G5^9gv)nDXtT6MvaSb3>VfrSW?V2Ugw0sDj`)@#7;5 zPd~c-9_n);d?=QO8=q~ns9Z+3X&4H^SAysXA~eVj3MO%x5ywz5iO>OQz;Q86_pZvc z?TI{Sg6&LG>ZgaYH{M$s?(Ab!g_YL?!Y2qaO%W4888=cFXw)we_5{sdYLHv;1e@Hh z4&L8hL(B2QEDqO6PmHDQY@@J9GXb!Day5l%M}Ek@@m;yCOn-+EA%kZuf+k@o^0oLh z7tn2=I=z94<&RH)@AL@|SWUU+xI(Eo|fggt>Ck#wOPK@p1^1z7v}j_x7?b9!$bS0i*DCp>!B&dr{nm zZv5O{KaLbqi_J3vK%bkZ<*!709g98G;~u4wOv z&>*l%&KzeIZV@J9B7Y{|md5swoS+!&9(UF^-5%?xgq@%iSFX*P!y`!|R>3*kA_F(DHT|=#xz&vHW0dxc(_dHKJEq1PF05b1Xgm zdW%_RO0Ja^a?w}{|Ja-Mj+MU-IdakwrQFeMOWZ3vvm=nv9D3@wCb-#SY)6g#ThoPK zuP3LZ$vSWxZ8@|T@CBMg{LG&JdhB2G*G^f?g=^q4uAF4CHq{W75U>h4K9u$+ zegZ6@7BqpgHXPlV>}mt8I8fLl+txYkofGrjp+FxGDyF5GgX_s1>#}IBDX!K&LK*yx zVoKUW3$7qX1U3p3F4NRm`?|TJydWh-7E|8>uChBNjF>*85UTjRAqH@`I_{#s^Q~3I zQP^lbe3N%eL$P$Qb}&c-xb$!xjPEBL<O#0GaqL#gFwB>=Vb7A}N9LJ>`QEQz&|>@YQpea06D!e#*F*>*v-%Uet@^9hWN zO(4UmY8jZ?9b|fdOJ~Soz}D;MUwh}yyW+Bg7Tn)wXCF`tSKe=P&$W*eI{yyG>q%qi zS!Hn2Vyi*o)QSvxkunH#2+k#%_1I6li#WbuI*>MJxquDGtPf#%GaA5ostQ6Z zx!GCX(!8(zuu9;$?PpWW+kL}n=%rQM(W-c+`ixj@XU>(a9sf8tPcns>)90psuv_RU1-90RjlxPPS+OaeL19{Ddi<9;14tx2Nv)X_K7@rIN6AIVnZ z)v9msh`R!zZT=+O)gbeiQNp8p>eahGZrI%No{%P zM(B^y+NJ~zF&KLdqG>j#z+K<^98vcB(!($*IoUOX*o*zzmz zP2LRW{+?P4Z6G&X+JsGYEjG+Gd65+Y4D)-5^LHzlKPX{yOb^)K!Ke&KGgcJB9E4?q zF(Ty~PnPVH-bjNah*p9Y%&${gptko5xv|<}2`Hr*H1)!Ip&$E{JF~}1d#<1L`d8^-VzB&xq`CYfV_r2sp|1Ll zw!U4DIkA4C^G9`vHFCD3vVYZxwg@Nnr7Pa9#Ld8dtDhtKu2JLiN{ zk>(1>MM0F2GJ{s74<%^|5zPpo>}0$QG(uBRt&qg6#jIFh?cpmig77$hAEu02MEKP1 zCYl{)a(4EmJqqSRs{~xESZYQ0KzbITBD|<^AQ=af0$nVs7>#7o1x%M4n|0pAbd$&* zxG1jcm^?(J>4C(3x+*pob6pFIO;xxQp94%(c~i7P9RTlEC2jLxrDPc!D&~QioVo7M z@*I#e91g(vfS3lVvqPcNi<9N%jVDcV0^mh5ilcC&E-2ta3zsM1=Z z_E3ZsL&;8Xdv7z&FJrf-@G%+bVSWc2$gEfZ4pHGx{O+GG=Z#&MD<$)ekw(gD66O_^ zIN<^j(;d53O_kg_xty2#+RP$zV%yKF0dZ34l7E(q14<3L zXyA^98CJc@flHtV{Dl@c3>oF!QLy`THVI(H*M`WxGb(s?aQ23K{&Hb*gAyO>v{Z_7 zn=oub^UNu+Hv`uV%r}QO0p`jU6338{EwuOo(p0#mRh0;d3B7wf~tU_w7q_a!%eXAggx;BC~>V2L&|bS$hJ&$m{zZ4 zdq={eA_jqak&NJHWIr%HhUU%v5qlHWbyE5X`3UGF$0{+>gvf%WND;1oDgs^}N`=XR zDI8;tML~3SRKi-`P!4;upotkia09fjU>B?HjXfL1bO*;#G)gy#qt`>-KsAQNJpaaY z;dLB!_2-UDw{Xd?2h&&`h{SA|^_ zXVU{67Ac>`b%c}zq%y``5Z2Ub?Vw*oy>n?%Y~|PPyz7psN#tSzNR;($s`D<5orn%k(leX*c7(#6r#BEy^Pd7ZmIEE zW3>^BhhjVp=zszfw17M;sX%TdxP1BKMEl=O7fw~8?a*qF=$C|YrVGsPOvl@p1RO;A z-^5-iG|Q>*DWkQZT#Dp2us9t%r($0$QiDR#n&2K&OIJsb4@=*TYk)+Qn0F?#FJUL^ zg<|IYjSs+da1dpLlUN0bp$u-c25i4Q!u#2#Bi>)sm>}F1O!L4*M^}13B5XuAB^olU zn6h0DM%xs-xuS0U#?93aR(^CB|8>lU)bp=y-1M)2l42#)S(22MSYD$TfQtVXEK>78 zRI^bX*$giRFfpm^vbCT{fx7nL%FXv;tRTqHuW{$PAWr5hecyeEzk}+p8;VvH=_YLdDKN!P^zQDrKiAyV=Vd86Yvf5S$x^V#Qpy;U3j~4PKfmm3&rQg zOIo+F28EBL8P*g^cF`Y`v|s!OV>>IRKtciI24^G-CD1Lk35j}gA)fV4aAv7 z!2=E<7E4A{bPAGu?oHp>tddI9bHu??GRx}^_et_OkCp@^%5!c($apV-;L|pa8#!J? zfLy0k@!?P<$gpo{JK)6tg~_&h?~52cz{===ZV0o;49}`13KakvxzkCEFd_HWwVDm=u9PayjE={elN8Q0I6#2B5U; zU977XL$h)dKbysm9X#OaWjMUj{h2;ddP@V{f?5h^%AX#N(QKd=Msjy@NFY-!QJsg{ zwb4V^H*%_o`6{M)(89k>2_+0zT;3;M11j4Jpf{r-i?l&cK^UF2W~frp+k|?dl&n6BL8dV}{XV@rqioopfd7M6E7$ zAQG^wI7pgAF>MdHcKp@ol6!tUF59=A#QGPt5I8IdvY8lSqOI2lmzepz2dHHD+W$0r z_f-&tW`(>OI?S>p=#n;O0j^X$hL`gn2bp~T5H5b#hjB$s=EW|;)D&yUb*>02G2ASO z5^Jhpp&Q8=r{;okjz3x}#Ke$+`SS`{Yi(xYN@9hj)DvKdHY0Nv!)P$XAeWRaF5-H# z1R@vYo;MYg5+6w`?qGsgKQaAyp*iKn#Awe;$V18sGG(!jNd45rAA<@d#m`&^k7j%=O znQniowHEp26{wf_wGL`#B5Smfqpwu@ijbhot^%Zw0z6)yPtoUKC|n9}5#iafmGLqB zMVX3xh+D$o_J~+@zdfO~5)8Bd!~@h41xyB$r1fCY5+4*e<8@r)t*j(6B?%zIiQd7yfgMYp5sPgaB=HF~-DyqbZx1scUB2)bl_C^-N!ak7)WQ-^{Rr{-IK>NEq|hk zETFXJ%w&M!c4RV_1gH2hfjX`w*cnfCWFk>$BO>`r^ZT`(9>P8o*AV-83C`@AA)7rK z&^ZOw!}9vhF;Fq+y(l!LncPMO5wO&R&rB{_!w6t6+{w)tCPJye0n@Uj(_J%Pc_52& zft-qfSAM+wit9{^gxKB<(^7vCJ=(g|8NkZJXm7jmtL)a{NFnb|SZgxf8rz6niTO}> z={}LWkhXkw=rR|QkV+8*ad3RbXjPt~C56ZmQu^R$50FJ%NOT}F)`jg;jnn*&)Dd}o&49pxh@%8q*%u)J#AUs@g(s`f zebi;$0#JC}9+^-vWYKB#NuX+wQOP~T3RrPx)l6<=icyg$AM#-lW=%i_+jGxLN~~z1 zNzoF_Ec*eBTNY-*oCHz>off%~O~c5PIS9FHqR1^SNhcJ#O_+cZ{ruF@#VDF}dDoAi ze1+7s(V5~UVp4M#h`<|t<*x9v)pMD}{`U-C9b7y!xG=cXcObo+K_ta+SuNajbZTsc z3C2#ekTk&zOLZSaFBR{gt)MCdl|UXR0siQ8;ky!SlO*NfEbwuX>eE_|^v8k*oTap{ ze~Jo?kOB*TCr~^i1wsr&{tJ6YC%w#Y2!yFo9M3xGvW_>FHuC9^`ux?LQ_BM0mvRCq zpMtvihn|7}{u3?!^ANP^5|@QX)#WqakDYe+H>c{d{}@W;VJsNJL@KN2Gx=kk#^+e; zNBp?%2m*YpqwUoCef>{8g~NUE5Q5c;{Y_y6OXk@N;LaKPKTcen zehOQfORq11ZyBOo-;HThU-{2tiw(irV>9Q*4rL^eOtY0bp9yC1i!K|ob)q)!tGYu{ zfM09o5}gu~jRp30nd!q2IjI4b^1adIg2z#7xj*eB=8@WA1Oqw0h3PKI(9z(#IrzqVzbKVITlb&YR zLBqMs5KQ_;?+hn8fCuSPb_&Tsn5)DB4I6YoSru;~ln0J{^hzaM8d??60y3+S0Q7L#ZUxb%}@I3ZCr((i(y31h-q9#iDbyrY&Wi$*SOOe zA!S-oGC7c_Mh`lpgq#e8H|CNNF=C4aCwa0phO?8VxEE1w_T645poD+ZM)3%0)a0Q3 z)U3yRmrO5B_&Hg~%9H^&6Qg{OloK=A4Dth=uIr0S12=lNkhjLTOEeMzfu}ZEX$YF* z2k6RS2R}|2wQvF~0DNWn6@=?nAuD9<+#_BB7~2;i4!6nJA-`Y`4X`s|KL`HaEkJ~| zdQ|hj1A4*#@n)qRv7Cib7_!uYPYqnAeUtRpriF9QWo?3-UkKiK>XuD~jmQTa6pjKd z@3EFEa1m-c_c2?$ewmwXdXV#77xJHP%3DzPvbV))usCgoj@RI?=m1SH&d#WIK7s-X z7aqh7)l4aFBGGJI4I2?v(AYt}XIhhzv;#P_n`G&`%##(9n9FK{7JGebFxnv{>#Oi9 za!^PD=ih>nD|0$}uK>iiiIIF;3UFnqWrUWea9fw=B}bn;%qHZTDgArZC5UkT9Q(NliJ!Ca4A}Gq`4L3j&cD^E~hx> z*b8+3Ld?o5Ih0I7Ba}$^w5U4I-1sBVzPxxH$^#2h!2|mn+bErz(e6FK&C{Czvok*} z`K8yiqAJtQkguPcF8rzLiA^rHWmJ<((}m|iA(|g}uz9d6E55Wpec|N*aTVO_c0xKs zeArDTR+mlSj-&gGtZv#=Oa`_sn3WWR?PFy~oE>;%P$Pp_LjRl{uc8^&0fyaT03GqG z?V|{K;Ke;FYDu-_@(ESE0Y5Pap{@AM@ZbPCN5Gw03nrBGN9BG`I*c^sII_xi6IKSs zLZjDo=TNM<;Q)36+B&fd(2q1k#Dg*vIw94BcAjNw9U?qL6ONyK-Y{DLH%zlcutfqK zWYNnVoafsZ7TOu^zXi0723IlZkML2GUmfppupuF?>8TbPGcUA41P~F3X3QN8CJd_U zK{7B&wo6E25!TnL-p9_YbW^{KRDGaZMF6%Bm4^3k!FB?ob5VwZ5ZBxRWDLu+X9bhi zf=B#Xt&ARO*g%6YV9G^xPaw5TzV#?txxp_17xRXQrBHoBrAXtZnmf6uksj^A^(i3M z1hj$7q8Ma` zYwaWwNqQu`*umW4eV|{7TZvk|FB-z-jY2eHD(14-%`6klqRptEdocyWsHICc@L;Ye zd2|XGSr|uZ14mUlSyp_Fruz*{u)!Du5-Uf((Np1%b1A`O$OXI`j57zjZ?zc2dMIZ2 z3_4JX4{8%x(#mmK=e60=_bF-*t0Ib;cj^ZYG36*g`9G#Mi_EG{&1hRKU$?6+t2-_*hj&3q+jy~ZUTgX09^PyZ)!~~co|J?eSaLM z6EA>hMd$hu*^AAA3|mAqKL&PFK@r!c@x?m~-Iq_Ot9OsX|mJzo1MoyxXB zKu%$NxQ?)c#%_c=M0!&MV?Y1<~)+B4fd2x~(W@znvGI+V<2&;yI|l{sq`#2*m^ zxy7+{eV8Ds#k zlaMCTlrtLc;s{Vbk682EHSzNDJ9ZxmZ3{y^5{2oN+v=KnmW>t@pc+te1J zP6Pel_esEDg%qY!$Tl4D=zjH!5X3<$9_9+iTNzL-|I)Ex+#eL^1xx@?Cl~kbA`jHX z9+uW|OFU5fO}lY_63SI9%MBJop@|(z2Fgcm+gz8X=VxP%mJ+6wdK-YZi<>Pl1ppMe zDUu&RQl85*63*L2t1Ldqh4hs93JwXC^~Pc~t3QpGC;CuHu+FeTqCpIha-Cw|&XQ|p zW%ZZuQ^uKH_Py!Cx8!e1X_{qe@w%9fuQ?u@nc*-yE?1oq!jyj`X1;G67vNyDO3$I=K(TzK+c6={gdH3BCV8^WpJ=<1=JrAN?m)9V9@XTL}H?O!q4Uh}z!SsTKM^u`93ArytM)-g1O{qyXD-o7h z&UzPl0*to+D>wybs0~aLS!aN31#9C7ahazYhtly$lzobkOd}+D@bX*|hv^H6H6k^L z6#NVXQ1}5g2nAcSyPGi7YzUNXAo|a{7jijGe%d`sw62I{INEqEz=c%cwt9yLt}5mqAgCb2t%v2L4A{2o=3kjV!_=m0QT4dC$?cIhVti;m$fZw&HW z<%3dnWyMxTLCt4wCf7}~(8&Ae6EWookZ4Y$xG<*J45K}{(tt~1;+9#%@3;f zxV!C{%xAy-Gd+^d`s8 zeM)A3`F`X381Kyqum5`+9wID$`ITRFtcTfv@y;7_z8&uXGnf#?&oU5mcjrE0s3`xq zf_OI!gvsQ17u6syzxLW|7v{l$0$e7Xw|bXeY1iD`(@?-N>LH^;8|3F{K9Mi0;GP2 zIs_rtz|-tz6%R}Tb~zwheY1X!fAPOwN1;-DS5ts>@NDdF{S4+jZIM7e@&hCh*|gNZNpE$mfgQ5Mr~*-u z&ZM2ym^G-#1_2PfXR!t&A_s*N;8X%ez|PZCev54+fT`c=5W(He)w}NYs~gRDJw_|k z#r7U94Jc%D5G8fqiSDtWz}1r}MUApjRZGnihb!Q(m6oZyxgH4V^G{$yp4J#Wz~)a3n>?P*vnIQaA-R zs_9{Z6K)Y9W7~^jD6pT!?y5_vLTK05$T0peMB}v)vPQe3Ln(Bx0yGjgINCzBGcJLS z2zy|wC*M1S6}xo#_TFT4pgGoCbn{UA)}vrxVK;v^UT>$E7>6*FSTPQZ4jQkGNARiT zNfkHh6E_|bqs@I`nCsiK!9kIapI3xSQNiRTZF#@Ow^+aV4=f)RBi#m|^c?KZkQ!p0 zUuDD6okd&`xd4lJkOPcru;q}PbWARC47(r#0eYD{#SSj8ljL`9j?u};qand;wApl@ov&P$U?|^ zcxx#1R_;l>FEJ#yg;iSR>{D!UVT7dmTv32a4ZS2m8sJwpb%aTR zh9cs6C*TPSELafJH6skA1AP0&-D|hk)^5FcF*TiEto=T&OGI-V%Xf>x8@wK}17y6e6v=pn&zzgn zB!h$}$yuXgqg9&150K9!4aJg-;y@FU44a`G7Sb^{Eg@Qu+GN!HDkM3k$&RENR-t-u z5lZx;oD3w(>>I{#4ztYoi*ni53@QkxtO~%a4g-B-m)sNwRe0cn3)wnk%?5G8Iu|lU zYDMfaBPj_^syRggPOQEUut7amh6X%q)nE0g+Q8ZwrMEj{JllFjl@+!`AajqLlhsVb zXcEpblq#ER)p1?DozwKVknT-EbctsT&+U$Qi`Fsv@^@~k!$G1ubW>SOnjCsD6=&d?b}kzd_z()rnSt1V34YG zG{Vo71tCZTy=mgBB6lkhk$u!;ustBlT@D9mg+ngHUM0Np8PWo-O=Rw?I8z}zUO<8s z>G?U{F9KwsLZ|=;y?+5?fCatIn&zdnG?U0Ojb~yOgAuU_^$ z0Ns+D0>V^C4?QeI8F&y}#_Rrg!yDF!q|)e^_MskZ$myXs^4=IC5-Y{dz6(q3sP{5U zyewmcu_c5l3)qumk*pV(Aw-G^@8bvNpWvi>lN|EX9^D+fxhbsuxib{AQ$UNcsq_)E zNr+LDNtaXldg3e-%1jaJfS;9E;7GJCTBAiryM!q>q9~ccU4xWIVX3x5W(rWzrH%kB zM4+~d-h%MDC|(Jhnnbb+`ll-xIW^)HsK7|Hrghh^LBGbYj)`3`J%hDsoUh zVrLM0ZifHX+HJS_I>Ho@(KKJ2?11d6P`lAy5t$16kE~QLLwppIIZfgrjf0m+6+&r^ z0VoQZuOyX04D21yoacCQj(AzBYXDPHuO<~B*195AUJTH`x_&!h_B|PmAa!$Ou)LSd ziH&JBE8XQNQq*v5<<9kf0&nR(UprML&UK3iDqU}6MZN>nx{7yM9v2(3yAcEFGAe*y zJy)YP1E@?e-m;P)7>lXO{3ViAeAtScYq$nr)*8ww11&NglY-lu`w_m72c90m`Y5$ zSCa#~c#cmZESdEK*mPJaR%L=ir?(i3e2M(R#0`E&5_Nd7$Et$fOKK0o!qZAg{!M$? zzlKQ%6vo&16W@9*0wb9S*mx2lm`tcX-par|96HsSZ_hZ$!O<87#zBfR}h)Il0l^| z_f~G-T)+8lBRhFQIuQbF1nV`f7*<6~%*SFR!%juLEXbN%n41@Lj(L;nmsFUG%pyCV zv{0$0s2iGir3Z_82bb4w4G}q(GcaS9vx}hKSXsY5AL3?fJ*i9Tt5)xkCnxV(Yc<_A*A~$z2Y7~h+-PNDS0Q9j&PDo#5*{ytb93#z&G~?CT7m(B3n$2>Gx8lYc;1^XT-}{JtGDLE^l#^p z*6Qs=o47jG_l!`tyGHxTg$5CQZ zu;sgK7ixM9qycF)Y;(xOaKfa)np^A#>JuxbFDGm>_Q+!0he&2!tl@c_lp$fbO=w)j zqb)!YA}<;Ts|D^pq)0Tjvhn3TmfjfwjMGx`$)Z3=j zI{nkFhKy~L1EygJfILjBlWyV#4Q!^!bPguCRFFo$c)s<_&2&X{Y6vHS5opHrm^qf} zzj?IS$1cpXe%yAm1)s+HImzf*yRmkAfzsw|+$5iDJyc|vk%6t74QCT3e4C4@41<;H zYq$HdkKKlqA_w>(5%^$o?++X_Js~wX#QBo}R2yPFHI{1=ZuR#1f|07l@N!@}9Jpc{ zDQ*}R*eE;hv}lC2+Y4-zasWTUO%Y_}F^k}uoje~+C2YzyP}7(hwuSh(xzS%=T{|7n zV!~dR#9|KC{*2MhZYtd=rVEE#zjC~Bjb|Dderk z&?7+zpdq6ts|?T5HOr)i&A?DW0V1F6T#+2-kUPO32CT+cE=L4Eb&XvErlt8!ai(2# zTUye46zCyi>L1$;QB@3ys1sg;b0GfHl?>n4$%F?P%?ik|@jTYam_5d-0T;;C+s4Ex z*ZAZSnW8AJP&KYSAJJkE(I(b(jqcrR6140Lq;zgR&#AKqDA(FeS4|kMca24o!Js9_H4p zXup1yfAPQ5S7n1#TlLmT!99s6SqMyi7e5mX^~iG2#~ZgVG{hlWP4a&)+!;za8rCMI zBVHL;Fde@7N!rzGEMR@>Cl65xkDjX26AIzmZb^L79etJM$?2_M#2G(ETwQ{MnTk_> za?)4Tp%p~iK`(|?5!dms>a%|(hO29#wVMq1Tm%SGK`8Lt^(qP)4X{@sh3pHu6KZvd zO&&1aI2R9N>i|Z+gu7IFxI|M(My@zMB{GNEH53mD3;Q4m7K0&sUmmn(GOt~qR>{Z|!C$PjML3z{xZ4?mOF~%s*z8NI(Y3$gc zM7&@h)Ro@BWGK~ks~1REqsncFJISdj;UUy zaT_Wg*o7C;ZZsRC1!!+s67YwJh|+0h4n7yDM(+{iA`&5!y~C{|L_6^g*2d0mOo^j= zq!z!(VS0TS(9l!u|}D zR(%L-N!E~b#N-nm=$c#{Ur4Y3Hib)yiD+lgYD=_L3fh+B^Fe5RXy&dlJU~HuK*JAI zn*cU;mCSP=XQ@$hQKMbTN$sYd#_`!M`B~oS^AF(c2;?_wFGXA$QF;Q3$EzRQWsL($ zvv68=iKn9ftyoL70)H;{kh&y{H`5bMRh2AEjyXT z6NumJt$<^;koaree^aiDW?4z+vCN<^rATbNghM=p0b(_Z8SJA14hF3w3bX<&=c@Ib z7byo-miI@+nU*e+khxhx^AAs#zCxACWzVFk;l7~@JzsRt>C$h(xA7^c1)CZ4@pCZ? z&7_@6!ZlH7u!bbuT z2M|&uKOfI0BNbi~c>FW)7h)EWQlO2|F7QR+3DPZUu|Nh#gDbBB`zD9{EN^R`NH_Py zz@!q*I+97TGu5DO*JFCVlVV*e&BZN9xyttLox@7++ur?A9E7nt%a723(l4WX7T}}f z&Y92lhsUozk^T7-IEi-;=Q^f6Y|mNw4ebZ8JNKP?mj1?RxaU54D~z?zj1x9!v}Bs1 zfCe_EbX_K$BwI*?S6$n|@P2Q(e3`d7?TjYPPmMy87#R_GD8(NQdW}x4#?~Ty!5VUj zV7|YYnE%;sVm?cB1vP($uVDUvp^LZ#TcNu@!&YGHU&a|iIWw5@vcAESY#E6AOlUG{ z^2rFzzi`q{ouBUPq9tWmAkY4hQihtvWY4&k4Ljg?i*Y9P4H#3zy$7@M#IYSkfVvPf zg5{zxhE>+m42RESApsu#l72p@tQbzTsx)O?wte}{>c%xNT-vuk1b$p&c424-snAV+ zd`GS>)n*viE_GrUfQF;`Z*YOt==C%fBL@;!$$3DNUwVZa)5|I8qQZpD=)d{^RRuNv zfR_zd&RV7=IA-?U1Yo#CoLndW&Wg0TmDTU8T)k!t)Trm;{KSH&Spth-Jln5-=a-nv z1X)4`3A&gg%9s1^=MqkUK0)-H=}b6FjAdu1bc`jfv=UM{-#X6v&3;`Z%>b_*@nwEl zLPg`5)cm5@@C3iGSWk@DHgTSpPLv}YYnKS-Uz{#|K_ZwcOWgB5H{F4Gc?k? zAVUl{eWWGPfOMqwrJx;)VE{B&MWW(WB|IKmM5n&9ar4S|Hm+UkaZFN?HgHs$wa%H= z<4-Ft27n*cm!%Xea1gkT?Q@rvo*pVr_E8W(N7GK)v)-3JSnL2~t}Q^rgovyJ7UX0~ zc92Nk5N7H=9$(XSg37FSe{};`=qB;a879iCgGzTf55xe0Du}Li&l}-p_W-w91;N|G z9%ld+X!lpwG5Z{~Z+ZwEvDx3amA$G@n5@IPIS16r?S3b$d_1=P8U&71f0t=VZ74E@ z$dG9@D1RWINkgJ`Iwea%BQeH_^7vP$OMh1(kF}uR!(N}m zH}JA3cxRLF+0VTk;AI;^IOCZ`(s>7IWId3sH#36~u7F=upae*@ND-cDs5!2^7R_x1 zY?gz3A%?A*stLPO2(w{?JiR=@StACtFwYRdwd74uPfP@_U%P&1)6-N9J0k?ks^E@w zaf&->vT0q3ku7Jf4K0s{IH(X^$#)7fw4ELr%n$Y~J+yib)nSz-~$nnFTN-s+!+$P zluigbi($YmuqeX)Y4V{#IsI{%r#azt&5hSEr-G^Q3K>9iZ^%LuI$8?vpd~S_T$K*x z)p`a+ued=m6s%n|;>WWPmUj{xo;cw0BZMGpa*>W)S(zk|146epHrKy{b-@(@WbLx7 zCxVe7&;2-23;p}krQgxgLbAv1AUr?aK^l~IM7fXf%%2UUwL%Q;5bz)pg2AFV>-(jA z=NR1xIOag9U)Av5I{ZCTHw9oipYFycR7+-}Xw1502<3^EGUw=$-)kf}ZEW}`k(ZGa zWMkw!^kjlV-H}Rhy!bxp_>&%PE5&^)l>`)5yPOxUxdbOb*?N`fW4PX|rB9fjlvfrD)LuSQF{j+i_yRzak$asQe6I{>~OR{j{DJ{{cem`vxCzE zDIPH&6gBWBMm8`&S{W3<&ml!{O*9&#Nc99C31If@LUT-t+E9;@v5qcoNsuX&Wq2@+ z>{`b(bac&WR-}vkDZWxE zB~@G-06dl=^lBrTa!i?JSv2a)9G^;qZ{e#gqQZUxm#UcO}4QFUEF~FHY2n64I zPZEhHl(FwRG?@P2+9QX&pN8ITP^0$KqI+v+8@l!i_xEaSfo5BU5x#he9gcU}gx&~Y z|98`+&zrUe8KJPj2>lDw9oA`Y!vW7rb$&JA*0f$6!|%x(q(Dt(Nb@1jsgWzC(Hd_J zE881M#~dl-x5H0C@LjT^Va&#)y7aW#fVnrMXwyTxHl9g zm`Gcga69}lvXfup^z!9bzb@Xy%a^~NQ`FvsUixPD9#A#G02mDg4;n7hn-HWjmLphX z9SBs>T(EO!zj{;LJdiV=Q0IgaXvI89O3?RPyxarbI)^;UkCV++%vM4pl>FVpxqo00 zJ^}h!>g;Vb0DqWLXF-1_%7g!Ly7ap$>z#i%c^CdGM04rCKL2YmL`{X#wTh%yIF`nQ zL5!N|OGh<8onV72Z?myp0i0gzyvyW1w$s|jLPRdGva=x*id>kKva@+CG@Yb1BSzc` z5c_pi8Wp5m;k_JkLt&O6!WyWw-)T~0sR#S3n=3ar-ZM?y2+Ag|Z{QX*)h%#1&`Gj9 zX_`-ku&Lo+y}hzNCpDJuHb>CQi7jZaRA za4D73R_FAkj~L1!n2BRc1ui84W`zVmTZIJZopjCiep1ZO`4L~3cd@_auBOYDkyF#i zj!Tb}bw*BeKCD)Pss5Oe(}WWSKR6uQ{jp*pr%#bT4Tr5G5`p~?Yq^od&0?jlZR*Si zt)a*X!hw=U&3qFR$_{E(i1aC=A2K)|A0TR`j-dn@6Zz6|TkQN}81nmSb8rl?-(6VI zy%v^W?6qOM6blHjC)$dCW4iQu%U0wXaDY?JC7mYn3$iUEzvuqG7{4|ou?HSc!7ETB z&fRF9ok78d`ubSy|X4E*e?!LEng3sy%pwuDFKM`UMwQ5>+ul z)D$}2;iKqb*H9wNV_AquYX7;F#gLR90z`wXSSLkiPO*^XfwlrMAz7}Gy1Yu(8mf9b zVmrif;thS^7fJSgD8+x3kmOW^@F?j z)V)Qv;w1f$1IHFZ<;IWZI|sz2O&Xv!gO?u6_t1hn#@jpa;gVE}49aOOH&oT-^cJj4J# zq83r$t60AJHhP3qw1(EUv2j2$JU6B8A?*M`rhqoIMHamVsBN%gBdC6wGJ3cI?F_6s zp0iV=(WFH?G63>CLaP=gzz0wKI{%&Ace9WV4j&-Y`CRNMzpX+SWg>thtSMu`u=z(s)5 zn1}xHmr%CfRN(LH$o6_dk8Q!$X4liHawVI*LSXLu-L%Grv#RYK-3AW@TH~cl6C5qExy>h zdzbX3M9<%_OL0Z`c?^GqiZCbnbALGIQLo8qim@tOq>IQbG$4Dw5#N$~vffzW6jk!L zmvvyLWdkPZLY&4%vk{c4U{`qIbk^Y`{6*o1H^7wC8g!w>+u16tL25}3B)MUsN*>9v zxsc+TxmZcH5ga>{7eye+ZxWnVS;YH$M}EsYI)IEMcxcg896Z@$D1(6^ziEi?=4urP zac=y|W&n&NDITD^5K|swCOCB0S<(BBT`RW!^4eRX#&2t3E6xgs9Xrbz$F(h#NeL5%fJ6z4E98pUJUC|pQNM?_E?}y9SYb-3 zz9EAoM2J$xtfX~hej42N<_Xsu>DcC=@5snN#L7zSb8>kDqL)%o#&ZJ{Ith=Ze4HR>F9={hBoao7 zK^0sZch|nN>Q zY|s2LUr!J#f^2G{fMlf+vV!v~VX!_?P-R;e2xt(yG~HA1D01dR95%%`qT@h@a=zSa z%dIurtk=7xY6i5)%_%RhQ-He-h_v+W8&(dt1`|a}A%Y`p2O^kJN+^CtAftOOd7F(n zy-FPQ>YT`BV4^ zlfwUHPGrsWL(Fxmj4Z4wVe*~M4O_Xj?x2O;0Uvhj{%9ojt7H@LF0?uZ#Dh1BQ>m^b zjN8~g3|bm%*Ay)Rt$M4mNf%;NW{viy#1{UBSCLZ`Jw%B@)EWO2hGOEokG1$lnL(sT zQI((~1klA1AL76)ELJTSFpJ!9pF`_Ju{?8(H0_8p0z3>3kpt6BJE{rp!_(FA+FMun zd}1mS`6m^{abp?u4zgL3>wJ9obFNqSCPzG+L7Zi|5VuqP>!E z$wEACA(a6Zjli6+o#wbnEC8nuD3rDQcMg3gOy8w0t}#@1iiv_XF$K=N9K$##QjeOr!b0ILD+SO7$BnX6 z6l!S>iII7{TJe+m1;*7)X_0XIWHi;ya;p&00|ORDE_zV&(iu=3#60qn%9b&V?rsBc z!Zl7G3fr3q0R2#7B-Ywm?|JmX2PN6{OkesD9HgoAUMP%0)t#L(pygWb%%6`*^nny3 z!Xo6A!U0RIFNE}`tFS{nPm(D(!eem=eR#kuB39fE#zi_p5g7@j>QYK7q6Ht;z3*N^&0gbn2fb)22g~2W z*%WCIn`b>V#<;nD2Q&jKVtsR?xB885EZV%Hq1~5$Pj?Tn^STew1?a;3VrYW)X;Lkmp?zuzTPFsf-05mjc%Fd~iWeRe2d zqyVO^Uu;>~{WJ{rVRx_6XXI^|)h?1z^Hm*enP|B?y|4^%>G;I!ZOg80 zqnzWb=9s@SUHTD))O$&14#Px0uwV8g-2BX6j7bEaC+_!)7RsSJ#GKa48nBS)AM*3xK*(bkNUc?i!SU=#S&U(&DKS+g5Kef_dr@EfuRO^u}Peor)bb( z?tx6FAjyR56YD@<@2L}M6H6tX<`f;h@yX)t{CiKaoo9)QNo?g#fbIv`$`ihWjwO}) zDw8bo%#t$-lCsGZLS_Nc6-jxdlg6lMr0p3B_$`0XwF8`v3iUjq#9bw`FcxBSc(J#7 z>yA!inO{rcv8pRXjB_doF)B|h1EE>;&WFpcKq~R!k-3Hov@EYQ6i-Z}#4LtM~eAj(R5ObGBc5^%9RWSV&XCuEDC95!eiuv-ow< zMmqruc{<*|C3v`gZ8M=tFc2?7vGL@mmIgunj`xlZ@Tsyt?$QQ)po46yyd#`@?Yp)=@${r`!M%z2&F;NUv z9p7<*-%6**R+&7Mh_Fm!5Qc-Iv|>cB$I9K6JOa!=MIT>r+7ghI48$KOqHBMX{>{aB z0`v@2$sxCr-}&HaVXIL1IQu%V+0x zLXqefjV=i33?-_chbt^ZcFD@*AebKp&Lx2qxqeuoFzBN#2wwxK+TOIizHkVES9Sdo zqA`UEgr?T5BAW=B3hT2OGtZ9=cE}A-$VI)kW@nwOw5-CN` zqZoJbf-B0HGWQZRfJ4@ovRb6qi?#+j?FB22Fm|rExu~u27}|nP5{flZM&Xk4I%^NG z8O|t7zCf0a92N%p`R-k!2?n21OSG1CHo2 zXfHh)2-b&$S?C9q|R0CB{b#5LGgo!m%K_q#FR)k%ozXoYi}t7 z4hHC?T{h%KP+}ilex=$8+M!)lrMHE^6CaHZMG8vd4>fEIb28JzvvoN^0P@>o&@&KL zJrz8Nw`=WOIA&PL^D1%Fh1E|_?L|pm7fE}cm0moN(u<$Pbvc?UjrflK$r@Tg`rYW^ zcIM9nVYY0$A&O{W0ad{qa!4nkTD|5)JFys0RR(}}+fpjTvFBtSjnHMj`!h%-SY!~M zE+KbVW3#ix6d-3?2~U_()0r0PltIO=6~V?~tk7CZA(T=Wd?~};y#KYgjpN?Dg5P;* zDb^LDTZ)e{3-QjpZY_!d;DIEfIwq0)eS(R(J%7v3Pe)smox#AB^O?`Zko8nG1_aeC zJB6xB=8Htx_I{sO+&V#2i{rp2EJxCmD~CqFQsn+UP5O!&*z4WdymIGfdMlf&>+4xV z$_NjvR}}7o`=yAKJ9ZysU6&B(D}^R4Bp29$Tp^(9WVUEke#~esG`5thMVaqHbneg+ zG^ccco-$Biy`4N#F+!tq=spW{Fa5PZA|*F57E zZl`nI)scpPkH94cE@LGIIpbj%ei2cv9VdZlvrn6Zfq=lm2n^45SmBS>m>Spx=PM2jM}~pq!W5%9!CEGP znaUdpS;`7v!l;dMR@s0bU0PZ?bNnp-g|71_dF{cM{(kg`IR;PTWXbUk3IcW8@E0+H zps#*M4LLflSpCee$K;%leUoT9cCwtSNCV|tUn2W4n7thKwA^04vxxG$wju~v@ZpQ# z!5R2AQTFOFguqgQ>ZlC}hQVdXxedML7p5u~U$}6Ak$?i&6&4s2e|v>|e)P0R<{v_w zCP=11B9*K=^Do6@I!R=ausePV((Z|DdNr@7w&^m;57VaqqjNU>ERsdToe=ZS#g6wO zl8saNgtLhoDRc|j)m3Dv-%bZEKz4E>&DdyRQLNM2MiE=Um1qOQXv@L33~llr;E0SfAJ!F{kRSG!;i%(fWEk)`9mdtmGCM5F zoNyyApl@K6kC7U{fQhn#`n#wFBQ%l&WLP{I0dH9j*FY1a33qhwUR0aNq0-l1V_zjd z;-L{dSWgkq0**m9C_<10;_LxW(IwPZzx(>vEHaL1>E?B9FG)v5K;{Eq7K6_WE@`lW zo;b7tL8o#v7m0e9V`0-#e9N+N6*kg&Oz}=O(RX;vf%_MI+XNB=(})l5pnj!nVCri4 z%|<8F{Jj6R?#J57>gw92@ouA&8`mcEg1td`yI0J@2~`UJZuB@zoi!KH@`yZG67ldk@hqRQ{HLVHo80B202+pZSBX zZ{+^0$mt*`g}vVTl?~kv#W_k}=YweXDsW`JARV!HFEJGP0@3*bTI0>6>f?p^@E-Ac zGS-6drtU6)xi%N*@kv`{R4op^^e3lFFKC^thOM|JQYq-1ENHCauKDL(m%RR(Ym;Yw zG{$P#hD9!oo4HtIsNfD@5w}H_5OgI`4go@yQ%T=45fwUg)0b9M%rvZgL>jE0+*-D3e)< zj_xg?qFJ2H`r4~H2g%Z$l%TCC?w`k*?2_Vw+FpOHMQ`VX-m((-GfU7)P}^)>T7rMJ ztDaYJndQ8n;qp|t{PQOj<(@}JKsLZ|fp~5$*ozw1IIR232x78)6`}=J38kBKmC!t? z*o|eqj6$+9SoZO#s$jz+S=?a8&0`p2v{7QJmKLxj(4Cd(^8Z~kDorN@* zHEikQksm}Ug6wkM1p{8xx%r7hSb?;TET|T~TTVrVvbn)BD6Op!{&FJP&xFTGlr9kr zV?B`S32w?B>9)Vs>>v{Z1K%zADa7TLMaF(?gI7+pJ~qiC=?+WsC+ zk|o?~*L4GOBFpR;yd%~Ttlm6rC5{-kX80k_Dh|s!B0s-|=P_TJe3H>;^8vL?@tV%T zv>+S0suHx1>mz<#cjyz;w8;J1_?Z}HdlW4%qqkxN)3q;sr*u4nnjg60Ja}Z0O{)^% z95a2cE5=grhBPy*^U&Gu1aE10UFO9zOE7L>3mCQ8W^LkR%v3w#(ey3DtmwXin@eeJ znu8f#6FN4iJQG!ApBgzDZDdSLu^vHq5DU>VckHa0H@ZA=|9CE(OTp7zNK#2BnK=*X zZJgpjkGRdY0?CI-4Q2FzYMj+Kw5$t$aexd}YdnC?DZp(LTxnSnTpovv%0HH6f|Db| zp3@}2xyNst4pwe6#v_vApj;eHOX&#Yfvt;DD<0T&#ga70J8%x`HLJmz{?&~>Yu!N6BlSxLj-WN!e^6+nB1O$jeH*AXT zU~$%sH0@!hrbG|)A{L??NcH$bmmXQd`)zUi<5Z_6-)dOpQU1G-ocQ) zHv=A&25)P&A{sr~u7kG%O^OQ&EZ;utTe0C<5JUs_68_Qi#ppLYJ2<$6_z`b#r!3KW zA(!^F=(#u93U!}GSqS%vVqbcOp^w+R&8i@T9z4v~V@ce`=jyO&6? zV<#d>J??Fh6gnRQ=dMqd*m#F@k#@PN^t_-5)1>R0$(ze+~R zP#Bl16}QH37UPjq7<2G|<+DL@rZ~%{19~sJ)*O{wOhFTc+9WOy6LAI+^2vqi*m!6- za&A!2uvP5JcWG4N=S0;U!qj}1P7^HpB)ebU+~_}vE8n{|Rf9^mS8l$WcDF>8jEp*| zgPX;gwh5bBaku2KnMeqe8~CiW3fl6y7u$CdbN;&sv9Q3w#3oMSAPp_W_Xq+buApA8 zhgi-|kGBruMxAG~6Vx@>z|vMqdnTqv)CdKyhk>0l$4F785a}E9cGl;3PIgXERyRr7 zjgMdyJV00_n(&3`T|lJPM1~#qy|JO5hC;xa2h*W6R0^B-!EK<41DM`&U#ttVbpxmv zRL1ovWZ|AE*ATSL9(+n9Nlb#3qn!gLTpM|MAGV4XHp&qz>EFeLi>xWfjRiBtC*PJ! z#gq~@-$zt%JUYUCIjBn%Fs4;3Zu(&Fz}6E1tjf(PVJG0&4WbVI*^IglW()$~RMW^Y zxT>QGrlf)13@BBXPE4Fv!!|`Z0gveU*an3NhQ9*9=qc`^SiuF1oxa4|hJ4+$3wipo<(9*+61a%$EuBK6I0>7kwIN|l7 zFf*k=9v~9fd`*KI0mRW*`u#K9kL9bWtsbFT(=_sPmeTMED-pxqX21#%=ReGVD+Wu@ zOSooy5@`?CIOoz5Y%Cu3107}<7?@4m#s*P_#@_?-23< zj|FZrdnqc3YBxpwJ<8S3PQMQ}6~O3sf9q ziY{iFUa>s!xdh~C1=|gM&UXWc-c+pnscV5k8A%F|x%oHrk`A{%8XV4wZPUkzUs(LK z`F3VF)Iuu}=!V1O!hyJ?aD!B3=~Js%D-i?1h-!7K1K2sV#`~8GA0ie6KZhH@WDxs< z5&o8zrK_vrph8Atvx#jjJNMh(a_9mcR^NiBaS?<`_GsE+*nI>WhSSo16W>UJD^O&P zW7Qd~mN%Udo@)|42vR@w1=$30a(q^>ojY4w$1+SHOqmIYHs3b#bbY>Zw|tfJ1@%}XG3CSs3BW~$humlE>}=a!EJS@u~2EmWyNBGd|~ zNLRa~wMF7(F?Wpm0TeL|_kj_d>Fz2qh!bqGaWImi+Mql8xxtH`uqn^%$fAWjJdp?H)Rt0_hVJ#c2M2G& z;E8`Ovzi3}^2$XhiAd+UsF#B>md0U(>75WT%U+@63 z%kj(iQJBV!vFaR=5AYC{#JvdyrUy6`8W5W0;9)2Au+Eb+N+>*S zjgp({Gfw2LY({UHCPJfJ^cU-=do;c2&C0aj>e-7gUwEVWoPERR+Fu$k@yo`Gzg)v< zHmu^e{4YK8EVfc>3^%Vzg_-uOQ=CEy$#k5U25Jy|5A6+m$LwKd8j!QjCdinycr)KhojfziK$ z8;Mi$P(P7Q550ev&>Vc8ENtJA{h2SR@%$&bRnnlcn3+BMld1RNJ5bdAjsl)p5$|8kchCZ6x=Rp8H>8 zeVtnrQ?i9&V#UgGT4~X}4~@$#svasc$FV>L@a0I_gA9RUx7M6?->Mztj%mqoFa!ucIz zpTKYd?yXHwJ_(Ku)hj>?O+BZyh*ulf~BFiV}&M8mS4DXIGnz4 zu^05$RIwdzfZ?y)-`#x$ueH>5JYLUjuJ&EIr&Ziws*+e;)G#u^5a5m zBp!g{2ZPA~DQW2=LuZ|b(FHzTz(MD=4lBG%Wi0)dlbgJ3zai#%$9Yqjb%|7Cql8Cp zO0ULA1m)U83q|33^B^LJ#Asx-IOVcO_7djUb`8nY;TiOSXpf>`e)EieO|6iPbGCf7 z*w;*38cLWRs3KrwSFr!u<^9VvOkFA`#Ih7wNP!roziTtZ^^G26O`4Hl5$6G-fjX)k zU0)h2GGJZ}G5mW{#7U?*c`3S*k$7|zo*X??>PzXwpA!_BM488`7Lj@+RNb>+GB=9! zR_QL%QEYbAP;*Ka)`3Ym;Kc<}ssn;#5T0MV(~h;us<~^fDV1k(zM^xnsf?%9nq1$7 zXN|~ybhH+Z!?q=2x@Q0RH?NSctYi-5PHt?A9t#i5s3|Qw$aqL_@0yg5PhmX$t?AP5 z2D!j~PUDFYAE1Cz+wG{cv#NyQS7VMORnuQ(@Hpm*1+(9S1(r~0in3YkaFsy|V5%^Nacy$Xe7 z3LB7#_Q)*2#kRCWib^+iTs=3fPUv_%8iPfME{SSt>N!qUltzIc-KsENZwuyoggm9vXc+h