From af79650f8c76363272ab3d1202f02d297417ae60 Mon Sep 17 00:00:00 2001 From: anishghanekar Date: Wed, 19 May 2021 18:14:24 +0100 Subject: [PATCH] Completed initial camera setup and added sof file --- .../DE10_LITE_D8M_VIP.qws | Bin 1312 -> 679 bytes .../DE10_LITE_D8M_VIP_time_limited.cdf | 13 + .../DE10_LITE_D8M_VIP_time_limited.sof | Bin 0 -> 3330349 bytes .../RemoteSystemsTempFiles/.project | 12 + .../software/D8M_Camera_Test/.cproject | 40 +- .../software/D8M_Camera_Test/.project | 2 +- .../.settings/language.settings.xml | 4 +- .../D8M_Camera_Test/D8M_Camera_Test.elf | Bin 1128331 -> 503720 bytes .../D8M_Camera_Test/D8M_Camera_Test.map | 3785 +- .../D8M_Camera_Test/D8M_Camera_Test.objdump | 36298 ++++++++-------- .../software/D8M_Camera_Test/main.c | 4 +- Vision/RemoteSystemsTempFiles/.project | 12 + 12 files changed, 20522 insertions(+), 19648 deletions(-) create mode 100644 Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf create mode 100644 Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.sof create mode 100644 Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project create mode 100644 Vision/RemoteSystemsTempFiles/.project diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qws b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP.qws index 9a7beedca978bb85d11ff496ce86170b270e4bde..f6db59227603d7375e9c185ab18dd462d5a9b694 100644 GIT binary patch delta 258 zcmaiuF$%&^5JcaPh+rvL3ZizRm|!EsPCF|v5HyJph!(+05wBoKWv7+5u=fxiMRa~H zAj|H~?9BeZxB8=c=~oRf%hT>TU{Au9n1HlIi6$`-LqgS!jMlv1sHrPH!DFS288A|; zr_nm6iY$OSK-&B4C&*(2$F8{AupaGV77@m49`PZi;QG57z-0C@#?ipd#cj6cPkdxl-u-#9_rh9q@-+Rpb diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf new file mode 100644 index 0000000..ce89fc9 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.cdf @@ -0,0 +1,13 @@ +/* Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition */ +JedecChain; + FileRevision(JESD32A); + DefaultMfr(6E); + + P ActionCode(Cfg) + Device PartName(10M50DAF484) Path("C:/Users/Anish Ghanekar/OneDrive - Imperial College London/GitHub/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/") File("DE10_LITE_D8M_VIP_time_limited.sof") MfrSpec(OpMask(1)); + +ChainEnd; + +AlteraBegin; + ChainType(JTAG); +AlteraEnd; diff --git a/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.sof b/Vision/DE10_LITE_D8M_VIP_16/DE10_LITE_D8M_VIP_time_limited.sof new file mode 100644 index 0000000000000000000000000000000000000000..357725fbd8023c15176331875cf30becaf8cbb13 GIT binary patch literal 3330349 zcmce-bChLIlPFrYx@_Avx@_CFZC96V+vu`w+tp>;=B@sH-^`nP*UY-_{qy!ZCr>CN zBVy;tjLh6yQC0-t?*;e$1q6`&F6Eu|9h{sU@#P%Mt&Q;oZLRIht&AP;Rg4`R&24S) z8CYrQX&LbaoXxF_@EI8y@#)#<80o)146OKy68MTv`Zh-T4o3LGM&?f6@&G^p@ZU-q z=%rcch4@97*_j2|L;-*SY`%%)Dy;k>Y;yGU{ESlSiZbT5j`-r@-|gBO8aq1LI&l35 zTaZagR*_J|LI2wx0cR5vV+X>2^8^Hi8NRD3nw#3_Tj49|+xHacJ$QeA{QegI-Gu~z@NY6c0RA@w2w=q% z2|x^x&^x(p+#0OJMo9B67YFR;@mU{)H??qi}@n^UkZl zb}RMF8N^VaYln{?hI-$e1CVNj@~W1k5?b9u${j+WZa}*|@<>1g$QdDssl zBiejjo$(|W3ma@%M*tLJnn_EI&tU=xs|@pY!ugiM=DVe^bcxpevSHp4-T!*50(AUN;e=y0I z6`ofr;qWB>5X7QhNb5MQK@>WDnww*o2cyByHdIF1{W&OT8HNPWiE4VGv{yyn>~7jZ z)v1~FG$2giQyxAGhbPW&31r_Z*04VK%AV0oGpX=IH9Jd%$aV z(d)*J3IC+Oa8OKq6C_lNEE=vzS|>tmDi@>_MNFC21=T6@$7{_(!{ecnLca_-=e%3D zmCCW1tR##7D5=*2EK38-xr2$1H^GHL$x_#nhVpgBqNJzq)f2Ihc(0d2jX7pa_XcB4@G$in}41f(;f(U&s8L z?fOxZ@YG20P8S=Kl@4nz&%#bzNO$Jl|iy&AZe#pFq`bNmqS^>>!>m$4-Ytq4Af3-SMTRG|e zC7S3PaB7*`I665v|8+(GZ}RY$=wNQB>uT;~rfXwsWUOmyY{U8A3lZX5nHxChJGk=@ z{uh=15wHKD&VTyzKe+S%E{6Z5z5gSW|DPkq{h#ss4(Pv-|Glf+!2Zn%$o|O*8d1J; z2m?BEyT6qfjTj7Am{^VJ{);uVj<$A&e{aU$ynsj3bCVwMZ)@e!*$x4hfD`wP( zIhL6V(#S76%l+20Cc$kDy_xQ0%H*&cPrS=7%GydDDqv}f6lc8dl(4K^iKLA4>$R4- zxBjwaXzcYs$bwnc&xS@NU7zRE;nvvY<8yakSM`b$cziL%Q8DNEaqPygi1tBOMrmGA zOHmPb;RW*9LQSKbe3hEoA##w8tXujkGh3?@IP1&(3s_eo%k{0w%UlnQH?zXc}0+#A4+qSIvT!79wr(w6H z#tQI7r-v!?`%s;eWT=$i*5gy02L)OuF71k~hDa^>QC4?e#$DfY6Sz(my-duy*VLYlQ`13ONJAo}0IR-E-S3pG#5%EN%gGFaAudTX~V?HWK)B zZ7cd>W44Jz-GuYO@0wVWbmp&m4PT?Z_k%mLnU{I`=+3tX&JT}ur*Vy;WeHQu5mx&5 zibr^6%Y#YllKc7N=0(es<)RE5^YttIdBz2w8*!~C6Z#}&{0I?FprGbNQb3kncxSB94y&&q}T-HEn`&QWexOk{PicN|fAnokk6)feKYQBp`u#V>ov#or2QM0cLj zId3tsgb(VfU0mhXXEHXD7|vfJ9d4}H)2fqx>W+(1YUlyca?`yFXqwpD%s5aqg2oC|*o{ zM-TU>)~Qsl_!xI)+wj%T2g+ic`GEGHiJqMcPVzS_+0 z_dHT|K|x#0X1i=*(_)2Za&U3f9ZXS7hs;(N-1v%cLp@o^N@rJ)POH|lIpm@)W`U!G zulQj@hwHI9l+&psA7ZWi<`#E12SOMjVDg+}^I8VLN~4|eU7=E4?s+%<0gcV|=cA;JmRaO` z^5$`De8xm*^3*e_Qi9^DEk%oHV{mmL<*Ey<3A;Sqyg_K95gAiVA`p8fq!rEFA4|~k zuhXrg_sM%+N%RTkde|y~f6 zD`3L>eb(4%fE*r@4zU+bHMh7RG;#EYzEU(1Z>x**ao>TYqmkfwwa`7HSPcPeXnU{g zT{Uw=@j;d7pCXgWKSo=(p&$I$r&30X7xym6lmPNA6<%wg!;7`0Ci z!WWMG$x3*!fEkAs`)U)NxO*{^He_zV(F+XixKJ9fFXymDtZY+*A6OWc_j+KEavE#NOd;Qf?1r>uwx5ef~F!hlahh*4p{HIH)TIoVuS-g^3Y4d(@iHfq?R@!!cY zo5vSI$Xz`MP`y6w?fdnDLI_SgBjgG21=!m>@_^ujX;vN?lS2EG@7Zu=wmRDc;64!A zNUH|u*z~}Y|E*ds4d_0w;-^W+>u(~!cWAUm{n4#wDiLL1 z{T^uMm*^Ci11yRk24qxg@;t3+hce~D9KX{}G5FMHW6tt?7_dQkgSzd_p;LT-kIB%V z73AP~g1$fw7}ITfCt!TQ=Kwmu@$!r|0I;RrZ`j@d0{2~?4A9K>g5H6q|}1FAK_mA{TR zH?~x`&HIQ5Hhgq3qD=xvotxR@+U7H6^>KBOxlnI;)(nONAmYLh)G7LeLZyO6!uOCT zh>QmLF&_!)1WwaLM_lBlxrIbTc&Z@OVNb_{Mw*lvS#Og}Did!CprvmM7Dr#Gx9EYpt3)Lr!+ZMVpOjMQV(uDbq;J1<3HiWkluzm54kes*RvH&PvDn zCU{XBB(d{R#)6r-`$!~a{U@ZaWQO!7$d|fnYmB@Rn3QDSBOPlI5Cu}O>ddHvI*KG^ z&y)F(Pt3uDYl>ooJl4YPHk1#3?z3vGJlv1`ib?{B2vED<&R>!M(n*DrHoi;*x0L^7 znFNvv^EfL=uxjIMl3;(-1k*@dNAQW6ClbWhU@#QYr{ zJqdx85z59$wK+n3{2WYY~7YgtV^5+zZRNSz`b@3St@rYmurKz|=QHx*IhS z&c+DI$xCGQJ~y%*MSO49GnoYT5tZKxr%5GyJN8r>AOgE|JtNsCNXLD@9#5Jn9I{JY zewIfDg{k=Tmz;Bzm-4usm-38p^eq!a%VsotJEMryzQ}!l-=lInlW+lBew9}uVj~RC z!_tDnbB~=RQ(R@Ho%ZAGS3l8bhrzMRKh2E)%lxNr^I!fa^RslT{(m-K zT?YgT*Tdt`!x)}tN1Dx<``#FdKR3Gpc6>ty#QzPwQ{gZ=kLR=~fqy{SpmLKIBd7)T z=GsPZU~ix7AwFE`?%k8_m!?XKG5i`0G|7;U!lYr(n;1(B;1$uL7r=9s;^dLlpqTZ5 z+RKyxA7)iLUC~==yJ&Hc9i9xnJ7oNXGARc3782_G&G&TPHT}VeY>wFOH$aOY9lR&6 z&gIhy`Rj8#m>QGHv{JwUtp;Sgb&g66hQk$9vM!doJqQ;6h(;fBY06lorpQr6bd8$J zoF!V7`{nLdykhT>Ugiy2=^nfr-V^ws&6BO;qh6zM_xD@KLPuBk$z^{lymUO;NKr^q zz^p_pU{%7T>s1r!2`XA~;HnjSTUq*u`^z>tbRx2Rd^$UW!FL)d6bK+@xtAZt*tug5 zC21|{JA(uIveQc?Q;W{_s9#^D!evvQw3?{3pafOjQf9JR>=yc`kgJ02lQI25Dt>AA z$3q+=&N^jI@Mz*r+VgnYGx+sm$fwyl9(lhw2jY|)F(4BCcMh3xIvMpP{+t5xMMn}| zw4H>kD$ym&TikUNtJrz7@p0Y6g%vG2hO@t>Urh4OjN$s$dFfQF1>aUrm#|?ZhW$AB z;5OHP_j|chRA_X+lfoA z)bKJ>5nJ%a99E1;!p+5no8*bM@D(tO$rIztt{+EWC~;%aTxhY$g~|$ZF)v zyl6?UI>ZoUci8mdp`9za@~6*(gBQkG(u^|9J}_yF@z6$oE7uj#E#tcX#Jizs?-tk4EB2#sD_1Q;rBY zEh{4d(mB`A-wZqlth?j!69#u9Obp)RMe+y3b-egh#U8(tp)MwglQkYv;@H-o6cI?> z&0&xdsOG1lI1OYYgz8_4FI?}8&jXRtLF7AU@2T5LJG|Y@3anI_V_T=qgJfwKIb&NF zDI#O0-;!9LO~Ea_QpkzjN4Ap4$orVpWKa^iiOIcWCRT)~pp|yzc6VFJz+`f!D+(0WlP?#t9xKdOBgQgKVXhZv5}+Q?L)eJj z4&pCtQ3DAaaW6&qO%UE*1dd>i>OJ)rE}y9YM?LW;gQT7d)S;^pgNL{97bYcNgrR2a zEi=)JgOqsyil|&sBC8nzDM|lkv46Abb`(2%2$3=^z))8sZ#?{kS@UyM^x{x5PC-yH z!U8n@A?WDE#gUhys2LGKS%9J%|4`$`-HL&rzLiXXpbqaL6z#t$ z97EYCK(VuwkcxFNjPkFS-z=GLmesQoUHM-x<%L-dJ9L!dqQ1>nHX1DElouBHcJLnp znz9iwl!Y+LYA8@&X@1^Gvg^bp?-(tO&pLQt3W=Bccl7&HehJ6jZZK>ksPH6-Ni;DP z?u$ee8M*j{l$%Fi#urKPjQ0@QR0F|9!*!3D3g>B3L?E3#x>$tQg-HQ__-~TLr~XC} zlIwS)N2TPt8AKPV8V1zo$UYBS%9keXayIB3oW zhSA^BRkjVg8%#r)Fx=l07{4=Qbzr_3UA3`i)1;MPc7Bv5ES5y({KSXWi0!^j>f%n% zNNuJzGclCjwuFaS_7ULt!qRntr`VOaK_o4ff6~^^;Laz+`d(`o`2*{V$-v#IymOb= z6MDzCU0%Mqt}t`1mCfG#eR_Gc3b;=d95(?F-slQyiY<6q`s45+>B(kvK! zDGKr8=o}i1pi&cQz&T9vB`KLqJNm!M6G$;xNKB+5{4Xo{6&XzDwinu1q{cxawG+UW ze-t0^s{`~k?6D$~|pg=e#9BuwMB-Isy zOv1jOh*E4V!6DBQ@n%+;8Ov|jJ=kRTMsqb2ft{tVQ zXW(!om2)%1{lDqRt)#!HZ3*fcAc;C|!A7xe<@M|+qE46zD(5B-BUK`q?j%wo`LBzrS7AT*+NGnZR%nd+Qmu8VgJ6ykWs%IbF+@*u*{n3(Q^++Nl5 zJnxw??2@N#lkNT8r>1_eBfLGY?MnF1?#7nh&b$4)g1Po*LHf>%K;P*$wr!@q3+opJ zHx_iZ$h8&;vM>_2{HeOErKt>iW9bK(Gcci2#x>j_5MAX|2|j<`aYTe z-mCwwTe|0+-i`%r$#m~uFUZ;1iY(KL&aN)!g`Q8@e@blidmu{~+<;{`j~0-Ht>W$0 zz-$A#veJ%xo=j;Vt$Mbbx7B7iS?alxg9>vR8gvSaie$fzhMneKFQ)|QuD>3Cd%Jx8 zK6owAJAaAs^<-=C==Sn@^5y+HNH`CJCo}C}RntWbJ%9UbS<5zHdtj zJk3{+%1$_VgAaMUbEMPZlf4L2-V4C{5aW^X?KO4mBfYW}_cd&Xt`z7ja!W`5A(id>dOk#c_73e&^;19%m6@=DpAiairG{c{ET{n7fVrfB=1?K=> zhZC%Td%ksieQF_kq~OsFZv9S0_gj|g;)pdq8AB-S1lwUFwJYKDok0jaMSJ$uem4L< zA|I*-13mUN&q4nzpSNsLCCPf(JDT19Qw~CU&P5-;iH2-V7uPd#Jd=%Q>jkr#Sfvpy z+jf)`GrjV08MU6x^gv4I8J?)}mI>SBkjm}uTvjA;Aqj-A@ym!F)on=9s ztpX6o@J|2-cwl*i9D6Xqc&_YyHCQn1+R+t#mPK!wQ+)bt2!sBiknGE}IGLPy(z+e< z)1^F(b#E@O%(|K^kLAN?ca?ET?#TLwzsTmDJ2hJ^*|E68qz6lin{!^`s5ZBigdZ_EVeJnWX?QDy?nt%0Z(X(##~m3__O(=PrvTowTuoi z_HABa6;AJ>yJmYJw#jW$Qgzm_7j>-=mI&O5*@TFtGqJ!#m`d2Qs-9Q_*fmbHRU8#S zyfT1|*EKG5El4&FNS-br)DyfNT=S))UnU@uyeIOv32;IPUyH9nMEuG)x2lmt8Hy&? z>Nlnl5ZBa5X8xwO*gW1~#?hbrNJsQK4V1U4>&q<^$NYWoQ0hDDpd2YR0=EULl{1k2 zdi#JkQNn~Ek6M~HPW1u-?oK-qd>-lGJ~a>rHw9QG+fe~0X-xioQ|jmwBJ^gL`;k&F zY5rdyR4;`94aCsJ6j-S3EgbEYknP0#F68Zn=cCo(#q< z_!OqZCFO$K%0NtOYzOr!lqOWJA*R>Sbfmr}`r2Ky$gx`-Z;Y8oPv?KqgSxH37-De6 z%|Ae3-x+)0NxAgg#o_q0nhZ#r&W=~1@wk8XV0e$u>UdYNyYj*xI#rvXg5JTD`p!f$d%lHX8#Ha^gjf~mqP=!Tsov+89vYt-7byh zxnN+juv%z_sF`Gq1I>KvdgCI9CENtrv(mAI+_rZvrV_UPfa@7WOu`X zvrWg4R@_`wsR~d*h;|ZjJX0%S~#y{6mqvCJrc_KPxZ$ZW`fxq}%4zsaN zeQdgOS(ls$x_$se_%Oyna5AO~|Hx8*8hyDuaJfIDLhgmibR(ie)F~Ne=umw}mKsWC z+c;Q{(MJxF1d8%@g+#gZnCs(waVLmPI*;Kgp=hSsy9gc16}RGV^sIXeeB2~y2qd6 zK66AVTj4fi`kNq2LvWWr$8r!V!agx>&@1DaRD1EKKjCaRX z@|LUOeaZrJP1c1!WJ|rO1ZwK4vUunEFO{;pUV~xhAAxVs0~7gM&>G=}t70N>*Hy)` z(DhsDL3sG8aw*dP8?2my`mPYN*nd^YIF0d~wO9;68wY0ZA2IpxE^(ObdcevuU^1O4XIKZLKcbL!UfF6yqweezth{4*L7!kGca@@7-Fk3ufLGSYvX&qep)NCB1Msu>02 zJ6`cMMaujhx`M9YzwoItT?*FWwz{#ZSq6kLb&^D_4pY9)>|Sytr_#lyRD-QQdDGW) zOpQYJ7{gW{wy!$(mD|R$Rvyf5FFxFV&HkvZ%fi%gab_;V{wOWqUd*2f>4pMUov+jm zP@$tD@ZJWjkVM6RSDOS@>1N36^ILQwRiT2{)L!o7!fi^o3?*Aeu*7ZR97M3j?enO1 zPR8VP33c!uP6Mwygh|u~TTA@8l*S|41?!H95}Ab~_T4|H)R3m0$0mo}6eT!AIZ(CZ zg|eBq9%9LDI$eoMliV$FXSzIgAHl~|$6FRMfol-D~OzoW_3itFN_d|5RMsjXQs z@98XJdpfJW;y>@cBw~B0($O9f&*ZP;&h#fj1mmkv$zzJ+gmEt`@guvzn{&A^dq#Dk z{bSwWwQu>+-PVpo=|o%spKz>2`Wa~N4q>HG_n$;l+TQDxCE+QBWvL-p7wfUTPXCNY zb3xhLYz0_(#>lavdL9&7huNJT1ENW9q$z(DxEDc5S*2OPq8_4*YlRmLPyM_lU%f65 zDxcc=f-gbGak&X!V=O9Cv#74k8&T~+TS~8VjCMR-9!-QF`M@8go*YTFk>X2Uw(XRH zXCoP4(#2OnL|j72`3Bm!x_Bn#j009s5zJwZT5yXNJryMPguLOct)ai%M4?W7IoL|) z?9}DudS}-a6)-i~Xp3?V4mxf0raPWx6@gl9z%^ZMn#C_d4eUcX-YBeRr3*QAfB+`c z?YHTX?K$o7AKlZ{mopDnT=Pv8;|mLL()%}XR)%{Rg#O2$Yy&vx6b0yQ0nf&w5Ghg` zmTaDJ3L(-Ep7MwZRIes@5^Z`8@WrNB;bms3P1M?pO8F1x*}3H`!?`2nPBvT2daQ`V zEXfO!FmHD1O>yV_R;wa);N!-Kp?<{5ns)@*!5)Fzs+B2zshtKFzaGtQC$mG|gPkun zhM!?AFE<@6p0ZUpYKkY07g8!!spGb4H*JfmM51xZwVpioRVz8bjZ*`=F2kMGR-rTO z`JRzN9!zs!HYCTPRfFShEzKwBhgg84btU(f=T-uStBX+1h3Z&@-!;}|jg*VP*0Pu9 zXwkD#ZJZYePRmb61!rt!AGQgYKfL=JOAB~iA*^#QYX!~T2V`*s;L$yfW6yir4By(> zT!3*mD*@$u+}xOG@npSz-;FhYKO~bR%H;|A)YnweN!6u#2aRWPrjN+2>ZkL()n29CGB z*~w#7o?6`onv^8#`7@Nk=j)D(YlXoT10}l5VRtiF%z74UFz`5TdRE$OK zl*6}i-_`_{n z02x_cZUA;00y#xltc+Q_g7mGjIIVU7NNFyms)p`Ta?XB&YQ)c38+`S;QEg%-X=vfq z??9O{d_Cyq$mZYRTp1uv7+0)G&XFk?V!1?xVY}a8NjX0;@3N&ni!YDLw&^^H5WhS( zprt-n6?Lkpa+_8n5OmiJV3<^)AD(Rm?W-oUUm4vtA$I2vRFmUCgy_oeswScb4p)<- zU@zBxcl7YNStFEh?nR}>A9ZDIc_7WBpcLnthpUpd;-ILUQxDXptaeSOHfYI}v zRvX%=Kw%}Dkol6TR+1?i`^Rm2$xICWQ5t)jFh|Ku&E4w$UYeVNQA(Szlo4&4Ft%aD z5*BOLf!;Na4XUxi2kB(Dq$~0iX5Tup4)L>gwLROrOtzCL7tfB@kk00IL=HpG)1cV& z`tgK;VvRjRQ`BE7a);J?uW{d5X$Td!qRIy*$Y5QF{_I}lu%3R1>YW}#Me75mAjYe^ zVcv{txWZi;b&u)>C_2u5JJ3iiI3HKM8SK;ksyifPaMxYn`yYgafZnWH%A=^xs9LDm z4K`D}6Wo-<%Y>vwSk%vzG*6q-HxA=Y)^e+VlHBsctmZZXh6xUSX?|GZe5NyAj;+ph z7Y%RsqZUh-PSI67PZ>qput?wpbRDqc;&vNw#;$urv1Ug)2dsb-iquFN+qQ9ii2gr* zLj56-&zFdoc4Mt&4zTWdv2Cg|=yKAA&N`t|aGK^Y_Q+YfEa2Feyq7*wgY173 zU6+LrbY8oR_8457cS_#Wpr7`|Psf7In0*sSPOpR>Fqk2d|`Q}kVU53b!{k;d@ba$cuVD9;S z3&RlZ_&_)d4}T!E;PsW|+3|rqF7__J5fo0tV%Q0dxQ4v{o7FjB6jcGw=y_InWC4*XlWfteTmg*1TAk3*KhFT34u6?avL}H{vp^GeE58 zRVJHoJ=m$r6RUMo?{H@DMDMg;CH6YB=M%eGA7NrglUi2_e-kFGsA6m{dusG!wMXtX zz}|I4sun_YR_I~8waP4zfH#cti-CKa#Q9Z@vS53y8hDtH)*D~(#pKD^e44C*W#br{ zGNKf$+(OeekmqLm&jNM+iy?Wb#1))w_{D1c?+eHXVX^#8ypi-)uLw6jp%GIxA>;6X z;44k4H{O>?{A8+3tW3;5O23);tr7FpVoLdl0}eG>+iW0^mAk9>5MKvad*|`WlNsfb zNM|%|UEKEk(wf6bbpE_XS*_%Pm$jJ(_?ctV8u>asp2ABn1^uS{V;&T>b8?7gthW{F z0UXQh;GvNF$i|>1w2)w2MwOdi0uNgY=+_oN8mCZlTN_zBV>o*(7=4_~GQ- zTR#Cq__5#~!p9Q35FS>@@skNbe78pRJkAQ}1Js4Twp{fL-d_+lKv>R*UwkZza5QQ* zbWIv~!5lL;LJ+XFyjBMnDpXvSH9r6kB2=Cm4>S0vIe6z~g`T^c4N^AWjSX(DHyx-w z7H$b;<(E@UQx73=U2JGVi?t3_Cr@X8+C3>T^ogEWcc0KkX-id);m^N8uwFuPixs#kF3k?4MIN5z&HD164H@8N^W0)+lYvgF++tVo z!2{&E@Y2Bdn)3kOR+zb4SfFODc$@4}A!o4X-_MQP>!Ho>qytB!g0<24>s=HBoiU@V2rK$)v!blL9RfP~3V}0-JOW;fBCLWpkP#W-&1A#G+W&-I zpeC;Yvp_{w17?ASs`O6>T_M)yx7fxk=)r6mf-??_G~_fZMjv)U_ zfl~1+O37XK4b>2belwJl_eKw5O<9q<*Vb;Va7Zs6OrYLCgfJIOcwfEOyr+V$#wA_Y z3Zj&MAUX4i`3%w9fqa=-Nz7w>Sa)Wu4yF@uT9UAGfqV6h>Vi5SGdQe$k?(~IZ39AT;>v)tT`fKx_fFz^1)vGpAZ1g{Z+ICz~jlH5!-i7fm6%!5Q}*WMecmuLP*KP3JK4 zD*)z=PcEdpXhEOE(vUpP{V0_MjVaz1fajC15_;^ULzNW|rggmIW#lAQ`RPuR?@& z2joL}+fXkRj^O};aUV~ej$pro#NXN@?d!3wwFK4m1h%lklvf>gobyb6aU3alv;CXY zb>{`X=4l7Pr&Wee%dkEF%*Ha#NzN;Gp`RI|e^?*M2?{7W6=KLG_ zWjCwNW6c=jXdDbe8jBId-g0k)G!ROW9|WT=z=L0h{V#g~IS~^dZ6hD&&>}?+7cNEp)Kcp+NB83G$8Kwyhf00JJB;8~6K7q`SP5PV) zt4O@*9xEvrNUt^|4h&rynqLaXOAcWWFPE3OWLw$I?GjK|RwOJWeH|P0i(b^laANUt z(!G&qqe>*~PaX+;&W4ml9aM9~>O=kZi~Q;EMkky!RG(qMDa@Q~LccPc3bd>I2){a$ zC$8KgiR4;dBsp^!OF#1E;Eq-`^;Xv*Q`Z*G_$PyLdYN(ZOh{hLHGTp>y{5G5Pf(e7 zxcZ%^!FjH(q;URfa+E$$S5W9A<-XSlwD)h#S7#iKN z@0o7{z6InKj%~p0&DWtumzD7_iB8GQN|B0a2STqCf-$U7&+6M9cX))Bb}mp)JSxpb ziuC;WtqKQ;Eu|X5Kqhp$E%Ltj% zE#!q)pSu*A(#XIZ5sQD2tVs*8+~vXWQ+MaIWX6Lt*^`Hh2T!0M?pRr)iUw)pp~QIM zvW|J)Bkiv#$6pHAyhWwkvHp#*d0rYv%l_q|emtF}$8Lj&{R#tgt`rz8693VNfE1zk zAiYzd3Z^SCJxTR^$%wJ?HDS)oDA~Y595|w^XOoo_dl^|iWD!Dw%V_Aai-ZcFxU-`) z%BUrsdKioZ6oj9qAbL-JbG6*tqI5-xm-a2|t&lUM==jK%@)PsUGqRQR7}k#sUC!5-vh1oztrqeKHj)f(4=hLvY=%g z{BhE)YLX|FMGeaeQ;Obdmepc!;*$&Ir086z0?aYv^{sz7<0gVAyE@bag&8P_3Sz@LyD3o z{G`;G80xLhnS>CH=7aNct&%b2b|ExP^O_|%nf_iKEnAY?ROjj-n70N+XaO}q`}Gxa zu2GM4tegJrthE03i2|XbHT6Qt7Zr;1ehlQf$pJe75_x4_;6Pu!odItI17oA@^Ph{DB8uP$y8sOI(pZ^?t(?N6{2w_0)^eTCtnj+7A%D zakV*e!J%k-TgQgspEuZN))^m+tG$&4e5JS{YI<+rF@Mj#{?Ea#^Ru|A?B#rY<{P?9 zG~s4rm;2|l?Ue|*zcqTA?UlwBzlf**SF`H{yy@six9{6QO7g>g(@)H2{7!;)7UUi33q1RkkwCwlBf2E%GQ}v zu*6#vlk4wV;Ve;kQVYmjPkR6?W8?iB^|Mf07a72;v)<0(EK9v-?=`CooX#q)_3TKz z4v6p72&ntSV0s<~!LvGymfDJrlP{|L3ph$hMo3x^wKx{_6E5vE^a>+Dq&1w}^DqDl zWGrNS=JFtRyESs`sJ9KD6W>t^ZVnTF`KrjBf&Ux_(b!(a5|z#A?I`k{8Q_=!tO|ce zd1A4F@`w#B(-umTp2!l)jl-KnHIqRHBZ4orr{0yi94A!!_c;1c4KJ>?<7I1$* z4#vnW(DV3yn5V`O6|DXTOb!dE(Ftv~F}+x!PWlr#{ZxFrNMX!7^5kmB;Rls{Le>#(6KHgC>gyY|nt zncFn9$G?l%xDkm7DTTDOC(GYq9~lckU!NEt7{ZOom1X}X0ylY$(>-|C+!B@CTFWCJr$Z?d2T#wMtMjR>7&X7p?d4xU_-(zu9IUj5)}!FI z9Hui1!+YdiqLMehv>Fgt%&7Fxsmix~ucrWa!2yyLJ0#nE;l4BGj`E~sDATLIyCHP0 z2Dhw~ZokMOQa~`@E)gkVumpT`AdE=f45!V<__NR+NoAfF(3wxF;)eV*w!F+C8p4j+ zryWgQ97yE}&N4XpI!+8Y?fj*mV}j2jt6KL$;AWBfiE1C%@1lA)@|SfJX54x6fji*` zm%SJS9i4Y7et)6!T=-Q@UMpl6KgnbD{haAmawc5H>s-*(7r<|hMoasV%dy1iF|N)} z|FCR$bXgGM2^0=bN@T}9?|Gl68KusHoYfVI8#t5)yIZXFb6W)(YsrDX+zmI0UgrlKxsR zaOwHKxO>Ow%DQe{G`6jZZ6}qa!isHsMU|vt+pO5OZQHg{v2CCAzTe*G?AGr2bAR6Z zYs}f_7=4Vnp4QetfBG{Ay1vW2iVx20Oo>mm@NAP+l=nQCcWTxtl>OqWvMLVVWBU+E zyToActoU6#6yF{K43_UN>1y6#i(XX!+VEQ8x;G&E>_aN}#n*FW<(Sp(jYfZ%f5@Gl zNHif02t>9QF$noMKO_*KaSpS|wz&^8gPVSp4Yo&B^!D+Clz4~3=mr}^`OYbcOxGzR zBCze5eE3eBm^0SSp3jLrsDr^49TH*07~V>KnD^|O*W%@_xUrL$5@B?BW2-nJ%JNSY zB6$p#D8_K@YzgQ>gHu;;qUxckz3GmatdMFs#ocZ8^f4|SRp4Px&*!}*Z4ed-cJZjq z-0P_s9O=s=J-*c5eD$DLSx$M96-*In98Q_DJa$NtS6AT?;1%voPi0l}y;s}UjxzRR zGktZN=u+R0RC!$6SwG1{`$QiRLDy6CiLJ52QypH$_I(>|}S{*NOv?vBly#J`F1KX@m7|5@<6*i%Abd!0g}&*9l`Q z`KKlY%Xa==T#B?2V;Jz3uZ^#jyr^1dg;-}8-Uj!R$jr(3JNZCR`9UyVnpVv0$lF03_KN0I?kn#PKU?TRmVC;Sun7q-Xfoi!Ap zc0O9&jZHdXXCTvdRl2gx;i~kFL!a z@fda&T7O|WkG;3M=fk(8_Nf`a2xQt@hXSeq`T?5>De0Hop-1yA;QR$ z_)s1}3S!ymucS?gui~`f*KSMrq^rj(@jg%G9cu&Yt&43#WfHYYx=oE0uVJ4}V@&B` z!eGeLe%^^9d)9c_IrX|a@H#Z*5;jXi(E_Go2kQeyhXcCsZ^_?PWYf-AhBMcmn*@?) ziZ>s(5BNS`vIdrK<*tYuBQ=%`zDmMn7hMlEbBCI1Ey*>RWmjuf1}DFp$#Nf+^QHPa zO!b%WOyRm5f^12C(7rtEi&@{?oQ-BsEnU0YbEmDanOS)V!Rnv8U%QBMCBUZ<@!BlE zFRk!w-`FXp6TGgB}b&0jZu+D7x^?Metlv&Bm6UGs?Qh6-8(Kl5Muu2iayS5gt{Q( zm`n~P!qd7XB6C~+{PsCT0|TLh$mF#X7TYdkqFJWc*pqQ*MYB{GeoMN z?xk|SR~U7KU~)kEPZ$A%;{ zZ*vAWz7~O0KC`Cf(BZVlD3<#ET#i2W>J5(hciQ}co6J1&Y$UAQlgs1EV*#8qJNNAv zX4rjk?VG6{WsT+pXhZdO;7=ouLSFp!Fu1=BhV1k5{17@bJ`$8)h=#0;hlJtad27@^ zv~PTg(pQ95Alt6~Fl*)!*8%f+D(XM(>N`W1-=cSM*XSb5vf#0)03bP)56P#e5Dc8`0I`H)kolM0NO zpmip~Ewvfa@71&lE0H%oP%VZSu(F&t)<5%-y)RYXzUi)S@5tJ{U)`Z!T0y?pDH{ss z4gN@_vX$)k4EOPE8lP4r-vCn;FAprhNfjl>Uq$4#w_o}C8iS6Tk56=R*0^%PjskX*>-A_{b+xO##^py6bVC5&X+Y}%a9!*TrApX;ca6cZmUOg3PYjWfcjyt1Dd513$2$Y*G(!T-e`$)%um0#p3w*25$;j{=Ktprou9a z{k*xxC|~;DBT;vS>u?zhP_D`CVLoH;3sAoPi?JSM<7x|6)wV3{WCO4b_Ys}S@APFX zHd30wG#^&t*Cs8OGKBjhS4%6p=F^^o;r8{=5#O=mB6jz8A_j6aY2VK&6Yo7`g%hdX1`l5V)+p-pmIU;DwOM$6yr=GdytV-wpGjqeFNek5IXBXIyh z9(|@j5P;>dZ4IiGh4myg0f9mDhoT$|(|V010F;ZcEg}Tkg|W4MAH@qid}7U%QUxhb zAyS}%s)l4n#D*?1nm!MvlV+74HJjKN;v~nqRgUs}`q5X+1DtLJrz&d#hCSh+=DNH6 z)yn$C2D<2^3CIp133a6z?^0!#?B+<-QHhx0*o|MW!JhbYfLE>#QOr;i>Y`|DoBOuq z;sQcTE(m^?b0oWwHeP(R2(g&jijMODT+WU*6Ru#KOa&+^_Z)9!&wphG7HMVoVKc`P zf~7l31L-3X)-Xx$C(ANoMI~%L1%@$mu)GMcjb{_7yle6pj#DW(1Uq61PLrI_buIin z>oxMbUNDZws0sq!6!p2fKX9va8;b#avjDu{u-_32_!!8Eqgldrgm_WVV-=mvn8*pE z$Z$CRqBvooEnUyO4!xB!td^Tfj^mF@J(c72%~bzx8Gk8AM2Mz6TKLV@4=)FDQMkK` zR(*#S<2+!IecBBLq-dJp^X1WC+f{^f&vmPdvS%tMcmG~Q2JU|pZuFgu%$GClj#M|ZsTbE7$ zjsi4^bG#=aEdkS|)_D}~Ts>u6!_>pmhW9Ls1ov;AUHQ6{Fl?MGfrfHKQo`tHHw8XI z{XZDUgwfSq$H1pRt(R1g!Ty_C9y&e(eZ&DKl~@iS3*Yv-_{P^qqKsQvB)+zbQ(nTgO)I>GPX zweRu)A>(XURrUn>1g7t*WiEbyb3rt1Z%b}5l0v}tO+`8Z>Y&m0cBvNR;RIyTo-SKUb27BkS?+SM2i`f7lLy~xLHFT#rCMt zYC;Y4%ks&6+2QX+r7cb}nF(eM<{)5{GAUm2*{Eu~=01t3A~T@qDi#dN`#Rpa=kpd6 zx)`3SSH@wa7n>Hvysip~*!e_?iov47dV^PcJc00Z6Kbam=e$oBtqh(bh3H9X&ief$!_Pe)hqN#0Lu(pd z(Z6Zv^}L1~wAJ+|-x}4XGCB1*6;*@ZLWT{szquap=%=B#e?q>g+xONAl9*5{n{ zAGeNgt#CzCFm)OSzoBqclwl)Qxv)GR?*lnBa`re-Fb$FK1kU8#_&ymdU+JQK#`m@f z*JXXPqrP;C_X;U-qkY;T2KTm`T;H_`zntgCc5iH2Lyc7jVm~_>KdsT~n##`5Ld1Iz z*ZKAtklj2o#F|4oHs^NJ2KGp7q=N%PIzBu{qj+@vA=hefuQ6z@#0;S>|OtXxOd z@vNV3Vw(B(tpgxPk=@2cwzBXbqg}P}fAZ}z+~v3qtmB=0&|}T-Mzq%B^Cj-*@k0@cF`8G<=bM|Ge4b%Yh6csJ7hlDrt9A%Bs6KF z?m6~WG~MPNIpZ8I-GBA%ybadU%Yu2o>5H(c8N}a{P`yFr<{i)5y|V!l4heP93BT1x zi=;Ljt*5A9#*0O&66#!2!7;8>6@U`z)-cXOmY20Nw;8DB z!n-*N5$ax-%tGo9Ke)Q~&Wp>q&tLnWrJ2d*!*>l%5a8%BuSy%({BrdaGPU>vjRUYO z?XdIgZBB{Lrs*+Am(1Hmg^DPk7rnU?!_ndFWls7Aj=(Cw#MfuQ&~ptFz#%+HhHwiL zAnw=yZ|MXKe@u_83Xm~zjJgK)Qcx2b)&Of{ZHz{oa8vR15&!3}PXK7f;)@r@*++$P z3+#;pHA9DsW`6F(1x*CD;`y}&^pA%W&FnhnH1w}uM6^&~W1!&|2JjJ(R0|UTB-Nrq z{X?pS2>_C6$q@b_)xrb-Nwv|;8bDGl83K@03v>n~)e;(ByTVu5Qv*GCMEbQe)Y>4$ ze=c(O5o*FFnjs*6ea3asC^ zA(dKau=bvogo>~PPZJ<-2h|$xwk1{G2oOZu&u4T3KzBM^tv{-@dAnk6q(3G*G;yOW znwg0{;gLAwgV>+O0ILgsb%T%~1g#_0+C?Rf!2gR%TpkIcsc6Xu0uWLOXbv?W1Q)F1 zVdDcK7wQ2Q>;gq21c|FG>;o5E;sqg(U?1EQz=G8b_aF8F;KE0LjqtD%e#L%BgzNc3}1Y#^I|Lh{LeY z{tc1a`|y8o_yXdvQw41G4-TKkKpejQ!Qo%O|KRXR1~dS1_%sIM@Ei@q;fClR9G;_r zIP3}hgTr(5KRA5-gTtP{KRCP(|AWKV&3|zCB>O)&06j1OUt-v&BA-rsP!8stxhVVx z$_nIAAwafZUvf`?kmKF>oc6u#Ia-pUzzg_$K>O~461#y&{Cg?G)eA0o3lhT{$l)3h zss{Yw%GvtC1<@e*K=$#8cR-0(wP=AxWDw0(z<)Qp4b*T28PW0uKD7)C|JPkKSjfNS znIl^oQBJ*&uime?8;}@j1t2gH(7t@F&^Ysk=B^+TjJq0`b_G5_GlMH7GRWw^ol}5M zQDP8++
  • >H=FiEp)hnjIa{`EeU6l|N6xzNBMWew+qz3uGffnL5WkLfmkm|T>S+l zK8uKg_N~AL2M$Ox6O$064yhbC96%P^HAsvsD)6QDZ?JR@6oB17;()to8*LL%e(Zq~ zYyaoP#MPU^Gf`FyWiUO6Yv3cLN7E!m#h`reJBiO%m}N5`uA^H!>aL?pjS4Q%p?wJ2 zpCX3qi-{xE2wZ+ZU`-jG>Bru!+zwT4Pkr6(-hNp&Pk+^W-SCS#g^2rf)S1XC1(F3# zpW)SA4`Pv*QYY+3-`(Af-$iFi8A%}pHyMO3Hx(V54s))ZU8pXM9ohy*glj31ud95L z5K&zkYzS%|cM%;e4w{n7K0udkBy&jDV08Mfk~o(qZ<+(i=~kZ&rw(1N z+w8=LDYAHiypx{A@viudum`0o8ZR9RmN-9_UaR_1rYv}}$f1f*p zuMc?hJo{j>FDXObtzrFCR5KB&aSTAncOxj$+Rzv^SDXJk(~$(RPJjetevHm3rkphz zNHqJCtjF2sOVs`70m;`AI$r#>+cxy)q1Rmz>QvXwVezNCF2~cu%7~11TzfyA_QD@B z*ces(B93#yFgzLU&tJIyomwN|OY_l7DS2hLi8;b#MJ5QMbARhj@*7{DJ_RMY+!BHl zno)^gOrxj;6J){mZ{MGgRU2|?mS$54Bq?{Pq1jH^8zT6{rbUD>n2mGSf54mf4-wTbivx6|vP+R#_gX{V*CD+{W zeR+fOs2ty<-_92q5+U-T)4Z%FQ`GAI>S=SV5=G?CGL2qg^AYeS%_iDBjKccdcrxMf zV&0A91s6qtZRuOk5r$%8NAM2v`d4o=jW$bQEhN!+WJ<_3O6=ah-wHk|e9Vr=KF%p2 zMu}64`3PlS>kD6X!!PxoabLSD4_06k*R?zi}J0*u_!9 zvSQ^|sTtM_om>f>xcgc}QQ1+x=DRnGcnibkZ#8D)7b;ahAC>)k?M_U1&IP|}bs5z$ zqe_YXG@E+AS;~ypYDi6m){RSjqIe*9&Izbh2r&tq^RV-U6@uP*DVHpqhH0ZA8rVA^olKossHZ3{ja2rr>%I*>nfKtMTvi&On$B z@Abvsom@#`;Bg#((=7ZYB&fLj?_-W|=H7(*{@A^BR}XN<;ngS`*&1Xf95?6l?YpMv z4wWc~N>|=i8k2lF3z4GGy7x2UNb&_8wudY3b+DhfMYAUF{CPB=iJV#Qtvc=C&uo*1bqAqk&)2eFN>+PJ55QwGWJV?8Kh^ z=zg1DB~uOPe}>=wh8Ah)IB~?YjW!2B<6GY+m=hDR8Lhw%%YdQDe=XsIAPJ*AhMJ0rO((IF$d!&!QOU0)ms)ar7iofJ<}O z9<}=+Cw=w#)jqwzs#DocW4T#vYHyf>f_2kG&{R&C(E3m?KZ0tl_%|VTuCF(s7$rlA z6}xr;qyCbUX{ffC=du-(mhom;O1rYbMDUDwb!OV#Ap|<%9Q6J#K;8le1WB$;~PbEcgiVn&*lZS>bU~9PZqyn9R{HrfA$9g5MAi}MrcPa0qs}48KpM% z(wKEdc2wMf`E#g05*LH`1+z2@J?6I8XRo(f#?&{KHF*Pin(6Uu?Nf_2zGP%+B7n>8 z#ti(r;{E6eyneMgE7d6hlNS2#0$8?eJ*7u`er$Ym8__+K!(-B~d)E7Uoab4-6q$`y zNSsHJ)Rv?=Zin(oE@%mBPM2XqSPQg^KT7U?c%7*8#Mya`)^XwYm(7ZC*Jv3#-qq#E zvVrQo-Ya{y&e6+~JrWOuq#kW49dpM;AKc#<1MOgpiKl*|Cr5!tj2QePwc)%$0)^g2 zvnfR@e7YYoy2bwixm8lMg%G-%XS}yrUkUQq{9_Bi1d8I>vVjPit__nAekjy{ANrwr zr|pa5vE6w?Qoey~^_(=?$fCWXJgt9$pVrRJ(1@!`i81l(tY*oeXF=O_c3)3h#zdj~ zhs)dHJw?03&>P814}Dz8UyNFmJ{x)w-_jN*y{2iA^v$r8N$`%sVhP{EvkP0t{=@G= zdW64|DSymhYbH?K^E7tGuUlR^bFkqM5~Sz#yd7~YVzJ?zo&^%bEAR6OyJO4ld|)ye zqCzS&7t?LpmQJl_l3H=|`LHzpEY!CoB}XQ^5)e?m+E6ncDNwj@3m>cySByO24Ff>U z5H8VAUi>{woZ~m~joYmG8lTFZSZ-Q- z^s(WR#w0b48_s0&%XPx5zJ=h>)B8 z^CH6K`0AEP%dL`yo!k2lSr~#I?`1MtM%QZ}Hozjj2cUmvX)k9(u=hAXaiJHBHc`u& z_~#5dWHW#mlesmt2niM@boTk8l&$>x-VluR9b_wv!SIMF#9FL>awdlI=d}eIh9Yr# z4k0jZ3E;A8Ya%YcE65G~HNjUIPe zUA~i~$lr|Qf5x})j_}Bvq_L-Sh4AK--|ff#)#&rWk->_k14+AB7GPRC>Q==~%PWfy zG-)aE+G&`MV3N$nLi(<{0WWpbZ&IvGkszg8p~f->vLNRgu0CO5M&Tek7UiM1=v0b6 zt#m4*-(7U|i;r9!n37s-L=NS9UIeacOS$AC~&H*_acduDPr|=WohLMCBKg3F$!Bq}@>Ytc*!p+%f3Y*j( z2{T-MR0`JfakeVuX?bi|Ev)$z%dKG61_|Y%aDO7d`(yYedg}Z8m4H^2z>q#RkpRp!y!Hz)CkXwx$XJAk5`G?ZUbYO6#2i@xJ@>j6GU0Q9)53r(<+z%o3(ZuV3|q6JFoUqoUq1 zB9_bPNR!X*Px&h^g{#{3A#Ec-gc)-dD>&)*lcSw^Uy5w;BXyd59ikH}qAnx8R<+@S zR~1V&TU|ivSu|J*p9h46!x8-@35{8{*?PxB!;9gnX;EGy9%mEJgXz`~rvPB>-Blxf zCH#j6;agKTr!f&5;=3NJF&h(g8j})>9XV~u%ahEcNrSG^9oLgdr7(%Ip-VSh|54*> zTk7k;$0_(!vs!LSslr;dFg1I+jPKS>x21HlT639>oH~+zLH8ILbQO2gSD??7*u7*^ z-syqW15w1Ao}PM@Vx)l~Q_gE3r=+lAJJI~bqtuXdZw{ahd@_(rV#Lf}I~t>xl#KH4Pc?dqSKZqzSjq?7dXk-OL*OG|u2 ztHu|zcd?b8^`FH!b6lH^Uo>L(IO|Vj2(9bs?LLe;K0swz_mww?R{^YGx&Jy@?Xcb# zi??~J)_ZE_viF!;NbfL@sUxy@2&%rg+xjbIV3(i3zpomQdW+1{et473|~9BhaHon(P5tGU)TbqLI>io!C)kV)KUrl%(?@OnI{ zebCoj9YqZ(DtxjQWn(a=)&dH26wz*s94;k_4;6-k$K0Y)Qh_%0L$}%HSQp>sb{&c6 z@+~|iB0q#8JKZQmBVis_p)(wYupR%@XJZgj)xJm~-h@e`mIkRRZl2PPDceqKDtUqq zF9T-s{ni~9qxY~j@y#}Oc_iut#lSLAZB%^`Q@f}FX^YIUXrqX^iN#PpE~^VHl+aZO z`%Zx1*zZqE?jZPOnZ7KAT#&vgY61f%-T&ExHPKZGr`ulcJ92gs{A0$(1iUNeO#~Be z6mQA8`n5`A-rwDfw#bV^hx9O&UEu zH%QS8Q3)$0(ogg^1Lqxa`DfDRJs;kiZtQ)1Rs~0e7y|>rlhETdcX7CsBrC1wWH7HL zhQjE|dnZXX$-JO`P<>cfH`uN(GfE7QISZai6UY-?RJ=1ph-7nB8!xk zS^W_Eg)_TcBjJ#I4%I+Kuz!goGSL*b4X=5dgCYe(Bhpz0PcX$UiQ36pq={p-ZSfD& zBS=!T)rP{{q(4e^pw8ZIBSOuNvMNiZr^T;>5p@kz8W<5t?92quDv^Gl5Jj>-nyj`U zVUBX`QnW0N=*e@*o(Bmm!;NOV6tLiLS4kj%--5AcLc)#I`p483g4J9;E6YWt`=^Np z%yQ+eCCF zYQ1!h!by<)!0i4!npj$Q4h*U3x3bp76PeNVB}Tm)b%IzcT+;o}AZ>dU9gPRWVd=5U z5wFv@Hk|lw10jOyE19^sjn3YK7*7jc3pS(k71N1TQIk?Rme-Y4ORl5i6^tNbR5_=U zt5YQhg_RNyc2-|AZ6L9(KWHh`9(Si%M7(x7<3CCaurlI;CYG6xq-ErRuz+8H7sAm~PqzP!EFubD_8?F~*5q_tv z;N2qn$VjpI+sjgXbVJuQM}BtYywxw7Bm@q7vzWpGTaZll%F7Y}F2nunQJ?mlK%U2ow=AmV=v><1tA#{|yYboHQL>j?=T5_jfXM8D$ zPel-qU?QrJfuGA@8Fx6=l|xvI{`red8WaHnK*X{xSD9h;QJ`IO8l%#f6=yaH{?1(ad{`Gz8C|C& ztthgv=lPrT(SZn@1dIto5n`3A4bC{RxY3U`H}d45n>@0j+|A-=F}u;T#Nh(MWLXsxtmu1Uu{IPUAQq0m%vv`+_j<8LF1QN! zy17|2Ry^U1%JY@yqjbsb@SHbNDC&Wu6qFE-mgxLxFCEQe2zT z;2AADpm(qlu$p9Y<{dwNau>##G_V+Ot{2O`BgCzZJ!rk^ota7d^V|JubUNCzatic-qz z&CbwHLTCm)n9(u6NhygfLhhI9Tb=zTR_;v2xdk{tQF5);sMwIJQ0!EDj8*rsqKCDfn}4)Q<- z;?CO!vQcs?(P5(n?g>BoaSE1Go5)>QC4UZ67-6|M+h#cMR-a{q#9~UA3b!}ZP=u92 z0W%46d)G0$VhqJijmGsIAi@e?iEWw^SRHZVy@`TJD2r6aek~UMY?UnSBXAaqtv6&K zpW8=1M~_Sn6jmYntJSY^-mgVFg^o+DQlx-RX+Sd%`P!iLCa=N(k*MAT0gR9@LKyX( zK1v)Ci9iy)w}+wByUwAd|;(RX`KFvNVjuz^OU}jq)MmK8W3q&qABZaqiCmU zS5BY=P@<4B|6WWFlA2mTsjMy}DSE-bB^nDU(wV<~P_KYD7oB6c5v<@q%vafiy_>~F{+M-9rWr5i@>DxFpz zX~W@92$z!#vP%jRzk`ASd`$D*JXPNS?uBcW%-a~udCs38_3tz^#9}d(hSiXeY98v) zS?1tu!;^Kc9=}yL2nN#IR#G1@+vr=?fgZLRVHd3!XTCi)sR&OzGdz?q(`gK#uq!7B^|GHwlpoY;^1SrZ;)=l_oBW2!e4-xC zw`l1_w0LoouCoh~t5HS7m^9%4yiq!k#;tvX!xwTbLpxf6$!JLZy)H~+2YKgtQAUL> zpljih7By8$E%UvA*>IKYA?Ns>yRwA2&!WOWft3z6^v6~_mAPg_trLLCICR zXQ^MPJ*#pW@8zn(tRRerB|L_ik?eGZmKejSSIF)xWb3o2}@rWpBrkdNNFVw_nckc0_O?&q@N^WG*K@Ul+P(=||DV>Yn(f zI7(gZcQf$s-JO;`+`Q4KpFq}sLj_ec2b||NMVD=*Cdfk&!_zQ5%%CZQLihD zo%S(n2p5||s5G=+l9u=-)S4z)O! zyv+!h?-CsQs)>Gvzu|E~{*ZuB%Y7!@aYO?ZGd^S2^8Idz6Ump;RU69I%p^|UKS8?= zqyIiT&vzXlN*ztK$qfD*LuT4MA-$eC<&VM0#wc#;H5>TXoBFLJC_oZRMwye|+0FrV zxGR+GgAAzcRv!uOqdLf(yokv>SvPcanZ3vIQ$-OIRZJ*>QWZJ~k6b zFBAayWYwreq8y0SF8t<&HE=5+`T7>N^5Y3f`m012b9XZMkY3C ze7_nVcxX)v6iFY|O!m>#`TlvXa1oc2H_SqyTxWKDY=GIelbKaGNEDZ@3^Zd6)EBCH zLJ+bMFQAyRqSy#XQQlJ#)vHR?@cr5TN)@2q7Yg;% zJ)zgJnn;S9|Nqqc(x-_2SMQ7ZU%juv0ZfT|CV}GKK9<6mL!0vfjM;sPvY+aOZB!KVtMT?wM3wB(IL^gLV1jA_eaI zE`bVfj4wC0T$4pxu*aD1ZZC{0#rn#n4LH4G-qoGBk0;BjroVJJJ6Mx&qdlk`joaTH zj`~B!w|)8NEbQLchKH5eZ?d3B&u2NVt%OUJfLoj30!zhe$>`w$xd{Ork%mb>NA{42kR*DhL@jLwSaurEHVC z{o6oaOx~XC8E3wC^N~L1sVj3KiM*5kf_;~buKAtzIt9h)DibbGIJE)e_QGchd;GA> z#ijIJ&J1?pF=S6@vYV;{)qaJsSL>SAj%MXi^v$!sqiGKxWEf0F4~`$fY!Rb*X=U$-7aUA!6XMO{ofTbZ4MM0hkgOh0M~?`B;%^$h%2$JGTL__xQxs+IaakR)BXot|E(2OdvlDa`{ zf9sMx=tMlW{%ToZ=bl9?B3!zMSbmHzl+d#^4&DdsV*3ecNg3EnZHLeLIyKp!{9{fjRlU> zYs7Ew1E!maT6s^6*Zec(MX1c;+h0_Jq9dR2OUWFEY~&luQHalMxH_mN zny3t3l*Y*@^k;p=eY(bT)goKvBfqf@t2PHL5K%)0<<(kpWFvc{RB87{J@rXEce%LLfm)45r?e~0jAn+s zmEXF=1}1aat?|kp(~-blX}&k+&AnOX9l0W@h9B6BhCPyU>sZLQY8559Nl zh)@{CUyb`^vfqg5k$1?&8m~ZJjXc%u%6PLxY#U0UJp3np%4^s6=Mym=xD@<*y20+x ztpSdFgG)Y6NG%Z}Lbo?~S)0LSd58Ry$$yij2ARH-LW2LBD%CPC%A1sbInk38RTC?A zGc=?t%tl5ccsWU&F9#Y{MWhH__Fp7! z2HfQ9;ep&TlD`W0e3{Hu1Y>%;Em-DwJqWGa$ZuFTNpxk>+bfk>0_A3EoZA|k$8mbS zA~LO0R$fUK#y(|kiKXwjX=Ru8zkR$+wTn47Zhst`p3t=^Uc8CE@slN<%{=1wKzE!p ze3dJ`=vliO|N6ooedA+MU5J&sGPm)zDO=c%UWmykW9Xw!S%Xw;wxBr$4|QR@uolJN z#Y@4~%kzK2D6=Cn8_E8)OsuWSYo`Kh5{UG3;JCc?5u0lUCBWiK;x|3ICNn;yvHBne$%X&7{cRL>zP|o(Is8eabjkK~b4%Ivj0{3bomdvgd zvgx5nslDq77sc8*%jjpS4|wTe9dhifz+;&kNcg&Ci{U5wI!MXd3ZozzHY#`8l6Xg0 zRmM?7afhod$b(``uvB@pKSvdd)0X@tL?4h&c^Z>Yne_ik`i2oU6=hPCDSevuuYH57 zy^1{SP0cBLt*kU}ax>Gi-@Y98+H9#8)vX6i)|p7wI+Af{L+Y^m^c!{;y#>!S%NAy{ zTdcJeZLR(gO^lvDulpu?c5)`$;h`>sPhQg}INWYE>}$)RVnxtYRTlBj_Emvd^-t3PKBo_3rE7|wT2 zp&)RJ@w6G$1An!0-v_aK!x4Xgw1~)T)w#AvEug(x8gf#SIjD&mM>Vnt_D}D17(^yN z_tbOhm;ea8ObRP?-ix!U>;}wfP01(s#9}#qucQ!bIS!caQTP|ggj|iF`@MBtjLKqC z0+^p4K^8z|Za=YNG#F$6tJ#asc08%-pnBNyK#Td}@>@e5oYtZd(`Mwm(;fW>%dslA z^hg_QbATq=xwdFs)pGj80Bm&u$9`qnj6hmW8l)jc`HSlZ`+ZRa?8p3F=ZN>UU_2RK z#-G7ukJ|HnMJ=&S&(2AJEhk5-_qa70A6?fA*sr66ww7>E^9676=uT?8D<(FpvGX)p z(@CguQy}@;YJOiP?V%j6<%fxo%{()wM$Vv7JT7<3Y{uFQ%^E0;mhJgN_uUW(oHHlV z&l+1waONLpo%H4haZlGZVzQV4G%H<|1c-H_e|1>a%bq5Pv5?`uxOSd@M2p$3MSD~N zwpZid0%mFQ@o~c&fRZGILrBDB<|$h~W{1DfF#I&;UsH4WH_YO(?gqZPh$5fJJ4wM~ zG9|%Or39UzUGj~7qwb>dB952HP=eAM_`umBbr?tZz7tGSC)6Y=83Y;FRwoQl%$U_8 z={UUn^h936eHuiZpEHeRL4nEK6LO8P&Q8@T9T0Lf-s z(w~;{Ce|ML2Hv;mEfBbfy0W!0PqK1nb(HQE|Duy50~%MOqLh7K1nJne95e^+9tYJD zVOHq-?k2a&d-@TwVO<3cyhrFJgGX!C<27B#X$Gf$!sF&TQ2mjQT)3d)o%GJrb|hK& z)6CKo&aCQ3KrbHHgz9WB2O!-4mvmjJj>V&3P?vCGIhYjZjnph4H6t=r*e7Q!g&Rfo zJYY45=*LdPM%35Ez?WW((6P}tMiN$B5MZW;rvI*T2Bxck+n1pX#}DjQnK23zi6 z%D50IQ^BMvz;QF}hR|7OudhrLtu|`|xGt6n`iyi_E^@As2S_JjPlBO#c|I0uTJvD8 zVUBT6rGdEyOH@Ukki!bHB4kDiEzJz(^RpH+xgya#I8hd7%a+(nh z7jud~s8$n9>EkK z;q9K28dyc#%RyB+bNr{^<4u5@H2V=9lK)HCV%|TstFCJFraiaZ?oC%&>Ey-f|3%P( zl|0xp2s757IpOBS?Y0#O@#~w|A9mBx9#HbR?-#VC12eKZQu?=v;1s<&ga6O4#lB!f zWE|AJ`(22ab(&O_9xPNoRb`>lmXOAzfKp)6eqTa)!VJPQoh*lBavW({#eFQKc6bVn za~4xmXz`&%8d*s97Lw9;xj7;^mmF1ZYZYj;cLiwqe0N1l`7W5 zLnOt{?kO9<0L?P-5bnU^W1VPCek*H%V z4cAXI!WeR%Yt+3d&fqc~m2V7U95p&5^-MS|hentzTsS_(5uEGbeRHxYvuB1}$&e~= z3^O#7fP2i8OTXNJGQcS;U|+f6!}DxZ91jN(_rogFR9U(fP!h`QYKagQc+A;m2z%wj1=l= zfXlfX%zq{Vp5$AC%#lGx-^LH}yf{fLAemN`>MI)6i2Kd-uqq+nl1KA(VFz&_t`Gy8 zlnpt&mZWHve{u=Bwhsh_{yq70Am>A(fU_Q>M^Nw)wf_xaLn(!1gh1q-xd@R9PTvQSw(Db6r&)YPuk# zQBz4=f~VN6pMRn^syK)!YWFO}J)fSkPorTs9e&s;qzSqtFg{pCR6e0WNVylYjJJ2o z<;HOzO)@cu0tt>1K%!S1!ndA8f`Cz)aD`*Ur0zf)R6#3wiBw&sCcOxPyP!G?!D&+9 z2L=Y^s3H`-3Rw}<>(qrinBXzc3t!o(L)j-d4G_8d|5DhZMf7N?b*p>>hKe1<1OsMqkt3Y;xgd|s3*a+;#=KSdCi=vnp24GZv9Sa-h> zDvd-@U}^N;yX;QLAu^^Vt-|$v&Wv2&B@k{2a?89R-2nA zn)=g|CX2FSrg%}%g3Z9`0%`#ZxVk!{E#zjFxDOR1r1W1In zsn7_0j(@y{ut-$1Sxa65;zFaO2dwNTshaQFK4DQb{Q#5=((u}GDZgxU(y{P5ba&u! zodJ|h?;PSW8fD10rqVPbAuK0PhB!t>QiT<2x>5Y)>c*cjjOmxM`WKYRFTTrt4| zOZa;lgG*OJ8dWl=SQScSaw%ncl#1Z*EeapAOSE8UtF2&L=)%fj2Lcx_VkZQG;UqfG z#Te;LZ}7Aw?MwMiP1J~gWryLZ^-b?xag{QZtCiS8<1Q=Ir=4%*?UwDTeJ>a(kV=@b zm(v7cWs{UGs`8HuU-RmSAcYTh7w;Z7%VjSh66)W7ol!@DcD?XB9@pPbSnD8{(!x~4 zCe9u~Q&u~1My{k+qp&|^Kcr}r7j6s8_N@#cN?Yy`KCV7c3^c7ahmDv?Lr2{xbckw6 zPJm2`N3&7M35!qzMcP%sPXnwo>Z-B---8v{2M3QEWi8)Bxtwa*&gdL9Z5t6IAbu8+ zmD`GZ*>-d4z9g2pjBX*O?jk1Y(}4$-DXC!5vnjBlR6~w=Ss5u5)!V(0uT2M^3N^13 z;#^P_4kYsAAWJPsaQ3!8vYu$y)*n_q{ugWS7$jS;tbvYg+uUQ@_RJpJdu-dbjXl_7+qP}nwqBoe zZ`^nh@9*pEu3pudS*v2Lj_T~Js_%2hF_^_q6oUF7IO)E8mq0@C6n0ntQ+HGQwH;>U zsV&StRMQ9)| zrGVJIm|x4-YW=UqBprI*#Xwz8Pw*!096xzfwzC1Ht04j}CB1FFuI#%?0TiVsk?Nn@ zK&D^LT2=zMfwUi6Old<#T#b$?xJDFe$Y<-n*8B zC%2_7K*1HVu6$9S>$S_FMsXB>IVGe`VbXA<3Z@Q*XU#K+X-tjz(^%rn4zwyVtR_v> z=It9xGP?55D#?@JOqHmpmKF=zUcn#kP-Hw}EA2th<&_gf3-R4gCQhRAujmih7e@77 zKL2E14<~y4ko?$Ar%tTkwgbSvpJvy6(kJtrA-wDt1Ado=c51=zEg79EB^RYPX@u;_ zn2MmEie2j%eBAkbIP_#-mq@)D{_8ZjxkKaHV>ec;npPeh!u4OuKRB&5R`iX4jxM*k ztR~P#y{w+5ZcU9sLHnpM_Irx=e1s#pGX^!UrLXf`HSCfqA-1lKgg2sFEEtC6N#dnOfPugia zJ!_H6OE5nRk?<%`lSU(93iRB|YCUDndKu}_5w%jzcna84epSNC~Fgr2iX zb(=^$z1?ea06UeO8W;uUMK={Pi~(@9MMz=f_RM{n19SMebfu>rjUDA%CP`XmFKUEEP|3&U} zjmR&yEqSJX4mDPeujXX1$7=p_1X~EWH~sj_*r!ruPT4G zUK77R)cr(1-}$tV*p-PL)foi2nZkJ!bU`%baXa5@DiwsRwArc*iX-s+VVabbm4c@O zIK>~vr_`8(^t60oZv76@IOZ@?nCbc9ft!d52;k|Y8k_M5$vk;gy4(+fA8=CpQuDG! zS3$Q`{7pr@4C0a-`e63+RvGM0^efNJV(XtIy^q*JG)X@%RV%lB5kJn5UQE@CI4%P? zLnV{AOZ!mUK5I&oNA2lyZ!p}Pg)=~ zlS9My#DgIx0zB@pH&IUtiQ9K`Kh?+hdj>~0vGKE%yh>$_eS>^2oW>aN7$o!&%CF?prA-ZOR^4{+fC`VUcK5zxJX&v7_UldFa5B%S%@9-`<3o{*fMjgH#tu z(sh^3s$;<2s!1MxG5+dX&MAp)(wdqKNqTdBUG$bvGeP%3Tg$fHri)tU7!}%Fd_O-b z(L1UC$#rb>T{JI%EXp-Fv^@^R3%S}Gc$)N{_Ek$`=C2S8LC`#amiafPCOVFBX*J+X zp}?Z8zlqJV#IE6b;4u2!due53kBn_Q3a29h=1n-==dgvRp+gv`#HTt5Tvld5i zV)zQ0pjxM9HL)n?#z-T&itCf*-e{WX$@jN|<1J$y|G*Bou=U;D;rna>U10O!*p+qT zIcaFfwKf?3b@bO}l7Y7UH?zDzZXNZxc(%8kW6y5!}DS_Z0+mdOT#-nGYa#_~b`6;Nz}<Qv2{& zgnP>{c>K)AV?aL^xEouJp`9KBV(4ZVe{ZAJd~OMvN`B1E>@~* zs;$XAV`b+7Jp^hyRq_Yc%Oj$et0UWEqK3L7>tjXHl=oa%S10pwS5-Hs(g_H1%M-<{ z@zt5X0po@OkYi0Apa$X8viF1$YoF5W&ac{qD{Eqo=~_p)+(>6Ho^^1)2x@+ERRxG+ zB6)&5>Rd69E)cXz-PAuBn+*!t=d(SBEttEQfDDQuT z)+vb?72?1AsoOoZqom^;7aV@UGcQUviGqL>efO!ukHo}1Lg&llipX;M<|A%-H2ZR| zk1Km6DbpTn68h#-mrT@uJU3tdL%6iwJZ6TZxTi^H6!=oMq2HEcxEzWO9~g+is4ex; zqvNJpwV=FyaJ`Et_qXU-h%&~K>ancz`}UvLM-U92{L-9Cm4-D!<^LEw0M9<}d7XZdhU zP)zjOCcd)D0XY0DcQH0A1xWRgElY@-*q_Shw#0|50yU#d*cGl~I8m0g&^n!E*AGAF z)}9Wkm8yVok0NkU2jn=D*LsnKnSD}FxXEYIlVm#3oKv3h#D`d$%Gpv(lo4s%RY`Q- z>%8{1T25W`(pU>)Cm1~L!%nf8#73NU7VWsF5tuiNdCr^tFs5%!+9c31dnqC#-cFQa zN%tl1kmH7?jye}pH=lL1^ZZq>7^2ask*mX=iWCLH9A4xUS@t~cgX>^$ArvjGTia0U z(5YtD0pGD71JCiC`K0@{+OEUcX__oU#ME#!Y0wdkEsb({Sk1||1-BsnfInGSOW{g^ zZ0~K>xRVQcK~c$0-kyajMco+d3zKtD(bz~=94?f@-OIEIsm6Ji$1IELf=JH7+Pkfi4eE5^kP)pS~U0z-^C+6Ui{CVd5AfDTQ9I zeP~^dx}6ETq*^l9gmwh;CW1|M(7cY1zzV~v)Q&_uFwA`U2cN7K4tJvVj!0=rs6a=E z;e#plk^QtPaxny4V?N5BnoXxWB~dTXkN!x+SUc(zm+HpagW3fa^y@>+ zL=Qh>$D#^itC#K;KiS4RXGJ+WO*2mX(s7L}=byqsazz$uy8Y%4yCZR2>Vxarg22{| zx_dKk6M3iN0uaxE=NQ+OYdJ0}=Qo}=LjUOR#uCTWlgH0b5QE2}qcB|UEQI!RGJ3-! zpl~c2RI#N{Wf#^XIu!rlv3$NvZ+u7bFb$HXjW_nc{P}Xp2ohk?8T0*$_2TR1>seGw zm8psrRG^D6G9qiQrX$B`p@DE$X?FGf5pIaqRz!EcY*65u{b%G6F&swtrNz`)QTkVQ z=8cR@-~6XP6IExtt9wOryM3=R`qAO`R&$pUb+Kphy852PH6(vuWD3?Fv3Dm*kS5?C z5um5onMSg!awuhFqqbHPsfAkbtqx+@O)%{C|8^!e%$~EazW0yQ(&c8|a{of>vH@J*3Jjb5FXyPPU@AHL>n2} zvY@BXp}KzVT)Anl8kGjMSgr|m!iRr<=4QW|AWqF*Sj{y@{Z~=rZ%C7&lDA6CX_+#P zT>HKNKI_;LY0MbgjKm(bt4}Ae7-)Zi>(D!I$t*7LaA9Pt=OVU~x zBsRK`5$0PzDVeK~Q5uD6*DiX&r+Ro@iyoTfuxO3lzow%_ui6sVoKoFK6I%7TYxy>? zE>DaV43CoWgI~ww)dG zudeyLA94^2h>e`Ysf6Z#*Wd(ZQ7kfxa;UCIbhWJgs&Zt^|7T>PWGq5~Q4#q?JQfIB zgkouw9j77;l1~!yOOiZTMOcnA$KeT}1ZdRS(0)TpS^G+olXb95k}r}i#Y>l3nD9uF zCl_Q*0^rNY$y|B?E{XgY0PAws|N8&NCi@ModSd_IN;(;NfR?rIQE9L=<6Gy<*dZ$B z^d@=8C>5X7MI@;JYr!m>G!wOhS$vX|#kWZ%zK@hR4AnQx{%Vipo zvh9nOpp&x`GNt{!xUE66&iz%V-<+^e;Fg21UTl5+ma@eDL7p=<)>2WLWKtloUxk8m z)`ba%>+fR_<@)AE@Y_K+i6#(u@@Z}VPR&Mrjf|{ZAFS;@Hm~l>or1OuX%4K|v=lBp zDimB!@d5aVP&Zc%Rw4>^eOj!;U}5V@o$S;P&d~3EFW!HKTy!zKMa$Iiv`y#}+1wvB zJYI|JqKH3Y$;14&zhF<}2EZXN0b7hCeD`NfB~g02|1L1ilQFp%b>#Tiwd!@hjDY77x}j5akUes44t1PvFHa5eCeN@oTOo%yi;PUYM&xDOfiGaPyMQxfiN%P z=WOPN)8pOVWblf9^Tzo0e(92Iyd@77{bnfGc@3HJ-9u~yr7j;NVdZ|SIb|?r9QH*e z{CQX-h;u4vn9H2Jjk$GYm(nc+o`nck-*znvrxiVrAg6Mw;FHPLwvgLs zfaoysJ}629mHOZXL7uM7>oTN)QJ?*&BeOVx5n-7H-huRC0i@N45usKJ$lvW09I-D| ziiXM@&33JZE--l-oDt%Ij0mbE$R4&`1TT;=&|V~0sfq{>oD!JvpQ_j_72YBZu*i+A z#^Arz0=4B{}CDp4dx5dzKVtr33WSJuoYSR zE(v=vfK+kcSbLFK`z-74^(_&i&9R~CnuS;YN6-st`U*+=XsX5+K2y_fIdSpvfjLm^ z{UmzD08)izKhWzed#G!N`Ny=kgAmVw1JzA{_?iSD%K;q(^!U)O=wfs2UjpI>h9!~7 zHLJH!UD&f5{hy%0dcoV{e_wzyEWz~0hivRtcPeP{Vx#)r4J!##m!FVxkNm`oce!FE z;HgQEciGH~MR633`@k?{NB6(NXYU0HjftGMTu>W|E567;{P;If1&egUTrJFC>oMNy zI30Qw?==~jv0i=SQ3}QjZjRo#3K#%#lLo4Y47Q8(7=Dzmq*9J)+eKg&?~Y4QC*AAA zK>mw(cl>-3suj?By+7_Sfd>*t{_$Dk$Kei?D1=Er{Th+^gw0eP`?HP!57>N&;jq8^ zPnNSJ2D*n}32H)&-hyCF1i!n`h!i8DQhicw;h&yGmPwRpXZN4%BdJgxhBGPQ`+eI+ z%xK$1L5|2x^5tWZN$X!P#Po$n{p+n}n#;E7GsQ1O>5D~&DBbRlB@pkZc{z%-@Vy09 zzi3*2PBJl9`!d^5cMfGP#T~G*d7D~6*%pl>@%(~yi-|PN+jPw&R=Mon>@trhZf%*( zrsf+a1+YloEhAz>Lbqi_UfNJXti88E_*PLHfSE1mKd)`tvtvr&V#VXc^%0gc@PR%0 z4E;e&zdM!YuIH{{y8{AQUk6BOlKURS#eyV)7~B^_SYKC{KyWuJ*ubNmTL%hZ^I^&K zoWnf=%%pnfVqcnf>{%VNXRRUo5mCa#2?YtM+^uR`3Q(REBwhs#-`*~Jt}m4~;w_H- zk{Sq2?e4<{{^oGZPIry`vOId+it^96xh%MU;UeBZaFY&YydHHo-!k74!`T+jXj$P0 z8mxa2`Kwf@baD^~*ot)6VGceuRTC~xo@k+Qer&j#>!J>c7-CU5>f%T3AEy4hUz{nh!=@xL# z9rGPO}t`mXE=N zx%;{MF5rEN-y$Sx1$Q%Jc~_cj$9|0L+(6twGr+v0Wh>yW5z3)b0_4W0&jZ%gX>R@i zr_Z_1LTcSL5A`r{Fi~U6o?8$iilOaF-6`VV-Y^t1n67>(CCp9wzl{sh8LPs46D5k_JV|UyJ=x==&3bpmo7@!DG>Zw>1;5=M3=4MPRt0xuGYgbjcC=i{F6U;#sLriB5?a zJ4tR4_Uk$VJw@ClS$$Z)NIL6wT?ss);Vduf9Om}t_F>a#R4{(Py0~eeJo`lo?+WkI z3}eAte!f(BORprt#5yE87(C?=Y#);6fEU+aKnrpTb52Skfm-aPNx&`lK7i-?=lUNS zlmoQg)3<>a=MO*&hYE)*cmLd=qgAD2YSx1@x6qmQY|O#YjF0`{n!4 zS^?s|c8Xv#UMkj1Hd$kthSu_bo3%C83PMK{J7+#In@&GX6k>DyRG zj;n&}fS2o2LaxukW$jluNNR6NhI3qZkvLo86(65a2{hVrq$fz)IzhYml3fxS?_bGb zG)~?wKQ?P zsyWP?KHvJ^H&u@V>C6O*^^5s8Cgk56p0xC{Wjm<{=vC@X6SnN?m~>*SW9O@tN3uvC z3&^UaKCx(~x~H>nPM>qzsPym)oMBO9D{_aRR1>5cP-vTpfgH_foi9vU9TqqqCZsVA zPX@YJVI5@-E&-EGmce6I~VX zpFDe`Ziz1@$=p~^ax$5b!Iybs8<Bgi-dy{CT!+TTG+eYB0i05h$U>oXXFDw6{(vNWI)H0xVQ*pHeG%^geq-*7+wwt~>cdR%Y$aji_gB`Z zqHfdB#x|qcCPBAIoMu9!dJJASU*6nELbH&OG@6#?K{`9?bz^EwvL)sT72DLKXz4CO zh)ff!xhx?fr*ymVd>rx?qp;a@71U|^rEiar;4D{rSZrP2QoUJFQ;PjJUDONx3Dj_X zR9>-GtWT-VT4Lu$&jw_wDkImY(?r9cf6$bP&f&iudX8Q1i_znH32_f9p z_x&5~^BhCo4BEn+I+-PY!;atE(GM`Ya?l9EJs?SK8Qd)QB2o-;$}9M64m{^W4n`GcTa@s!!Wi@@ zV<^d{FaLf%uIPbKyrmio-&Nh$%S7ohdb{fcNC=UKBofycGO6-RlV> zVX>hgZb+WqfBXD6XPS;(Y;7Gmwl#Ryp_Tv`7+#*=JAU{*-Ed@wvh5}$O?hDkmH(ag zQKpf1sMHj`^5wy%+zOr&-|YLFiQXTdI=i>~yq{fZ^kBb?c=4qD@`j;_FqrL=a4CLv zJ3C1F5-noRh)kYQIos$%755v8cc3^S!LRsEpJ67#et_kVBK=yu-4HmChU;fUm&U); zz0TzmQ0gMXN(aU5iL48ZSv1`^)%h)Z|{NFbLEJtFIaMo?Z zk==0C^UFwwKdVd*#08ZS2Zk7j@Hn0GmjO}POb!{7CPWQu=&*kROG-r#8BdCK`&V37 z?Wi;|5z`xkK0ZRemd zBJb25d`%&zabL2;Nzo8xzPKXj@FjBF;_D2?n8_PM^Mm&G9kO z7$5}Mu@ID`pEGx1=>LAp=zRg`rc~e0ZK=6AmQ>9aWrP}qIw*o%ZcBB52aXR_m3!@l zflbZlVZr|T)<9X+VvLJXQ&FQ|>WE^66ItAa!z=J(2-Tv*cmJL=gen`E=X$t2^I-j8 z#Nhs1qmJ*=!d}tf`f2>&zQEY3E*zdlreA?vH>V8Amq>==)}zu@3qI|3vWFV_cURB# zk{vPBVDnW4QQ)36J78Z!XZwLo z)>5pw6O%$rsX9r{@Cfnh%r&H4EoN5KQ-Ow z%%*M|I!fz0JM2>UxYVb38-t5p$_tBzLxZst1C0>oP`Fn!Oi)(7jKKGu8Q5A&Eg7U$ z|HK|TXZh&ztKaXPmBaO9I4Acykzy$Cy#tn|ly1@FJYUe(R>z?)NTHmQjO56BrNQWKI&vs9MnJ)}UH(z^=#;Tdr;G5n zv8fF4j$#O!{(-m=Kkidy4BE#DRgBNPH1QVDFUFSzye+*vD zUtGXovYe7%inQM}-e*RVQp<+4#pqhxyhn~-Li+#{&58|_G0vD2!FiEPvNkfB-a^wbS2?p#f?)O3F+FAy z&68&k?t$Y-r4*GhL9I1IhNR^rD`lgiCu&pATFrKc-?7TJ@fn)MQEY`>U+1!P*DN)c?lrfbenAvs30V;s=@vRTrCI>^ z3wdU4|7e)QV4x2~oZ&Hl49D>loLUXS6+i$%nqQlA3l2ZuNCWp9Q{TNY z=+y9M!|u5~>oU@5dsq&mMIy0NY+~xfTM^>&(}o0+{^ErL6?@0Fy<%g|=BT43XwD!f zttZ4-pYn$v`0Kcr93MkDfo^`(=8D3+e7BaDMWPzaYr{d>?T_>F2P5w?2dCD19*W~4 zNsxnyj5R@6fduAK=E*T~FuoU87>1H1DJtDl)t2dROS)L~qePUZ~*Bo}!oQX>Hkyh#*RlB$L6^ z&N1J3Lu5C-3biwj8ni1R)-A7X!C%A&%q72-qVm~R6l<{C6X&D?j)PQ`22AkAH@(5v zUyL-dNU`&Z7w7dn_D*Xex9N<2>feL9mq`|G4{xW;-9LS8-0PdysKsM4tJbpp8oiQe zFhrq5i3P>$C2GzrM#qI$4kexSmmMS)euN2yd)!vJViy*SNpZE2jH!*{t(~NuxEYnE zFY`@}4|n%bx=Z_Kc!JA4t>=a?eT}qDD)@}U--~wV1q$V=B_agll}14b3`wtL4_6`# z1tZf8YWHzd`zee9n^t#F{@!B{+T?N0bsYmax+O4f2G~FsLM?*3kgFh4;7Ecm^;iGl zl0JsaqbOW=Or{S}9hjABIYXgnD~x?8wWa=gM85iL7{E`Yp- zmW|Q`n<1X7&~n6!Ok6VYi9OR;J9FqNq872mZ&6p=Dyg{6^cy&A=plJVJJ~U6=*4Fo zNi1Azp$jK4O@9>0@I}}iLt`2=fenqZqadq0%ur1A5FwIu;tiqR`N8T+K8`01PJur* z4x;2oD^2>|YdyTq`#M=cUhGdkc!^G8Dq=nLmr-%v4(p@^+F299*+XV;X}bpAR#pm= z9+v_bf#borPk^*YiH=yN{O<=8+yyb2RR61F2Eh%_l;2Si=j4$j=V~Q(ah>VJ+01Rc zwN^;>=*Udn zgB@QgR)YzJiWmW);?{zE$ybGi5ZO3JAY%T{Wo4dBJ$MF=i8GP0^0jv5B}a29xGS0K zQ0;M32xCRFgUx4{?u%rA>4!tLb?#7-?7^@f*ZTB((t`2_2zKxgW>87 z^h@_c)iIc7x1g)X6g7DdzMO?%p304weJvnF0wo}~!8&$tWx+WN749$YzaMpA+m@4j ze0VW_c(KbNo5q|G5tYidUkaW1rDivs!>o;HH@6+Mw>KK)!VVeLNbkerW}&PP53bl$^~k8zrJjEF1`e_L=Lwc4eKuby zWBa43FP_`>)zvYaFdnuTl;|oHyeW&j)+(*4d+p~gRgy2*$Xt#a1W3x$z!H4#+0Xp% zKYFDx&EEp3t<99{MW85tN3B{RJyJWR>zFaTH^nTzQi#U{+=Wc(R_%oe#ax9*abah{ z(>oCU3vIGRZ7jGRP)j1E1j0{9;K|I_gWTbdJU z0loaki7)t^crknOe{`0Gb?#72Ji(c?1EZv+1VT?xKS$9G8D=^1nLVm?6^A93&M^0r$r_nMA`5V=f z(f_Obr^llffFA#k!GC)Ee>y(L|LO66ocJ8i6Be>3|3_y5=<#7As^hz+&j0%T|K0FT zbY2{$Vx!h#GC?VJ4-z^jTS=#`;?q)LuL|Oc83|mUgDUTsGq3`NLA)fl+}gT&ZC1+9Q4@Gx$k8XMq+0E3Fw;WbPU$tZi-r z^fhA?ZJ(>HTqq+@j){^ukK!5qkQhLOpn*^oWw3G@6_fsyCKo5`&OqqkWJ4i<1a zkj}Oi{1zKLl5co~qme76xe8k??Epoh%JCYJzG{h4{W%Y%>09if(W>a-N*{r+OCe z-6CsZV5w=VJS7w>7;hmhWi6f31JqDBGFSgtNngj_#?1#CuvR%il#QK8PblUac2~nK z1%B|#4Oyq{SUo)tjJfV*n|AN`RhZ44)SqDMct6iIU(n0-E<};lt}*>F)o_5M+?K2XE?25DsrWP zwZqJRqBb|4tF@}x7`v4qO7O8Xi-Z-d3sJ|M#5e8xBX>a@i|C))!jUKT!~&jh;F)S z*H^TA+R1GR`qTbHn)ScDm$gRH=x0|3tf}~w=9c%dmFL7P1QM(lQx!ZOyG2bS#r%+V za_BL}>+$;N=POHDb+gTTKd@_evu<{Bqov0PGva%D+u|nD@iH;u_H*)}&p#$~D0f4V zdp6bYu?e$T_R`|!Cpt6NvCJWW^>c;)iS4!Emhq3@K>Ou-Ym-n&^Dgv`<(;zwr>`^MY zlga7CpXvD+dU=iv&jd@z%?JFX#vo}P1 zB=6u2B)6zX(#!X7Ans7=o#t#zVJF`YbXY%)XaXs&>*jlO!r(LQV~a1VwQnE;eZ~-D z*}riH^8W>_BgV?-cCL_%*vE?0-8|zpoYG5zXLamxP|?Q2A1>RY3PJ917NSuajTOv= z#)F}9KcauMeSgH3P343Y^u7!3PxY*F(}&Ne{mmNmN)Jbwi(AGcN*a#Y4NJ75>` zu3hnU)5&C8`;!I3$Q&*I&c;LXjpkG?Q6%lti7IV<|6VCbW_~m*u zYPxj!!FSMX-2c-frPf6M_YcfZ9(xPKj}|++s(?y4Wt^S^#Ah8Kd8<5xw6&TOjA$o6 zCf$mB1!`AW)B|vmMO`pK2x6FGH8f9=fou|%FLu?l9Q*w=HXt7+@8{Bv5k;Z8l zW!vEK%D{-n_UaH0lY02vR`{#=Qj!pIy2&W}i>t#dnsd~6%N{`&msqi&nk12%!iU3i z5-2^GT$Ibq`mhdjNLK?TZhqeY!WceM;Hh#oXZl<`NbGQp8rvU&aVZpc;2@u(BB0!> zGs9m%NErMEz!sfYq@b_l0CW$;tP}G5CvZ5hfF8&YegY z{GL2;7ClqoMmD@xzd)S^>x8RG>_K7u&V~t^k!IBP&#L>CkDmMK@EN`h@f8H1jp#O4 zKCCgRlu~C5RC!H_?B}v&D=LWpG;gMIE~LVH>-9FS|L{3ommZyhfvxU9H$a{qAkq=N zf9;EMyp`s25x!@s-+NTQmxeZ%!l0F5w*f#9IcTCfNUdIJI7kgCE2fOnHzUGI>AP1%N>myJtoVoS!K=p631kC4WPiZ8_%4D1`qUAC{$~}&n1V$+wQmutp zhs(t;JdU1f(x+&ctAi{PSt)_O=JE6zC}xN;rneMFzzp$pTTNZ{yk+lQNNx!nT*s|z zBB#!i(^%~0P@@Mf2M4mM%9yud+7v*xj7xJj!R{;{uXcn<5p%V}lOTfYxq^AuXG?8i z^K)HjuZI2z6@j;{TptpB$4dGtslE7D zn87(X7moV^j~(yx@Z18nLE8%<#^dyQ2H_Kh?PGeOOa$=5*@|#S*bB?;KjQ6CA+cMC zEek_?eEHp#!G_)tqL)^^E@e;^jcB7cjdUw**n_*xC=$cO#dUmbv5a?F0AHp?w2sbO zg`#;i?e)1DDn#UHtkyasx%z`W6HfrX-q|p6h|$z03aa<{aGaU$53pT7pUKTQ!(UzJ z@wzz&MqnE(w6Ap=SsGG5SIk|)q!($Tozs6NOp{qjlrLN)P!QLM_jV%Q`VcMl6-C+u zZuSbD2b$EWZ34cx!x0n^LWTqR?AOlJ-N-;u7x`!vMYI}Yr&vSDjoXDETMUJ+#rF+xdO&*q^dG#lC$ z5cLs+?g~zBt^f*T;(uiy19|lSLNN_UL>8Pzo;Tr1(*C`Akpoyjy^ZZToCKaTgQpRZ z@Y8)tJCCEV-%Fwdp8~^P>y9A<8$RVNSe9`JrZ4@TCu^I61#NW%nvDb-W|)mKmVPsO z;ncx}flYQn61ssU1L27yxu^ah_15AT(G;IPihUxnBj_FuMQMJ0m99z*^H@U;0B#^i zYH*4;9I1E^2uv5zbz)3gDC1!gM@IYLETNOZs8I%m5!d%oxyH96- zrhAl?-I&9i%AP9}h~k}0)7RWDl8yQpQcR$#{}nX)e4SpoTJ9;2!vpj%M;wfWuN3(i z&nO)Ey=oO&EHc{IdV-ax5m_j%$n0a$$%F{dT7QOO!v246E5xxfX2>Ikfru5r7|l zbPrb}u$^k#+Q`J5yI_jntwyHlW?OIJ@gVNqZ%;`7pj4yXdPVmDyRi4-hVXMwnly02 zmB%5&n){G(;$3}T8+qb=j4@wEN0$50rdCk9MnF3AHaSGTuwVbomA8PEU&a!7g6eCU zqOa+bBmb77>TCLBPxU$etEYaveP!DkHd|kFYHv?n4cmXTrt+Sq0-(C^OwiY?f`suk zZDJ39O;K$|$L^`uqLlp{w*;_~(NsKXSplq~Du-(-mj~4L)zz$sumNTObA(;M`|#X< zF8eL{GoAB)&r3&gqOKO#Q_uYzWc0Xseh|eAlHuo)Am{#B5jAOk*aWKby(9*BHt*im z&@hgGLfPQmJAf=<{t0Kya>w9;``r~w8ZRBETM`v&vdcIuerl{`AYv;La zXrPD5eYORo?qf<{ix=x%iBM~h$@=^7sLy!yX=oxR*R3_2qhtH9B7XDjc$y>Vw)J5u z+V^mp!>zmRVJhb)ZEtt_rQ+<@wYS}D$L5=D?1P;4`nA`>%qF4F>xa=x)^pDku)rob z|0rk)=<0D0q041Y3#|Od&Sfwm!VeWgHiB^jq3hsT~RBk(=mj^G+#aS3rwIJ}EYi3=V*mzg~WNhK{1v)-|zdBKUH@Bm~NTxiwM2 z?bgh9EjwF2qK=`QT8fIUSyVxGO7@4k4OJH*sJ30ObKhbzg-4g&b_J{0B^MW1w_}x*P-X@ zm}*GNFeRK#i~`{jc8`Uq*%^>LrY3HWrpDbjvGg^V_|XiW0Kw6I67x~WZqbB}^Y3q; z`Ij@{B+q_9B|AjeC~PSGjT62D=~CvzB2h8xMD{tB$r+#1cn7KbCX#W4p}Vt{&4!-J zh<|LK>-MuLauPQHoRo8s1tt}tq^g`cc}!Z-Ikdh*BF;c+p2%aYK+Myw?+Kp{D{|)-@S$ffV<}COi$zs2dlc_1p+VbnO|Z0>Ym@$Dz1t4;k^#v{6!Xm% z^Qo~VWlmjZ)i13qZN2Xe4BgOz)LM@LFvIvTn3Ep-$gS$BS8K!V*y?hvfH3Reo-4@4 zPy*__s2L+f7{fiQPj+i^=vO!N&$(WGyCCCuZ%XT3o5GOZzd*CFJSj>IM`q<55QWC6 zLaT{<*HHV(leX8Ngen&eQTv6m2M;5=`Gb?_(>H_8xc(VYucwg8sIx7Z^OaDRT23(m zM!_7O75IGd$fDs)&xk!F>IwElkzl$FNd%-eSrFv-V#^DDGLupcDBmGZ=z2o>d*e@~623#3GK!(( zrxVp05-C20EZC4ggN9#2lp-(lk>e?bMW0$YEP)PF0S7DduT89gk-*A=oF~CLAzWX^ zi%nOxEXZ}YsS!4GtiP)|(_L59=N{>DL)qsRsr|eANNcge_ZsP)e`^Hc?*ppeIg)tq zY#72AK_!Q4_lGgV;n@^UJa}{o$HDvE;0ZUwXLF!H^}YE?{-NHgTa()m;<+y}P}4Q# zp0Z0zQ=CxG6svm>vA5gp?veACKyK^L2dc0D@zPGg#lX#}Ur!HBS0Un22+upF*;OLX z`{RGUrq`#iBHC`YvO}pk0S0UJXJqO~Q7edUVcIDYaY{q>^{-uQ?uXZnT&&h+=3^+S zz7|lj${0mxM}n`?eieaqR{H@`SmQTDj1YBu-s_4m$G^wW-tAS_v!GXS_~-R7Ew3^eLKSrpRL$qC%L z1O4uSj|#sjp26jkmRKF2GrvrStXvGGZzoGC(--A}8@Lv&O=~C363(OO*hV)J%W&lp zDYvA}RcXnWQ0A1ZNdkKIEbjji!#;arzB&F*`P{;P_N=!+ZvOVp{glwx^pl4sLA*VV z%|SXVNSY@r@+HTz(7j~S>xJJN)i^)b5d*5;cuC7_$hi+Iu5y>ZL}W2 zn54nhpwbq22}W^q!nBHGW=M8Xx;Gh{kpf{9LFyT8PO1MhmUM)>e3f5Ok{v!XYPvq9 zFcwwetQgD28qY}$nZo-QHlRKc<|vqwdZozD#$7s%2>4g&cnW)b(-F|uxM=OEBwE937k7z+0UYj zLrPtS4{jeU>?HIRp+o!~?D)YeOr`(~7$g25UpeCRNJ zrG52ete}w^suaAHIx!Mov9l#-bb$7&QtLxgRaXf_|0FogrS|2fr2SuTPTsjE#@A=wncT%{q^X+tS2a+xusZ%Mkwc>cOQumr;+ChMamq5ULu-Ll6{(uRAp` zRC&B@1!9VYmJ>3sK|MZYRu5$7g1PG)4+w@Skv}iZ3)nXQ8x3RqPc*Cp1K~DYUWDs~ zYm8pd!E?v|yV%RS_1~jEZIx{+)bRD@3ke^U#zj+|smxsrkcLjvZRea^ndO`&xoMvw z0Dfoj5MH!T3-yZV@Lw?<2Gq(09}X~VeJ|>wtTsX~_`XLh z`Qc`PtrO0o^O)XWZ82DHf&n)K$cQCm^2N0z#7<55O!=7<7oSkYc+c}#f}+E0P;-Nb zoh5BNJZMuc{xuA17ska-_A^Iyh!kUpw(1f*ANLZ&sGJQG^M

    GkB>-veK_ z#D_SO|9D?`@ThIy>lgU~xLSne?h9aTKR>M`SzKkCc3g$1b0G2JFQ zBXDKO0c!9BEZIx3Zw|D&%=y+y5_qeq=zR*8GSR@kMl+mffI{K2=K=LYx$uDUxu$dW zHG)r|?u7EWN#E;pFjvzS@Tm*P607Ej!exfUpu*)_1Ru{Xz^G6rGH-Q7G#B8?Jqqq? zq;GY9hwAkl)1Q{NYKDk}%~{WY5cXMpIm>Tx^_qa^6VT;s$t*{}L54vB9T~*^^#4%y zjbV~BO}k^;wrz9A+Oh4K9q!n+%^lmeZQC|>Y@B|c_j?b1o*!M6)ftfyebtqnRT+20 zjs5xtnWwHFm@P*!{o1jlp1bFI>*e)$X0Da)WZzyR@Qf~%z%GHO)hu9dqI!CMj7$h2 zyGs@iygk?jEBy9K#jchgmHyZHNEo->l()WcmC>IMwqW&k*k_W=;NvZmVo!Zj?_q8$ zLQu82IyFW_qFYe12iFa&@cA;I`7MAv@(oFF!+flqj$ky4j)Ya#lqjubL?J?qD}th2 ze;QwmHRL5-?lpD~S^uP%aK{8q=iPKyW3UL9Wyx+@C>7y@uAw*+RM-?bIj=m%+X^oX zvd49jBttMHp!~u1_M(7aV!jE~unr2qa1v6fIq`RcAOz|NF0zzParP#2Y&&8yv7G>uw5{*Qy16r-Nig$*W`Gb2h~Jq1(9is2}at1j*Zkh~bK&ggBw6 zEyKibt6ZWzoUrj9OFrpWy$q$88i>~tDGhY|j*DqVTMc$|KLO)YA+13==ea8pi4`LvMj4gCw+5o# zEQ6-MflDqYZ54q1RCaj=n^UVkB4r_8@qhGFNed}+@3okI3EBVkCvoF~Afvehp^-&J zbs5LP3w_RmxxMck#6oVK30{4X-nd?`2k_S1GCRME%Yq%LP+7q{x^cA^eu^bUUn z7`fLiw7~3q%ZQd>pKz~52cz_Z8%N&prP;V3is}-*=Usdpv%0uU<*Ch7;#oRv4jdTx zlvJMup&nXhSX|4y?g#BC=UzAZ^OtZ)<=N^C6lkAlvP7IfDV89!qbN}!`0>$(fCayr z?%s7vj0WOd2xe`M?S)F2hqFAL1_j~^dcm&%y%d{s_?J$y{_)u{?oA7GTn;G7> zqM@>LbB!L~tX*CIEiStgjS$_oqj@{0Mmm+X@jNG+)bTS~_*7O0?g6z#70}V7<8doz@VhJm*rnG5OiZ4@EQe@1vU;Cx1ksN$^Sf{lwYf{zc zqKqr#BXmjoLHlfCrQS1=yuhv|w){Scdw1g54?Xme$5OmL~!u!kD(P==WCSlLH z`IaMt88?+b!4i~k_bP^dX1UlBJ5Z5fK+Q9_XeJR>NIN@ufwk?tscmMHV5hOx1RKxdYM81

    COhTPP z#9BMe3(OFO%I&qqpPmbW_ok3RC35NItxd1CiBjN7NMa%RM7%LY1QQraQ}OBNPp=Nu zWqRa1%go?XbC!@P)cvBCs|cr4hhy#$IWSv=uu6nxuMv`sI;1ZhP9Ks;i}7vxm~Hy_ zZxu|qq6b=<_EL{7*GKn~_};%(wA#?Vrlm!vjmx}EBd zik^y7d9?L-b{>>|V2mdoX3iAd83Pr{J=BWDt;nFS%av)5p z2)_0*sYs3Lc@)&bY6<#VEhzl?;tR{K-+XtUP`O}o%~C^(JS3J! z&jf5B71?a7XGV@twy~!1Y*c02vGi)Ad^N3gbstSnNo%GWXWvok?aa0{*2;{XA~{Gf zdt9$!uSj=_2D?5Ka3922njqCE5#lHM^SF@A{`zR-xu=WqSLUNL<+I067yiHig7NgG z=DMF|Q#O@}x}LbSP0wXcdZkJ6H`pTJC2*a=cqa=SJvikgAWxV1`S-~daN5W{n`TbC z<-7SBv(y-kk>JpgaA*sQa_bf>aJ@-BSpowL6%m+20YB@A_F_olf-Ya%p$|+1kmb07 zTN`DBMO&2bo}|putcoS)JjSd{n3RNoHvwfvBe&arT&TBPX5TqeZ;Vowb4oV;5!kMb z;5=K3Zg(v3nanI7WF=?H?Pf)4Bu+D`NdH-k`4Qh4G@hhWne4PIy>3N*!fgpnI#y|A zVDiJi(o6qMITiZ8=5YCLZs=GdYoAmGsR>2d_EEg!Wtf4H|M|)N)}~&LJWahf&btrI z`M@R7T^3v|rB5xS738ZhH~(s-`=iRyXZ!2(AoR&D>&f&TP~8XBmGrlIPvKO#sBDXh75mdZ)k^2>5?XcNq_#&}K%|jyiN-7g#-D|NsbM!W4A?-1 zh8(pd{gMH9=--j=DhgT(Xs-#8O$pOlQl3?Ze5@f&U*nZIa7Ah&X71dG9wVc@!i>p! zR~R4g0g+$vP~vx-@#fZn>MrNxaoGz(DnD|OxY|qAaUBP!> z((B;KYE-RMXhJ)Odt)BHeesnZ!O(AsGb zh~Tb2A=8XnxP(m@@>esHIh$xqGm7Y$7G)#Li&1@?D_C?&iSE_@`sYi(tPA|bR_~XZ zZ4wZhi6`6)MZi`^7%9;Ql<3cbHL~P7MM}#pOjyv#cblLTho2C<5c)PYR8xamE-_JE z$`bUV;2FAAyxi^}wNu3>yk_<{{@WpV=W)H5!$&wNzH3p(q|JDk2g!JIO!WTWm@_Y; zt0C9zrUAYW&uZex1D$0~FSY&P|6l>OpxA3cS?>W{F1)7}f^c6?)t`HGUEj}kJs40GuoeC zpqWqdwyr8rCUk}dc>QLYF3fDH(SVqm3I-4M_wH32{dPNQN!JOp?D_GZaulmB`1 zBiWD~jZWH>9=B=S93RJZ=a!#w)@XRy#^Lu+#-z{)Ou(z8zi6bZjA6F8m^b&;5V?vh z-myS0(AE$BCn%~82#TWjlLQQc>F9&hj&Ukfbs%rm_psYPj9FqkK-+>=2b|STxp2`l zv4{pxRJ4DLMhGjE(3c3FsRWJvL*jyY!_q1JB|ZD%NYgJ!Yw-x0YYJR-a~OLtGPAoq zFKDrc_u|JP_9Z=>;RH@9xwI%yK1qE2vwU_m){K^oGon8pagz%p9#Hytlzk&kH6Dc@ zvS7p=D=1QuK+sJQ;gsr6b{lHxWwzL!HQ2(5+%(kfjO@owPlCGiu1vjwX1!%YWM+ln z(-I*zp<#GV?M z#*r2+>t+FsW_6sB_O_cKknQ{l2`)Mn$jRux{M8#H)d{@LbaTK@7ZYS@dN^}!L@;#N zg)++7POS-de|$LR9Rn5M{~q|U5$BzdGo+=rNA~m=_BT(sSRb9{UxRe)?M;vD?chZI z!ZV4Kx=C+)wc+rXeQR z`zz+5aC7nN;4x{RbmZMxAvO6LDrB%KoZ7NW;<`>LFM{Tqv zcF)B6J(xAIrLLb=RCti=X4N&;-f$7={{-@4&Ob^ye;14l=Z@LNu!+PcUv;yAuKjlh zBdio@VexZM9#eS$lo**WEt|Lb#1^S-P&P_Ws+1CO2Wt~v{F5Czi;#$i>0QBn3X}Cg z9n=3D9P##mV09f1c39JgunF4=en3W*^C@7?v8#$4%;Dgz4jnXOMN8an=ofX`8*ZKB z-@XxiU~-^PWU%ZbK`^GukX31F9&cp9plA_H_gf=1UyLYklga)Y6hIj}&) zG_fcMa5N9$O>$gEc*9XjI~L+hW`g(_07@cwB`pxn0r=3Vyw!m!T5(v0?GZDW?;*?^ zHY+N&Jnz6bcJsG$p2Vt})Zv^*i%Fi!lHL5O9zLb{+lbQ^^_j=ore0QwIwI#ob)t-G zW_u6Sh40e-*Fv``nD%Wd0@1=gGO>BVeg9RWJu@LY<+AmCU#FW4>ptG9>h=3aSPh0*b?z3SwDdU~>Ht~=XlY`sZ9llsdpAVE8^ zdFA!)Nj(UJ=(b%|J>t~QXbOcduke+kHOjj4`&TdyZRn`_4IfGN9fSnaeHZ|;G5c; zrnSEW?44-2PwbT}Vg>_$G$95&knE|MNoVp-bls&~9*z{?TYNwX@*PhW$Qd}uWtmp= zfXAIB>21sE1mGK-roR4hge~dG=nVG5xS}%h~+WQc9 zO+NPWL4dLK`8ClaC+m6h^>CWfRiN#DENf(6gBj%uQnbnB{LxQlc?ojBQpdm&9}x=xP_-r(!;AZ~2{PC* zeL7%b#^`X>BSyiFPX(1sITq*oTvp?STL11a-zS8M* zKw?<5@*=*@L!0b4Up?b?RAB|(~kw(+V8-K{ynY1MY+-LFjWMK5UPXR~9pGopV78tOmustCXKQuER!Dqrm#WgPpL zk=;4<+_@V@gY9;$e=?csT{$?RRd;8J&}(a5nNRgg0WMg~*s7Rz?MAQftLl<{hVNBj ziPP1K#tDdR+u1rn{NIxMI|kCn^eD7B06OTej8FDCr+&VY=`rE?__{5jz~)LD@B`QL01f_7?eXd2 zVdyW7UAwY;29I{d7sdQ(F7G3o%g7o@#&Y$dxxPCpN9OmL?AF?)#e(Tl@5Wf!hqLR+ zhnFXTpZDJ>V-ZTQ-<8E0cBeMNaq=Uwn_ON}PXVf==%$QmUbOszZ<-wRxGsaro6-C< zc-)?q8jz)609fJ99i`T{N~FfOt4-fsLC1hb+Fzt@_{u8@SIJ9`g3fSwlB1?3P!$JOz zaWZq3G*S~v_=Mv&2%M&&5l49Ybd3QW&^e3!$<4ZhP~1bKmCznqX-4~J0oP^+3gh^w6PY^)9JKkN2E2FrH3(3fVNzrW6n zdfu$gnty-+?Ft+rH5u!FmTl{oBKvZLy&8wVs*^Kat~C*R+c*Ym17c#H1JTU0=jS4o4SM19P^`7R-soJXL?m$h z>ya6mL^d8}7@8iyqHP9Pw6p%NMVrFvz$$qk7r3?+p}cN>5S941fswS<-?`80(EAVz ziJKLQuhH1x)HO%A9S(0eO5+ViJg@HPK3!rM5(@v3ZqFdtf{@xALSXH&zE#&uhwW>L zu5es_4hT6H+(PV#O6!~=>`@AzUH+-9wJ|Z#;I!gvMBnx_90I8D-M)v-x@hK824>U( zb(Hh50Zs^4)@S1ysnZXjIsdiLaR8%LUTQm(3Zq*X;dAe@nFiDJ9dGfJhLxj+ZaHp^ z(!%nR)(;}iYC>)1+%8&Xv*2R!H+j9 zh}gj`L!tsHi+97R)$HAjBGM>}OI4|;)Th}Mr}>!0Y>G$%^*n+jqW}^KiI!tysLCII z91`(4D&6!Gbq#bVmPIM<2GRJK!R2KYEl2p6hc-@sW~T*E78@k7yBkGlT^oGh8A1R? z%6d9-H-gDd+g~h?rz~DY#04lI0u;q;kZuRjtZ7-Y)AE0&0X%riKM1EjZ(4RUg2B*? z2DlSiHHfObD8zE}j~y}mUVwO1L0J#gA+Y?V_Nj>%z-YPazcv9s;ygJG#O}{sBbxOp zqo715l)OxzY?xRwBqtv|IOo=dX*(wi{ZNn5bDw5!9P1j@DU1^eeNIh0XHjj?agoLn z$!Cu)PlVB-2Q!}@UTcB7o7s54p=7<`GizcF(?;k7TnW1I9^!fLbs+P~imGK-Vl4W@ zZ)2L~k8&yt#2Rp8JPC86eSc$n=xR=cD9&&F?;i|rMcyu7^VtMUY`$HIP++0F?v@7{ z&D$K+C3yGE@VfAqkH_cZVkZx{{^b7rOUAeKhQ=Ql=|sq5qLB|EoWfJCJ(BM^CO9l_ zzlY2KqSme*NmA&U&TuanP!T?f3LitR23K9dn}{2FTw4qFPPh~?V2ky8x`hpf$3Gu4 zfw$o=I0z?SV3KvxF5J#_OOSnnOL#1_=Myu#3aoOAko8gMMWQ2-lahsu$*HAX3S z=FHcAYJsrT&B@wjuz|4!WniZ}8e)-oZ|pr?JCH%iMB(kspZ!DXm~m%a3@IhbGF2G? zpFNRO!KJ2(hrJW&kUniWs?WZkAl-gI0@XmdDHXz(&2E=06{F+BI7XPd1L5I5+>XEY zT7GR?GwG%3+RjU>@97z9bslSeNSlM49sk!c6o0`3w4SFBzdV86UA!AdYmYIi#!R3- z!+gJD;fZi&aD??XON(5s-E)-n_Ey%bq&v8u^>zsy8PL;Aa*+OV9uy7`W#q{YxBIK? zfRTbDKR7~Cax3cf$dv3x(v2etksTIGxnBXw1vvly_l+YU+}k`Y>aS_|%jVyo9Kq}1 z3H5zcDcB$7*!_mkz0|`BnJyymGs)qCb)&rzey_`mw@p%57m%rtlZPQ>0e2 z(dw-c5T+)=0yVHL2E(6W+Cz;r0yxwRv6O(e!y3Cr$y47sM@RQIHS z(!;My?*w%@7Ti}YkCwHKM4-jPBU9d4g zH}7szDQUX&{Y1aIh@sbRUPxxIL&PV}(t{2!)0xOHrUpIHQV6YK(+?WD)GjD|4QS_X z4$Y?iuG@AS17A1hJ%vpvu(;4|r2U19F?2D>&taQ6e!*6q=G)1j+t-tLrEWOYrDPpo z?>hvRi8%WqlQ~@fm_lojR$6cxB@2r1kjl&X@&v0`fezaxYd6?MLf-|_R3VM&aWX=J zWn^Kp4{kJ@dk?1*5Jnce0UUYtP6-za`Tl1 zdunhLny@DF^ARWvhV&6YjHu8VfijO;mMuWE*KYrTAei3^QarNKG)-U-P1QMQbva?< zdX2@fcL8hSv%IU0W$>-pz{CG7-XYo_v%P3M7)ji^GifPN3s1HMP_DP|tzDJb zX#*P0!h?xh^;M&8^&32f|A)35S(p)$U zuoF%vZGbKIguaXqDZw;JS#k3bZcZ7;Ey47H&G?Xg1>cZmL6>LJC^n^z$l>hUt%nV% zyG+-Qb8o)^3v2=!K!sa|0`!lf!ga&oWgEm%VpT2-Y5Ryze__7@?hz%HPQX4igf?H_ z?pR=F+O%UoDx3rQNO=V5!2vXc6)~%OfbhRsbUAjzoxFgizqPb#Jq5s`$Ur6~*5uwE zGz0*CiwbuK7Rc8}bfFawumA~@ZBWY*<`y6ffZqZ{DP{Tk3;^(3us{I(79a(H-vays zz;6L2h_C@-0C9v}!1+J$+tPpFw=CT_lvrdFMeS*2rjGBf-Q-<+%hxguxMo_LR$v7Z zz8LPP7Txts8?upnL!|fd*65JLwWMh@F+v8ISStzaa|w*Hw^$7OHrxa)6H>C33Z^L% zm08z$(_ZFJYzTjL@l$NaU&ChZSWsmwjz_F<3th8;@65-6G{xTefXU>6G?5u^!Qzha zZ@}VIDf}u+IkUg($jbV($r|@x2{nu0X1*nnZa!k|{DMw=O{~fQ&By8QQ4;yvhgehT zl>FT@Le1g+1;P55s1jcjK}8bz?@^``Kxd^5-OWQR-=}d_wb=c=o?w*Rw$yp7=CYy$>E zKm?2rBnr?f@jV2XUWovF$*%yg)FeCz@B%O$-bMh^;fxS49ZGyF0n-5>1(*(Bb%5yr zFaekj|C#_yhXuj^XF33k(f>Pcr7@ZIkTBFGRB;3;(kl3HlZjm8q11w4_phfBGNl*O zGr|RN$#1@I(wXnkd%?Sp*ngX8R{@d*8Q*$Of_{c>smB2xHZu_*=l&O>yb}btYwo(|8*nFn~~f1&wCniEm}z-qgL| z@eSa%!3q7>Y`k+7!0}~J@R@H7Tv34TMnn`q6qN#S>$^_@9(h!;XMe|wsU5r$UKr8> zOt8nn{l|Amd%*eN-*<&Ylz-n9W&n5dpPw_rBVMnH7t|YLP|gM74|q z^;^Hg`zz-v@)^6DSK##^nbazQq*Ljsvzi)KA5S=8oJH!3OHi`u(?_?l1BArCx<2|H`$1s#Ef) zr|a$LeOGiRaV0=B)@Jhi)f2rdEMPS?>$-|qBZU>IlF`)hrLLV@mPZ9$Zo3!F;*Z*P zbiuiL7Rt|>>Iw&@tosST(=f3wx+y5OZRX3_?zif!raXEj0Gga%IbLjVL6I(g;T-hK z-5l4fAp;>)O&|LV#_^$2l*w(APUr z3>N{f>qNs_c;1ewt;2ZiiPn-*SLKh@TBCG&8_b@_!cRN(bH;{_yJsMBP8TuPhg046 z-F&%@NKaFq%*tnYJtOC=C-SAclERHJGPb8-^cl16o{qK?p8|EJ@)QJed`&kA*RGso zUmtNVWAk&lGw<#5H^M25ey@kqkzG5+WAeaR10;H}vTBLHBcqvn`gY7+6Vn{Bzp-|k zxqn)7`CfCKm@5X$iZaOC{LtKsAp7iu@9kolL+$=7@_yRS<~YoU%=WgN&}cU7>uR*D z7fc~5(68TNbmMx``l(*GBEk7Kg!tihsYXXS!IQxtZQ@(h^^&W{S9zWC7pmKey-wm-UI6P8>E*DniO)?17_@YklDsWws4M8LL67FBnWNpIL^eyh8{)Oeu3YfkXe0^u(#w>PR4fgA-A3Gy z_$`thjAJz?*b)@~evPhRjEWR&;PpM6)4+s7Sp&!wgD-UBQ(-=!bi(Fq;7MBluIPNN zgO2TCERLBilH{Bt(saK0$6^4kC@SaEA13?$_jd~aD8kRz)jq0Lb1*zXkN6#`VKDXS zaBM9Du>SlR4KF9OuG^y zam4rJFz}@Hf2cfbs-8|Q>^~FCAg*D+<%sd8jwv7uUhgl1bGEqI$pp!aNa3zGM>nX! z);=UxP(ZI~yFfx(oL(_mlb|rKcm<2!eO*iLCCVW}&oH|?$S?;^*?X2>Q{pHRZ^npE z;t(LtImCL4lJ4@c_#|)VaJ^+%r#GfuZ|T>I#)LH7 z9og$7)@CJV#)b!87BjT)j0V8YS`&NYk-Wrwb)KEsv*`bb9hQA9`pThn1@DC_{A{;T z!;Z5I^lOdeLL0p;=Wk=(Z+qOSp&m5(rLX2uhcKAyD2vDe*=#ZwXsSl?kxYmJ;yaqM zhb0U6=eW+@^=B?$wbk=TLb|9}v)T1$c&H$*pEI5@iM*IR@r5YC@3Z5TEQJ!%5L%vFj=itKj^AC2n8nS>8 zp82;;7=e+zS~n4aGqs_TB99F_3Bv}&?zR1}-giE4nJf69@ZD0!nwYh@lm#`eaJAmS z=$o*0DbOZ=UDPfc^e$$js50aDs* zo#{B6HA_Wma1U#FK!dJz`s9zXUFD;sIv?0tFNAy|iEIzjD{*;(@uc6ZHiH=Gx==uK z`|d1WHbM~b4va%}iP(aq`kPPd)w)Mcw{H(~?No%zuq4)@90VVVNmtxl3ioR&=&)fl zbfM%tYhxTQ9j+WyzAi1AN}9==GJO(g{WGJa4R@0-^(2bj!ZZBt2L;A56PrdxY$pBG z2(~4|l1j!&&2OJ)aZibx2EvKvu+F+r!)p2KPU>5CH%>E`@s12tRssq&E~JHeO0{sd z9ynzK*KES6OHCbs-= zhi`%oMCm)?_K)PSb%p|8o1llc4O(=OIWaLx}EJ@m2h$>j;6vWs=eqI=y%Vw*osiF21HHSp;aynU7))8U2^Gzgx}?4=c_kY&VIbaXLzgNC{H5GM8;IX9>M9k zEMEeiOlxHS7|9cuP{aG%iP-x`3yrd}igQA(ET%KnpC|WM^0S40;*tnR;WMw+OQ-T6 zCCjiS8VPK_by)e=2yS{+hn2!VCTOa{#EZtPL$fS#yoH2v`GkDQqa{?ovTuI9t}VRedoxu==b2K9 zCx5ye8&*_4+P?CSt5u_4CRygbG6oSuqh%PPP$#>yxfMppKh^@De03|j&30MCVd40w zc``|&vf0`JvbS3|USB@Rei~jVKj7^_&f~KX2`9*|)&Id2tAEe&nc|;VaydcFS$Sqt zv)jGrKR(^&HwgK~DV(`)hmcL7gB)#EG`T$9mza1N8{y=Mw$K4DDRLrbR#ip$D_PF) z-8`$`#Z-CqRkNg4c@0hPqf*)Jwx3!>E#rg|E!-5@T~+>6o}6d`Kt7p0na}%{5nXg}beR)sm5G3sR5}ROm_#r_XvE}~J^3C-<#~u3pRd57u{Na3i z8wk`>o7(dk%Ij~~ju2%C;&(q4C+;)l+W5*uzOZxjIwI?vYBTRy-D5K)6x(Cw|Fz)xWX^d_lKid@0}MP9Q(OU;a4_!B^o58@*mntr2kw z1xPpgC){f=U94=5HbeOu!_yYSkcwZ`QtQz(o4$k1y*CLfPK-2zExfkLFC#8_&VBMK z!071hqw$n(WlX6AGK2P(zrTshf~4&IMnok1U^wH zKOx0;rl~W$g|qQv%2UruVU&H9zq0StvZ~9rn*X-;N$9SMo$44T3Bd}9W{LuJ*Y#tk zsYoVMo1MWNHIo<_iOR5bd(z`_@)zzwud; zGXe9w^UsA3=~v00dCs-?6V`Vv?RzgXeXH2)8SA6WN5P?_?z8GodFFzeI&Z=Lq>iq( z=aYU|@tKaA&@RD}?>Z*u|L&yfvT|m4@p?Ua?0m+rIF@`JY6d0@Xjl<>+2lM&(fS96 zCmdRdC1qtZDJ*V0wyk%)7~Oe$ntoBzb=xho)^4l#W4JWPyz|4ppiia9Ia;iyur``l zp73OTyT9;giT>tNogb(z^-4fkD;e4LH8rLDPaXr>RQ*(*1#SDWM!7w`Hm^ECdMXWq z5XTG?H?+Nuz+4gPRco^RBYw{LU0HX9SGj~&`9z6_Q{|86O*dviJ)x4P3{%+h^GyvXqo^mhxil6F0 z+TycpTNtAsk`d%is+#>;h4dDKI5;7;>$5>7*blz{K$0dH^}RA_Q=%rDRsC%?&sm2)eN6RYe-pOA5dLLEZu%mzU3lhUVw;Bv@0c zXBd|F{xm~!q4?HYv{fJp1DV_4tIXu{RMwbl|{=!Gr>wz z;2~`<-cEo?q1Wd4D(X$c*Tyzr1l3JYRkAp6^2-k8R)+=$>~MzsuIQ(K*k*~FgGr}2xa_^B;pz81fz)KSk!nGg|``h{KzOeULUwr4!-G?5X((1}wT!W&E; z##1@tE4#3*bMwdITIE8Yl)88omI~PW*uwCek}I9(RH_#H{9!Hy z>eKZ#aHgH2WT74~b}D66q~e04cpnQ_P$chvNMp)|`Zew#$5YrCK|>3Q*4IpOT%Ltl zVPi#hG~IV|L105Xf?%ITTg(N9Fv!jmch&Tmz@-g(G(L$c=w1n>&NCkQ2-7Iyp8H@B zetM2sGzzmj=r`z(JZ3Z&8GhIa*xm*rZYulyhrpev9BvXu2=+kaR;#`rrH&@@?Jbb} z06^ewiiBbnjX{H+eQshT%tc)*ATt6N(|E6X2_SIS@iKwVFF>#%f-p3O4Yl-RnSv=V zJV$Dzw`Y`%R$~~Kgcn1Va|d$pDY}YiI+Yd?&iCVEBy}uC*jIY2sBb^9a(-8`ENI+M zE?+ewJc`XKUDnAd>sO4psAlY6gi=yWdrGFAr4NaM73-05+Y#SWD=n!=5Gke6xrhi# zz`ziYP7>wP%zcg^`zu1c2*{4`v?)UM7w!N}{D;9ky%WITF2m?6hfGf6g+@vb%&c6R zNS>{5*m$XYp%zFUjUk_hu=)c-3IC#MGQUY0r4rn-Y*4{HpITTC1OxK=O#)m0@Eo3= zY(Kd&5Rcgo-?4PubZYL`A_%QYJw!mb6$3LeY~rnJyTv^z5y=)v#8c>2Kk2U0sec07 zvq3IU8}m9Coj!kXltaXm{w2S+6fTs$&uswBl6dJF&jec z_I|fL*Oe_REg{{vzObpj2dDZY3u8o-2K=$o4Q`{k%gB>1HTD?d3@5sv!MTN2F)+)- zkvg+FWNH6b57Fts^VH00Zw<@I^ny0iPAJ%$o6qFne z?zGfDI}BC>R=j65+Gy>f?wD{wV_&9l?C!auH{`*+6}VRILI#Xr81YX)U6(5@OU2b^(#o?(O4(da|((^qGU zGVL`)i*s(tfc|OS1AYh^3d%=@&ag&A4sYHJWqwF5)r9UmGR70CPb}0}M#5*;XrS_n z501B+9hG!(lO(5{)7S-H*g+Xh%lk!%fJr}L1HSi#wl~n2Q)HR#S+WCO1Mv!@BBvDK zm3YmPH(oeIOQ4mknHyRA=>@thBy~~R?#J>NX}p8O(v%raD$il=*LoIGPMn8{%qW;&SHawc+*Ly9?$0#q&{tik(_ z%zU!2X&V(#LtzKQ7_F$~h3LQmVwc1>Q}iXzLdxaOFi~rre6!!?kSA@m03vr7Ce3g& zicT5YjfAwb*;#sA{O#(SU|C)mREaMw1IbEuAn%5Pl(K<8a1c!J(5$A?Y84CxmneL9(+s-FRPx*Xt>9&T!&wzcQnDyo$mWsD_A2GUeps3Vc-%FiC~B-> z|K)LC4BEPi5A}sZpY?c+*3p9{$$Q#DzI~AGu z)$SP?QW2qjq(G8Lp(ru|ZVbFSNkXsL4kJ#Z^*qK9L6>$;?_Ki()kN$K%`uf^Plovh9d5LJqHZ8pVIY;{tOHr;9TJ%@bXJ#aGKCI%3w?rISC-Yz=m*Q zv=j`QT%F^>5uhA5>13Ku)RyQ;*A|ERDr;svK%jv zd)8N37z8%V^^{U_I!7_hE^h#v9t#t-oII5aJh&+wyG-~C$|{?@n}m89x3!}bn!?BjDB>#fXLMF|`i_erwND4Q zL6aGNxs4Ydg)=p_r8g5o0(iK^IMJXu5AqY!t&K&p!mK3x^r|J|Hv!}!H!Tq9x}1mH zKr9MKT_MyWD>E$EnPp`Y5ZDbD&f_f1>x^=9Wj2R&344^X7 zkki9>J8|>MofGggB z*IIV=#cqqYXtg7m1ADrxe7Sh#k-jz`{dr9l;t2Cjm#OVKy&_#9rzPN5X zYA)V$W4_hGVhQC0(R$wNL>Kh%_5c0f@@+?>`3)(p%cZzcxBR|esj6KmywAC?20Cme z{hpNy%j=9iv&1#N??K`T@_>wmYclwE@qL@ZZM8d_f}Lftj^9himaPa@82?movpeqx ztEq;$)Uz>tR=^5uW)OJ_RV5Ll5o+nai-BknPWcl=NE;*u77pFo4YsFT>;G#j`ZVRU z%3oVv>+1=w4Xgz?6({A+XsxSg0fbb9%%c8$JeF?qJHGkPSD`X8g>RFk*Y?~d1T>&6gt*a)q(~1 zvA81Fo&WnViPE(~xMXp8rNEZ+Qb%merP#HGWN|tg5w& zbPK<~?h93{7gqo=hT+DM8M^aXhpD-Zx8j>b3V-zeP;4}8^U*YW*dBt_(ZE$dAUBVy z_i%7RE|$+~3cdw(A8ux~OGu_UQhmHF;%l~tc-|cfNPrFWehPotgz0aaSlI+euj+>y$v9D0oL(Ey|L<&r!*Pj_wm7dK zRm9Q$^MC6gdNa-k1~j#~r`x!UrW2v>;{aE;{u*;H$W&T#Xqc}Gi(BPlE~;xQhulrL=}AT7Ryyn-&13u${^Q@R+*JIm!@~%t*shKjSuTRI2Cf9QFi%#H!d18I`7N?FO}*m&@>D!bYqlKb%f z`o5eoVPfTc`RI0BdwoHE8zOY#fk~{Ty*A;GwrUuVce93yg@4sF7SY?A%?J&_i--;l zVdG;9VqS?I3AtH~^Z2n}N9^1?Xe^?kC(6fBND&6mI0%c0fH&loO$!ZKln}g~jaw1v z7ZDlHyP1t^&p*f`2IvFR0S?~2Uq^YwUu7a9ABo_mFO-PmH)~MiH}aT?_6f@0^RbkK zUN8E~qx}m8IS(u#W~}AFRGm z?>v-~lYjcv+Rr$?9e1Y@#D+MC5(eisY(5ylF{PMfzG&#Cd&Z^Lb;jtZG75EQ=i|J3 z_hR#`*Wg0^>^s^iTpkl>W7(@tB#KIC*y*ISi!c@)k{~zaXDKWi*WlRKF$Spb0&c}& zEtiHhwHO24xH)W?{}*xZ6rD-bb&bZx6Wg|J+qT)UZJSS=j&0jUM;%)on;mpc-uL^) zIOANM@n8Knt7dIoRjt~4u2pmOhIR@l#bwE(gr|ZxvTb5U>ls*Hii))-v9RdRNbM*Y zzcUvd8;yn}PaJT|F0X>4I;hkuGYpe-Lhgs-&2?W5|CZCybx%HA#JD*LVA1x@0aY_2 z7|1KgWr0ZJ#mU{V@m)tE&uokFfuH~S(hR%9siu8`P2(<|gdM+ArSlQH_a^FZ8cNXq zKIMHj1ede_kG3GtjGOsBY*n0Ad|^$GbhcZ0A*W-(%xoS(S{!;i>|03ifs6s6Za5n6 z9c?aVxbuA;V2(IT`u6NemlElSa9w+?*@MF?B?W?&4 z8hEJa+UkZyAzT<$u=rNgX|}uSLiCZ2am*f39ez)o|5A`}4;TsZ6=N(2;jN0DE+>Ts z-o?&5_=_7Go{Oab5oRp8JAXl=Z9J$%nF;ABi7i%vD*il4d}~;H_rL(o zY`r#?D6o>ZbddkgZ!va1H6iQ-Wf1e5R|>3O3%nn(*rQSD@Z_5&V$HnhNG;d0oq@Wt zqjZQrGN?v^`LZLeLaNTW5{h_MYAvS0t%#A`9#&A?XMr4>$rPh2vk0xSCDwPg!zKpE z@+N!QQ^Z$`E^Z3F?mjWJ{fh0=0qC{m^`3Xv29W+qc;^ub8Eb~%L*FE#(?(YnV}SE_ zx}kYhSYfT!e0mYha}4{j`d>1igj~{otz|A|^Np_y`LZINwu0!UV(AM4>n=*Id1&@S zX%{6BqH&T6CsG0(w5}@s#UB_AJ5fUNI!X98*0dYxuHR|Kmk4f^C48{br%@p~qjtLU zIPyQG=g-D2W`34_fH!~)q8G=VY-+eWGgJ&WJR>trZAB zA?Zk0olDC`4++C65vng9r`JrDfy@9OKYjLq0#C>F^{aA54Y}70k4130%eG9L@gMGd z>HnC0FjQeBxRO1DDF!=L$h-S@EEsj1DS8X-mcs_CX{vOvN29Y6Ulhr{Q~&3MJ_i!> ze2M6JOb2R?@uKz%kCgVIXehHJP;NVC(VEyR=Rs;BQ&|vO7(?3QA+(ejeNP zHUpvH!;>P_?mz?ApYvgY-{?5n-K}?48#R_C{Xr)cYKlUxMcQ_*fHQI+cKk(&+JCY{ zuNWy)pMK5f`xwA1%i5J(C><$6=Vf~dQWOz!{NV$pWK0a;t%u%cm}rRNXFwpo*)fne)n};hdE5nCqRtig zi7jhEM|5f?fa-}}f+Leju$;?_jTbh116k~q6`Edp6ECUA$X!%d#vyZ_lG{KK7Ns%u z9i>;Ny~Xmd&+N@}o_~W7y9<4vCo#jfogxzRF;Au2HFH=QMt;tV558^n-(jnRz_b)j zsmD<9_^()DVm{f2??ptek4MaW;V6w|LLu&V;vrLo0S!*OVLL_SngHNjd zUuNFUOERJR|4YozF}N?WJmRf~aUBgRhs!?R&g#S)MAkt*t*FvN&uRJo|IzZPsVs8X z*i~`i5!Ur{m4*?nj(WNP1V!;{jAvDol&T{`+a-XHhp?Nf#Q%FyF#ng07 z=~kJuLSW4zVNWYVmW@_qc2fQcwoEhv!O1uyI8&JNAaQ81c@b#snZ-;cx`7IK|1jNC zQ!2GX8)TfNvrc4~!r{&fxJC7d=*!WK3a(I5Ma0?yC=QFHe<0fvOqh{6`PA@){gc-N zL2n-gHZmr4Fo)F@EV7(OT&*>&m7_K(e=8uWi9=dj_$NEYC}HH>=ZZs_t_z>hbt@(8 zDi|od-RP#s&efx>fQ-o#Mysx}SV*N_;cb5$U^n=gKV&yK5QceCpz~tAvkpXPX^b|- zwoayH{x20zS$=YM!Rz+nnaQ!3#SjXSG_luG-GZXl?nL8H!-5-k0pcz%x(UTmsr}__hDYAV-=T-YU@H5)e2qLY$9 zRaYWC9W=&R55;x)SL|{dM~cgd@vD1cTap=c$1e;ud@$$(T!M+`4Ide|CE-rihY=-0 zx}o%hd(&R9D`i4z0d3N*Hwl`i5W8$*XJhdu?R!&Fo_a_%6k%z5+kPtRAiOAA@Mf_2 zp;zS|U`>N~%~Vm}KjX=cjyHLnuo2zjaM|#3-Yji^B3#YfB1`D@z8}VWhzA80kxs~I zg&3ZgU{X8-ei`T#J6%Oel^FjUhLdfJi;AQzb++{sc+vx%y;hBc7A_nr&zn3(f~vl!|H7bhdJ*##omPP!0uEM8K)kRD6}r+4-<6B>^r{iC$UD!aa%1cQ%5iKs(_KW~6K1?3TT9vj zpY#2g$vI9z&~#+dVUaCnOMmMjRKQbV1p83c1zM1!0iFb@O_wDoCQqZKTfxkgWOUt` z`Potsm-7D+6(8%@36p(EBe7t&7ppVee%rD2zNY@8(l*Ll-!HrBb7&eH%5H+RiugoV z%cL%*$Fc(TJk#(_fpnrPM$!)qBXhk>QuPhj8wtM#VTv3KJLWZk()j2u`)@TOw86T( z0#ikft?8o~+@ZFB{G$mkvP3mYWuO7O*cUcfdPD_w5RQD~&%Ap-qcUUaMafRHm|oH8 zEYzkd@=m^{Ms>-Kr~z^%8b!jOWY=nY zmICWi2F!GO1gtxNuBlsJQFukbsx7Z=~|lIowz|>~5;? zT>4W#Yr@uL1CD>#u{R2Pz~R28ViM!Pm=exzj3DrBD>lMN_q1C`c+i~2WfXK`N{GoC zN=8z9!{&K4v2t}{ug3V1Wep)hMSA?-*ulGGH|*84T~{t7gCq#2I6ca2udxb)}Xe(<<2^@;7EjlBsgogty#=ReOQ+ zX<_|P_RQ|51lac%_pI;DslJ)MkA2&dA8-DDE4Fd>7>~|TXiJZo6rfe2W94K6lmjGV zl0)1qlyw;42I$Y~Oz?s6)cXEAXz-KF#i1gw!X-}hWV~ud{~m(!77CgzHNiA#iy69 zkCegzd)nv={0_@NAf^jFeU_4FH>A-tW7K1yOFr*yute%M+0=Z5;)@hCip-ZQW#}ed z=N^b|Kf=Tt3~_AIxkdI8!~?hjr1)r+A*(Y(ETdVmGs|3^TlqPsF$1+Rh7tA)XE^pB z2-Ce<5V$%DYoyyBS+3_{6RLs>JMALuc8?UrS-Xv7N-zI(iL_G=gqI>9Iab*~6W1>Z zVJr}f4fg6(u`Z3NL;6W2jmbe#mY%_m))f}S6(=@2uS(-F{A&;SJUTH3;gC`vn zp=p)Klf;Bx+`}CBuK3uq+jVf;BF>M#YfteQhL?cUHInIO_=1KeSMycpHB>?~m4t$S z`LE9xMtni^W8FjQYCLg$zQsxCrNMVicDq-*gU=3$Cd(Qw;uApNJqr4X$+1HXt8mb( z>SdX_PAjp*F@khe&rvVlLtB)2EzyFpk*|rg`@~ojU;29BFnp)WKUMrv`ddXR%LULT zb(}^YCcQx1W6o0Jhvo;~J9LmITRXRU>$Qa?Tr!rqYemz~v5*(hP^i+At0rqUQIDHG zlFjteOzbzy?32!*>pH=o|2})~(UKKEZFgix;73qPX~Ij#HrYTAb!E7W(A4=l;>Ukn z&97wFP=v0iks{u#b(3(?@7?|GQM_mw?6vawZ0E2!OY!oL=3g)N?8z?E(7ymiEu?LG zLF6%`^5o5CEuIGSvd%7DjLK>ZZ)Dr}gHsm`zGGD~>LH4wA9LG$|D0}KpHbN+ulCO5 zz4uBFad{>$M(wtp>rgWK|6ZWj^(gLQgk@AvS8TYfR(1bab}c*JZD-VyQ1)!>>ed!8 zG3_AA}wzUyLHjvspa>D;0{ z!l~D%O;~JFGo{<0SCq4JbGiCQ-Z#|!f#})o6D@=Ccy(@Jsh8YdoxvS(yj^GbtUPH@ zZx~E-TBjArh?U)Wv0Q!k>atp$@tdV%ru1IwG&!K>Vi{+(GLq+h=Kc5h!)_UTY^53r zw*2kt`nC@**2GE)6+ncRf1P1dDFFV>%;+L-b#LiqmfbKO9Yc{EmmCH5%=b>@oiUv0 z?aI5HRp_E7_UUEx^}@DOd#C>Mwr8^^BTDjvd1~Qxt|FQ~a@G+MDj-nXu-jlpIXROg z+u?VT$>!Rx&>!$neoo;IDt5;;aEYKgo*4C1MX~8v=uBn1A*Xo3x2t$0)`A8$^)w0l zq!_ZET+VzoTCW67hyK{VLB{o_6J{9t4=0-Pe=yJOXyV6>DKO z`Y;H!u6t-tIoD$w{zz))7`QK&VVIe+)az;WQ;%w=E?&E9i1O2Uen_giwWsj?!FgXa^U^)zOBOmiX%vsSK#F{9o}Q9d`5(H*{*G9 z@LlQ~gjrblr2O!-*Jiu)90J=3xb517jr~OKs(nE%uF-cCLeRb9{}#VQ{9;95d`Gpi zGn0(AGS>q$Xnx>EIdvrOb+JS=a9QZ&SQe7DEcF}$|MKi4#dn+K*cVa#E^1^pIqewB zjK)Rxma~S5LCUcuvFOkajM<8^pDsT${or7?A`>UtNw`K@s$X-k*a|@Q*p2?@AfdXY z#H%trdKA#sNWxh8MLjy?IO^b;a`)`~zD>L{w4UC-E4s0>FH#!uk_C}XcTmhoE$f2< z$}ZcoU4cun(G)2@$wt>fafW=lm^DGz*EkP&W6lI6vxn_YGjLkSi6Jr~AF zvG|2p@jfS8{pDWT{Ut_J6hP_05dU_#fa{~-(3|$gbn?<3i`+o#Uy2`mdxTjLSRD6u zlMn&*e#_kx>u-2=Av^rqC-m8qUDw~1(cWG>YHp8D;BC=I#d*ZZhyEwU;f_Ymi3bhu zPQr9f|dq-))w*BxHBg?yi7mk;FqoIyYgps`+n2S-PMyNFR?E)|7DyaUQ4r4uN(6q z11*VSU9J2+v+3jeu?Dibb;eH#%Tt+#*9>EzmKPuwEm}~<-m1ynTjqRfu=ZS*KdU~J zUc#EWv)7yv3O>~PtnOhrc>C)$o4wS{hDXuy#Ztiz*Q}#rP+nT)6W+^UWav()>v>i2 z9&Nq{>xl^&g?#K+7cXaY9GTpMOV`so-T-6IOkFuhmoH|UqLo$@xbD*D^WgmopPw#` zf@BuB9};qABlx#s-F=%ndlfJv1qRWP_oz7cQ(@YI-!fD_{l+NWaAfW|rcpKc`$<>p z#@v^U)?GR33|2g&XEvnL`{{wZBEX0wNl1ZWFo9)!k{oBy3(kT7aWW zaq2zn-f0#$hW4U88|sGr`#W|na~(~BhxEPc=-R#{-pD7{t`l$ao7 z|8kNRPg(~^S@%?7*MH`JPcF?)`W|qAnd`3heRu4N!0281f#Op_^gtUK2)QrAN#R>L z);}d8{l#8-tT;*gCiHtri(FZT1AB?FslhofG)b{{k(l0guy0X#!brjHPhC7^X8u=O z6BQpT0sV&&nZfvlV{_6o|2-!>iIDCpJ0$ykdxbJZpTLOZin@#mY7_RbuFtz?#zB+! zpc{)fr%fD?O=;?ZyD8U0)o@tfPCa?e+Q>Z>6aVu^Eqnq(ej}rEe4&!ANGLeTC)yP( zMQnUS(Rgv|{eD~^WkKl|r$6tylFh5QyVB%E)+-k+`Cx3Hf75!K&*J*CLN9b9?UozQ zOoII9v#1Z%%(h(?Vu(jZzaQP%EK@z-aKZMI-si97%>m@;^_%N?>N2kY>YFCd1@8wI z^tEmr!(9Y;%ykC?WXHDvmc0$$ZcT{CkU}ABsQS<9XFd`d{E86yGtZrOEfH<}fBx0J zYRZuku6D0?x?q9J-S-UAUP~`uB%N#|U%1Q~1KleH4c!)^c8#<#XYT;NwHtKsowKjv zHD`=>u0ZXH-??@xktSD#3zP_ErB54LUq79$g?xH^aYcEIhCCzhN&8^D7~NiV2-m@! z9{F{NB)0*y-}S0< z$mnd#-$pHIqt~8uU;+-?yn;=eemo7+;|JR+HO?1RU~89-AM1tFJ(7JF&1qAbYk@gi ziP^ibCV&wd*EegV2!BEt%RK)4^B0@V3=@Pgng)%A` zSutK8fst?uKvc>m2jM+8Uo|066Hxy)<4WN-jXBVT+E*9mm`~(AY!NUb@zx2~mwub2QM>m;FSmM6u6oaR8P{Xy{rFBG zhR_+(Z;5LZ_#k1XiNpjzk#3B!jqnns4_!T34Y;O@;(sk~g_P1X7!`4C11t4gZhk>rm#FN#ViWra;#txTdgOO^`eQe)i$)75RE^p!u z|53NKP8YsaeM0YR>ZUy+Z$|^bn{C$$c)@J*h40qIgZ(eqv?q^Qm%iOOiO22c@}V24 zN_!gxdy%Yn%_#NAm^+R)-2dV%TK^VReQ{xWE#3S8?j;-cFo-t%J=P0SAI*tNdN_ScNZgY3s(=-VsYn|&2 zzWDE*U@*ot;?|V*(ar$FOXy5*upULu7GB44>#uKE+xq9b`B2^Udls3d%eNh1>)>+Q z#_P~v{!hQlkE$Cu1E9)ZGB`C-ZcKuoD%&z>( z*Zgjml&91;yk_}x{*4>vjZ15^M|S0UTOaW=fcf;}TZ0jxh}IUxm1p!BySH)U=>yq| z{8D!FMV3h4sT)mOu=&FP;zn;9PlhNjH}*>>$=Q2}K(_1T_I0f}sO~(#cWrQ1!-{)C zuERhNuPq2AFv&s=0^ugYD(Yc;iC^R&pM{BxREAAE4YQBHgTYo3V|PaZ$S6>kQZ3C3mKuc18PhrGc9!S}T5h`M~=Kdd)RpbZz;-Iq`c> zaX|W+FUOGIdIEP#9=j-Bza%5OP_hmhaEB*WNVIt%ED>M_&w=&Y$iO0>s!vnu?PJq< za#5Ut+GUH#ck_Ag#Ve86AuC#!qI_0XR!y!x$h@n88uH&)f)=(@R#amxU*^g+Jxrg1 zL`&ur_S=(%(V8WH54?uX`nD7~`V@udx+k=-8b4~khg;;@elNvgN}(lF0hT|gf$EYT zR%M*~J@S8Eh=lLR=cBZcAq9yf$xq&=N+;M@z(D@~DaZ5(j&izE>7>1!zA!aP zk=vdyUefW3uuiV$lgQsalLwv;UM{!Q z_<`R1$@dYs`MU>ar~AU%uQ<>`jqURnk|Ho|z58B{f6#zkKiS$#2)PopG4r9tSQ!;g zLOU!4xZmC$N!~8wfU4C!Gc0k=?|eL+Cfht&c=IlD za)0ICzlxMloEdI3#z<#&`l1)A$Y;Hc;5(RO_-;uo9(|4DsOgGl0n&UwV!SDI zMX&Bhu$SaA(y+g~6JBXN<~~|VO4-lreu(xZz2&g`ni%-cqjN!@3hJxF_kv^6BPh{o zVD$}0_>ZSomzCY43BNB);AS>Ne=JdC<;4}n(5vB<$#gFGs!zS0*YdT2;R{s2{Ivn% zXZ1daR_1Z}*X!=H#E-SN-34>hOW3$C-%NfHQw@j(=U;v;I(7eeU;7P$mfmAl-qy9`uDpp*hf!p|DzV$BhA=e=p$&Oo<${qMjCqw#IG zy^El`TCStA?<)~6(0H~sqQQhlbMrYC$9=mS_m$I`?8Fq0+YidG$8Y;$Oba^9QDi-a z33Y!|Gi)~Klw`yUqi~I4~#d3bnB>l`tfM zC+(l9Wr>q>d;Ak|r6f(?H5AV1dUm_neCdZ((>NyG&jd%;XSX4Eo34P1UdLWfL(hm! zJ?VFV`w3l^05fit%R~8z6MG8>jCo8i)I|r`J}; z;DZk~kFK$pQC!q-UzPn~L^md2LzKv`#};})fs>`kh3jW|NX*9X9CBxmLJ+$ROd^n( zwKK8#GrRya-XFWjpjLKYpaNo6pdfqZtRNNK;b=jME?^uWL6mF|V_Bk9aK!U6eFZSB zv8tUQ?(5`W+S19dGO~l5+*vw7K}#@D%0HF8paU8U|@KI zV}d;3lDR?NYeR1Temj?cB@5^s+R0;@Am(c(=)`y1hter3*ucn9+EmayC>qgWmq-?G z#3)#LA8=E!tm7aiH#nN$H4O|<$M`p4m;)B#q^&1IKctb>`b`5CX;c`A+0pKEXD8sKIV6;CE=6m%L|l1&+9Rgp3~k&0MjgV8-B2Xa1w~*& z9S&A%p!#G?oVW6mEdx~#t@#o7!$-s_6U%Rv&ktevLz=-Jex&ub`a2Q2OQDDnUn>s_ z7hesK5)R!PzbZbiZ+dz|ktiG;?;>nZM(rosFM3Ox;B1UZ*X-@TpyxUhkToWM5E{DD zPfH~Q9lgN_gjsyRkUI4cjY5&XM-su1Lq}l+nStHCatkJ{h!|@oost)95fc(l158h- zQ>e%Xiq}Mo-XI?ZVtB}nmtMuH3W_WWxJg4m@QbAZL3y^Ho>e>ALRbv;d=m`oMh2s=1g%> z^DnQ(*GmdR;{4%}X=Ao5{$zH+RPImb8cIW$@J;f}5G!ujvOO=wrX_H+6gR^{Y83uI zsf=+0fv6FYVXoDuwCaB!_G(9;{^fW{6^Ioc%AcSggS&j5{=+r@jKvH>Xa|G-- z8o)M`RSb$o4?5H;B>JF!tP==0 zW9CX>Sbjzf>afu=QXo{`#mLNtXsPzTy7MM8>t^)MFLe4z+*Q~uEdDb7%}ZK&@(iPJ znZ}uhgYb<#ZIsyH;ijRHTW`$*9{n;5Yoy2@5E`J;ut3%wt4vVK$8cQ@H(BflHS`T#>a+~9e#LQz)#)R%v>;V@a+P(UwHn($9tMpfEe}sAgE8b9*a$BzF6vuQdePNcmOZV$FYfQpj;>B6Iq= zDUsq73U~Kn4tcmb4!DaDFQNuUuzWm!;AD*#7g_)&*t}Mku-UK$^C{(aOq2UQe^aVJ zrKc21DRU9yIz@ZRohv81;fU(|YE7rK9$QW?`$dhK6TnfX@?-2NGvb$}ezJ{l*)F;b zNZD>b>?!;rL2gpGLTBVsP%Op5fLekxSvhQmA03tWzGzx2;#7Yj8hIhJ)4f6-rTLrv zw>gN<8>=JU-GDXlH?~FOs6J~q4Z%eCdw@a4e|(^DxFzglf~}7}838)BXoqb#7T=ge zqtF|R&VCgGLbYfgHVbj5sOptw7zZNPo{|l=Cj}U$+5x0^Y1QHmtRLa2V8#aw|FXQd zr>1nf#;B(}{#KCAPAfNhf^$_a`JofcXL+&4&ze9?p)|g(Z;n|PxGXcE<}!4!Qm}-i z%Qb9xTejMV12H~$WzW1*^M_N0rvv|zZ;09)A{1&|Le-TzyzvMh316mgzpF&s4PPSi zH@?hbAuoZOAy-w1@bst30@x=o~37jgL2@L~mD zNF3O{$`Jw*-ist75}wFD2_J;S!Gb1v=9t(o7kwbU2`mPWUV%BGOFpqS7d~su{IG}_ zjQ~C`t1pt!D9a3<2`VPIQpvNl9xB9(Wc))c>Y(wC)BZy-%t5LjwT@RdGUOcP+r*-^ zI#Gbb;e;T%VEFYgP7u|H6Vx8HP|P71nrn%H7w9SfK_Yo%QgkF99PVG}jNtMw$%ol( zKgQdkFIgA~!ZRz;xp{8MKL|mQd;9X%(z?h6_`sP^VNw~+s09h*gHzrlpmYk-e3rB= zQcx7x5l9d>E|PV#0zdjO)W9&R{a9sa$ttlIwJKs&fyS|2@PaiPbCbI=`WdLmh(((S z0L2Y^!WTw*hH+E0QGKAnYYL36T_34g@K%6NRM-l_V3fqDbHeD^R5-i)!*J zf+?a;APnIHFx!W&?duF5p$Nmcfn9;0nc}XSiH@o9Pk)C$E*WRA_3HXoA?`PtC-1QL zn{&R@@S%y^orIXHRgREt zw}aM+)-FdDgl=&X4+Diel)+#)50Jy+^GKvrgo(xJp&`H|QiS&^qe<-cnkQ&!lc9$o zR$%&|aQbv5EuA z+-S_fq3iG);KvK1*j`{v5a*V-EaqWjY+^aOoNkfU{{>4h)wF;XgG^Al*``4rT@J#^wj4<&zd!-$HTp~pb7eCN+U6=q3r_YE@> z*vI3htu>f&*CN+2`k|@YM=Nisr#>M{je3bXo9GjCCjW;=7z*2E-4(s|{s_ z&GvR(So8Vt5C-50{ZyoPJg|sb^K?hYj_Pek0PXbGq7L5fsWwj1^Gp|6i|>YmZnxL6 z4c%&?do|bPe9YH&fq{3cWFWD*vuG6(w;jv6D(AQ?)j&EildLKV@{vJq$I*?$G)8Kg6mTBU z0xmiA!$Y^iF3J!rcWVf283E4k_AnEGZVOlO5ooi^WDSvM3+aDldciTfE_6X_cn%

    b0IC6&1s8cMqR7eILL5%k!}PI&qTn28GoaQ^qLJrx zHA^&7H`x*{Jjionw$pb44;~Gi_W;&ZX8m@<}Nprez=OI5vPq* zPaKqayxB7T@G$K2i=agW@=}4D2E~%7Vz<;)s>-ue7PZY`OJ+2ZlIjvOYAk6yedvu~ zBXG@xB|1l7x6CG`*gR{D2_1&cS!|SPgnQ8OTXN*IBcEshp*%?QW-}}qm+40<43t+c znG_-ZVw_MiE{Qi%#?+fl1Cp}fR*tg~Yw7T`b)ZqvGwA5{-Z>b{P+JAK>UN6N6e=^U zhjwb(jMDaGZE4#@yK=CW(Kf7+i(RWl4Rh$;nerze<-Yu6JFHn5B6d#T z!J{8_wc4Sb3s1-AH|$K~o}Gp{!&?f~f#TBS#gr$9qJv9(@tyB_n$P%OPTD3XGVG<2 zXK2;QEjqC%d6*3K#cA)jCmLmnJFj$ESgJE~( zN)26+o6UAG$lEszb>E@~65KkFbT{Duy@3U*xSRcc+Ul>g4e|>DVmK~vXd!>_>~EN8 zcHh(1gPVU|Xt>tAacVy>ZCx=Bn_Y8LFfijQefN7d*M-*UTsLk#RE8`r?e-y(yfi4b zPN3wXUCTt=CGGwJG)y~fP0emoQwu2RMYCM`UM8@|7HlS0ww!F|P92QPIbGDtLg$P) z%zbaQUCidR>4VS}-H7w`2fstjsTUZ2;A4e0+Q9bSRw!j6VVTIem{6LILLmgq-Bs3@ z^Xvv}EJ0U&Bpquhwn7DS+%-cer029FpNnnUN~(?IWWmz!V71VYXbzy|9vh&(W^u|tH2V}CcUj>^T1lMYLa;>YD z>-kLJ`7Bs^sB^d)GNbL@a>XiXcat_qAop3+3zkG{PtUhE#%5& zn?Y1271&cIk^+(FeL*(91qI6X_IC=jb7>ATB~Of;z^Hya)fHvAR2%HU4;7X$5d2;) zNIYM#a=sK#p|{v@r>cZXJN<__nw>#kaQ7-IDcm5Jtz<1A?aUu6RofSGvVz$iDYkZi zA|)4&lhHl${g0l}`f00mt%yNk`x0ypvoEv0(9{J;HNc+@JTAEzW+9f*nK`09VLckNSaJb+DCum4$pgDNWF5Rw$YCr_xB7rOBeB ztzci$1)P$aBW#s042Tfh;@P)j_V1>xVBN(nrjUADVZ|)y?4eIvtIclRTa9nUvKjo3 z3h%(ZLrhy;@gw`tZr3veOQN^M^T(5q~+WG&@z1rmGj2eJ-HAwH3YU8iVYVMiM19j3=y`q7qW^2+zj8 zk1tXx^V3CpqGD{U3FjvHid5GUSBU-(f{Kpmb*`wJwnK^EiEDS)nEF1xUTOVRuxB^x z#YmaH=}+|4o1O5Shtz%>`Bbim`lqc%Ct`MztWJ5)w3;|Qwzk@rFgkz0)RK#qBt|HY zv%-B7bk^IXWNMn7T4H(u_q5foT+zAlb-wLKxf^m7N{0?t`o@GfwA(XcJQ);QCs1Ph0y#%Nn@)Wqqf`c}KP;fcL9HhNK4L8Znm0oI^rP z3kd0nDrE++v2Kjq3v7-MfnrIfku4^G2`v*jvqZE&AY{mCN4^wvC0EXDEsKAdjQmYd z(gkLvK#owx)S8_H#IgJYRJm#EH=y^?i=EzH$mlcyTEwyF7T%u%B%!Pqprs}l*V&T@ zH>~liA0430Mum#8uha_40Z{%~Ns|J;2YtTb4lIRm(^e$Rm&KXu#nae&+w@FV>giKb ztZ${2Qc{U+L*o3iZ9E+~T2<_afqg>;EYtgFlo=HjN!(Y0QuP#Zoj{zaU`|k%7<^{S zCb`2nvhyi{2DaBbW>!mtHiSBcE*H5rO&#bq=)hFZq9Adr0b7G_Lkk#i1JOqK{nnYt zy_96zWN^j%e09B^X&0^FsI1gH-)=U0Y&hexc!+pRMTY)6GP4{lOrTxq$_ksYT{clW zZ>m?5luZ&-9@iWAH*ku@^Yr|%)w&ZJCwjl!SS3-&@+T#+2~vo$=Gb!kud?cS=r$5J z8jfsB1n2t3NuM#H&YCr(`zHVSiPn=yyn?YYTxd7}1aAUKm?oG+uS`(;NexOumrhWvzUmrbTlH1znuz6HdhLxDnT4L2U68B$ zMn?0vJN=K*;ViXjndpmCOQBONPj0!-2$tyQ2Vtw#is?OLbnR=gE1RYtY^I#aFy@1o zrl@Sbh7X@K8N>{)aP?h2Z13%}LHk z-et11f(3`u#Y;xwFmTFO$B&_g$beO17{{Ii-@=_Hurs~DY~f7ZxTQZ9l5>%Y?li`p zgDSElG2>Yu9{+~X4+hb~2rMJYHG)Okah{PY<5{CnJyHBeTVI!%Q?3Zi z!eb$p$US`2M5ANYPI%&!`-*J|n?b;AXzqaf&xR~aN05$uwQT9jXq#L$mVp3e8U_+$ zj-x}sO@+CU;5r-5m~f0f?eyzepCw?|%#N5WF<2fWgK2BeTx{mi5w`<8t2v>o(3PIF z8>!*2G@+REWAxg?-3qMGU}0D>>=`pq$Yv;U@-p^!t7ZIwFla3Mg-gte86?8^e&;M6 zn64ndPTVoG?MRjClMt(8$?mAdgtKP9@7!6ZtRj?>wbGhqr6Jh0U`0`rD8{x~MglXN z5ExmfK*4xKTmz`#jNO=F1J8LR`PU~>1Bw)@%niz;>kZd8c@Q4bbG%$CWho-zJ-?)S zy&$j0gzJ**K8_3!p=`Ef%rj2*wpbw(QX$2k3l`ua_>1x*3A6HcZxqdPDj42ieCcAD|!gP-weAN=(T|F;S0BZ7Z~_ zm$GCc7#|an1U*g?IK~cTFbz>lxGLI0LMj~ji*{##vy0X!t&LYTVhUbMg>4Y(;aFS{ zbW#OKLLdb^vLz!4uV=MoiAqdfiY(*aDT9)%B<$7*lbD&{D_J8Z@%i3({^N5^`?zSD z+L^IU?9DXaqh>pD=bw@ve(r z6&ksvnj2<1L8+b_r8It=8JT}rFknMnOWN1&5lnO*gMXiY8#>?>YE-nSr>ay3@w8RV z|57GPzrS+EjBhMcN*FD**l(dL67XT;0WHj5=@dtctr?D6`t18}i=$f|iz6GFVlyni zW#Vh-iXQq!7#Us?$)~^9n9COD``bPITI5~3XTq#m74kR2tIURuj~MfI+{m%yjO!;h zs}r*zxUb3yxw2(jfM?bcOIbF@q^~5d67ZIbV-m%MB+&)V!4@LedoRjHvCqE;UU0th zH0~7&?=;OI9FOBpypo&-#F_o3bwd5{#rVY=P`5HHs!yR&-1S{aOi8K%oFuLYLOMO$ zMYTF$DVcEAa1>_RK%q>?L{({3BF!)fwh7_!kDy4Lr9E_!37&kBQGNIy1m@A(gA-Qn z-p!eHf)s9owYSX$=GMD>G|tAHWwRvYBMF2Mn{|0imR8sSM^P6Gy^Tq#-v6Nb0RHxk zMz@|33Ez&3&eBXj?4gdMom(r+x_}IfQ8oj&z1JY@#*( zHg85ReeGeAYB!JdIeP7xf5uVHPh-UN*bi(3XTq@8AJ2$6{h;vIGK%2mq&-Yq)sd(l zzi8xP_S5~e^Na)uH;B$SKA~YN2(9;Sh{5s6pMin##4-Dml@P zSKTwKRP6jG;P-W~B4tZ{lIrk?uz%rgg{*k879v6WA?mBld#_w*dDuBtJMD6~X7#=M1ueE{SMA&YL5 zJAe<3Pj}g;=aJLWMZz-yqPrfff<6UG zJMvEat`V>`_%eEQY|Di2M-x`FXh#1#rkwhwMK$k>t0yC%nRH_kH!*6at&L(8MDIj( z*elqzz`62)zOKCpP4*mrICqT3`WPO?CDYcixfV~DpQ_Mf%(b{PKAypMT#t#T>s9GV zErIzNARj>6YpsV$Il#*$|X0aL8OB#pCJ!_IG0TXdRqDq+oq#9DftycwR`xS!6SJxTy zCm%6=ef$zL-p1onyK#5ktT+`dJ9&cB)@RDoR#!WzS!zkclq*|_#TC89s@FA!qC^)2 zcGq6KyO3*P`b^)-+fOXn>u0VEuj8$Wja%Z{q1nr^DK<`9`<4l^lwvjx9>z+6JB_&T zlC2H|gi?PiWaAZbBeC%sy>(WOW65 z5X%qww6)!{iRG+zq}f(VK%32=m2xGSQQXmODId$lP6*i)HVT!q-ENlifmMSK*&iZw zD2aG;8sXfV0J>(DfF*I@>O^irT7b8#7VPq+R3HHA_O(0rl*;|cQ7uagp{hl^u|@~xP=}Cet=42Hn^a$7Gc-6IbAbFfLAbS` z7P+DrD>b$`aP^)@B*z}w|2>FsRF+Iw;^Rso-<-A0WKg3M9jnz(v$4>S<1pMZ590gp zmZ$D)-j3w6<(!25aBj?L>vEY$OtVwAT1cwV6KoRQVvmP$u4nSEj-SBg1t%&>8d;pF zyXaUHA{DJ>NU;!mciWMf1e7K4_Hs8U5_;j7yM`5L<*bxZd5q0x>vLn0X7L;j2Vt6^ z*g{-ji%(mtIu7z@Kp@RHMRD0PBqnL59;BYsNXf`ars0f@(3Km_P5#Fg9cf8<`zXX^ z(}D(YgKeM8?a?Bzl098ooTC}@tElo2Gs1mCRU|4J*naho?^4{`N5lO}a8%^~T1P?N zh0?qa`j#f9ty2$tJ8YuBhc#_=*4$m{cDp?5uxc^~HXI11t>I(Wgk}>x(q?wrO3tBq zDkqR+9tf;CXEoF##h-jU%P-?&w4`6dY@|awPc&wa@KoHtYv=gn4%A_@7P~f3@`^*2NQr%(@j^Khbnanu{l&q`6JcKM0CzIg{) z;IoSxMd<_NLsu|=~|!zON)m6 zkcC284%O0l#i`Rp91t}QjEO;Mjm6PHmCM<#CgDquu^s=BffPGMqGrJ&z1kPB5f*_Z zV3DPVlB(-GSI5Nd2b53IF%UUSm~N7gv5b=W6CiYVB$fl4A$k&6*qRG~_j34I11=J- znwrkzhRaD@WW*Narp&TE31#97z>w)iSOzt1aV(pS*<%exnfH2u;=M55IMFRULl^TT zEzOm#h>KLz2#=yxEo(I}GcIm6X3v=IO$Y&)i)Hhk(UOx5I$T?Y+843$o|51gKNa*E zR*~Xf^gVObGcH+B6au%jVCLd z0lQEn>tz%vADKsH2L00s7$2LrD@$+d-Jy;e*zl_ab72CjkUeWQ7o2>VbF!9#t)R1T z7vT>MS!V+(>nZU9)-opCBh%XJ5Y8H&MjwSHUV*)s-`~~P3F+LP* z1my`2@D9X*NF?xl$jzR{q+&kO4tkMiJPlTz!S01#Ce`2w^lAb3gOs+D%MLKxJ{bEj zizgs_a^tF|)@(x9qfaFxIhP#^*&5+w~1;|#LPXO1WO0FJ?J~eq?E!M+&>5_={bPs#_T<= zq{=-{M+b5ITmvJ5vn0#JApknH6T*(I6*gT92k!)QlAJzO)hcXBO&GHA;o2W5X^4rn z=xi7Z981vJ=QxQdNG~KVZ%cX(ACcDPM0J(3nW(tCr7UO$HxX`0@d2eGMpZUiL}M~L zaABG%(zZuLBOJ4|>szm7BKAJjz(6V0FaF7zGcx%38Gt= zS!@TM)VMzZ1!Mo1vytz@5Z7P^B~e-%a>w7o=ug_sK4OORy0SHUm+EsRK19xg;{s9wAY7=0MOqcEB= z<1>5+dxrr}3HDxxO8bCBZgF9WIv(JL@;gfCN<`1>%~le!*8?zgtbL0~T5`=qBCZla z(rNG)Q)C|gbz|(;E;pl}I3c~>J>ZM}erW9XU(qwae^F@JLIs->a-$4pnqUci)$Y}Z zAek~|hb4b3Ig;*9m?6t#kr*;J5ZeVTnR2?2U-*}@FnS7!Q}gp?WeocLxWPNZ*B)Q; zBHU})2#h`T7r1Lu_Us%~eeET95}Ukhmt(nPXpY;LBfyz%nf9xoZ_nYLd(ks;Dt8Q! zWr7@?Ag79M0W5bV!m~fqk96xbq1parkiYbw!v5};`$m^uhh`0g#YUm^4JMjlWL|Z- z={@~8*3OWz(QMkZvPN8=D2XgtIzj)jVw@m0{{k$Pd$|dAcQI{z_?9_iesa!kK6`50 z=`@d`T(-(*FjujUfb)wD=RxKJ-zXF#lFTYX>*0Q1YdvY!^Bdj@j``QE7XD zdFRs~hQiThX1H}@v~2qM-b1??`*;xa$8EQJ~;*y)eXc!ij)z#S09hWTL(Ao{&AQUPvK5Mx~@goFcaZ5?0> z7)hmY5sE>FHE)_n2w*Dx+b2T{YhDJ2E`})INR=)5`L6Qifmd$ zFkj(FflUkfhAivKErRCdaPH?ECnkHi!6Jpzv$a+sd$YIH8?=?!^BvM2#x^IaGJc){ zR`9U(=mK_+x$Y0s)@a0A6tnp0`dKrq3A{}76;g`45U1R=z|&Q9ciS*+dsQ5@IH{#% z397fESl@s2OkkJ7;K?7JM+5v#i0VtU|+D-H_QB7!aGsZ{9d0fg9X!8i)pK3+KO`$Gte^ZVNL?DZrMvr4$V2-JlCtD z4`QynlBL89-48U3Cq&rMxL`A#E18U}62)fX^IMqC670jlQ`WJNtqts^ zU$W!lq%U;^fBSzm{n5uD8qC`hUqAf@EStrx5>8u}F>N)NwwhD-Bd}Ss-m`P4^}wRH zdT(Tew!zXn{tc0{ob^QS4~@O!djIMesr4}SMaY&iVOA#6LW1K_abiEB2H|K8)qx}#VZrU~+z0TT~;dXHUNM!WZ3NZy&JA6E_ z$FP{s2ezHPm80g;mzRU{0KZ3K$QzBw4K z&41wP@~7UQ=z4RDQ&7EAG#~S3bFNFR9$Fl#U8%+@Yky>vP0A(v4Vswuok4{S^@} zE-=>&nzh`_dZ<(}8>mjJQ2JpyY=Si-sA5^P27qD;*qU@rZ;iM^*%{i5xjJl!-zL#l*{hubY-#X9kD3t2{)zd`m$cCi`F#FO`j+>BYN)F;*R*Z zQE+OlgiEoFWneIW^B*a#vK1f*)F34yV+LQ9_S&OUJE$rvE?KHT*a}=7ip{BK9x-Mc z36vFuu`E9EdFZl;-9l1Pa#!xW_<74YrwiJN3-CN2p!g4lsE_fIJIT;{j*0hR9Z!-= zj>=}{VKzx*k3HU{YOmI;NG4qlZH9Q@Bt9dm7WW{6uUuz(k6oh1{K#tqjF$RgaPpr_ z$~Bdp5vHvSUclyj;ULnR^FbC?rvmq}>&;u-wAHNPCcv#25&tw?!)tT*xR!TprmA)x z=x^hlIPg8-8^WX@Y##ii`|RGL1Xb;6!rGQ%gy^)8v6Cv+2^o1*Y$k3W!gNZ&6ilNV zmaY8*RKv{s&D;)35v~8avGy|<7Vpn2pSEsdsJI17df-y-FcI!j@~l76?LkTzj|w+a&~WE|i5m6rBEi`BWI`C(xU$Zy7uI5-{0-c+b9p zsGqz9E7I;XE@m8huwG;pM>%o@41R;dh!z-u4$n4~d}%3}InU6$=XGXf?_Xm+@Iz~6 zPTvIgH?QoS(0_B8D_>$=kkGh}5?tj`!;dop%F21}VGxG+@b#1P_q@aif39W)E{T4m zZ{~3~Vsl`RieCNFDmVGG^(GbsFDIAaVhwx3j`;ZtKK#lDaLZ_p*0n(Xrih2^a6uAe z({UUVwZzn=QIv!ng3Y`cgk&19*E5yEj(M$7yKT&aqgjmb6$Bx1#0waN!>u&FzL|OnK@TWcjKwtpsB_7R_~b*R?rKwVq0F1 z^^M&Bu&J*n@bZ5PT=uq9C{0j+jFMn-S5Z7|MTKK!S9O>YnZrNI#Gz*8eD21J`o!{ z+Er4$Q*aMZBG}&z!cV>LM5w)YDW)2x=E+D+ddZDdJLDPv#YrD0GiE` zBWt9>GqSXU1eQ!$T|?v-teD}E)yQ0bsp;MK&Nmpj4Q1ogR{OJ&>8-q?C&T-D(Ofwo z=dQyiV%)T~uL4bi@0dyw%u#eF>gC);z;^&ko~&najZEq#EL!G*Mqz2E9q=VmI=VWIxXg_|8cc<_LuO@kFR_RB>J;^3eQQ;A%X9-n86PMmo@8H z(nZ3_v~|L=hqIgCs@?r^*vD_(HiLj%E@iV!6P1qxyMji0pIL>k@bRlZw2q)$)$i+X z;Pfmk5=J|3Q^^;B0T@Zg_1Pv+PtVk4o37Ko;g@vvWTr1$YiJ)2@NxIwQ#Ym2y#YM@ z2~1nZ$ISO=#drydI49j>Z5OAlbLW86BWmmiTMchW>`_70Cd5!Cy)v^T&(%dS* zdZk(-Edo=HC=zzBKEsU)RfNi!BGf2GF3#~ynwh@9I-+4g^lU`39o zdj#h#69)!M4ZhUg(*}(hh9TI{Bi%^ufw9Xx<^dndpxRuNbJK5Q1oW`|HW;3y;2d|_ zaet*hh7h&lo}^g`ln?9(!=AWfvdbBEoo7Os=I9pV#RAra$p@it$HW4&ivwSCI^o({ zp@igV2Pa9keY@#xSbvyuUoeIdA=b8@J#@>%-Tf`F$F>?@k_m-{bCi zCUhL7OB?UushGY74dmRKnKidbUqfX@M`s?iQU8i)5sF6UD_8Yo@ufWp{>Inm09f_O zjKS_nMdP7=3G9ZCX9hZVuliuf=1eG`91KnGFaCe--UqyrtGW|BRVt~gW!Y5AvXQ~y zD_J&j+aV8Q7(=|~N|udq93n{DF$^Tn9%FlmXVWqN3`^ihmTf_tiR^`>lX1wyFl^&^ zvc16Y@sVt{FrCE9$1t5N5a;{YUBNnh{#a(VLkOQI31ol2d#mbIy;twmQ+ETNInt}T z_uO;OIrrTEf2s;A6pxSP)HQdL;|fQPfTBFlN4V>Le;;;L1a|c*Q&J~}@NV%_Uj*SA z2CP>kWZ_c&rrwMzn(y&fi+t%9L$pUeKv=BXUx0)^pM;QKvc5eq{}z~%Sd@eFB;II} z&6hN6@Z*V3gZs~4Ez!i4*gufg?a(?}K8X4YY3UNaRDSG&8=6A=VH` z5oS6|zEOvrjGaX>1u$)fFNMax-TQVUa`amwFY3&N@z-CruP|xFQj8RWvXcBlGkoY0 zCC2f+BJjn9q{F~4uw=@DR_SuA=paQS@clyttE=ZjdHb4+W1bj`y z?}#WK()Q1`e(YQnhrdx#gmenAcx1I1xXAPmNcYwb&bj?(uzvCRhOx~5Jy#fA+Ca1) zgAj5&gkLpn)a*K9sTqpFD&0dt5tb_?U;6XxP^foRr-H`4RHd90@S zvRvZ%_DF*7{#|H|8Csb#1h9#7C+`% z$Mlz>K_zM%pxGUf`@)K#lEdPqCK>p&=RUg$mpj|wFhNJu zwN=iS87QY6=}vVt#fP~^qTUVBs2rKMnlVo3K^GU|Y^Af*coyJ~0ptDGk`iOt8=|zA zsynbP1|c(sgEDyH0USkLo5)gN-4>iW-s= zxzatQSrS`7Yhb)piz&^l6lTt&_1_hlMed)&&)KgfGg&l>oyQDg%gv<>TLuS(fxWkM z&E;7wA#0uZYHkG&liLcSB!s4DR|0qCaXXVOqy#oY0cnDg;3m=&2&V%4nLJ6YyhR+y z45VeS$Z9#gDVD~U=9%FN4`Mk$>I@j5sc_HCy6PLOW`Tol%m9L_yN!M^kXESC8|@k* zg56irj8CoehrU3H%j#vOGiW;)y_DUy}yM?rt@Ky zd$NDpIxWq|^o@c6zON|9`9#3kp&MO8>@>Q)8GT74;kVEjwkHg>bbUddJU%!FoHCo?egmZoE|r3Qa_UIEErW(q zl7O(HIKYBC%$aQdCyegDeTz$|-MLESvGcZEPp2RdCdC||6S)anSI#)md$1<-dXQJd z;_R~8N@Q^osd~c8!M7B#A$}R zQ;LW|V8LphfrY|{kYYg2L4pruY>xhanzYZkd!3}?y<%0Xk>KkkkXbM(#iL7t%i$>5AnetBqhZj`;D!>+m8rs~E++Wx~ZVZwzeb=gtc|AO8mg>>%J{*T-`CSJ8^J zvKeJHUl@y;jnr5gSii3@WE|XjrP2cfCKC_7!^TJc(}bjHCe|_; z{L7J>Hx7`Z5o$H7Evu-54bWo_mBao7ONxz|{-}B<7W!{Qhtpxxh-Rh+9$NA%+%-X6 z6z!9aX_y??H-lkJr*-+**6l#r*X&!465lIS4yHRFtEF{>Rx#|QLW z=X(5gUe25}!u0nDL*dD!?6s$15R42aI#>%uDJyEj=O_#uRVXsbRPp^j)}m1Xxyl7gPpQ_Vl<}$IL8J>t^vifqh=4qY8Ym zvR&JRR_U62jYl}^8Q2_ck!Qx_KCwkWfpRNrW=ff(7C!)sfT1G?eU7Z#+%xRHcUHoU z)KS>PpfGSfN&NPTGm^5mbqYbTQv)X6*4`+4Hxx3q#-T}b`K52owGJQl2MH^H@(3M_ti1&>W0cwa5Ws7UJw zC+}?#IGh~^iex||Eb$H-G=oJCKtkGc!<;SY%w?{4*sTq$31gyLhLm<5P<*1oYqw;?l1L_N>l(frueOdS&bbwSs-@eDl)IXs8 zU=W08p0+||We5wf!Otm<`%o~Qef}5*8w#6|<{Vr~xPBX)jVXEiaNxIIDAtko8-xt9 z4j>Nz6l|V$c4>| zk-}?!A&}&&L09Y|<^R2Wao#G3=^rF>mRX#T?k%@0c8t6a#(vb(skU0-H3$murhm00;Q)< z>>9BZu~ibZKW#^X1(!oNTcOyGcl8r@ypNm71R|mBly&0fbZPG#;_nu7FHVF zb?9XjvE@finK511Z@X?e8b%X(C2H#lL9VN!2JGDL3cv~R85D^npaJ?w_F?z}pfUa6 z)OoXu;(b%ehg;GzK=4;v64}5t0cKLjGFLRk@~aNr&8t<&Zm%-b0j=N32WfrkdYXc; z}46f=Dzbi12qBDV@MJTSPK3fMSp-IaLv_bu+LdR^@>%qt= z+*}>&u`{jGgCboIAm1YVY2b>7ByAtbc(SY(O@R>twjDAN>+Z1l34_6@$8P zW#QrvqvbJKhGX}jv^w-$Y%?wn3$TWqFidlupaQE}@z#1e1y+$)$z@DW2K?3|p{o`3 zjb#DGUO~C0?tRBOFdHq$VEh_znG@L5FK$@8TrNA~sm738AtvF#wnh8o(9Rw8cdbX4 zu+X1Lr~uRjSa;1*8n!Xofm~CP?ZS6);nrdc>Bx)aE}~XR>vX8YRbrJ04AS=6BGqjs z*Y_aIVfUm^g}TpkT8tv3*zXZ65oS$;ku4m1v4Pl}yj!Sp%qhJW za&oTt^b3tbyk*;eV$hb96&0ua%5M)mF<$+#Xs(fAks0(Jlhd1>_x76f2!;S19=y5% zgGes>%hA(&dLK+%Z=cIq47=}OgJ|QC4UsqCgu?oQCq+Idb}8w|6MJP`e+#E4&M68S zioiMD^w@sh^ZlrP%ub147FtT>b!h7k;0)s2hsG|DvqbyjkBW(->9yclad?u`R)Kk` zF?F<*-L(LH1Hp2)wZ#n8i=#j`-{UBcMmRk97iY=ZJ_ZnlVkhk<;l&~0+xPy`x{*ik z3T6HqkeP{93lf>e7h?<^P4E|&<6CC`PDSxnC%N!`$K^UMUwsV99Q-!KrhCNPdZy{V zlIij5WNC?fnKq{~J=DygxV7kM0&{%5P*|*|c3W0+bO&dksrY8JEYSmBa0|6O48fl$u6{CpQjgGmW@I8^#6q~|#9 z+vRi-adM#LX{%$^YxE$VG{{}_HtiQ_Z{X;{!z+FUjo|sH6jO62&PC4fcCN}brCy^N z()CqjBKPtr+i+~=o`RfTk8w+bc{DA8N)D{qj{-Ikv*@yopXbYB;67!UvHj4~i}w;} zFQV3OYq8?czPcMD_@;y_)8#T%A8#;r$pv|qgQ*%q(k$Fm=yKy%kQI-u_)J@jN2nx~ zxrM`yArMQ*`%+JXD>p(b(5m2{1u`F9&+D=719k2a) z+@ndCwCutvLD}2qUIoSt!<$Xl7qY=FccDK}0(1 zg}`6dq0r3re|^(-QdfX28h1bpu>n1FNT|F*4g)w9H=*S?$)^_dIjIE+G_$!uib%aA zh*=nud>i2+P-F^pd1bNs@V1d%8yzKx93k}QUZ*k=62jMs**u`oaw<}}$slx$r0aqv zvsY{iWCNIBb`jlZHg!rl?%;) zZ-TSI3#qCoj5ysKP!>)RvxfC0ol=oo=)-S_*a|mrhcSVgG>NQX-VcGqH9!i@NK)aI z$2k{{9eU8;%jI-50><$qOld4G;AA=CUCVo3lFpfRZx_R1Cs$6I`22UgGOpsU9RhTRQE^Xw% z^~@b&5-e*f(@LQZGgxdT`OcW3!8cb_W{*g0b=V2*Fagei=G&N6x~)?{wpGrlD!cX2F3avhW&#x-;_0@}HhFJPS~Fwwip9F;Rl`xGrBJh5|G*B&hI$f^$xrYE(y9MK$=GLL!Rq4N*W3 za5ia(V;88INvd+&vPptb;F3t#od9eq((%h6W})q@XhJUgFu?P7)~`{C(RTw;I)sVw zoGj9&aHdYE$L;pIS8SBJNY*V)?l%4~dNVArHY}8dITv6pnxGocP}WfhZ8xZztq$*5 zbV+Jl>Tw4nn9e=n!g6ZFh8+ymtPk{As_=lw!6<{ujC9~FE4g*y0df-y5!`{ggo8O# zM>HCa11=WSHE~m=(IWlus8(1bksh;B$SCqH(O04(U%H9sCz$1YHwd6=CcREf{+y}{ z9(2(c-YxRfnK*HLx|s0?{kXeD>N{f%KM@*#%H=lX`oaq`86UiA5VsNWVG^AS#sgt~ zN$@@pBzWO!BVYFE8%R7Xz?x$bmRdu6pB7(l7)DtN(~`Sz`U6CUe(D3=iu@pMAdt#3 zlvSejCzdyJbr#(cPYey~-dwVRS2~*4uY>fj_lg1;QEP*r6T5n0#*K)P`SuKhHm87#Jv{9H5p%4sXfhn9_gr4U0lgFzAG zhM$HsnPzlqVSf53Z6qkv!l#erDZVF1RR6=CMLGKKMGRTwNlNj8qIQTk3?yGQVrSnu zE*6-{KCv#N8F3hV^kS5Z*W-!GeOJMX7djzZGl`Lj?@o$&^Z6Jgqkvq8C)+LAKuB`z z8&Hj&LgidDOYA7HYWMinNSBotUGL)Ld68r(QTgKkfT{CscpG?M+6-e<#O5R$49PXq zpTI(Y{Ilqv-+vDA&0tBA^HO>S!q~u&{s0Xpkks~h%UpsB{xeRB?mWNKL&k!9>^`WD zEK&I>gg)u2at|4(nqlXy`}W%FgZ1DVqy>lb2=TeYifqDaW+-z) zuBrQ=C7LUaDo_UT^OSV-g7zW?_%%=yu$SI1wjhC_0|Os(73x%ht3W3MlWq~e%i)?8 z)Ae*+^Kf#!(}pr91~^q|U@CiJv4_U8w9LqWs!Ef&hR8)qWwj@A-dMrpt9T=pWhdAF z$cFYUQ1r0Lyx=~eUT6pN$i)o34jm*?9`A}|69E|>H>5|qz7ukx(cknlDIeV;&AH?* z!}%at?)px|g(QTEWt)E9C&KMy;>^NksT)3ki?W=S(@)OKcK4Flz*uKs15AH`X16sQ zl)(!Y(^iA5a#S0EPslM5bjJUFPGD%jLWH3T9=t8vG5wH;J_6I+hyoCBws8zc z19PysgJZ4+up)2USep*dupy?co$$B);MrABt5+BPZLnr&I5a7>Z6yT7QNw~(0t+>n6_HvFYRgY zbM?(}L&i74;crE9bNXwcVA?wQ96s3tz_fL7>s!dg$M?kY>gQuV`(m+fw*2Ir7a}xs zS7mspnPy3Vb2w}pSUFeN@dACu!3SIj#N1yz_7TxDFprqHa?7P{px7R6)NGk5eCM4u zdE)CvIBgvXlvKT%xtO$OMMZ}le&Lt0WZIDE|9F;>C-A`xfG(bmSFkL=l7GLqTT0wP zz>ViY2p*ENd*2OP-iRT5MeNZ_tnV+$W-u6esGS(|vEPya(^i?q$yC7hA}&+^3?tAd zU{d!QnAsq-K!z0|dFmr5Yw|oMStBfC+FDVV_R2WeB0xU=b(Gkb?sZRyV%!6RkaI4! zFOS(#waX-?bN)H_*yTZ4di*aOp3#ScWpE{xL{Q|30F#u#x6$ympN(t;Y@LnvcS*&* zd(7ptCpnJFWbVUo>S7G^_yF7Zqwd{yK9twV_Dj79x~KuJRn*Ci11K}s@HpH5<@66H zwqe1Gqc!_&7%D~9a@tC$O`cXl5Mu=BxIF0+y5i)$T41f*+qqnC%bxuy&?7ovFpHz# z>^u@1{L2wz{qV@njoT>w(8{Him-qDuVoWR#4(*9k>+Jv=-W=$vlNCw3 zpu*sI-guvm-jfv38yxsfwiY?b0$W>Nfb_+Egi%7hKyUzeLs9#*p5(`Xos~!-HhRC< zP24k>Qju!~7ry;bgEh{8Y!;T?5a3bCAAcb(s9+-VhY1 zOr(NLf%#q7BCeK&ezbJ5JHcUj zypeBaJgzvg_k!x`n9G|Hv@r6yci~jj!{MA9JYB3DjY8@VOITUl6NdZmlNpQk5KLR$ z7`R{wxhDnf30oK6NQ5yWKz-=rR|l;R>`qp<^rd4sFZm1LbOlycR_X6V4O~Q0S@$R{X-<_`h~uoWhK@J@QPMUTV>%5>!?moW5FO9hd~fz z@N>1Uu0}Ad0u};)_gj&*2k@1-JJG8iJZfF+i$E6Nf!(+d?2-0sk*$Yb9O@y)?Gs{& z4e@iD(bEVxVpF^f(}3EqYvmlUa!?4l@gqpvD!$zcOoyb@ZlbVMMQj$y6v=nfz`s3) z4}*NDgEy@H9-}t^cqmCSUfz^Gjwuq^$tfCbyjW)Sa~}_6btN#sshKef8Gb>A<89#To%(H==i+Mw z+*!I8!6jUe^Ez|v4I68A%|^0Q}(r1eET8lu)O3&#}=58 z9Z|OwKw-!Z+f_5=$tpLc0oKh*Xx8I)xax z8^GY9kK7W2It2}8Ba3DJ=w@UnKdank$f!_JFP2r;q+w_h+EF=-NS7IE2z5mjnMX-h zLv?2JDpH9%r9CN)`ZsS7L6<7AYCKM?MQ>$Y+W9;P^Nks&DG&S+T4*d>c6#rLSDie* zg@e=9YNAVgK@cEY4dztc4Gx1CBY{gnPRVt2C?74Ld4D`d4!qleA$0+vH(Fg#J+3GR z_^>r7rhwvy(|2+)kOFg3|tb$+)%+s9EI0rDaxdvltILS%#V;g^ zm?L&|k2iZ2uCq`QDLxlCSW;b_UNt5qvMGip_}u0EDD=JYhxuuu;ZV)Cy>aAcGgur9 z;&Fa}pcfR+r^h&=rpl1D{-O^oOT=(+mD3#@h+M}R$#set25j5o__o+jz;iov1OF~F z17tN@9fsJ^0Iw=QQR$}1l%f?_7N#Zq=Ae$BN|&g-)AV8b5Q-(kLi6TqD5Uo?vM|E# z!IYxd)`sFdK&HbjiWhYDcJ?@Mm0;Q`dvAOdbUuz>`|1*h+)2v}1%t+J>F3ebZ9F%& z+t`7V-|%Y=$^V3SZ$txj#p@h{KyHh+bE|9-1=@blepDUC)xQrmEr$Kd&){)|D^3fN zFXn>lcs1e7L97S=CQnm-v{vP6{DCb)XT?_>LwaWM2+T<82`%n+`qJRC)=~GRTnTW} zWbvPXM1ZRyEKi#LhH%;%&%Ys%v)r`x2+k@p^%s(ES7P7!l_g_!L+oQ?GIiv>*sw5s za9n1ez9U|;AHswlLl!yoGqTC-K4I$@o{hSX5_5N>!R zL=-=?RU+my7*!oQjz!E{u%_TJz!qt<^e`8kLFlO`x$ia8_Q6j{xE@R?sTx5^QQ?(G z>6MmkY30EK?ggvcaM~jZaZBuM-+MH(YFldp-BZy7x5AgDp zGB2dfEy*u4HUe~Q3u!p~*K$!h`CNMwFt2UMK#;hBpOQmv%oewbSMeR0)IER8pa!rE z2d{2zbw#0qd#g-;R{B;&IEBhmqEZo!fWGrzZnh*y9X)QF|tza5-2w1 zAbM>nk{k9$HhG-(u$VBSxV(ea6R>?+*s-rQ9p$-*QvfLwf)=P3h^9S_4^WHaz4yg3 z{$1-3BNtR3J-enbOFAvVxGq-kwH~KgZODlsnHqp~SBY0qHL}z(Byo84fyI?-rnc}p zhh|=Xw=~o+aIelYqzJYmnZjhE%~u=1xbqLsU@u2=1{M_o*?(H(3K%0)Ag=hDJSHO; zluyclRCLR>Ny#b72?O^lCvaZEpjtHZ0o4G=4N(bBE55-VWe}QR+|?j&0n4Gujs_U# z=OsqM+jQ`8PYql*N3U-<^1F^?jTCK^OxTve>VrV}zzF%Gj)GgZaur8gOcpb*o@Z^M zK|L7AYhYn0v1?6QzjZj6)zA;L-ioA*V|??$LziUkGk~b zh##M#+~uITqkG5qCvwlUwRE<583tBRc%lf4hRm++4h`+PT8@~aKZuI;r*g;%xevQo z1_i0FnB-MBzq6=4Bf$1*QQvU&P~pw4oW>-0np5hQcSVf&!`UukEzix2CklArcEx*S z)OcB5oCOy}Z659%xxv|O1JKuc$H`x{V6ohFdYpNtWMZMBcceur>j@a@H7;~-Fk?{R zTFY78EJtab8PAxOrz_*T?s3J2i-$!h@iv}vY5>FV@gSKwGC92|+*Eai$KBWPISX|z zceyRXiO+4F*SskAif-?HwlDpLAuM`jor$Z3 z7O?SZAeINZ!?VQZ{Qx+$dA7(N8WXwiMVQjSqxf|vaycg5ymARP0bKP$E4ROGZlh5& z#F{&<-xs7eo+gVE(<_cUIXK1NZT#^IruEQ^RrD>`vS-E_{ED<$dPo92ROx91Bt=Oy zaS$_8+yjaOFeOK6zCuWzwl2nL20DvhF4l7^A`K>CoF-5bRCsxBjkG3KOx(Sjzlw2C zidh!aTFi1}GnetHNADK>b1XtIz!IBT(x26-V07!;d8Zi3hJr!p${&YO`wQob+!LF; z3@T$)l7?qn{Yy%7vHc{4W{f-(z{7$do=&!kV#)}P!%-X%$UbgalUgNRMYBTeDb%bm zt`kR*07~5@%!pm!ITrsh1s~bsMx7ljeHFKj-^qNvw{{g_s@}mq^x^IJ3=_+nK-2l1 zA>AarDbL(kWfaqtWE&m^mupo`VBa-Hu__MT(c2{>u7Y?{nCJijbma5HZDIRYU`3|^)}&IZ4*JHdgk0&dzmrD&$h zFmmB3N9wv)>mDVU!hqa%Y5wbWp(3;eU)~w3p1tlS%3Cmqmb<8~E8&C{g+BwH=WS;z zQ#r2w4zU(3Jz|Eq%ZB2TgUubhyE0cPXN5F`mfhu81Kg&IuZ@{Ff|D#WT^YM@Il;B` zYqi*skbJaiFk=S!MZ{ia-Zoa`r?U_{4~Nyv*L0hJ0r_=SwI~h)M+SEAkYQ9o{fgLr z6fh`k&p2?8z4d6-sHgIEF@Fi8Zr6+zT zo9w_tCm1jYxB?MoPjEn1m9;xxZR6otEV*KB=wZS^Y3UvcSk#Rk#|KgDBlr2Q(D)2; z94uvF+Nx`>d{D)Gw2{1+Xv1IY%j=@YvC(mEO9Eo#eV1E}?FglwQttoTtK;+om`T3> zE}crA_77x0ajk6k;n`N%YCYR+=|%?8+@q0BGkQ=U(4!*~ew+9W2W*llDK2Tv8$$=} z1jso^bR{0Unz{$OtiURR#_=`-DL>T;7y$XduVc{PR zRP_G$Ahrc#{5#EYQ~aEaBah-J&Y!<j;`|(-_KFONHqI0Cri&u3r>cn^D^;2a9<(oDi0S@CyJb6>HhDTU6 z^xT!vVnH?+fNASl!cXKBaBJb(wF66|nqGz!pI@t^AwyQrF4WR>gMY{_9l$>>+oO&K zum1zuDCw}9G7vCz?eqm&gZ$uU#5^b}my-ua1K>PlB1TpMHK4564v6haY=y5|K0EM5 zEYk+R9w2%c7*PbzFASn{QY=VZDsX>>L2f5If?ik_oyF^MY=2s_Df>1iFaR0vs>qSX6z&C6Ui-{w!*w!=-Mz}Ul`LR1XpaKilHzt2E*hM zv}I-SQys%1arF z@h6a`#Jfy$GVUQPi@m#(;r~pC`>W$JbGYt^;&U;7Y@Lbfz{QB;{-X~rJE*ml185H~ znZOzCEB6|YLH(7t#j(;i9IOPlhB|qBuo@=6r;axAF|6EWyi3nfiEb15iSqh;d|Y}< zm#6M88yUWIi=B*dEJY5;*FOUBfdOG9K0i8V8xOZpX+x1zjP|z!EI^tJUL%jc7fW>S zztA7Y%G+6TxbbJ!Ic<&3o;-*{PERK;yGpyQip|h3LdMj4%$5PY8=tLyKlnNV8X(vw z@msJpdoAWS8?WeYs6cF7%%mPWR}_bYLrs6Oo3`McNSI3-MKFC-S^3+RB{mhBb)r%9;D|AVev@B;@1d| zes)vLE!cx+R^EQS@}~G19^-92IwyMl8L%WKmP^1ENs`siBi9$uT;6b2&|ziQkN>6# zK6+(j=1A87$_}%Iy2xoO&K){XUdz)~!ES4q{@PgV2EQ(CS0G`P)Mt5Ys$H<-*MG4@ zU2~>(GYek>l_D2|#_ zc3jz|ujTX6+SBBc6^>zAIgPtpv<2^Dbe?MC9T2U9ZXf=dAd(k(nZY+AQJYm(7c1h! zR9$dFl6Dt?>2)Tpgrjq_$KUU@1!DC@LDuI9ZPHgZgEv;dY` zMib7a^4mr3ObR#nF+yJ#Sm&Ri$g zA}TTcp#7+NOpp!uO>eLT!i09N7k`p|>nwMYOv=%_)+l33?iGaxZLK$+C4IT(xV*$7 zQf6F)c0qloLj=l24!6J)x&|%B)ZJHzyo4XXVTzYr{1TIHl$5+H?5gT@DP`d3woBv9 zt6fClvf%{Elc66(>IsX`AERTfPIj%SDuhZO?bgDNshKV1>qLHnX|A?>Ohs*!2Na4@ zXoLdNSXm*)!MHc1_mr4q!TvTdA1hSdPFpPo!}7IKp1ebD#f+I@sWf!6Ja%$Ibujjam_hOO025S-Vy|E+B)%?6y zaY#6M=z7HQ$0OjKK&YH?d2i~Qa zwgMgehX0VBB{ml#hdyt`UJ&3l`iUQKDMI7OjFJXWuKe-5wEhj$y=Rh*fdl20I?vHT zM%Zl)+?%U%vC5E2>I-8J+kj#$g5~8JjNJ=6!C!E=`G&+FNh~lUh#i51LYu&npu&p? zsJWl_-GV{S+Qud$83OEIT6gEg;H^TIhlJmJT7fG$Qkos7CW6j>Y_sKH&x*`i?iqHE zvBaS-28H2D#vs6ME0-;YKvp7#P?Un&&TS)G!j+tB*Oxq}%xO=QlXBuw6MM0lAu?p! z)eDk37U*dmU7e;GC1^aN|gk3v!< zsQL<8?bWXc>2tW`NToPI6)tsk`e;W*m{AEwr?VW+xMHhWB!!xzLXw2pZmS|nDY3J$ zbuU_xYr3p*zAd2kx((U3&vZ|6w61h@V|QJFEJCdFPYliv4UCZ~?MCZ&q4YUOv-?Q5 zT8XBOxWSm^*nan5cOPZM7)rWIzta@aGJVXcE&MEgi0*EN?f|^7>FE~(BY22a_C;73 z!s9A<;ez2g>cAB$dJq_fXO~I_BGiBrI|nX_!MHghFXKw3Au-2Cj+r9Bz0?6nWe8Mo zI)J3qBQg!X{=qQ}77)lPsf^Ernv6Px%ejL9qX@2!<($))R)sPhdn@Eo(Eu%BZUyl1ak1Xh98W9&#B?pfu|YxejHk9976G8K-kE- zNK_o?it+dr@T4o2k1Fnvq@-~+<~e|TYKu}Om%rO_+BT~mxf4DGlJDe77;9fh=sWYzf50-LE*4oZ&X zZ0JB)t^uVr>cP^^OIa8i;(DqoHcN*BYqAJ^VvWnck=Rw45A<0dlHHugc$9BhU87r{ z{+#Sbdp{)54+9y0V;eU(8%mm`NCOB1Wn|iQEi*2SNvmoISCQiwP;@;8(vXR4dJX=B z?Kcilh()vpND)r%mSuKVHcMJNkEEP^071Bhx!VVc0;Pz= zcnj?N{eX`Z1__2;LB^x!matpZ#WHraW?Dol+gGA zL6?=SRlXaZyA~ozpC_R`fW4YKF<8vue}}o#DK+xk4Tman&l6yL93H1F$E`=`m9WW| zd$sUkHCJe7UIqLCtHFM}#kLk6+9xyH|9-*N28vy4oWWHh8t==G=ybzWEXT1Po=G{o zR_bF|giWSnF^2%WR`L*+hRKJ`6w|EuNqX+Ji*hHH ziCXt$n2)|kr1O<~dUBt%HPCtdzEB^CFkN1iz-qXUG=<{Ga@Pj5zl7VLAB%WZGVsBz z0PkivAofulUNL`zaRp8ISxzb#-;RY{Am%4# zR37-2w-_mgLh@LkDR=dXV}>B7;`^S?N(qhLx(S}2eBPSNV+%x)wheknV(5To%6lW= z1*|gl%2F{!41-^sKeV^{2n;|>1fKn3705#Dcryt#8wofvt%@5d+F+tcW%6K%1`S5C z2Mao*e;8~;uR9an-m_rLyNZC^u{gFS*G|h!`oC_ughuwlL_YlTU_B=ocDH8!ZWYu; za<7cZf-B1LUKMVhjUE2Je$#@;j%u2I7q)M2K(XLj+M6M8iPh^4iDEjHqmS=IELZT^^RvR-$xCzROZyo3Y zBx6>g7fpU@fQeK;QSQ&Xl=(TK*{b+11=?NGk4CAJvD(rX73+{g_%zo&=gDVz=6Cfy=_qky%{ukoy>Lkx;m{JLP^ycwrzcyEHf?zcWd zFo&Ft>ce)^gYD%`J}?hmfmFy#BM zU|ev(lt#G}c*i?2AJ_pEt70L^KKz#6CusU*|$Svnj=Y_!? zLLrCr2uk;Lub33`MWm;aBopBv!Rg5C`1_}&mZGk~I!*F@d=`_QCRj^@;Mhap7%fQK zcxo@UJZ-({4QQkJZ4KtJCDo;97-}t9wgd_5fk|LnU>QtH0t|lq28MM)6iFhcnp%?9 zitTDPn1tLKftnSm%rwJj@dUwrXlC*W6w$-hCAiAxbx5SV8B^C=t9YbwBarFU>14*9 zmj^{_qA#CJbMMeQp0ShYODeD5dh>Rb@Cxa22yciV54KU}3P7toTX@P2nq?%gwN&Yt zuO}tpJ#?nW-{iGT1V7yHG}Nr%x-T77ZcLCAty1r{uVg*l5*oy=xEK5X#S;&g(m z4t*pnrycwhKZ4@N4TJ*9?gF_BhZ&gvS->-=Z{07t^rct*rw}lG}U&7q{5i&Zo79M6T>dypj#(9Vw z1^CsqDHYRZ!6-!tGLJ<7UQcKue&=tyL~znh72D4PLfR=OQcTdOC9|K+DaKSRlk;vK zy7;4ZIsLN1qcGfzT=STCop<=Bm*_COlmV~xmtYau;%Zh)WSut2^QBDL<923CxDsq# z)N*icQUy{CE*Ik0!SAHO6ddB9a8?~#-b)>jt{*qp8k>)-(<~D?9!=Ue;Bk$k&*`>^ z;a(P7RK<(%3g`4^xt8L*E8jiSJ z27MF4my{l%g`dyMDTMEe6In_Ns_KNQJi^Zgp3SoPOabHj9HlRU&|4$YoLdto<&=r=Q&`$&p7U13kVcl#ima zx3Bk)A%kKFfa{SjohjIDMFaotY?BQ16pKplUsTE$kfg7>8;?N0qARwWHfqMIZt;_m z@|~)aFnRiXmjuTPev6-6`g4+Of01c>Hr~}rj3dQe>7izZjy|#E+F{6G5{3?iLNib) zDak8`N+LKpT*_T(RTsrJqV(0K-eZ+iDYhThw73DMHp5+$&ZqB({#E%Y~ssfvr<6&XO|I zjLs8;^u0@1I}E39OwSY5ca8b#ioV5M3{jZ2uElQ#>%02cKQd;5)k!QcDT)Q)f@8=F zxqhVb1VU`8hF^*#Iee|cSAapl!C;4p6tT;1!m){)?=C`5jbk!@-(QJc%5-BjYhvqE z7`4Rs_}x~e;d=$-nXw)im-C1Y)EMG50>nxy=er5s3!RGY(BbtSEI}KtXbX zjywfH&c4LQa1s?@${P1gTdB~=z;<)wT(f4%-B3i_(rV)+r55~i5nKi`$SC~Bi=4F3 z7+SE6{M;zK4gm?DX@=<|EVkM*Q9<4B07HEIhEL9JN;xOp+ylH)7bUd8R(ELbS=)YQ zyTLFS3w;r|<(qQ2HqjS!(uk8S7rRyfS%%Sz#U%-_WQM&PV;#;JP=)Rz_&LRBk0R57 zf(;0$Q795FTit4{g}(uCKtHR0A@eLHdy~bX6qYgv&`C3=zcJKSF!Y!{OdY~HWH9GS zYCnNXo=ZtLk^NLBfU%8n22$ukW84}7C5|15`YhTB-~Zx>I0v_1jVwc!-kr*NnEo`Y zV;R;?ml=0R7<+jhKXVhF)WeCFwK7?aTiG;VLFY8Xt(3QUz8MdZodC$63>buQ+gR)o z&gU;FZzSr0fOA(#6CRcD9NGy*73*I128)G8U*YhfOdl@QT(jMDI~#es~o{W zJz`|)ZHRO_>@osuK($c!VnyQ6yR2QxDy38W_%+j5MRTFKXuK)lOW zmr=x0vr(XZHnt91nkk&AY7x`UKi^35Sx96GiE%vh)aGJ+ajJ+NfrQ)|fk~+Fa_t|G zz0zzWD-tCC^a9bh{uW-las`^;EDB|`zAf^(PhiS;-EEQEQNJeuwh&^T##rn0F(Z4e zUrs=0i%5^q?jyk3V@dQ^5ADU??EX|PKm^9-kMmi@UD(OBvD<1eqjWp_mRLuEyV3($ z*5J;be>Axuw7-3agch+JFWd~>e|9=f*1TI1i@i zuUxa*Xw3;dhkMabK2qy@oZd|kp?ddmgUB=Xsvyfb<?RpwH$*y_;_9QW@7AoEu&NEZhtX#771K-H#o^&@#}&{YMf64Q#OQk z$Pq5>xe$kh!8qA0Q{${Rsxcr%2+CB90m26THTK4|cUNFDMYShG!GygnUbjleJ-g!B zPh7T!Gh9E(=om9PX_wM=oz4Y~Hu6~_wPRHc4IH^0O0_j~m72X;xqJuPz4?#udPpw9 zwAEU{j_a5dm0W`kgnF>9_T;{P6D=1(a6q31zzB)2;M0s&O^KcfLx9Y%M|Wuk#?n0q z+PN)kFg2l`N_`P8ju~5^`D+3`w~&F!9qw)`zEM4SZe{ca3wg!yGOR`@>WsOw%*^DT zfQh1S0liTRGx;bB+0O36Zi@^|5(`VJAB`Gf2+F6~b5Mw|xu@oy%Vdxpp;J^uaB&i3 zo;$+T%e3Ig(&}k@Npio<9lUGqVbW|AkWb6uH254uH9(2LR!H43-3n#|kCtexb88{M z2Eo1!e2gB8;e=fJ{dorFEkXCeGY{g;w3!A@1AYF zYh05ZOmw^zZE&cZl@SwRu~XRbWhU}jTdyJQ@N%!g6Oo-@2~I7*yW zaK*?+>t6K&JX1k2N*qNPI+`E_fgur?x+%obuZLswlf&0FkRxyU4V=DwOO_N^&ZR|3 zVxPu>;kzazIPwQp^olbgHrQg)0U9z&4k;Y-D`y3mwi@?UhtEHCKEqrN9(e*Ax6sEh z7t$kp%HLgBD5VV~^7vJljNmTNtXs#4r~?+k4IFY$6n1*wv#`>2CCSv{!erYs|3>|e zAok|4$$K7N*~f7FeA?KC=ax$OKnlc4YXgy1_JA8a=6?<(IGGcNctjfAL@>2sVEylns}NjBXoF328PKNjK*&$0ZXbmZ^yh%Js_8vtT8_(LP| zr4^a%Oa`l@&r*_b8#aeExr0OH9ALx&aAcxHHh@OZi-)>qr|hD|fe&~NFLy}~&&!HE z%e09ZmlbGpIMb+0Q*r$Z6gL{g!L8Vw?1wf`8#oKyAJT*I~fgKHWR@&Ly;V{4L7H;bNF7H0 zQoO6w5e&m2d?%q8io~r8kr-R}x~>AXdxx?c^P<78TnMSW!EZF+vWD@bJzruX^R^EW zcPb$cntBNBNp>C^cbKFM0a!MVtjpt(@Ro4j?9-(=k;_XY6 zpHsH$*sPn9j{NBoK13&x4VL0$a&454XS2S{?2#MIt4z9l3-)mE!VgXq1rv^PVTXNA zb6HTN%+N*Q_?1B4Mq~pRhEL7Qy-(tWa|vg>i`_^T=h(-;!S3bkU{U6$k**Aw-F=|p zAW0o2U5&dTKFzdY~h=lOo*1CjJ(O&>F9dp)!o!rFq=(*Q06iWpNR zsrOl+4THc|552`0`+Xdd1u!X{NAKI*`g}YY#M!jfgullg7oElD&%;8*fjyzcv{hQ) z3}o}+=SQ;nW~?t9nq&OofYOrOsrN+dI3yg$J1b)U&#^_MA)Wf)mf6Wg5%h8Sn?8=+ zR$8T;wyw(5)#8V@HU;jBXmk&R6*Y4cc#~N2?POEm_ZyLX`pQ)jTO>YsEpll4sT2l$ zc5MB$6+sZIin}w2p(EGKo;wkQh@{CUF^M@gZY0`|cKIckdjBp4>`pUGTf+(PZ3LRT zFO`g?_!WuFDHt#m444%L`{-w6`lI7lbr^#jj(yo2)q@j8&i*OrOKfS{YTAa=)(;{F zo_Mc>b`NHNVG^0-2bPq>!Gw6(eBXhyRf=GCf00?l`@dQ zePY|+&q@ACtbHg914r^Ovf|)N-Pl3qQmFNsGotIrpb?k^=HL=3$&1M|BPGlcS1-1R zc=nuFhrCm7fL7!^Me+Q9xo79|~%8BAL<-y`d5vWoFQ=l9HvaBQ#^_rNbJ%6sq}+*a0`u5H$y~UTz`@9xbKz2u@qHWkKE+^xS;7Vd^De952t>Sz5m<~sHi=d&>EC8Ul25y47Yko1PyJBp~&Ur}x z9h4wc8OJ%b{cx+#M4faK*A!uZ$X(qlqP)q3hVKIx#!@b(FRY4CiC0M$h~Xh&Pmbu; zlNmTn2rQDx3(Jh-OK%RA4zHQ+ReCbW-W-EODKTM&=FPma}RXtDg0Ga~*KNhY5 z4(o^CYYhIftR-P!U83j*GE{%|eJh`8$BKZ=-jPo~5J!CKSpY2TGUeC-KM<_Kgz8rx zC3A%Gcun|{MACHEg~4WVk`k;jp1&W#Aa?; zWbDCNyxa)!9Vli!C@T)^7H(&w$7uxXDEeg1ifN2mL(mfmJzcwOR9QscwK;=l(Iuq3 z#1m;?BoyViJyieUSE>~1dLTor4-ya7FJ`&;vdIUXtwl(yHx+2wLzpQHAIlW+X(URsGRHb`h7a!@UW6b#n>@S`132xdaU}6YCqROxAuAVuhv| z+}Gsd5S=*JOUd07rKu|(Xv`rHgoy}#^^j)hO0;^?4C^K}d5W||y1*P^nyl}1Nl@|vZsLSfyKj>}-1=Qhf9kPNe=^NaE87IyXLPw8%Ll9%-e<87J zrv;3p_;q9oRjmCynGN{vA{-Jn`sy*c1g{o|+cR-%^6X%fv<-j~aU5NoL9w>COv+h1 zt_?Ht$8L0yDRmb@cczWl*P#tLUYBpWCo`?SO_K{7WFpvN>n)jayvz$oZh0Xj@(YO( zoSBSR#JJlEM-^=Dg@FLrRQ4p80*trLN6Gti6bDC6-y62ir40e$SkQ5!1?L-nnX+ii z`$%*z3${1C6KDEJ1|S?Evu*Y**pT?av-ZL1y3+RH3-CPhq$L0PJwE;JT}x~C29dTf zue8_$h;c~RZhz6LUbHE#E52vN{Ku=b<=dbE@`#2#+E2fLhlEi}+t#K}oyMWy_!ORE zZ804B824=J!K*}Gf9?#86b;KaNm~d-7*zVa(BQ6$F*Q8oYV*rK;0UN3lNe ztT{n@OR)G&W3FQ!_@Zh`GjiI>wSbCA)c_$*Gu%_THufa{4u$3jaCs#MDJ{XHM`UH`$rYjwO<*dl%#(hk4Gxp7FVBho^@VYA z@7s(R@&P;7@Y>^h1n<;B4Lj|8gW@3JwFk!bE>EsS@saz^jFhwu5U+J)?1?*;MQ-^0 zVi6A0R&9{&j50}DA(q8E=X;uUgG{5jbe0B))~BTq|c?)QA3PuCAWxD}ILpPU4uqehD(@f*l1FdS2QPfg|%0|lZvB4i;NzQ>g zLK1?kZ6kId7;GUh&|*$8WvC~997!#s1>9grBI^64Nn}`sWueXK0ppu*73n z!**>BC3Kbk5?DdDdOlC)Xp=j5w`EV+&ml=H4`YCDfX*S<2MdMI$)^!Hy5_!26F{R9 zP{~?gH#roXJu?c!`-IOUIxXg)ur(8D=`R5~RP5NPQzN;6x$jk21jYt?6RJ22Oh}gD zBo7I1z#a=Az6`%m7B&&!bJJxWv$NGJpDH=n&KA2=5s8gww0{{RKp8XkBGz69P(A@)h-?B-kch0h;0)09c3q2I=Kxn& zDIoZco7J51ZgI|T$LI1c^$Lt5L1x=BL729>a^|QdFftM-l3+M(wS&l=W?7r7^+b&8 zf@bA?t2)pOdE_NF0l~872L%jDA%UfrkyECSD4d~mk8v|_5R6%0{8GUaJB(x;rDXxn zwxvPB$P$a~|16jY zyOz@qz1uvLCBno9@az#5KV#Ue2(h##dfd?`AlomgV?91M=U zFP@BL1w#N=mL<}2?Co+Z4TuIWN%rBbzuay8Ujk_v4sQ-a1?;xAM@dKY<r8tUDg!cxq}_>$aAKSGRI*{7|wYUT#T$!b$x-zAMMY= zKQoc?qyusZ-;@A>Lo-GVa400d0JUF^d-^8i-n|sdVDh+p%wswK@{Ng4G9Jf?UZ2Bb~vqzqm#=cE)G@A>qu} zPG9?iSI1($i>CARkDxfbS0?RO_V~Wx$X6PdBD@Hm1y1jnUJGyd{Wf+YuEN6MMhrU5#Di zdnkx(4?5i0?!h>3rw%y?htli1CzBDR{vIx(3^Jp-7RU&Yq0Euo(6`JuycIFdG4w(b zCO(3L3y~6A_&BsYL%a(fKU>DK^bpW)*igERER;(GOSlf$y4lQ4WpH}Q7OBbcqu$Y2 zxw_`)XM7PyP7BBSZytdOE!+n|s}HqnL@H}hidZ4C%SFcNs=Z?}Z8@?7Qf_xxTns7^ zawMIHT_nAPyRC7a5WMrOo6~uO{`)3)@Rdwz6$OUeEq=JJg3+vVrsOlqFbLGUNj_#X zm{&t}(?-lpz^s8g3}ni^#wf=ER|D#DAlHmOMaMILEjBURAcro2&m)q+7j~EEYPjVn zlRJ9uP?Y9L@KXk%{CWG(GYHrbf{;1odx~s5N$25bu32)e@O7RTDNl?G_F~tFW@;iF zr|_wQXAn^A7RW6{kUD{-3G4X9n&}f7CZ{E8l%bJqhqg$YAP_4>vOqNh6>ju4z&64y zPh>13PQs)Gf$KT=1dz%mj%uKG8EIdbTag=WRg-$L6h%XWMUVZscI~hM!bzge2gfkj zh8Rl%+;iFV5-cw@c%lcv*<~{!M>5kmeHe!gYsVR`&1@degczX5@rzY~fp6Lw3W)%6 z1b|p7dJBFXY>`Lf2c5aFRuFB3NBnTNgZ45CjB(j)$+VKT2na)LfZw88Ivb#kS4OFz zONZ$(m??(N%z;Jlu|!WA788hF#0{8XVnNABATeC_3`3=-(Ci#ELyzYe-UotV^IWX0=H0ZvABk3f>#0B;>KCj?j!%w+(!ff4Pz#a+Yax9@*3UR&I zI5a`hfwB3)8TGjZj`~%4%h%9+Dz^i={ zQj+H48lnsfUh5G_Z)PH8JSM`>QGpOXSDr{}8I)s`9!44t6pR0Q?!%*=Oeprvo}u7J zn?Ee&+F%@Gg@Dk4<(1jYRe?710DBZz;mk4;!-09YD_|~zxrs-rta-7oPO67#>bnC|^j({=Q&Qa^n;TB_$B!v&1V+HH9A5P{=w0lw+kRbSJK;gHO7lqiB4wf`q>W z1x3Sxnml*`GUm7W86Vtu@D5QJ>r0y(wZovl#(KvB3T&S2QSJnAMvl-jebXA zgs>ok18k>Z5_NV(i1qKRr5B~NLRyYiaa%;=o>dAf;Oq*?aCM&eFVm70CXER z83j@c(@m07BI`Cqiv$76m~G@&FlLw%Bw$LDMPdmo3pFsM`#g5cM2NI+ek&uIHYg9y z4KCoji6!Bh_`N6#!d?KIJcZ?;I$Sxt*S#_bX|OHyUas8fiVyoI}S2{LF2lGae( zP%_%1mL*sHtrOPGlXEv{EU3Z4%kqNx(m3kF7!du8yYWUXO}=oL1q#5LvjfGogP&cL zwm#|v!`BS1Ln4`Vv`{aA4G2M@SZ7yRz*H9ClB{cJ2xog>EXo7^kk!A?f&t7_K+ zJjXZ!q#FXeUW2VD_f-chvs3QcG1wq|rLQoCY+i05tW(I6Yp+-_#Qr&AQD=KE91lMS z-6D#&0%109!v&5la7n&+j#Cicpj2u%K{#LF*`{abMeX z5vXtaex&V{m&P*tZLhD8-#mWFe|cl9>mNp0ybMLQ{f{4%6;msYhDWmOhFSod0kH`2S%ViBLNAOU(=mJ!wRtYP0JS~8jq*UqIqYD5zMF4 zKfo4}JCSJif^;HSOP&g)lg?q%@_HF14PbJ3Vj{YH496-i#Zv*8!MJAc`QTfDg}^CO z!4)1BJ_r9lcW(n_$x)pNMrLJIzb=*3*{v3oKr2O+N}>=lJW0R`tTDGrg(zf!pENC7 z_G0go(17gmdfK2_#2O#pDwX6yqcbir_Oxd`_CwbCw6&ZW;br$=@6Sm@dsgg`nf8qF zF|+L1S`LSiy*}O^W@oHnW!UeF$jF!ZellNGm!!tG>SadUd*j|4H-0iABQi5d-#wXD z?9++V%|O;x;l*Q;3&80JTJGH&n;x;W;V^ybaOeX)&!YF)BtCnm;sqN(d??t2B`yOg zv-WUu7pp-doSgA@qZ=9b%Aoc*cU_>q5nT5c<1>JmDff#NrOI+^acX%yV(d2j#NT8z zaR-r!04c?wQbSf|6iIS-#NwMOFaf9}I4j@=&J+0!X)I(xl=fVD&WHoFeIPoCtWH)# zT!KRJ<9>W?%w~}2&X^&&3t9!am&lqQKJF#S2wr3x`Ld3JRl=Y2AzN7Q2#8tcJ?gqB z20j8lO!cd5o;c=+k&#LlM9AUGhgSk0ye=W!U#bYjYWt*e=xjOC7-q3q{50ybX2fvM za2po2c^5(*PoHBTM3xLpu9vZ_Eu8Xmx{5by)+SWDfN85O_XOq)bi^}y6xbZ* z@Vt^3^qh>-h|$n>YX+lfC9E=>Bs}ox&J3MSs4qeiN1ZIL)|_$|9vMpzSUqY^Ie-LA z;TZu~mTWL9GfGsRkW%h9Ys56b6&9LDF)sBJN(kBznCJ|vU8f3Wg$p-u88X`#4g5Dl zsX;b2!PPgOMw)4~J0wYoHH$pNJWK#k5n1was=!1r!SY_g0+9tkNe$%AhB=Lp#1S^q z!1BkcrJQ+szw%W$wfZQYjlLRu%bxOfYO85k)sK|XC=(Ei1|XBQ)9aOoh+3acBlj=HG+YoP7HYafE5B*?EiCObP5Tw~Yxn&K_Izn)9Mv^DQffT;saTN5Dz)vWK$}--Z=_PSuUk}B<5Z__G zc6F5QoC4S1r2{5_J_i-%3v(%g=F@U_? zx{ctGOuHV>L`#?&*u&aE-ERV&@6Kp@1ec7!O@Oj}o{ZV(*NG`iVDsJtk3Z#X*Kn6K z0j0O)nDa*B9sVi_ztH>2< zv>=n{-0W5>C`@28!q+AdKC+jyW~*>U9QPbtPF)u_2&D^K}i&;4eJsGYD znwhjTu7iR;U<&3U8IFbBee$RfpU{II3))7=6vB$1K~C|9TQX4F1O*GLwT0iJNJCAT z^BEvZ2E#&j5FHOXl4M7tNAiwFD*7F|Ca?8Q9`2DOu?vCHv7iRfdH1v+{E?t^kjQTF zoi(AR2Db5Mx^UVolHpk%*6_=W;tewD`>c&9T~Fpz7?* z`l+t_=%GR0IBgvTY*Y^Ba2Kx25C$N44~^mvk`rPKj_7_d3ZoR)3>gPAVVf?W<4_yW)aV-oH_3Z#!B zZw@;787GN*K7uOkjdU6kY%M>!1$(jRC1i*Nhy4M3tS+V9UwWi!nSZ}x4rfQwC*e=? z^<>d}=3V9*{SgBfw6GWFNYV<=Q&5K*{V$NUE2r17!50Pap8)YJyuS_h2>?y})yL=c zcYBZ#T!v(Sac$4s|Hf4>;GbZq?5C}bgKJJ(U0rEZeGg_ZC-4YbSZyuUmxQaWSi_Q_ zcBC*2@Eo{l>qE%xldwNKEEpBcm?`u$)*jz5(Fs&fPFpu_GNt^Dlvs<5zaXU3Otu-t zuNh#Kk_+e5_NJ`)H%EBEjGul!5&dJh^`9=1``Je#uC}I} z^$0~$bdfi*Sp1yVCYrVi_~&lNJL0ZeH1UT}Rj}IX0GdM4M@f1*gwH1%?xyD&l ziwF8FQ@PdF7h&1IOrq}BPb6u2suja$aWZ#HV(n9r>2b~W4=;!GCZJ`kl|sg#j$wl3 zfkFaDWBpZ#5GLT6Uw%|`+#+u7xznsZ`x$Iky&Y?c<<(Yo29N9 z{;R(iZM7aqJ72)Gm7cE!r*?Nr%|E{^!os;GJE>c3HOqG@-cAexvv$unqG_zQ8uN{X z5qWbgJHJSi0hqS(R1eD_|HV5*yD2u)eLP89+w*SRunBy^PTYPy!soM5t1xX%`IMv^ zSmEYV-=$P5u4*k`dfe5%`*CqKzw=Y3)4Bts{}QQh{NLH%VJ-_P=Gw>Au^~PlmN7zs zI`8|SZan+mC~t$!ScZUny!;v4&z@?HdbNJ zxcfSE4Q+#uX3UzWZGS$=-&j(F^?~e!IUh!`J<9B8iF%2kegMztXlBt zqALOvV*wdQ#|h?JZJ>$`g#~#y&@bPNAFDK|6565{6jj`5a>kz>PB{WY)sulUxny@^ zDr?L_DWizOKKNi;83Kqq`okkA3}j!B3(h}rrd>DzCb~BZRy*atyP&R40O3GqvPCz| z(#Z1RHC(&tDS)`+$io9>X(WCG()$n7w-uB55s|C2U9ehS60vllW=`vBiSsI0V3`9Z zi<2z~U=-r6H!%Wwv2urV;?X1>6i|8{pwtS$Q$m_YaNb5xIc?p<4^uQo@e6qyKP7=_?AdKy7dl|M117=0g;xMeao9kpP-%gQarPf=q#;!(FnE_! zl`=9G5qn|>sX7Y?DWd>7q339!EV0|HE)#SHAh4uP61yCGC(!r!i;`y{vS(em?%=hL z;5b$;p%L`asN6tL+$jma12$WHyU)H~@CaLp;91#JmOf=A`W4)t)gSU6eGHpfAG{J{55hk=l(sN!?Z}6CYq}ODT5Yuyu@-C8 zL$-%kTNTJD%Z?)Qvt_c6hb}+f`;dSkLU#Tk&J+unwykMj82M^zbIDlN++h%7)=h?^ zCsU3xG6^V|Sn;}Fe#GwuM!5Z`#CpRKyuk8rVHN8++%KVDQG`bDGq>Gs%@mNPg{b^B zKB{=z*G%gq9!=lA_@X%Kk*6M7XJfn%`!jDw<@p1QkG^qXwkV)1j9xoNAvx)U`H+UdNQC~I98bCYm++Uk0Hc^VaTO5nT+HVJ^U|}OT9*8>J{%_H0 za`tUhL-{;jH#^hDP13O1y7e~Gv*d{I+uM2Pqk#4nh=S{BTwJg95PFtV6+4uKOZO9u3-u*J>YAXdaLH79P z;4R)~J^C=3!jmXu6rwzWR{ZyIG5I6b-%HH0Uv0%Mb_;>9bJR;pqdDta1Ha(;rR(9q z6VoR9;IX*%mp|ra4{psi;cDw7;1*acIib!qAcjW+eNYD(MFi06(3?2Wxbr^Kd*m~C zfEX7$UC1{H&t`dhaD%{j8=jSSis;YBxD$xgR=oFW-u(H@JA=TnXQ%erSK$(eCeynP zpBK85i8RJ)>v8>Z1bHE6OPEEUzC(x0tZX^l{*!cm16%>R+9`u3iD@gQteCc1rs?s= zd+0w)2Tb9&#I6W8769sw!-se~$NiYq`1I^D7Jh*EFeYlx$0E)eNI8LR)ScnP0~lCF z%`$*ybQEp`TLon+F`qw=vKmN_rRZluq~hL9oi_I4>zP^5r!3f#wm8wR&{m}JqY3vmugN}PTI^sggExNx!gO4!Fc)Oe6c8J9eZLI z@v?%}XzQX7I04ZZEki$XOB;kHtK#e|kffl+DYk{aQEYK2o0uXa*wVM-cey%y;OQj3u8LSE^TY6y? zq!?JX;bwg`$w8Xn5>hXqN-a#NqRX+GhQc~|nB|FrRUF(BEn`g(Sv1zFPZ7hl+EOGT zM#O=VZ3jwx+#kCnU0OI$+0dE+Yy`XxXo=?y7iMS_SdZ+XaSuaI3Wy9IB z;Ri4`)e@^b^nL&5rBtNg*;ef(?t__kLwF9eCvUT}e73ceGSI4Lo8L?sSsX%MQdqwg zzh*!kQ*zN_%Rp{#A)!K`!9p&lo~*5p+^O=-LoK-$Dbn7Q`Bfklzj~N5qW{mU{8h(- zm0b{oC!MWJed?Lt6W(Uc+$Q0h?~LQ@SMVlOm$%PFM%$Nx83~!znapWx;Z7n)0eJ8c z4vXh5^_mJ z4Gyuer{8Q`A|Tqoa~*v4;~4yX6WI?elw$F0tAe8*EW}gRGTCSn)$Jc7%IKMTpuQTg zf%YjbSSW70G=k9bZQgGlgqvb1Q1-2nL~gZp%FODa9KRc3mU#xAQ!Ky-m`UG_!k|0r z00n9BNQLwJ@wH8?Sz{z$feviCg&3%f-LtA@qndqN;V&Ym4kPdX2u6MV+GkzaI0~eQ zJ>1%9GR!=vj^DcDfgJk2*{3)Pu(%EiPP!KvQ%s3ezM}FRz?Ju>3^r;^L#VHKYAnh5 zbMDb*4Dx=!GMyg+ILo~ZP5hY!kdLpgXQSl%+eU(xu^oKoa9i-G872jrQl>nENOQx% z9t=y(F9nQfI}@py1y}AAVS;TQngJKFqFOuEaI*5Pp#joZ{d@=a^tc-78aMW<3cILj z)`z|)vXH3xEQ#WB$qToewnDWcxQ)i~=CJIDuNl_%Kw<>djz4RY}(%BVTF- zhB7n!nxQixUoNSi@zJ8~X!IVi{xc`2k&BqOO;Rx_+u|Yu-}Z~JQ0SVGkD-KV4FOkxC{qsRhd_vNP8lLq5w5CL zQRfkB?Ky4mWS(&Cs7GXv*(CXk)iXrfoHqWnj8f@bJ)9MtR+q@)D2M+T^~22{FB8=I zL-@ep>gT;nZRC||s zXxP9KKY}gk5`iynXMAbZgV?{L5iTur3q-^C+aU5LbGEUbh6$DjXCltRNE0Z837BSsD9uw0>2QRQB`R_5?^lQE zr}&U7=4}w*cyS&JigelM)@CDoe*t*m05I4KuJ!)diC|iCb z_|p}GU&*xOQYeqi8|nt`C}K4Z+k1iWtF2mgsbJdU zA1GGxGSRgR-0)fqg}*wDN4BS=$Q9RY7qQxUGdb#EseccnP*xygfAa@itonbL$9Z~&(`#=N16S?(X*UUrsyL-HzODs zW7^u6fOqu`iW(8OE7m9eF-@`hNP%fW@!NjzuIbZO8>MRg8kH|k1ZUP z%Wy|LGa9Hmx3nObwnh>#W6y=eu^eSWf2du?mco{G?>s@7o*M*l{S(R)3}NfRdh$57 zV<0G4gzwHZJGhAuJ%C=)CE{@trHf&R_PuHyt?AIu>{x#3%c!{8EAJDlAwd_)y$xJ0 zx2CVgcc_;0oCc_-YG7V(po2WlwaqC5hcbYsilKtC7)-KCOf9f0(}fW%9m$Ii`zFw8 z6dqArwNf>iz+<>NVjVa+472-;5OW4UkrDDLs5Ix8Q7NXa6^0pQM~pj9EC_@rb`G!6 z3U0+RVvMi{O3CtY{Yk0q0t0+eg%&9fTQfX11Bz4~mmSX=S#fWL6@zIi%6JE?fxU$g z7J5VCu1QcB69C4l;OefU;8M-{3EBuMr>*m@Y0aVF_W+hcH6#cwz$Rg7!Lq{2z%YD< zQdEkA12l#6gH2bYkP=->!hd(U?C;H)p$V>J#H97vrCa zte*`sk1LqA4oU0tmqR~|v6$UXHLSLRX7TeaO8v=np%(RK5AbT_G+Y*7=pGD0?1v z-{1S2*tEB+xRLrMv$;i{dT8mdzQw$GK&|xE{y=Q(;~VZx!sZs-G|0Y$UO3O2>iZ92 zrt)^{3IrEhlVIAqCG{FX)w9X+M{&#W3M{&nHVIowaCz&YC&xPL@FwAQ-!jOt)PzKC z7$A(Sz8d#~zm`Udup(Dt8F<^2<+N1{^H~pmq#$Iu1W+l! z(OFXRu-7qR$Cv%Jd;^(0y@|}H$7{PPNC6;S2AIa=Lk>1cure)w{8Fi z)7GKY)~csmqBY#Q{wi)VwSGqI8urF^L#{GPx%P3GMN9)6ce2P7N^pFFNisSL zjd!`)y0(d~Klo~U8$)Gu$TVa!_!ijK;uM>N)g{m^(?wv`+$~x>+nP^X2Uc4hfAz2! zZJ2GonDoOAP1F@a^4$v8)x05s&hhIay%n$#evCtHjCMy2}$^@&KGQsi38 ze6y$^&gOZuEGT5Yvx=bRfk^ZH)c(p+v~!XlO)O9BVI~2pb&l?Un-w1}hBS!LhbN!4nZe=N0!2}+I)P%Fij_Ca+PpU7>RN4Ye521982CDhtOe_8P%TS|XiMQS!R0ad zMs#o@CXZb_BxhFE4DJdg2A9Fcv~<{vj$+HJhda^Je5(Q8!7H` z30qvW`5?x!;94fbo`lr#N7CgPS5{X`@Jgl#-Lm(Mk}?Fgz|b^GQDSIW0J+#nK?WM9 z)JQKbry*_aS!$^y(rM=fxo;r@BZoQpJEp7dw!s8K>BO;cYc5#G!3Ne|bzWv!qw2&R zKgeN3c$g$3i)3_VfE!{JP(hTxV&3ibfO#Ed(+tXjO`@L~;AGNCEQ^_LHX5*MKv;-p=Z)^EeZ zVQ$*0afgLcdwbg#_-pYJ<@cr==0S@aR||393_RPqj!nYw33FEud_&1-5TaS`p-WIp zOamzC)N2{=h87a41R5;lq7t&E^LL;`pSl#Qt@C&Z#;&TyS0rwzPL3Lg^nu?PQY$z9 z;-!f))vJCk;xq)`f1lFiu;8BWi$|u+w~;Zvu4kK%8-CzYaVG1}!K{fk2~#k5?b)wh z6dP<3p5rT-EdKBQXXbDVFJS6|y#CA;YScYad&0J}6EnOq)pu#tA-o@gmAJ#0w&J$6 zUv0H`|AZ6kq|82dZSFH5YhmccQ-Rwe;3`+)eqx$H$WXBy@j^m{5bQN9e8tbK-P`Nk z|FwsWxdwB`)-T{;g}=rYJXWg)XvvijU6GCym8|VNkENP*Jeq#Lq~>G-o&OOtN^cqZ z@2fGq4E48#O~R3dfJV3O#F~^J(n|a3=6*z5KmVxeyio4AWeP0pV2` z1OGKf8!Yt(NV%37O0~~>B_BJ@0n^r1x7ykf2>iv@X#8Th8Y|`_JMO-a_gI|18yTm# zCTLHYcKm(dS_8K|#)@hT9^LfN!49*GN|rc*f`ya9vynA&H@GvH+6}2F#U7rpNKVeA z8te!HUb%BTjd=p?5i6<8|Y=SOweq~{59dx8O)d> zF-ypYjUV>fw#)t+FL6#&XI^l!6L@;ely6EfuO#omsx)is0 z8sQ7@`SOg3kD-pJM(*~l`%-s?vfxEAwMP5X)=B+`2Vz^0hH7CFE7(TBTnUViDVGwP zAg$qX!YClduc$IajDsZ*$f#sU@Usj6f&^uxATXP`l6lX{yEDWnnaJ10ZEu|FVF_eQ z77Ao4iytAVH5-VW#!pye+Z%9;)e*M;!Wlq2%mk>dHJ^_W4#Y72GtW- z41`yStOH>MMNbl(OWg%P>Hxk(EVwFxd%S>3$$~-1#KaYXB{nE=cIOyoBSIqF1LAUK zbA^IhW(42FHJcPl9{_|In?Dn85^DE*YD7kG`K)5&*QvvJZ|BV6h2);~Zio&pudYVJ5PlD8lA`{IHRZ!3NL- z>X5FYE~LRiqTAz^k&2ONGw4O0@g!IkmlxL`;Z#Vd5OzT^L5XAS(UNIkmvKcEs>O;I zjtxMyzF4)QR@gLMnPHBUNM*z$g9Q$6J&r0_IlGLqYWXINQ{X0{ z517VqabP1OCol1=;(!*et0uN~(0os=9H~w!m?GlPRP23G;A;YCFiJAi!!$r{K_MY0 z3|(c^v!<{GL4QS6Tq@0qlSNTAfaL+D(w5L80H;>*IZ+qha()yNVpoC;;=*0z4C~fl zu|6$X+A&!gAOk-Pj)dbb4gsuN1>|rD8`m`RIXTX|DKoeQm|DT}A|S?=Lni|=?v&;Z z@g}pl)ny-vEQ5TX)Tv;Z@9hOl4e%Av=}IAA$S1?E44~D~2v%z~Q|>AoO=bxyw`65j zUJjPc0)x!nM>y14UZgt~HLPPRNhok!?-#}VQ@=gZJ#)VOd40*lw;XW*g^ zO5__p7gCbU<~ada&*SGw2#Z4m`-Fq+Nx+5_+Q^Nn^HRg1ePKl%|SCLRYkcK%JG8{`UV zPody$y&|_qmrVK%R2w@51uJ-~=(D%FuMI6m@jY0y!+MwIX^+`2GBg2L@aUbVvEqZ* zvd9~m8DqBIrs#HI!<`pnkHd=ye3NR=q2CF)74lUa!fS>l*0JEOxcok5ZSiHeF9M{J z@=e@GyWxYF{2~KQaJT&=5>?dYNt9>U6tpSBP2hKv4ORxrWECBiEIGjr^Bv^i`KwyV zH{OvL`=_WPQ+F6);s)rMPrSp|!8LMH7GU?EPWhqP+|QdAR2N_vYCByb9jrxo6&jruG8nrX+H=q50+4W0`Fi zv8QK7jFAK!)iU0Cqp=GQUWQS@dwi}|$igM2`FF#O&R@ighja&@NLA89F30n})D$%+ z8*`OeZ$1A*xXEvKZ-v`UY+hJXrog|ixr4(CVnjy_DXDfdN!K;Ux>5dikmh|j0UQR{dm&<9qm2==5 zwXX4c-eVH(y2p9Fl-?I2yFP^c?Zcd!kBCW{04%N)vj+V;%Q6Qqn-}{f{5(PZ;74Dz^?XRxaY1&H_Grc0Pn(sKajo@IQ-Y%|LC)pDOsprA&eJODctT)ZKRqG0&4 zJMkWpFlrG{GI9SQp+We4yj3zK#$X)QAKSmxEcP&MjiOP#k`o&a+&Nx;Z&ASS_pJL( z*iR=u7{9Y^>>3=^nxr$NH(5ZwI(Xl@=oXq(bUSu1!oL!{nVKhX&+i@sOlX$Q+pe`m z=2nXlPsb&1I4E=;>p`^`0K0o!3Xzf}LQr=!=rpYhU52C6xZb0sY3tGG56sGClldjN3iYPNcK)HbYqG1I|LuQ= zIH3n~^w0`y;zSm=SB$PZLgV3Xw9!)$8r^KmnwyN@t-67ok;!xD5LmX2uvGCxiJkZs zenQ+tq5>nEi!zeeGGUk!Dg;YxP~upLNiIbTn!kNJ!$UH$dGr*SnqlgPufh}pe4FGA zY9BvjqKB_YJM&*RN%m(yf*Bs!$l-jXRMxxqv>+lZDIaCf2XQajQi^V>ga zvelkk+Z+%ooWC1oSOCrucJXJTl>zH61Or;2U!N-{w)?k18f%MB;?GU zV;N>@7?cSkB$Tt{mNHuHuVCo+wdT)m$4x10tX|VNqIC9% zyS*csGyM8*iIG2m(|`CX>_oeKrI&r^GVAm9=kH9+0+y2Rn2#_-fnk`ovVLT=t_hTL z(XBs(ljiV}(ghj}z-#G~^qcqwCBpKJ1!Q{lkBw;~s`jmuOk0yDpXwR=Af(}g96k7K zNsO^(5nC(UXCbs1Y`XIvT+Yc@l;D_DG6r8k)0_JNR{`;nDw#2V(h|G7Acy1sikBj9 zSF^~QFN-AomDcesgDX=Gk+4vayX^PO<>u>g^m=nmWaWlv;i7x6P1AAx6{b}wKJ_Zc z%mNXK9?~V8w+bo5jt0Hnwn6y-euA12Ka{f_jjuq@$$64S=XkLdG%?5exL4}<@+zOF zDV^kS_gX~XzJUXG;pC7U=bZPn$a@M@APt?Z#qG*x0@{YaD|ObsVg$EctT_`!A`9P| zRaZP77rR!As*affg774KjY8mnmu^*mnMvGY9qws4h@ST6#-pM^SoI~xN|fJra~2Bg|T znq?qvaB&>y+b+&si!kTntXd9ie(vVy{z(|@8jOFZEMJjp84phI5q~6l95Acg5OqLc z_by`@zT}0Z9)6ntkUBn&upD2t(RLE zT2O`qy$DsPISa!H_k(dh9iPw|J8z@*^|+#7W50ip;=MASzeLG8{|9=w7dCq)SvW(y z<>6z0+tR^_e)-`f$=;e+JXaMZ528$W@z{i39~N!yqITf+aR6;qW!Fi&#f{EgIPN7vF8< zJLrFB{&83dA@dkWjWti4Qqz7hPBf+&2{xOV|z6kd3{7YvD?TyV82GaIvJ z{n=YBM%AZ*IX7j^ys#F-f`vEjFpurnEefB_!>dALVjheUU;x^i|% zcSv!|48vebYJzsL^xMSwvd}fA8rLDi_=PCsxJfyR-(u?7wSiF((2)PU^WeQywi)o^ zRW2@o1IC(e)L>~pBn^!0dB$R}h z>O!%>l#vhzY>Fj0g}pH>!?^+pW3IcdpobziQg;yt8(EhI!6vX0 z<9Wpx^~EN+UUYz}oSw~T%d7R3#)iZPXUI4cVC{J_l(h8swqrZ0f-7~ViS_diB&<__TK-(A)E zhwzwYe>scWxA#QcB)sL*;-Q^I&QqEplP(+Iy_Y20S3H3J`_t&?(IadknukT+SU7Mp}^xA)%EaN7Eb%U4YH{Gr5rW5I|8_pygBiCbZ{HDX$B@pT@@ zsSe!Tm7VsL)~&YMbG)ER^jnvqi$^Idrmgt-Un|4NAowIPMQ(NPZC%@m|6+q~U zvD#XcOY&ITMC~&$jE-x9igRrfcRfAK*Xp@ys6P-boA2N$`_7M=S?NqYd;FFf=7L1E zZPuCr_`-D_as2Fm)RsPlh@ZB`GQD!~_C@D>(J5{~q%Aq777V$^s5Y+~2#to5IXd~% zTTxJ)>Y$YpPQ0bZf@Vmap7mzB;1X4%P6S~Z7hi-gEi~Q5uJ=i7_@hu3uUe^FgF2AbQVoIa=V$0YxNf zEn_-@5vyq-nmvqw1BtE_1}vW{?^mFHh{Tr+bgX2$gbwCe@GIHI*ciZFSI5X^qU6ba zBMdW76@n!;C~>U7_(xQN+UTyGYUwb^5R6g_O;*TRR?F8Bs(Wzt&yFnk?=D=4_Vf&z z@2Q)#Z?ZL!maEs0Mj|r&mN2O4O)amQc}dLLuAc}n(~EfbsT0w<6ER{e&mp&hNX4>( z#Z17$#7$tyP?43VP@aQ9;Bax`#>2SEV40+%qwH*_q$ZQXlyxvhZETsSqIT-4w7qk= zHF`^8E^&D~0(yjDS^wEqq;b>MrYpLdF1AHsZ#M0J^37%k(^e|#U^1WGRy9gD!%;&vHLmOa-!xUAh)-yoUS%3wNy{^ z6?Ou~SAqr4OSxTJ$EJ;M%FAe5wq@?vGDd{WC>Nu~#z0u1RyHr2Oh8I;jnmnKq6Cd}@Sn@v2Q1DUzl{F0_wKsfa@kqK(S zFV8NTIkk{dYU)U(lt2~TT&^JevO%-U_G*gVYl24p1Cj_ahi}I)1^&hY(STMrZLJne zbFn%Z^Fh+}A&{RX#J#Q}8qnWEXZupvI#>k5I(iLyy#(Hdmm4-Lr>!0PUMEK5Tez^c zBVDYvmWp+E%plAq3J16Q$-0JrCVr;`G|$)#^N2lqjyEjtF5_ROaP7b<^dmxJYB__o zytw4Ony>7+r+^+ou*3!>&Z!lQfZByLa?}I0)T!l;M5BLl2p`UvF^QYDUUq~p5-?Z* z$6e_7Q+y>8Sb*?$oa23q1`yD@yg3!MmgeTW8-@r#MssW@GWMg}xPi!Gbr{k@ZiSH{ zlP$5eEb3UuRtEy2B|}9PZ;`?gNo?jxGH`Np$a=nZ|0X74kFf&C0v>4PZe} z&sscTiPibX7xg$0#5oD+X_PM`*!CS2dD|c+s4XlLVyV{EK1S+U$k7Oth1yz@H6z7< zg801vUU;w<_9k9snKT3DqRpV6h>g+u>frJo{hAGLV4HNR0$yyUM!>hp{W zZ5UlZ>6y;fw&PK~)+Gk`^a{elEUcd9D}Tg2)?(C7(xQgNuu#tWF-=KMr) zF2M5_(F-H6K8YE_4xS{{D4tG0Hn|skrzhY+sbbn18b zWO1wqE9wgNVmED_3xg~t21<We@95ZTq{bJOO zPjPm%FYjkb)n9Ri>zt4)Z;Kke4C{3kM_geN$Lc!9$3gwqie7|IJ3n zWy@@o-z1F2i>51$YT4Yk9ak3LZ5S&c(giH9zX`ql%xZ;DaJ(V`RyQ_0Rz1Pb8T|1|^QQf)P+VOysaQj|cXmpw_G9>zlv%xEMEW{a^nV7TQAY#}(fr&uh&D8V>xCA@)z&-a(U{2(JCv)f%18q&w4boCwzhCH=^Ts&H?_H( zoW--P*&)NHqej#@OKf2oBO|jvnuY95@bTgLGB(L14yLUK27VN?)-3PnC>B<(KIkWo zo9P{70n=77FJ9&-C{Og0?PE5@<+cP|ZJi*ub8Y~=z&HOq#&Yqc;{wYnW;e1f%!4Nv z(F-XsNozfDdliMy0Jec%ki)H#!HmqY2&G_i&#KuXIyL|?O5)7vt0eObc{l`wZhkTq9TUtoKwKHLwm0~*ALaqbJzQRhiJfV)tf z=o;twMxTC~Wt0F)w?Npv-PZmsS9JNnxmbLKnW4vTG=EftU3Bv+x zeS%4;e%dO2+M2Jn;u{GlRQ;nBypk#3qpjDu&HRgIaT39w-q=}?DngrfVM)%onC41g>g<(oyi%|R=!7@NUIDKTb_;LNx zVFYKFNEv8?A?diuE(Rr35Z;H7`x4C;A?ww@WW5rswwhH zn}l~U&~eUw!E8^|$l9MUiJIfb)49deJbldXgK!c~!+Y5w@4#_+gF&p(g5O?P$leGQ z=i0#T8W0~oDg(>-Wroht)|@o!%vgB!pr1gVFl~+Hv$$izcQh5yzT75q%(}NWdE_m| zNc6w;Q=iRl>faLF@a3Ash0t9>SLp2V3%jDxXF;9t`kP69Hy> zA%tA{S8Q}j!=_fe5$LsN_3aT`-Z1-9*XGC%MRbVvGC_PX~ zc~l(m7r@!l20ZQGzW_8DwF3qr6a7;3?g%r#f*%OdC$MQ-Vk6T<%?9L{7?`}i$B7bI z3%Oc!uwcv8@>Eu9J%M}GXpE~YL5Yx;?||r1RlpKi3tV4a!cWNMR6C`7P6JAS70SA9 zAa7Jqa%surBKyGxEs2Im#m{+&=UR9@sLYBb*Fb)T@Ir=K=<)@&<$UJcL9#GDIwSOm zl02lA$a2Tt&-5@w7gY9MEPIMSL86cR4G%VL?3EDeC> zD7+WP0Yw@M+P=G`g%^wD68Q2jtm5#)4+aVVlv?C%$mB^`D5(};nI%I-T^=XC483yX zV8Y!-F=!A629mMso$>cJ=~EAP@HAzU{)8m&N^|=7Q!f!Sb)(q=$4TFR2VP3BjL@+c z2-wkfj6siPJ}7LG9h4+9g=WH*V%^sW3$Z<1U5VC8Z^>)y1R(YvG)ar}c;(~(@Y;Ie zgPfO%blg7Ry7Q>+MMH5d{v^LSjaRwEXMwkGEN_yzb}BNz4y^v4g|>-aDTq%uTN~jj zam*Z@MvcK8{{nuyWwI#_i7(zRa2G^i@Om&&!7P(YXn(kHFbtQ)Lj)zIP(s&NF)Wqk zKesqe07KGIbgqm@SjA62gqsIgrPDChtviCXWEP4~;_o}a-N1!+g-jwIJZ zx?^Wd{KG$;oU~^aTG7T2Id-2DOewx`Z``)oQFYRkv?906+mOkVvQSb3w3G}Lbsv>c#3fS{Ivky#p($;TI55+!Z7oLClwl z$J8DF#TND)E}3`YhB9v56hiU9fGbNRU93y~H=f3NS%57z`zNFSwyeNGy;HAj@ z$lgNXL8pGI<;V)Yt&xfV=cky)h?;dgP~p2^98t{Si}d}JV?(WHx+ubVBO90=`TZo| zO*oDgz6+5~J2=P5zMTOx#(<2$m(T+lSS*nmf}`!d&m>37L)LLZMyDR&wyq(P6)SNi z5QJsQ^6q{PGdht#Fd=Q*n2)#dU8<1SojGlND}EHD4s$^q2Fl0T)Z86bvv&R&>7m}s z1Y#!Pp?7D|ej=1WrO~-2KU2wDuxye_UnyfsY62lcS)CAd}e-cHl@2Zh^Q^5izG+Sp%KW4@DQ z;p-Q8ku!+dPiqI?A6k#YZQ$fNBQ8u9ugf|D<~f`8P5s z&INnT+9aN4A5{--7a?{Bv+Ipq;X3}*q^b=0rk(3Trx{)3=o1{ec!mM>L@KOk_qYRz zX)8P83kN0};t$)K4WlN*j4l|NEU}Jd8>Zl5%i5J#cS&PH;UPwyLwnN<+kJ8SenPUyqP7Dm*j-$3fVZww^PxxjSG0%KG(GJ^rAMvR^@ybbI@i z-IQ*v%J8v;YJ9J;FbFcTgYhpw&DleXrg!tSi9XP>^!>X{5^qK6+qRGCui;zfce{JT zmb^`%*bf|uyJ7M*ED8bId6L9jhWIVEhqz(i`GV*NL5JLS3 z5-J1*g9%F9xDgmtVwOo_qWPcSV6YwpRr zvAOG+D@>ez1ta6a@H)kbxmvkRF}W|%?$=&tuo7?B_d-ce1ruL<TjQ%RZ9Rk7P@QwELzW3x3y0LOkgX29W#9QsduWg(wk!ylIvz^4L#q1^n7oC_x57SnZGgjEmq6XxJd474!%iDM1 zf~Sknz5?w2hG3H~@LDOMEoVQEuxA9nC1IglNJNZ-_%2iujAyf*0gl7D1l1c${bpM(q5y)8nrT%*rHVySm$sxfCM=A0J`7vOH>00=%|F!b^ZJR zGcLIgbg^lIWlP5Wf!$v+$&=OiWsiaf8{5Rm(Nac$5a$jIjE5q1Qw0@l8kPQPt1H$u z%8g|+Y&CQ%>f?0{P|`P>)n_q2+HwzhfSBQz-{eO>99cxa$H6aE>?adCE)mwz8mmeq zMQU4wMhCWJ0ZuP!0gJVVrrJWe7_8oyXYq5Zt%)*J2d)OmDsD8#Xs<1{OM*~1I`yE# z$|})~nIO{v!T7ozjM|eTyC?36m_IgwOt4I9?k~(3bN|Is`e-Aq;3i>v>8#oPDz2$$ zVMbp~bOWF;Z!|VeC9(!LhSB5wl237tC%n)dX2q|_v^B3_-kSa~LC!|Z4EhW;A=XME zow7rDehzEzh9tV-6r~}zNC2St zdWY1oP(TwX8G>`2Ebp4Wg9;9EHbO-dEe9wMLj)nVD236P+HwiCpzs}!sa&}UcZpbzh&Dn1`VHO1H7cg6j(_NG* zWGXRkOB~Bs@f)^Ghz$-=Muvw|F zu-fXxa@y(|dR_^EJXfpF6El2=ex}2B!{A<(7K?Hk;|nG3QcX6A+MXM12L#e62bLWu zG#0=L(&VKt^})<}#j z4g?#i7cHzLZYbsOgwIRrJ zQI2I~DZK5&>>aWadV-*0QPo!X3WJE%3h8?sCMAxRC94BQUx@70&tX;9ea&l(lh^UoyP*P2PhJJBHVn z;*VF~T{WNq4HfNjpk;R0zm-dAq=Ip3O>aQl$kc;Kz6}5ma}1(~E7~Wp2`YYg zO+&u6O4dw&)fQ^GcxmSDjNC)92#$wwYRw;^RTlO<0S#256f~4KAr>av4pv>Z%oV;k zh#U*(dXz-Svi1)TyHCP0?v&V9rJg{{{BLANH?OZzJAq|=7K&^FB|{~bKH4vrf`gp3 zU_q^j$}591)zaIIS_bGbtZDYkn0_#GCk8M|ETuJq#TKNIYM)bV_#YXRuWpR6|!DCz&d2IfVV1bGz-~k zVVq^PiN+I$-keZoU4AdiGr*PJB_WFQr_@F0q&>n|wU6PIW~Z;orOk2w*(fNR<>)b{ zty!ZTGL)__yo>K0J;Bvh&y#N8?BA5x=XvQub(W3Lsv~fFKv~x+U4q+DD+i^C+XmF< zA!9Y>lJ4Qa%XJ&S@!6D-(sa*?3p?4o02aSZ6s0d!B4CH&{5KKYCDvt({Zw`6sFC?X z(d87GFWgmN_7WJ=I-5ldj$s>9gr==ICmv6a&>iK4%pMqB^Z8|_(T069*rt@RyE2U9 zYPqY<7$F%`p?L|<@QHP2;r8i92u=-*AxXmWsXAq0GtEu=&6M3JndeN);@Q?_XxX9?_5)1T6EnS*V0*;kN?%F~1O1l^YWoOQ zH%m*{f@(R*L`bo9nvB8-%OkWoO1S4mwX0>qcJ?kmfw9ESpFNgj@<`&E)|=NNUYzin zsyij@Bq7si-~@4`+RM?YKZ`v@vimw??m}YPqZBffHvv+e$=}2srqf8+2(WLHOps+Q z1I#6WU%6Kc30ruMd4UM3-8r7{J_mU5bF4!qD=8DOkgXPQ2~#puWDz0wF_TB}Q~VqU z&$Y0PG!;>_9H2a`-GVHgL)Mx5p)Ab@46lFb{1fIrmuTi@?jC7?ZHOGH_PHJGg!of@ z$A|*%#AXF3QDKC6t1n}6)q=KkUC2?9g}BejwVuEZ%e|;ZjFBqqkjY>fkT#lyY)v3! z7_cl1Sc(eRBxC}5viwFYi99sGS-IRX6ZADI^difiFb8Ms8y-WS-kZvG+Mfo}?oN|yOV7%~Ai zqJEv*^F0nP=t4MF8)Ma?OM0WcQ1CFRHSGbO+cLJFdPv5t@hBU;``#U$EA>+LPOX}X z5qAl?J{-E866JymqIuOrJia{~Hb1^$_;Otbl$0puHvw)pJ?#B6cx0^t(YGhMwpP;g zBRyzy(^fnr-s|@9O(9z69(t=~w6aF}fW7`bJ85-d!cf=vPH-uifv!Eifh%8M& zn8q)yGExs6mrEfNeG@t$N|?VJPnGRHToBZ~qP0*me>&YbqmO#E*H8cx9sY$xA7`W% z)H_$mR94%cwxWESr>!BaLf#~`$*hpkT_h|5&S0G8O{`n-6{#m+ld#eakNs%kr{orq z;8G)u1e2AK!XdfWN3f8s0oIia6{(Q3pa|khPI>Itl5mj^M}WP%A5PExwop7Rpt!4w)>(PQXI8CJ-`IWQ|QRNk+?w zwGY#Qe6Yz3#vDc`Dx&p|U zvg9i3I-x>b56_h1{kyH}h~Xo}4wPN6%_Cz^+hZu`UAj7kHWnQr1s{Eru^fSrjZaz)K7s!0JaYpipClFXdv$><7%6!@0?u43gC${8SIYaPv|ey6G^Et7Q%+rG)~o zzd@Lij{57L#%W+4NmiCNBzQncx^iZ$>rsEBj%~7_-})lz`q)0#xX|ChfIs^|N9~z&$IP_;NR_oW zZLYCIp)`C^c3cG?TWZzh!?7NwHE!DaCGjm@vNHidRcH6(vJy1#c2|KB-j+qL85? z3vri|&&?ROZ))c$WY^`^%=&i_u&OJ5Ri}FvU@OvwEn~t-K*&^)MTF(yU_mdUk!3hn zj<|4ds6!Y+45g_-Ja#xXy)Saw3Z^}LCj;AFindoHzK--`X2p@^GT&pVX>Hc=zYH|( z_nu{K%{NKQ+{59nd-ch3AaoF59qogn8cRQl&@}ol?94n*xQ+b-ub30cK_$lxdRF!G z1+_uKCwI<5mY#WrQ7#02Rq0|RQo0;G~M&N9zJ zj#_vU)L~gZ$vAwCK%E|8FZlabLa6gSa}K-q`zZ5zAzHN)p4&xpaj!4TGDbQXXTdXN?!GOamJka+466VC@F zT{vSlnl;iQ#>6`&VInn&<}!#L1LM>t=8UySgKlEJZx3ET%5NeMX1yS;0bS^?>w4vg%XRQrWY<0`SVHl?&r0 z!zh2VGkB??U-8u#8?-UN-lqVq#7uJ=Em9&dA|FnzEi)Z>ScWCqfO5OG$l$ZD#E-C& z=$8*VR7EQ+19WlK>BNqJ44D|ZQjibht^PHf8MO`z4T(`7VTYyMX#k`VCZXESB;()= zj3d?Z&TNXGSdgif5yj6bu?$d2p4MLxEg7Pk%{xrx0Ha-HpkgrMHU)YEXbioOlbD$Y zh8xt$YVj+poxrjI77D0^vSpT>%q4*84;HNHmIP7_omqj3(&H7+lla44!0f_RP3WI~7J9TWmai~<>jY{apB5Kv~7 zVspsM9m9e2VV*#(JFgEAt@Q{xa>x7@BhzL}cpj=uL=M4um4M_on9xg5aJ+2V$d+10 zE;x{A0+Vz+Pj+!hK=v^F%P)Wpf(#<|75~RBm2x!r7_{>4mX@?P$rQeQcHeLsbz*l8 z74)2SG7{{kL}l99x4;8U$Y!RL$OdE?m;@}D=s~s$!H+-*-G7Ta-vwb8L-6=FT?gfr z2>IAX4npmQ-!**yJPf}HGB1XPms%gnwtiaV%DLgXZXZj@f#1Dq>(W$GbwHd@E< zSLs?uNNzQ8Yu`KG3eg7WBc48Gy)Y!4QC;JkFCy$M2*`cwA2epWUTBe zEh}#HAdVi4-ROWZq1g%6|9R!}O^|1=TiJpONVz)L=`JJGp*7u`&XnP_)wAB^4e~3U z(E}|Ov7F(!jtyY-RvPyF<@zLxkb%)lFOHn6qp+zI#!t zL|(?U_0)mwU6DD~5Jxqcs&0a~G6Ue~hiU6#N;73QVvuP{uvM%(Z@^D_IShsN=Vx{e zjycd89Hwjb+P9U9Y3tX{kBy-o&n%5-Vm(>141z!xqzol7ZN(3xx62l=YVrkUz2e7N zD3^u6oRi=nX_#>wOlP6()>zl<)bQdrMx`*1NZP4gIBk{N=e50FoYpU!>B;|eo3eRm zo2}{zGH3a5&>zC0q@Nj$@CxFh0_}UJhFV?OgqfN}1i|K(hoDvt3T zsVHC#T^`6nZ5g;1n#)KmLH5p`@`SqPWaoHoPB?94(Ja_9j>OgBU8Oju{kZ%){4uCi z;og5gmg{d&BTQS>GsBhK8)~sBpHMj)g@pqp>efitxo`Eu+?ZAon;=v;7a@0CM7Ax| zk{_O|EbR{$7|gkF(ibUhU-5CsNr1Uu5UGc0vgn((IMp4}cFCG)D=N1d19$LPtC%K2HbTa6iZsY!Da3Yl5G zphOy$RN`uv=$S$zVaL)mqPv`t{5mbEQn%Z#Ib1yvu2qMV-1iMHX`fP3pp{;@n3=cr ziLS$MkzCebA*IxDNT(KEXaA)sI2r{~v2SRvJ9^k=79fk{X-S#Y9ce;H6^p*e+>c+u z!1_Jy_`gZbNN4~b88F7>$hgN$Tfz|s9ZP(+N2!+}KkPnp>t)OEWAlS7E||h;>+Hv) z1M@;;^Qr1Q*3rw}B*YCJx@;HGl%PJ=hQUU#8oqeuia(_p{iVes;i@`!9$rjav81UQ zG4s)YIZZRZSy6BN{ylZ{lSQHa6X=3J<~q69@`AGMd$ObNAX5gYBhUq$p&X~JjS-7^ zE3(Z*)|Jh7Inbu5%J+DRFai`@RC@?U4%JpwuhGcW{ZDKvU#)k^NY4BgJ3FifFr{ZpOP(GNUJpcH#v zoPguBRnEOx+lGCEFWzZj$3J#AvUX2EsRF`8P=TRmWXVG9*`jAL{@VeOC-7S~N6xK! z+UnWD)7FlCECKR3mdku^S*sg;w5s>P;+Rmc3(6@euNxNMcYXoK)x8)rbe%wqVl?tj0Fzs?;)~XDlwRk*0>( z?_vIU`)}1RnI7S^%gLcLVy5PY_$6n&yaL|&VnkQ??q&;n# zPWYY?GX#QCx|3cv4kq7kU@vqFNZ2@-{2gVy&-I{|n_rtib%O0;P=ejhVLe4p-HEck%Z?sq5g63Kzcc0G0;l`s zeac6wZ0McOrc^b$cCmH-R`s!2pSth{_#ute7ayEUdNx=~!w65S>(>V3C2ok99h8v) zGO+-es#+?N7tTRu!Nks#0!a}`%QnZHf>r6Vs^9=Q-R0Raj1(4?b_q@1Fy&XlnxVO1 zD_5v@DDbM9QhR!+M2v4A$TfYBseMJ^a{X$xV6-3oMm6XLD}Gy4O5T7^y;s#Lhf44V zxO4+MeYGA3>^PFOLAmXM$*bSXvuuN7fM?q<+tS(GWvOwxMPsksFCIhH9^#8H*GyH% zhShrq}+Mhr4GmJOy6A|(ZL z5H%TiN@S4?HbSGpBY0+`EPSsm85*mdcP9f~z!_5Uw6#DZ!?GrT1|vj(X@3o*~EP!k}>kyRz&h}MeJK!%=RYm%acBq0fcNUmzO z-?OAi62}TvXODGNQWrogC49G45WxAZcaI7+efXj}vokK`+&`Al{1Z29bJ(B!W-+@% zfoRjR6&RMP_sJI(IbhAjJahCVj|WTgZ;1Vb%y!SGtS z;L-s;ZADkKizJ9N*!1KcFFjmU3NNX)0y4z?tyn(QN)5czOL!PI0Squ=>u*mPYO|kY zDm6Z!#s^aPuVBw5Hhq+hDqJjX*r?i^vh#;wU~@7ZdJ}1fM~BUTcJ=c|A}v-KslOon zB$HBqepyYXzlMat>v?il%~036niNssC%M}O=#)|p5kiI%n`R;h2CSM)3=$)4un`&! z9)Xc-j2QBHm_~?{6c`xP3gBr+zR}?Q&mt@|k_0*ul+sBw_2M`j zq4%iaF6v3{kfVty(oTbTgm#gpnj~Nh7uFkW;)IY+gT}>mUB0oH6R`wGU3Yviy%;yRXJmRowa#mREsweD4iEFcu0lF4x+WA(q<0 zQjuj41iA)E^)Z>jcKb90c$PX1)tdono-I%r^a^|zZz6l^1}IZB&N;q4i;or^s29(Q zUHm=z!d)vgn)8Hv8X#9?V`IHs6n7&|Pjgzq9!&MpsH8xc|83vwBpkxF}Bo>XTXd!*Rh*yBNULAABA;~^=FG2@}E<;K2h`hWPLo|1KX6)B-@;3A{)K(hFC_nTQVDLghqo$Ul8G_js`Xol*&b+-Ok|JJ6hbRZ}tkp$Mu4JI51(>bt zu*s-i-O{*FaG9}vw-p~yC`aX)Lj4%x>aLWq1e(={>fE=76W^J<2Lo90N;(|6lRu_x zx`nr$Fs7YDP1`K?c%Fd(gqy~0YEiRH)RyOSA*;9DE^+L>s&7%*~0 z1v$OzgX}$biA#F1jgDZH#cUH*!PnPYUtWfVU?G*fmx#O|+`GI=lR`XYYP_1;BYvH#>k z$`YLu-`Xqn;Bs(N9O`6OskaU!v&4Z{qe*3coa7>yA_J`dvwk(z*!Ceb%*hM4t? zFt~<_=*IyQIUwU__gis7-W+|WQvdBaHU4&-Q9t|1%Xr#)313gR^L{&#E#bQSBg%EtT{M| zr>*pfMR@7gQI*WlVSvWQMZ)7}Zr(5k(^mE3-`yFNJ5Su2jOQ{k#i;rnmdUqcY6;$@ zTOVbz;03q#EGx8K2HS=j6J~%@zCG>CcfXpQD9yLw&augzo8zox@K~KMfjkeyDFQhmUk3En zEqKOJYzRo9!?9w&mNa<9<_dZ#D_GxFc-o3{Q(YchSe*#aJUtd#hdX)T4HYtKvbxb7 zNnt)97-DN9_=!Da+L)05K_Po&x)+9+t!~|Qjr4ma*=oH9e++$M!EDXeB3v4b|LWVp z(^5T(t4*meyklOI$F{_pd@fRknIP(#SdWE>6!3fWh76vrN+QJHtO$wyBbD=>IFx4fm&10FG z{R3(7$s#{e@#3%G8E!-ex@zZEIUKM&#}6lDcJbq`;>xV+1aD>RV-p4hK}H|NMoeAB zN@->Wbrv!%2d;rFC$$_m$J*_JiK*Yd*~No4XWuBXN!Xqlhh&U#$8Z69kvxeM{Sg{& zMt5eCursb&VSxkVYHIFA16yxH7$MUbv8*1Z5vrB+9~Nd?+QHsv=r`NX(r>P&?Bi%1 z#x;--hYMaFgy<4?sdS0t87y4G;jFrWvT7!|9FeTL*w(A)jb}PH9NY-1VHTmgm-67*nYx1Vzo(FuKi+l3(q7C$SpOJcc5Dyjn14? z^E;Rn{4i?s|JnJJn(txK`rEo<{p@mP8vW}f48<9*=IlpA20E`))s8nBI9h3{Ai+Du zhL}c({26HOTr9LhqS4TAwx1@qHcx8zwTxGbdu&4d?zM@bzGiLQ{s48W_vcqD~<(Lzp1 z$$=rm3TFE~%UQH^I{eOm7j|8oFt7?KkN#-2{!qR5u|3Rko+>V1&=ajcvU6Vl{Wl;W zKQGEWj^WR9Ia>UXh5EA)=*mjG%FvF0$UAg9&l)yZPKw#jM{p@TV#B$L?O<9Mbq%ja ze&eCt+5F33``gBoJIxt=nw{IUZ$gFWa*Q!VI_BXU#a1D@^7s~_!l$gb_n>FEj^k-hi7Mw+>f}5hZ23g0)wD142D`d8V`;0$ zQ=?lsbV&P_V{t`*MMr(a)YM|m1b%4a#x}xL8~K(vy!j+bVJf2^iu^>+&ghOBAB_%2 z2WABFgIt4nQPj&gz1nFPB+nfDt?fEpyVo&du^e8S2}y?`niXQ%j5QNHg#a229)V5O zkW3?%)x$JGq~_o*89eRCHyS*GGcxtc7O>J^QLmVsGtR{`mFo*Y?#XQd&RE2WdrOt4;D9B3#D zh8#|nFavNJe1cabA)kkFLWYza7&5G2)*10-;fG!DnX_sgp6*Y@SYEk;% zE6V+cFwv2(o`Jq=cnI>pz6G5YHpf4p)SU0*crHhaAF^b8tgB6XX;b57)#BKFbWfSs3r3uL zABTh?18TMc)ch+1rmmd3E^#tPy|US<`W`HUGzBWp9A28t?-;%R1GwnL&83k#kLvw3 zt(=*$l zQfBu+^ol@Th}R*7$}d%mM~mnG3cfUOt}H%t;eQybXLokat72RVS?6zp&A_atbUW%H z3ptVy^(-l|Jdq`T<-r)Ab|H-Z$qv6rs?}uncyVrIfRd}~Q#dH~U1xDS;#Ig0BET`7 z-JC}PzN7KR(G*K1P{~@M7YVTr&fjvWQ++fiV!yB!T!7X9DYjZG~ zjte{ifKF3jJCTCHxDUefdkUIjrkIwdNls!rW^-0?UDIzEOZpEv6n{~PD)B=4c#JpB zs8ORTM>p%Y*(`?G4Fj6YCLvetOWW#?TU!;(=7-F-z(&$KrKLE)+~tK4>hK;`nvQRy zhTl8-#nB8haueGn`>uw)J)Bar8cnCf42i5L##XQm0wEVlgRuutH=9O-M;L>_kc&nV z)7Ck8G9aXT+L0e%wx5LxGf*uOHso?~Vw#0M_w-1ax1+I*b}^ax;1gQ!{o}DVhu?j( z*ts{s7k%a;58*>Tp37c99)cFZ=9h{rgTT|pQaaE~(8Y$s5R(Bzx+|nG186jO1g}U! zJ`dxB3@JG6?VXD*&U*=c8c}wZLeE#~**+uoN z!PY?Ub1?s653ue(Q^5$xpAqS^_2=B@91R)Py z(IIL*j8U_76kJrh=QTgpZ>x(dVvs0N*#fQH2(qYEv55OB`v9a5<9oO-C_4X}_ zVJn=fn`VmR%?TH|;M0fZgBVh98$zC_J{{7l^%N2$;?sbx7LocEK-plR!QDn#1mke^ zxo_mqhffOjRx-@j>NgH1tMOz`CA!zI2k}D22kdpY!R=w&c`b9PK0PV5d7tT(+zi1Q zi>gAT3<-=FuS5k~9xzQ4a%{={(paYQudpr*8V1L4U!`X8q|nqNtp!E)SWZIvJKJ+ znY|n@OR0_uHPWa}^$|6F!#f|ctqZ)E?pXNKhitV~nXT-5JX_b+$<*$;UT;pG169?p zrbYFgt!CV^M((@#XzTVXd&f$h;|!qjE_$i?${aA`xq3p+s=(M~!&UNB?0Jexfld(z z(jq!?jXNST(AZjRebKvLZ9e`V9NvE?-O!)7uU!)8ax66XRui#_TtdP)Iugd zM4&kc^?2HmA7Hl2GHd*x!X)56Z#YkZCo{IrTkk{JzA?fjF@6LRKNpDa^S?A6O}~V@ zhi88jPg{W$Y`)8};zw90mjr4LbXf^RNHakf8xA5;AmB7)=r#tYVd@duwIH`C*TyVi z7Ev-3_p~GL%=(155l@yZSs3GHZ=efOoVGfGQ9I|1{E9hL<>3>h+W$`>ursdK@*7$R z%xYr2s0S?M$U?+3)HZdk00nP-o(jNCyR8G8O$3fuD-B%4vm1@`>e0uFQrj5gG#hs{1)Km%{7~=;7h3~q$uiN)M&#U-P;ofY)r`E); zSNmHxUax~n!t+-Y#9~KUqmy32GBh=|ZS(Ao=G78^Z|;5qb5hRANf<8O2ZMd%^Ex}j zaejz<{rk9z!e9N?4Kl^&YL-)Rkm!)(xrP(S#zFELv!}tliZ+KWCoWgl1zu{HU*kaX zp_^FKbOqC%(d0|_X6tKS)ia#c4Q1&Qy%22b8h05G(o1ZbiMk;)8ax6k!;p(cEUSlc zLUKrQ3cx9(d)kqA8hk?3h{s}QqqnFr3HWv;beZd9a&clBg%&PQJNUyLEN^*-0S0_~ zI8Lttzk@Gst?HSbvO#qof>K%$xTcxFaWVTd#ALvb?g}Z)00J9AENkIWCTK(uATPE~ zsEPsE`awp9#NlQ&*}bxPvQ7ISTM8ORrwh#0wDtQDKs;@=?=Oow)at_35vHxUFfMMT z0-|ZoX*0|Oo)MXah-W%0)XQLcDFX;BO#osG8K8Z{)X#1rXI55n#6^Y=qB=gJe*g7z zEZo2ff7JF*O%Cvp_XA}nH&|1r2iO8Ka3T(KFxWU`mG)1kFBy2_BEj)i{UAOWq18?N zh?PcHwJ4%b1z_oN23iZ$vQf#XT9Azk&;oTFsUZc3ddBaV2)23kGdD;9L&V1w?tI}+ z#Z{zF5$L=xD}S1$5sb9tXz*ITm?1UL;_plPYO>R1)>;g{%^`O*ui8dx_Z)hvJ)iCv zeqt*w=A@4OXtEY_6_8b+YybwZZG$D!qEcpzy`Bv?Obc@p>B$|V=7NNb&nUwS!7Ip| zBJf-Du{oxX%~IQtgF#7IE#`9*&P=+?bS2&>`E0I@Bs$XGK7aJm`? zA1EB;vW*H`uE4JYs>&Ggye|FyJ@ZWpEU1b^Rj|XbXc$mK+#< z%PY`TO9lA+VE9ZVOweYikwJ)i$*^RKMvi`1-K?R~xM%(5YKojunn^EC$X`ZX%@n#M zKB}e0f*semXlx3<52^NKP(Tb=SM}`09?z&!VfCDP0^6K^KY%R@@)s(`=fEkv!(D%u zqS4?Hj;PNWv8*1Z5h7a>)-c-`2|Y`iB=O6II^xk4!RkW3x@D*r^$m=!s+C{ZVk1tN z^|UeSD|oiA;+{dY@l5jyst^pvyatI|$Y?=v{&cD;B0>(cJMhqY|9WjLW-aIPEV2V10f;y~U|G9YMToe`XZ zl=3hJ2rI;676R>%Fq8@inpkJnFcW7XB?p=eo-X9e@8|LsRNrs?GH(C=zr_`GI4hsK z_HrTeyQRiE>DRRZTaQ^!+lD=(RpHJHHZ5l0(|#f87C>{C_BT<;0cIoGhnem7j4o%v zYrnc?=X;M2{d1|-A3pwlhp51dSaSFqxY2(bnG2tv4+)6X7L8ffD>6hqi%Oa()<@R$ z=p)z5nf1hvUs`ZOL3kVI2(>b!`-08h&$-LBY3wTZ8OX-{-Mq$)yXYxIz1_0cz__8w zE~75p=1pP1{^+c_gF2m5ot3C>b(pscsM{H7XHHi-dO@oVoWxy-E7fyWRN|efJ&)q7 zTDgDkgdgBkUM#=I*t0?4Tp~Ka*AW|80QN)? zU|-1y9WVSluB+ncj2h3d{!rA86zcHyHKhgJLJUMUJDA0Nh+!+c+;hw@WPwYAnizk< z(q1VDrD_qwbU@I=IwO|V!x)k&GzbFikTR4E2%1=D)-V%iAteK2O$JXF@`ry>Y5nqb z5dLF)$J5{)jZ&9titk69c^>iHeeylq_e@1Sqqo8x>qRpG-|z641u%MzfdZPlfWY1_ zq}a-j#a1X}$dZLXJ0vuv0)l6@mqpi?H&oFFGr#@nn$JG7`Gy*O=I8-cUU(cH{M;|x zQWyUerTs2Ueae4@Y9{N7p4C<|hp?0xfh2iAFp}~liqo7ijuqY}I6t8kx1V9INY z9sHW-uHy3MJy!A?M>ltb)jBCf?Gke$Ah;H8w<%f6i}>^|uF??AWpf(_oz}O(lgB54$n1R6(Ujo@z_)-iByZ`s7P;cA=>s9=&+`GruC*zOd*HSDa zc3z}3v7WZL^JGf`bZ9box@rmGks;MgkRuD{vBb_(MYjN&yMW*osXzRbO+()Tc1^Lg z*?yMnATfh-uLxEbkbK%o!aZ#GNk~!Z6Mv@E-}{$uF2#I6T|SGi_-K+=^^CGfn1LGg zEGhLWF&zOsZ8fYiw&_wmsc|Suqy7?(?-ty4dIsNedc}iYEdr7iTTyXVZ*}IX?#a={ zNDA9K=X>?pWHMQ1W-!3swprLlHw}_k7&FU3C=JhA%~$c*75Dz{Com`Dtm*AITlFW= z+b6gOY-X_jU*Kq;w!VZ#{S+uieEz!SYVGyRz=P$%A9|LT?~#a&v29kEm3Dq3>Fhuz zFdFxai-eCrGT#l)&XDKMuh?#?CO<&6oLFrWq(^ymil;xUC_}En?Td``U9h2DS}@!P z1Wl|n(o9<$j3IfbSsiGHaHE0#d={JaM>FBf8fF67Js>qH8Mva!;ORoX{C>obPg{Qr zYrpT_KnyNGp}tsL9Gw{#d%L#^zK0VrtBLir1)f=w@`>=`_)VU?6mby(XcgMO}%-I z3NIUV>6@yQKR7Gui`U9kJcAbKrt^a!EMr*6UOmV5SN% zVMQ+$c1oQ>Fx&S@_jCZf-n756^*dR~p3aSm3qeAZ!^~ZxIeLo2Thr1o_9o8O14A#v zG6eDbSw?bQ-WB?0#-z#6Eje1=Xhi8?_9M2=WVB&8;(#NryQ5C1*#O~?1%u1x;~Sdk zV(Kw1jPYH!1iLFSAYbH4A~$_4x*N$(<>2vo2jj~R&gC3a(yNM*qMJM#Y>F|42b#bw(_!hcTc|DAd#PNU4B#ZvyQg{ga!c>VA&rkEW0_YnTaS^^oQ% zkOU)j$s$h3!y8Aa0%$R_^SDo(H9NqwN;eJnf!ydgU|O8QblXK6;ub@gj5Y?aX|kq^ z6pd{qWGI~iXO>T04H@C7M$OpYv`Cu;TaRZXBtbxR?Zq^40+MlZ1RKB*qruW2ka?pG z&I?QT6#1RrN+&TrAi#SL=pM#_Xe`+|3Ft9f;5RL{2nSo#-BK;B^uXkDp6P*FH_c}9 z3dd~HAxO4ihcXU>l`6}DCVNXrnD@YVxs@|`Rx_oDNaz zV1&&l4dZGNRhsEOpyGnJ1#Hb#%+1iyx1{UjNQb!9FtVDMlUl8BwoV34(aJ|Hk%4Dj z173|qUDMTdUzaAM-K{}7?L5B|6P%rV0GGWQ>tzW=CjW)2;vBu31`+Y<79;y%4%$T*pgsC z)#Rvk8N&>~X&|BcR$3e5ht!LdrgW`M8D^rMk&pxfdzu`cF4hYEg#^zbB9cHaJXJ&h zG zVxY>^u`rzkBT>(c?WOciV_ODF`<=gKB=WqHWw{vPV6~d^_B29@p-h0Jf;1T8(GR>F zth2Q+h?@rLT?KTH(2X9vLyJpn@~DTD!oFVDy*&C&gmz_5E)6joXqOhIoo=CzA-QqO zBMv?rhW)%gQ{6i5Fp3>?I>^Dx3%bq}5io1hMi?m3Fb)?9<6X+&?Fez1mJ<0)i&i8S!UQ&TR4QBZGeCR0{Xzp-^dk5j*|w za8EpK){s5U!nH^_&}48$Fw6jGU1Pfn!Wlap0&|O)9gj6`b^yy2sLFvRgQtrKI3o2? zX(q^Fn8<;rik<^BcL9N|PDp{VzSue;awOpoKIFGSFi-S5emQ4WQ>imzm~p|jZIg&z zxTDT_MbO|h*qjDIdPvy}`2rzLEIbp}#2Xgd*GTP$so%4-<&=K@lb{T8=Qmh4J{0n- zkJxxF_XuJW1|?8p0?c}d?4WMD-Fh}ojWl|H7QFJ>;kGLhHnuLu@_Fr{$(&?kG@h;cCfGD? z`3>rMC2^9Eoy00|XKLS&@i{k^lZdA1kI76OI3zSHNI(eRp-r`Jj*sX z)-%h~0?!v#3)-a&YMW&8F8f;=e1Zn0nOi8HraX)RqWKOE(@D65^Jrl96DUI9kEWh( z*?d{Dlw`?((PZ#+Azzn7Hw1_2u&(OaWPoQX^sGKV2t4#0Dy*Nxroby?-4HYd0)k%) zu|kUai^HBARE+I5NQ?R%cXDPm`J$fLqLZ2euL!#4Y?VYREiH^6Qm@;DO)Ku1q&%u= z@waZH6W-`TiUB5;X3Unf3%DNS)N4~UJ=;kvcT#hh~39_Y%!Y#$t#Sq z=&DI|r8RrQ@xxTFXj34!zoIO<`pS|m!F#Rru_j%EYa8Xz5`&eR-s&9&E)1>}9rJfB z(>t-q#H_S&({^TC=>g|fld!ExV^z9yR<@vQoCaI_I>ojTr=d;o&N9<#Amh-dslb^P zjBwf3#9z-e{IPL5NPCd7z_ek?BLqfbEV4(rBzzaBU0w85C{^O1nJCoMVH7bVV49G| zU;FY{Lg({?X3R7CLg;#21*oF+?5EWa_ZX60B$;j%WI+&88Y4|@G#DpDwlrv(E&K|k z1YgX_HmE+9v`JDgdDT^w66mlbA;y)>P>+k0FcTEt5bLp646(h?+)U2P2M!AR-#jnStTvep-Ji3 z7%B%oyqRBO4C|bCvI_R4a~h}vZYb%UAG)Uyegv@YaK70A_v+2}LbSv=jX@M_$Y|_G z(;G0FG^-5w97`e0G=4CX1PpDO!k)vBXf)J>odDyRbuLGX4|T0l)htP`NUS{TT+;wg zhq|DTp;|3>mv{K{V@hFt9orSWdJNYbExp3SMB=etuJ{idD|S3RB`|tDKG@ zhlMvTxfXWFkTZ*0z>m$u3ERS&w&ESN^lQ&;@!s@i2X@cpUYi>xyr-w-1!lnLL5Xp0 z#;d^-0Zps&qnoXOWlF+P%0vY?BxzFWGsKWyqxQ&UC`H96mS(!v;1Q~UqA|h<2zeU} zh3;O%Zirzr8t^)^LT#_d^>No9paCT|%|yRvhU7Hd64E_g$g?DON%;JKR2zwxZDr4f ze33}#K-V+kT!0pFu-M$rQ&m@pP$(c6V(ZH93;)-j!sOPL0vUW1bVmP zPGDsaBJYZccPyhsZE=QUB3J09QzGf)k11Q~SVV9s7egPDPoA0gsA>}7A!C{Dw3R$- zON!+B%@b7lc-tBG6$#P!juwt&rrg(tsg02*@6>E@n3Z79x@` zuKPmEoG@)6u;vKKBgBm+hjR-_VZLP}R{8Nle&|<)y^@TY3~jS7?e;!D0A~>X zM%GZ#0GJyxwc|vcK}js;R+lvs5FvjwW`@`t7&5dy>lfJKd8*Iob7w^jdGB~k#tvLl zpDgaNmREz7-Z?=aS+fyX-I1=chc~CHtNM8IGn!$O%fY>Ua2)$2ptzFrtD+An!7xqV zB3yHnBOes}PKCq@oWHb)N!7TlSzR0?#0QeL(($w%ykj0j@7lx|w~L!9lQ$q>G5F&- z%>z7b-AdRhBgV5;4ZfyhFESu|C&mc9qji<6DRo>-;^+((Qmfuip7*KFb{Z!bW8CV~ z*1MJErptQuReNQ46zuS$70wH z?l`U?!^+SdFP6(PB31(jmSBL3=`uAVkM@-4Tyh#bf{@D@>6zNuX)F0sU_gA&qa26< z?6l4-rmZC%H`p42FyqXse$U931n3Ylc)F0Mb-}hE05kD1y=Ld0HadTUs$8iWEkyPL zbO?!_F2}u`DoX8jRn?6_Nc3z3!44;TR_H^&Y7dm}hdp(d>kG9eiwhW%pLuEbQpT)M zB<>k0DGY;8pZeravCVI%e;!>dzoK zGl=U1n3iyC0Be8UtXQ-;EsX;{0&6o-rkn>{7E3SlD&2tqDr zq-WZC>rwCw>2c7$Z>W&Wliy)VssHA3x$3l4)Xb_#?}TJm1XXth7Mg^jAvlcBnhuE7 z2A1(HsA_^~^=e`=Afz`ARGw9Fv;hLK;UH%gJXQ1@AlwB6L##8BsC6qz-V_Adl```` ze@%54O;a-8w0xG7HA{m+!-Ok>Ak7q+NZaeeMMRfa;j5T*k-NG9-)2}UgVp{{JJU@coLQ}6byrcCKQtlE$MnR1WL=6( z8GPv=w+{^Zo-w3Qw~Hlv6Fa5B))0i5#t2z`j1#($>CQ^uiD;*Cr@~81qm6NB2X8ACHc$?7=;@`f7uKL+MX?h+ManVg-|F3pNxA}#j$P8`kr0br(vrp zi*pM&!PXG=9l;Rmj5M__4kMJKwp^_$4W_JFuZ|nDFcOh9#Aab6g&|YRVJlehQ9VtDPBv6 z6~z~WTWP!dKL4h2;m;9tHN&$FL)ae8hAnJOo%ot1U$MSGJ6E@mj>36rchO^{i75lwT6O&JEdi9zIKHmtm%r4sNYyH^?OKmz`c8mX>0SV@+9<{wLd_wlPs1>j$XK^ zJ%?36a{!hE9-$=foG8r%F~US$w#GAKh;@+?W*m$C_L>W(Kj$V}5-{%)GB{l}5JVVk zfi)76a2GP3s=6@K>)*0D#C1@}Ls)3NkF%5zrKMJ%%L?{*z46)9vFcW1lq$B}v zNg6Uhmu@N!d0UbrtV?l5;$@4QZG?oXqn=T18qmHBIh+H+4BL{n#}#%5kl9~BE-qq# z=9UH&K3~*8MP^YVD=Gt{nPafc7r|V>%ZucuQ>^PWls7$JYG#QGnY!=Asl<+?pGJyK zljBqqM`y5IDe32rhbdF*P`5%;>UZMgC^Db{=bv~l?Y}H7aZCaxVW;PXHPu~O8tYXF zwU!ibhHa_a?aT(!iHc8<);DZc2~X7Qa5p6?e=3k>vtH!e(Pr&!LE91|Yb$v7b-}YO zd5w?aCu%5rN>?W9nZ2e=*zic}cl~`&--a{!c=VN)gBn|)rbjF#RmI*4Cfx%v8e-V& zU9_DBk04-J7$K{VaY9d$FAWo&HM`78&O5NC<2QAEKZIE?PRNHP2{Ep0h6-Gygc(m; zP%5%$?lMq4k@a-39_dKjT1H>!76gPso{hlYYO+VZuGxugR;2X9B-9ROp+MSfhJ^}J zU`X^FM&6dKJ#FnTo6=OUY#kd4Wx$Z$@r%_Ab6H)Rsn)#JZP>Y$SE@bF zniD9oLr%<|^ky@rQO7ev2D0C2(isK)S7mEqch|83{8hozWZ5 z56;Na7DFH6m~PSHTzy!&rAee34$(v68(zz|H5g{7ra2EaM%ozB4 zr=3UVI0>aA2r@%vJ!H#)kfA98%>islut<18XqQcC^0Yl`TozJ1Z9%EXVl;L+5HfhW zY6%_0!P>8>y$4Sf-2w=A0l^UKjD*cWNT$$~i5vvlAs`eA2!>c^R+x#ikdgyK22U6A zL0yCxp6IKgtq@owSbN8lmg4YVZc-HQwl43CX1VXUct1NJH8A@ ztmB7zq*=~z+trm63@|5o9v!o7;&NXc+9kYRy%$%u1a_!dPi6NR_|&5^6TIZ;vCMA< z0wJO?(nPUcts(!0F!_KO4!=Dh#8ZW40uE=@S#2yCi)pag(H#d(;egP(k`VrUxg8{` z^%X6%kj;SMd^*q$oTgwvXqip1P%a5y0=28lhLgJ)?NS9kt-`YDHmgAvK(ZI9uC^W) z$|fP^nG=ZHaMb8g>R10ff5F+Rq`ra}Dy(Z(2{Vn*73NYP)sHIsVS+%T!Sg3>B+8O9 zs?@EB!;mnPVnb^JXfaEe6$q!Cr>{xq@yyCRhrRIZ%&%=6VavmpYexKbvw8yCymp|` z;1M1GM`OgYdYDEiYxjww^3T%_sYZiGh#Lu5vQ` z)Th)6+TmgYSTjXzN%cc{F@BDPtk zELvJum(_4ks254#j}98LY3{nLix%B7+v*Md&flWC90cZa0-L7mv_j+F8BMcwsM5)2 zvL>L928-viqswCgY1y(;eUg2qn)B-ZK4 zNw_z(ulD$+t202v^$4m*M=yH7D~Y;Scm={nl`ZV&THeIIhrZxvYRziF7re6?>aVRt zz1!xrb&W4Fbx#<6%zASxiuLNV1YS`j!Pv_YpGEuG<#Q${B0U`JOA@W?oW_Cl?Clwe zG;`?Ir|Bk>*z4I$i6ui{fvj^frjKFt5I&YF(nnq&&uioqm^iT-ez+GhG}qtEzdOXz{pOi_%zOy z%^0HFtm}DJRS$Lc!Dt+sbG%KxIENe|d30r2cn)@_L6F8TUB_xc1Iv1`_ht+;PUw@& z*K{CrSU9LxkW##TSelaKg8dE!B#%0)FENtaE(kYJ~ z^TTvhtQWb)wRf-1Q%aE39BN-FL%&z+^J|t^db{&prCG0RV7{_sS1{l55WABI-}SDi z$U8r3r;W=;ShtAMQQg4S?wBaO7a1!=-9UO}Y67nuPZcEYdR2aEr3T#XA#W@!m5%M~ zXK}R>M-RbntOWf7Nz9FWkB&(taXLw@h>5Cy(*W@mS!6}IN{peh8aPsGYyR)XFGv8ssXSnP6JHo;C%=4zH0?m z(ESIl|2Li!u@+!h%R_I~_Y(3t2XLgcONux^_vv9-y9#4P8QY;bMsc{5+0|p4ZBB(a z2g2h%&I?D- zzlRd&f`FzF2zv;i7-lqg0qe@1WtZ2M=u?3?`mh>2rzX_4S=h_I3G-aSpia=%B=K$I z9OxXw3UrfII>fUj43AaT;u6{hv!Yk9pKTbX8GV9bar2EhV{{N1o^d2NCF|I-B!p=Co~g7K$D5SQ;ESwXf${P#;q}8$md}iAyQJn#;M8B)=ue0Lz@s7 zF@Y>udO|}pHH`5At-xW>4Cx@q8(!E^cjvEBWAn_;sQl&=*VMt^d94z14D&2374_x; zo>|5wxHRYLu&EcSB1aYk9G)s&i#QEr=qZvWDH?tfk|3b2YPR3Aq)8GPpTJ?@G&W0k z{5fTQ>+;_O<_%Xat3P`|?SAd&i;?;FVYA)ZnZR>3mH)WAy`U${?V>YX+gcxNYE>{>y>0v!H z`u4}cm70#2D~0FW=GiOALm=$gO(W_Nmmd6mhDHZ)y<}sa@2%UXs>>qRHVho&v~~H8 zrZ-lkl@+ZQTobpOj|9IE3K(WRuWq0=EvZWSYh@|(%f*ho25!A@9$!yAXOw#DRgBB8 zTa4M2sU`Q>a%!XdRkHj-GKVwGL=FrXG?_SVu$>xgghqo$pnHuGgG}LJoR9`331%`W zG&o96I}zAO@cap5dw&5tC~NF)e+x(0?+Y2rA9LU zw|vj|_^uX0q6tXUd24WhXJz0SR96sKbA;qEbPMd=(ucvGYHIcB#R-v+fie;eh&T;G z@%}J{F4K=JT$6~ykkZ(SkAG4f?qNx(IoeSloc`X9Q6Ifv)UEdxR}9Eo_N;`BAe2=E zX#75bN$fP(su|NtZ?s6#+iym4$zY5w`IC@nHGh3#)VuJe@xgoQOQ_n7t$7wLX0NwV z?kyu{E9sY(lxd|?N%rRkrcJ6ZfeSScT2a%y@a3~-;HXs&Eax-$O`>^=%@bc_^dbpS zW<1aMDnSNxhW;u!5drC?;fc~nj}^YQQdK=TwYo97UEGTeEWK(R0pcy_w5As2lqcQt z%i(Tr{BGHrv1^~MMWH;V!K4edrrk<;en3b&@rtIJ-qMRVXoBq?DpfQR7uu^Fdga$8 zm~|CwTwZTTV>htuEItI+Q9jGn0ZqPZM485feYdqNM)I0+PrdMr`s&fg@rpC2ttSl4 zs6prTP-iS7BgWChU?VgdJObm^7%}7nW-(YbLPLgRP4TvNnr}3KfHPg~k28CRBK<5w zZt;zwOZa&_sdX6~xv9~AZtoL|%<(p)d2+O`zWMgUYT8P2+w3Az&NFGT7_U@{20u-5 z4gy`3(N?`Uj+`b-Z|bS>9B8LO$kGtnwV*Uf9;OyDLoPBRYk{F|fZ2W)`jZ7Z%nMUP zPU-?^{^OHOlQ+&WNj*ZNoW1+q>MegZR+GISYq5rriWOgdmO6u&5t#zJkl1Un!Lj$I=YzodjPP-9D=|hKQHd{ET`F9?pFQvsk%4WDLl;I;5~{A+JIh0TZG! z7#Hx~43u}QbnF0s@xJ8wN)O5F)D-^<$FM$;T$$(r>U4709U z_mP%je}ZW%#@bR91*WZcrFfA{3owi1@DvngVH*+28jUcd2B(~lL&_O3nL+Wyl!Ufh z?KFjU@zi3Dqd~beHv6;{`MX0d6u_5+uCb6=hp1DBaXp~dF=>r-y-b@Yr0e~9W6wQ)36IEYVHPq!3CMO~jontv}dKjw^b1Xhx&G(7W593e>?3X@1fA}7rwnEQ~=dUPE zTh$j$wv9Rs{YSluXN@`zZNeNq(M${hO(xo9Z5V8XMuSISyKRgZ@_861bPf+bvY^S~ zX-D2^@Ci{P0m}i|ve4BfkT8_m`Pr*#_ek)x71P%FGdt?+H{W)s_Wzfi0|C{(gP@TJ z%q{}b@BENyd>BevBQ*8me6P@%eN#`3TM%#>gu)HMs|8JxhjBtAWMNga&WO;0=h@w? zP4Ls%*SPQ;mVG0mW^chA>yP61aCZNhRu5;flg_vs+R6l_#dVMK*=HfDUG^ z?1RFA#PuC@t;@QdJnaP#MFw2QhFGGNiQ}EF?cJa=n6+{*w6%}Qw7*0KlE!+7qQJBj zqYkTiU9dk|w}z771d!Fmul;$afqMKDO4fu?({v57wUNm0=?cjd%0kR6o2F2*V^)+Q zHYl4WmO}N(O_)!@??ZWsb>W)8B2E-w+4e+NpC1?*l&&rSt%99+$dW>)%Kpsd7j_*759zm5O>s?^Xe}gyRQuGG?zWQ&Qd=-Nz>M zHQU{m(H!Buwsi~Dxhi6MIEtsLB$yaAD-{!J;dWc;c=9lU7KhU=Vf`rWDpHFp@CAwW ze9L?0Y)6k1V1*PmF3m(1FjQ+Yu}RW*gN@K=@Ce>1#E@?U@#W1vy;Faq&eJ~3Y@cV= z9lF#H?0jAks0(1Y`^(b_-lh_qV0W)om=a~vpqK)Y=`%a*I)nyN@EnMtXN=D2fva`2 zQXZ|~F)Jkn*=L$DVKzy^l)L(JWQ1q0ybDokgu3VBXPW#&VSs00;o#YTI1Y7T1AJ_D zIar}uGb+QtWCSeP`5O51C8e$%;_Qma&br{5&T@L3XUj=#k$cFAXbVo~qh~UO9&z2$ zAKaR%*|kDlFQ3Q5*6a2ZlaceuK?_;$%xs-zxL7{HHVA}VY>}FY+NDN=M`+Hywob_E zVHzQ;iP{n%qxREXkWwQ{x=msfm3w=RdS5y8Z9O-hz5Yig%6x7# zPQR_E42`yn$%kNkE#jC|#``a4w8T@4P*WX;I>A!r9{c5Nl5RiVBA=esVDCyN{0zA^% z05D3&2@fhGch$YooYzh7D~t0lkJN$r52_~0*04IT_1=%r>7K`3BkPB3R;%D;?`8F* z8;16j;BClt;eM*s{ocavuOEnB-6_Jg6fs8=4#m8$q_JUPdRdAZe~=Y&9ou>^O8oZO zdYX?@diJ@TwfQJLs2M6)wvA^$OTD-rHH)+GBI2L2Che;RW-P@BU3OpZSXb07IG&t48)?< zLBTG(Cg`lZiE&5_dF;5R2i`q))7D_NHC?Iw`n~Qe>A(x;5c4-9^(Hk_FWgXCzEd5H z-g>cf*-)!k>Hyst@Y-j?Yi!EyS+=mTTY7vaM6*UT6Se+EgGXR|bMzX6uf2>$qknYE zsIUDW7f0rkk1kk+yq?SL;``2P@;c9Kf{0##qCl5p)=W56hM6H?$i#YT0)}*8LxA*) z5=!Zi?TF2}pZPx$Pk0;Au4}x~BXqp2EcbK-S{dqAdK8WYlho8Bo z^w-~}u1w$mSDLaZL%zVQCf193z(S53*sBdqE~hIev0XY_LjyMRa2>7&yP1S!)Jz!8 zWuVm-OraiBKW>hc8SSIjj-MVE=H;8H$oJVdUL#r={DE*_YbwpG)8vz;x|W*uW7!Hw zYg>*gt=eo$+7@|}H8B2=Qjf5a7|^{|+veYBnwCy62z~2`Tgz>!PMj^waU@5k-^!fB zE3SF9CJ&x^m!kMJZleVGrC^1I;4Z(HvU7~g!(JQ4AWg>EF*;V6!dM#zg9^3hO|g|S zRu}hxQimgT_&AOy19Adp7t{FljJrV3vTF7m2d|7n_}&oZV?-8tjik-iBMZ_carS~` zZVzWwiSD{jTm?0&%k~`)`Uw4a>A4s=!E=@MNW*12ko5Q$mZ)Ba5E53#NM2I6DyhS5 z4kp4DR;a&Lih2Q8OY%BI^@Y2Z&)EI&-M-%h)~X(Bn;JNd2(~=Hx{!-rXeR2u(`fJr ztTRI{>n2$}Od~{=B&=b!F%oztNs}NN{L$e#{bv!Vi(pIgv{F-i`6WT z+CP}Q-8_YFyMs0I@sj@H2c8*gC9xwz5K70dneePRO5ai7u_4hFQkVe*HiTHu-`fGE z5h5i8Fl!7MRxsNbfvk3Q%-_5emOKf2s2;Bf)CCak$}be6Uxtp`cnMPe2y@r3zeVY1 zKX69br?_pii*DnzrCFwAO9DJoPFGWdNr@96W>0eP$((>+DU;{NJYjzX8=tn8&!N%2 zJSxrTuZ|@pHjI{SaKqG`ELSzsWqi;X`j*J;eq&u&MBMiL$vzQRQL%VQS*tyK&fP3n zw&WDmwjEpBj9FVlM1ebFMMyQu^pn=0WVKe`*7hwd!U^$e+G>9w<+_L(U(sr=eg2hl zCMQ*{{@^dCdR02lk3M9crTWaVoF>xiB%YD_l-QB9S2Z16Co8u)h<5f6i-hf2aC9)? znDt#-FhzHvGOj2#lDjh>YDA=lc54HUZIh|h7K@YL?QP) zRIB04YHRbyY4|BDBZbAYZvlJGa*j@m-ST-}Fw^oRpsj%LLbrl^k4Vl-nB9`)9)~P? znyMpnP{^pf{H&S0t~6@)EQ;_a*j~D5Kdoj`de^7HvltkQw0nk&ok6e-0wEWhoMxh~ zkVXRudyc?HXPBXp-fMapY4T)1NKcy8o|I@QO)Vtoa)wT>8NI$z7`+oZrV=F`9y;fipk)+NAkQ)i!0O3#7Cv~_&=Q~zc$ z{ue)m&qzF4DEt;q!ZW2Q-DYu~ReEVK3;clq=UJmGE7i-uVr(9=Pg|YYjov?GlSl*C z>bHT{MGnr7->Brq6h8@xY3o=$jh}dZ+1!|n9v(gKShje4qixU|GoUx7X(>e~WcEhK zNwdh$urPw5Ej4GW==eA8;is0zrjTm2$AWV!skNsLTk)!^wA~H5v=hzy!$Z4&&y~Ta zKt#%GaL|tD7_tkDjAD+(W8br5F}ZmoxOcN@rKZq1l^uoy$In)1@P8fEIeUXTW4|kW zgXiHJJ4Za#6~dF1#zv5KbEr`5{U!2x5Fk7%GbX^C8pPgkPo`QUg2xUSn+2NM4OE#H z#MxkX?;a|=Foim2E9S}C;oKLNJTs6S)Piruqp?I#TM9f6TKFv|V`j~|Ht_&04O&O& z82qMKoA&#ogG-}JFHG<|RUe0*uc`82{vV$Enz~b}5^I_adhp7C({%=P{1<<+NrA1&?fX4>9Sy+N!JoE z1I;C#*Vj3axCSeV;jS(jk10{sJ zv$Imo9=)Q}PkeiMdGe_(d2K$#X_tQ)o&*h0ETyBPCE!2bQsxEw2c)I*|X!qG63YCWV!!9=kuBJ)>|D=5C5mHBLhLw9lM-WRav zuTrFAq0Z~78a{%L3hYnR(1ABO@h@jK&{R%6$3JiTdUx82(3Sc(;D%aAIyhGCshvVKw=O7b7mWwb{{I z;I6%E4;_v3d)a`n+-+Sp5Y%7;!J`)kB648J&}|HX4Ix*%7UXspvv7=*G?WuVhULvJ zjCiv2j9U`!f!Z#DeU%nCp%mY3ec|=Em-J_2IlTSh@<4s+7sg7ztC$_0`;AD@DKu|u z>-S89H4XQ)@0rzQTY0ucq!k}4)$~~Np8M?eF!iAi*r3xOKG|;v58^86;p;!5zQRuY z^mwG^TsHilVf*xFvNK{|aVKXw`N3IsuG0e?QnmqJy@k!#BggD(6Ji%A>os-YWh(R? z=%0ZolL>0q#&^LAx`ogOM_IB$w39o{N52;wlc#cZfFbWTlx_=%LKf53F%JAD|GA2Y zqD=PH4=N1KTZIz|*%nIF+!9zR%!U75i(8c+2TIEI7h`Bc3Tsrgv**2KI%+wFp; zJuC5{soh&Od;k3pbwfXe1?i73TbbZa(ly;o22g*qb|-45TV;cQ55jD`vVF#OuH6jU z`1?M+Y#>lz;|gu7OM2q&n0QPW`3RKYY3nUJZJobWl$_TS^@Tg?zqAT|(+CY1V9y9uw6$yG8x3tj z|HMHkLqGU)M1w%L9e&(ZG7CNam{#WPmrC=^iyF`7zH4ND_a78j&2{{W$`1UR{KFy_ zkbdWFIdlHCJ+pzZ;ecy!rxWa)D$)&i-Nq2u5OR6J21a}gg>GRp*m7VDIaV;6@Qm7$ zp#369eYOw^J@PgL@b3H6h(7=+AI2Suum6vx(C;YDs}KINn(W?)Mam0E?V0vVMHU+- z9#atL8WzZu%FWSi!yjpN9{Q<3OV$gltZwsYX4oK-AW15!AVUwxwjWb1lHE`NG zhs5Zt!PT+4BuEdqU;tMX+U+OGaC(rO%=Lio!7tD!Gv=hCJB4!-bu_|9wylq7%#VWM%HcAYkQDaAUPI2>>?tkrbCC;G-Mi6p{=-RjK6bi>JBb~Cby9| zbF6CVWch_E)vGm)&ty0 zRO-Xm@svjl&k(HW)j-zqtO^8;Gb%FF1Ix(aNmHU83mOd`f!-N%*%Fe~!#JVRdk#bX z5O#z(PdoBX0|}zZ(Q7393eS_|&q3fY1!&~)XVqx_k773SQM{HR*B`!Ee*U}XO8@l7Md3Ga%JKiElmXkcHfsbK zKOp^{Y3m*Z`T@VFq?9Jz)gaS@%48XjVRz*iY^-F$Fl0UOAl8UIj0*S)>3?zt1JScc z{L|udk4$zyb6LL25Z}OnifVWVC1MKEP!bLgQoBK>yLB(vpvnQN}qkq@INw$ zA~rm2Wu@YjaMSi=tU$bn+&YEIR}L)%5Uc8dX^RNifbMR{m%RZn;DoLYgZR2>nk@mI zwi=E4#ZX+`0G^+<-Q_Gky2sQ02>~0Fp>2j}kRP9~83o71nF492!6z^(wUOBG={g}E zld!tkglE~dZC(qfT19BSymi2$kF;jl3M1psDoyv#6!?T1j)rSrT-gNaMWkBV8kQnp z$;PAWS*44X0D+APo!bA~jWbHfSBJ(hoi0eaXp~RDt%!E88;YdOW>~187o@DJ%Zn4Z zODWWhzV@q!wngq!zM^$ zIe>*mgGV?b%^9(*9;Oi@TN31>_;6DE!WqMp$( z+rMFgZjwu|a95^*`uCUgMW7QqC|qz)=+ahQW6&;>mv&VC|T z+-e&HQgxJ?muL1~aMO@p1`zgA#4fEJXx754(85a8rsCw3M%(YX=qVyzjHX7d3Nk=+ z7E|8ahhxmIVBA5h>_TMSF-lbN9p-n@=8UcTo9KBOn07-T$8bP<*(3~U;+wQr>nimY zlnzjNBhM2KBcn>lehJUMn<1}*9{B=>#P{Kw-|BmC-89FX=K*}Xf19BDm9ss019U%Y zi^76==PTq5=>b6#>x`g%qZzj`h8YS86FCU9L%>idAZTKpS;I`6g_ImZ(C_^nzUz(g#l!-2!Ot0)k)p@e)8t3QFbxJoa@pL&lC-?KMu%tR{QZvoVXF0ec5f z$OPsC%>;S^t?&Le9DnS=k^1^$MycQVKBd0=8C(m$T$Z!LkE%i|K-8>|;zd1h-u5-J zr64S2iu(j;_Df4^*&R?ptLgVvBe`F6V{Pr}XN^*yLGtBm$WG6ch5T3L-uX|Q*(;`x zOukaLJCMHh!Soc-#jA%*^gKaZk)-o-$=?7KUW(w$2m10SaI0y237?U;bOv*iJ)jNh z+vN2(9PF_6%+_G;lf;~UvYk5+mpG}T$s1-z?13Fa!g(V+;3NGqDhyWgqlj~hSgu-a z=WcrVey!pDKJ)2E|DV0LkCEiO@BE&s z=^geiM`Dv&GD9CNJxh(~kxa*9Q&wo1(LK9bNh?{G%sILo&%RumvLG>rjBM;ftN_C0 z+0~L-GxT{W+X2s~b4Ice2}vbTa_lr`Z!ayjd%kmD?P%C{a0SC^j+>6FDng{Nj9VrN zgF7m5R5NW@;7XU!Fp7?KM~9livb0R=xYBIW`Q;g1*8QuvKjQxF(O~#l{BqgkAIO^1M$u?eeYadqF*Heur9l*H>f!mhP^jP-g<`cJ zmTxsh+zaiEtWR|e7m2G!hu+erG3|9~X4__?@f&K!x0IAksBT8Z1(I;u`sf?R`%m03 z{^j3Bj4%JxgQMNwVQ(7a-)!+SMU!sX3s0T)G^%2CdmOvg z_Q>dZ*vy#J&Dr=BWIB991dJp9q}_Jjd~DlnPQuTJ9`sx0`@;ys_rd(y;&(aP3g%&9 zfw$f8XIT;svlU91-mz+KX@@RJFy43N^xUpESiJL@d6iYXjcN=RS#sXK4O59Ne zKHj*+wzhMqNt4|=?E14&x#dafpx7o0%8q}fD#u`OcChXS-b`h^TxkF7VKVF7y4Ui_ zM$Lvfy5$~+Ez@1-v<}=xdddQ(%@K<}3p$RgVr&(rH-ip6c#+3(JLd7?FQj9e9gbna z8%!4wyNEFk9cOAbV1f@G z#d7%Mm+`QK8(0WyXWJ_ieaTGwWu#@Bn%IIXC(V zoVJd#y>qV&&F$}_0s8n)@Nv7nV`suti?&+gLXRogM-I^nM;|-bv9x6XP<0?-Tw;}< zp2gG3J1#Rqq_8Y4lLXVK#8KTdZNnY6aIPY>r%jk3NV}lwmfErjjw=v0dgo@A@BH*H znZY+dm0EO%{nE+1tc0ov8EoL zpRA(g0xgolX(2>CurJk3qs%n;;OZO0=M0pU4f$t!(ed@x_t0Y>fN*7xo*`(Bsrm5dyww)P%Y%nml zFZtc=k9`SU@%{PDVfI_@+3|buXRF?7UPwl7Ge~x8o8z5S?!q>FC)KEDT`+lut&VRa z-lg(5c8WfGw=w=k=3PgW)%&e0dPm|G)nRNV^P&BGL4Ey5(uUcvp6j55)7-pN9g^Ei z7>DAVOuW#tV=uqBJGkk}6kBH9?vmvfWFw5~&_2jIB&qnU%oBV@c z{s=bN=XT5`_F`t<2dGn?iVWW({k=()ol=~4LMQ!40tHT4LAI{D7ui$$DyY%)n*_%%{zur0%xOvN7 z>x+)NWKf^9paIezdggRuKQr~urVmU9W-b2+uC^NgeGWJHpL@laH{N0F&ifJfPtJVv z{B8U`y-}KG_C~{w!r7Y5nq|gfwVYubRlO&;asYdJO^1!+a!p}bS|*84X^6?;Wsf>7 zleE-qwyS8>B$kC}!of)hR@vyUnh%-L4}BO{TmJ)Rc-lH1|0=G#Jo@MbGyHjc`Tyct z%T28W9Tv9~Ga zGmp=*1%*>6VQH7lN8g39rNg|UIya7!lmn^iWBPWAgEne{MFCrZKZP2xQoBmYwJ#}CG7kK zeY#*C1zpb8jz`)$3B1}JFzC`^;+{ZZ7;rirV_^fwT)yBGN zzUFwM(|pm~`jzT}!<<5^X##f6#7=u;%<^JWf2lBWI3OObSso4J>wjto-r{3M3j3LY z=EYqU<5yWJnLT|<*-9T1@bT(Vt)({2hPa8LsfP z)~QfD(zRq_qs(ShHlmdW_tt z2_Y83)kj#ZB$zg)^#~ACuMLB6;6<}G@lZ6@tj!@&zVx@8iMR>%k))kYH0`N(c^lz! z(h+;QAuJbn>b1L)_nqc^7(@=*#BHfl{FSg(b=pX|I)TIO)?|I`iCkYmwUPraS@p#X z{9@*ZWql-R2QF2;|2}Dvim;qMPv*tmcx}1Z_qvyx_$8XSjL#Bjj@iW?bG~W16H5DH z^Q0fu#!ahuh#x&dp5wuDo79fK+YGC69^kR9(ZMy=9bR>XWoenDH<5HV=a94(ajGusig_X@hv{Aohg=8swmm!! ztnb|=bg7@*yUjbzEgSb}Jhy1q!|sqxW_RR^h2DiZH{Iy?yH^P2j1I1`?&w)lSeBMa z!lW0KII4TMpcWm@RfMQM7Mdz;he@BSLiJdP&CZS#^%4h@1dw)<@LV1S=n_k13DLYAgVm$*! zNTbcB9<8zRVXI-JE~&>VP;~j63SIKMoP{aag*}#a*jd)`p7$H4TIf;+N2Txa*odP_ zeG20GlWJqjqj_ekbNCa@Oz=e($BP&ri>*JjEaO9q)He`!-;%qGK>YiVRV(jS4RBZa zTYJY=ceQa}4<}3why8(DnWW>ezZc#m(>eyP`C1fO)+{BK24xc*S0LpH z%o-Fn?B!6BTdE?{#8wVvrN9{_f=ZHd!XH}{2aV;%Fxn)UH)40q2ICLa9Xx1Aizhb-LElG0>k zi>);2drunx!ksEjeb%F{+<+z19mrh?!gb6NmMx0*h^Z#t0t!c?ncYk9nc0Q7_&R{4 zJ~LzExxjH~xp3%d=Pp0glSEbSvpx8Cp`Nu0qs;RH$&6xLmbhBmQhyTIAzTcTag*`` zu$ZYpbO%#gc}LJs*j zJZ-8bFczfVl6pyd+9+BLre}gJzI94V&4SNkc;Dv|pSJNd7m~737*1I0H{*46>QP3B z6rOh$R7q$Wmy}M^7#$xgq%D)wYu1skP)!C66^5$bl6pyd+6qX!NwQ}9Ej3Fzwit|B z%8$7CWYX>)ajTXO?{c@ib5Bp-)9#SXR!!?U0d`8`U`l#*hU}$G*Q_;6_||c52jE(* zE5#0$q8$|X6cER^n!>WQOcL*+qMZuOI%S2lj)9wM#o_C?6FD9`&pyr3Yv!35W^lM_YQ!K|-G{raXE@I7RVXW6% zQZMOFYZM=_1`qI$hRUKS&xs2erLK+-!92im|?bN;m-jk(iTtP2B(pwWmYt)-jaGrYuW=KrO6eBo~fBT*qc++C|T}V9`#^p zI1_5-z@XH&eMX_CgTd3drf@7RlhjZ4Jk@mSCAH}A^7O1J981fzo-0izo>Y_K4DLFO zV!@?8!sbi-bw+MVJ6ALQ5Yy7O>|=-J=jfOcM}zsArJPQK-rk{&bfJ$Em2(OGsZ~!W znvVAS_P<<_cJ7GVq3RvCw_!)Jdr{Tnv^8trYyow z!7Vvdpgg!vMsu*>Na1I7aLwg1g5yeb)LkWMKeeMzr#NVrP1|0x&XiQ5>VEo3PPW|B z)>~39X-``L={8~FR++0=!N0Vw;V%`fpWD#Xs)aI7kD9`ZuiN_yGaWp8K;JE^+k1&*s995BNQ`$ZzV@B!{)XX>Y)bfo3!Ul-5t)(tJ6(!*qb&<`!u)IAIx#{ zInvVzYL>44eAv^np5967amF48%Y9v|K_c$0B~vHqpaF=S}UmHmkTZT zCE_=9u%NreE6gFiYePdvFAnx{H$y}5jMp0Ey_{rh0R(? zlvU!Ub_7y9 zlAkcpU=mi`oy=bms1$)D(*kbu|xgI`!v{qZ;t~in&lR!KQBJb0_ zlKhRq*o8kO=Lw2kdkZg%7rQ#2?soI4-_I3KKD>xtinrq8kQYAvB~>-s7Rs|a3yWRY z<4_zYcfbH>00O>HHbEo7@7k4{7I+f+YL#NTP-9IodJz7j^Z#CBZ zPChVCu4miV^5G?8-fG+#jQVYumu|tg?_00YT?JbzMdf|k7hW=Ffgs1Aa@Ca8Zj@(_ zb4w1JbcUXUX>PGKkJcOv`U_LUa_rrTad{GQSZPBS^lreuFZ%Pmxm5aa*NGksVl!SH zyJq%Ap`&r}girXq=}l%IFD&}M``9#(S8Hq5Y?gb5n(nk0gf8lYFr|y*Tl0t+ZcmJ} z+&FozGcaQwA7%MDbR`bb9o{St*3s9?$YKL-nG791zC(9}suM~(w3u*l+IkJ$JKzrX zD{JMiD01`r_vO!j>CIVo4!?~ES2?%M!#GxcUuHJ)NAt&LX-r4QY|)C#JThw)PU@Cg z4i%2Hq;MqC(9x2$Er(_qAyRl4pvluDH1&8Ll$1^^?37i~mPs5llvVazNy-IOc=CP5 zJn}woxS5U~Exv91EAaH=H(B#ZoXYI1nPE1Xygl>zAoDM8-!QLjt(mQvv@T9t0huvb zv}V~Lx(;0QAlu{ciWafjIo1xq3{V`6Ay>n>}lB{_$V@+Lem2fesk95!Y+^1Wfcz**QIWWe^!j_!2;df*i=^%!tj zs9Ef$H4;dlwoW-^X6**H4&0C6ZdsJ!TDtjU@onK*Ov9U@5Ag+Yn5M5yomTlscB@+@ z`aSMzd$f;J>y^DXdvlJbt$S;RPT4n%&EI(9yxDv6HFy6x^sM>EgRd3Q{&D#5nfc{n zeVKPxOzca!Vy58uvUJ9B|5@V%PFq>WWz&{za}2`GfIa2$a%_G=h8_ktd3I{CZQuOf zCmgDA_S=v8iNF4UnG~n3n4dTQ$Y4H({C7Wci(gn|=GxmDs?2D0>W-zeHS;V;iKju- zgG|fD&4vmVv`k7AYPt*Y^r|TwOUoqne(_Y(sh8BE!^_jNrf@7R(|WEnn@sCYgJu~T z=b#z>@aL?#l?|?a?e$GYGJn2f^2@*UxuN;7-y4o@Vcg`;{uka%{^^>@^WA~l`2wEb zFu`9(pz#xQXdPNDHFI?8mMuqp)XE}Zx7#Vu5_YGIGEe=^lk4W$i?n_zeBbNbedw_N zB{yfF*u9p&;PBU*px#?QGcXT3%+=Ut_LI>Wn&QKI^w!%q^3nqv)IGtaI0{# z@5B+;6)d~2;0?V99d1N?4x4tkV+}L5V~OP03HVe-&q=fp7d|j+v*;_va*uKe$HU>L z8D_9!j9rJuUBrShlr#c-U_a^MjDHOTG24*;3)<<~{~1(&f(sbwn_ou5&t>0t2ms3{yv%OqjkL?xbT zI`xuTba;7s))bDVWm?abW|Od)QQa;9uzPCFvbhybM1#dCfl6P@$n$H*8mm$y!VtM=Wytw&-)3_ckh$k8zy499O z=+`lpJ?1)I?i@Xdl`+1CWrq%N0cU{zhH_50U3B=OX-=9UZCc_hVge?&tjc6gbb zZy`c)z7{DsnRu%4Wq9$M_?eR6v{2f)gAl~E3|^~GbCUU*1z-BN3NOasOa5#^3-;L# z7a-V)npcr`!j<*1ZQI`Dj+I&WGzD1RSu~%v1Y%|@?+;+I@=5tBEb6T++}g@Jg1&ZU zwldS6g?%(Onj7L6mV@AU)3(kclwdv+;=Nt%JQ*KCxm*_8&A%tpD2m*>EH46l8>(t--_)9OCFuzN9+ zX$7hXk>bs;sFDQJsHAd&S+}f^wn|c~S$9fmad+UGG3}xWYg0}W7NaEtC@d8j6zH|g zXQ5=*?63J8zuVym*r#zVZ3Se7nz1%Dl+3oIxF!8obUT@}jzfNV87=Rc^gL^l8+0;8 z%78QL3COBxZK}?$$)L>(n8RG#=+qQwrLwk8-DT9;?4C?DfkkakK`-X1DLge*5{}-u zq;#TLr%a%&MW;s@!EuHC+@7Rn(uRhi3c=U)o=a5|rY`eIhGui%VG8w&#+to>!B2Bg z;aZjJ(Hc#fPF`4#1HlCDxfa#T73(ZNz2ez9edHs?@fYa4(l-189Wvv0jIozKhGh#) z#Wt+-)MqvE%etor>avmVNxy;LEiRMiK9JkVcx@FM+SY~p&HiY(ZR`YB0*rYRy7mzR&4BfxLdCq%{dDr$jte#&Brr!J;i`kI} zzb7a2dx(BDu{2}j*bs*orJ9B!MYOMTw2MkACu&k+X%I!ndKDp3G$*N<>@s4v;D=Q( z`1W(=#xK4ZN5y}4)7Ue{eBzU6$P@o)H2U^)*Zt&md`B|b`?t)qFdgmuzR9oiLQYw; zom$#D_0r^{tPB%+E~KQ^%F?0gm(rqCbbi*W1@kh3r)$}yxECx{WeXfvN+&KSW!bc4 z63+}#%|c~Td0F8<+sKXk~!I;;650UPFATxjdvN7Y&;|EmZZ(f|X?XzZj zAqRJp)~npue9t<}*38i?DYaNhkJDj5lD%Z_+e7ohH($f@9pSf+BYy?m%#GF?+$vFBt_wx0Q=KG3 zgp2t#D_b0S)yhv*f$!Z~oSp9AwDr=Lc+CWIe$DQUUK#rJ_nL>cx1T`4WX<7urPiFe z4YlVFIaU$3q%)7an~uNxgtIi_Bv4H{SY|scUa^A1xjM*qR7WM16E!KZG*}{Sl_c^l z>ygU33q$vZ&!MYu+IsF2#q2o#6q^1MZ*w?pHE!~{8J!>RuRWNrA=v1<7yb1K9%0&@ z)-!Ln2`$=Xuu(@@T37wjw~@iI>Zht&C@v#-npBF2q@^kzHwtX*nTnN_Il}i(F?cEjm|@{cjmp9 z(21Wxu|Jn@_+JC*+r6T;8=dD6PKZnBrEVuM^rg;m0S~C;2hTpRKi$~6Xk4*bqD%c% zZgH24wa{`CKU(S0@kd*MyFa=wrc>kIiH8laIhon}b zAGREE3wti_z~jU_N*4ImYXdzwzJ$GI_`${wdKkBmu5lu?=))_6qHW%yV6gf%bVNzW z9;;FpUoTQg6M;PzzKGM-@BhOLlWj2)hL5b7U-f@z{R>YGE)KTQvBSyQXPPOsXT18)Vk0SfuqgSae$ZQLQ-%nU}=O;>{dAA6jDWq6lmh%oVKozw!LQ4 zs<(fT51a7nb7tT-aMJP%>vj+8&wmn+XZpy0V@9t&YVZdc@MQ!}TTT8K4`UBIdHnh{ zp0@I^%HkE1ihz_g3s35)VA3Qw@v}{M6MQXJVeAk3rL<^^QkCyvsc|rr5j;)H`o>MN zR5kWG6`isN?XqdxYu1^PO5ENZkpJ1nS)8`|As!_gx(ZiY^U*c*-C0~)9lv-)|xr`bV}O~E9k_qk{$&1HhJ1Q2we(uZ@xFr&1B7d6hD&t zIsaZfsmFYVKg5AdzKB1~e7i?XnVcYjum}4%E5L)INASX=;qYPZ$H&j$)1f!7vq!H? zZvoA*XXCnV8EX7m(#4X3Ry;5qG(U32~|<~8@@ z)}7hI*N5XLoEdC?zu$0w6Arg?{4nwfW5yPiM<#q=P=lZlZKBFk%PzKD=p&X!8D4C) z!;4Zyh!o^jC2_QiN-8I6QetTkMaOy-AyPCashKcfQW)!vNAcwnPg@7?8+fdbKZP0g zxBtkDp7rPUzW&4b737s)S{r09KI(Qx-{l`U`hmg5;7=|X3){HL?KR^Wi=#bFJm0!y zP)Awx$V|VK*}5Z5wN*b=Ora`SkRfI@EEL9RmyQrjcq9!Gl22pgZR}msbbCQ|~19l1F-0TU^7X_impK?QVze2vsMP zHhjAuw$soddD+*3M+R!`r+b`&QWBroobDmK;iHDQcFsJB1dG z8&g6;C-TkB7=~qTwdewV6mo-iIUyviGsmaT_K07&*52WMNYZbV)RLuUi<-loQ!uhU z>r&2~rMbt#v**p=%RKervp`(7?#&NbbII)CE;c;#bTs&MX}rwmc8>wk)?aR!gl)l= ziM4>w(WFg9^`V9{19xUIfOj+qUnphH*-i7g5jNG)d7QRdYI;h;jx=1d?{N1WIyY0x z4zqh#mFiZs9Q!bT0HB(2uMR9q!|Lv|5B^Jf4KAUijmPp4&|Nij$(EQW0W^?piJR|xF(v9)!x$G@Z=Vr&RnF%X<#5F@L zYOUm586FR`uKK04fN@%?eyVB?pE81{f2EiuIkr^ImQ+quBxT98RT9q(QO#JFPT4ZX ze+O?7CwM)6JG1`m+s#EB``YK82AaI%P=!7o{T{*g;TF~~oK+k!bjB^s=q_%nk!%-b!`xzHEj8X3OV+WA{i1*)`|l}(F7!FA zz(PLvpmD9c>TTpKS{IwUVt>15AR!ETW!s{U;V{6n+%3u%ti4Tk&tt%k?i5YI9-&)CXu@rCp;Y)kDut|3w7nUhg0 z7y5{$5yu2u>F}ad5h4YriYketT~tyzQIir&gD5)Is|b;zImxWaE=;mpjF^!8?K7PC z%^=0$HTqpBp7y3VZT&bN!Il3%SDksv5A(?v9>cNm-bKl0za?p|1L=Vv6!If~QTTi0W&pib_f+Dw48n+A@h}hS{32DoOF*`NSM2xV*z< zd*=Q%XC8b18S{zv;Lh+JX8Gd{Yi|AoBB13&l_J+q7E{A&-JiF?>RY<_ZhYw4@4p&B;4>unhV zF6m^0#laqxn`9xcaO?78V;!|)mzisOD6lBGf`YY6SaApDg;W3cr00z=J@yZ{fMqiG z0sheb$yYQ?kV zgQotPL*mclwAIZQ;^(Vp&Hl^ivMj@&SVmW#$AQ&DlPB>=kW0qx3?Cak>Fk`>0;|L2 zd_4z)n>nLI#W=qDNif7{olZlAQxzdn5FE#qr;+1ZR8l!nlM+jVC_2`w2$7;W$*c*s zsGd)Ec+0vORZUM(@|F906&o8G;x6 z!!+#z8yD4#rmo%olxXrk2(m9`gC@p4<1G>V(T({OJK@7PikjeOu;|Jmf9<-OOlO6K zgXFhwE$nm8IXs?hk*CGYCNpj(m5dxZc9*Lb|L|2YH&gs>o$p5_$XJ)~Bd@6VPCNaG zDtBTljqq5T&~YO(I>#B>X6we{Z+>3q?D-)3HR|=nYagO_yLD~Q98V148KTRO`XKMb z`@lF1N(dW0ZGh)GBUmn!3dfaclJ#IZTe5K4x#)=P@#;=X6W)_D=j-5`WRD-h6~9G~ zI3d(|1RXOmA3KXXde_;N$b@$1EWwMnfWx3l%jZra8eSz3)+{oqcH%)nWvhgmQ9EBV z6uFji7PrXck%r2^7VT(IL=9n^TbkCA?4WpswCy!J2;a73GxJUSDON{)$&brtEPsfR z>+%%9IBhEczGP* zrDZZTd<>@hG@t%@sEQCNo`yk{B$!4el@kMX%4GSpRgzlGIx`04zlSStxSz0U0%K9m z;8*8QV;H;4pTW`a7E4Cx=-ZjgCL4IB>8H7;%>vR|+9+DHNs*=NJ=AOlZdtP~pDrCo z!U@R9q5~@6N}h%TELsD}@M;Fq zRx@*hex1Tew}ujB8`nGCqznW`!zp5iRnHD$52HKyF+7W#)bMvUF7UoIvxV}r7Q>HE z7PaihcG+oHQ8QwPEhEeNEtBg`_386{`W|~Sk|{ZK-N16&EN`%I7p4uUBCtZ0BHE(_)2O6!VxW|G8br~tUPXu$%}Ht| zVTZ@49kTuMmWesh7+>l8Z$5!DJh$#L-k9irY|U(LeiJ{k`pDn*D8b^cQ){_dBln@Zfxp2bl6g4t@ZO z*RsN)u%v9;B^~b-H{8~c6mHQrvcfh3=$FhpiqeZ={uyJ%(H8rroZB^ITpmk>wT0eR z=+%Yt9ENe9ZR0TV^pC+=EFrE* O*s52S*a*Jz!g*}ZER=iBc3pqUYh^0ri(jn(% z1Icm(tWEo2!@JC_GnOHG6on2u)e%lx@qF10bf=>crr+=b^w9OO*8*!UW$W7vw%Qb@ zq#UQ5#bnvh-g9P;+FG}oiRav#Z<$+#H_gKHb~q7ZCtJvBT{{i3$Ome5%P!(@ui3gs zN5x(-*|4V5R)57Bp0I1+@mmXA!vB#Pz+-% z6!m!Xw82<4mJ8F7Sw)Bx9IlR5OcG3^lFEtNlz19M(Xn1dh!o99B9klM!sRqZOi6Uw zib*#J?kpL76ThaqbQ6Em6Q`|1|L?9j{E3Fq$nAd~t$kZ@tKzFPj?$)U#$Pdp$HIq1 z?Qcj&LmHGl$YqM<8~dfQU_nY84a!iSE08Keq==g2X&04LPSm8t(IASB^(sQ7Xiicy zxy*4SkH}M*5Z5aD=ySPjE54KE>2l#mN$o}Kz>eeejdUM5^B`Q^@ zYpv|%b=XGkumi3d&vR2x$?)pBk8ChF95<-p_J^G_zi`H9uVxmfJ7)%GcOEm7>k}B{ z-^1ayykM{-on6x6cns|Er0JJs2WCEP>i2M-Vk^5@*xXhi7x?Bb@N{My3;4)!z+b{xvpHnUpT%VmSK=tE@A7;zQ+4b*WC^Za-6z0 z$#g6iB#?<8j1%oTFp^4q$%f zgC5`h=c7m7<^7j;fBeGGJae(`)?DIh#j8x8c`>#ytPalpY6<2GF#ULz;j@?qrsIPH z*y9x|xPdyBGD#RpQAy=QZKte|wn`FJ8TAN1ujtI`p#2V}SAK>jFc!bRzxE&FrZjvT zF)?q=2fViGzk}$od~W!T7ao zCB(BCf7crG+AezSxi_1^gW3v8zQGb?>NnVEf`>XL+NPn0%Gp)Vh%5}SK_Os!&~6E zIW%#H7HOeCN0OGaW48><{rIUy3~(JTML*-@bsbwJRz?O7i5+iH&cA-xLzo!@(|Ip-l=-e8P zhS7^xCYXcM^V#GONYcpMAx69=SY} zjm%Z-XnBadij=?nXphml|9EIARLc**_A2(!oJ`s=YxY?_Ib>e0*jg&Aatp$CS`1<7TZ3&;4>#veN8fk5@18wBp=uxiB3z zRfI^v+BsS=Nw_Exl~hhNNr|UH6dmhTghjcx&h{l*Y38*|Sv>Jnr%i zlKna+qq5Z%ADoXyj$=s_Cm>jpgj7Hx*Ydnp6*38nDHB0pjP|%va z+IkE3x|s9U@9h|L9Zp+cnEd_0wmCn(wK=~0*D!eA=^`MuB89Xg%bXGQ`I;4Knzac7 zB9^f^YyAn~s}sCC$B{cK4ALg;HMqAmJIUtd?OO!4&^-LzbM)_2a1kJMfn#Y> zR!G}kvvNqbX1B)YvlR~aWdlGcJ^!b}%szM_{C?T^$$jShjh`Lir04y`jbZI+E%NQm zQ1=$Co4eY|+ZemV;wH!0ng#w{4&6G(>KwnEP}=Fw7|Cx|1{>zN;=0@9+}hwguC3xX z>6zJ`{EL8^Bi`}t2xVHcBg}9Qhjx~ee3RI)F=CAK?+6Weyqr7Q;X(ZuFbSl;si$$f z_;!^vD-*zlx0V>3v|cs2nee+hYs{m#zr|x>#D;6RzMAa$)%+LswhMb4io*$|y^d=! zvvSbMJP^fU5qcNbRYs9ev(m6I2{c)!84Gy9HB^C1q8*$p25C8Y! z(CvR{?&PnQ)-eM7!r_?b%Df4y+$|&D@Vx2L#d0BnU23{M9hK~-3K*7WTYsRKt*^gE zzuA%lCu&mSXs~$NgCvnJ>yZ}QP+@rahh8xG|A}vb--8P{{ySUd#@A42Zv6c8T4#im zX*ree;Z-$%_Ox}bRj8SzX{X%6EeSQ0ogPwF1g3qzTNRWGHwV^SUC%eX$;Rf37kyDa zc{E&q%nSXxc2%Sa8iYGo^NBS&N58AkUvg ztlwY9L9k^|^zFdox2*$i;M0v9OGhWik>luUe`iH5S>n@i5BS%)fj(%ig+q%=V^%h7 zyIkPT7E-!!xv#h$hUufK9zpI&lbwZIRm_y0UFXqb4xiV;{ELOKwzrL?N-``(lWV2v zUhv&&X*&tv93dsH#o!0gv%D1ooi@TFnecuK-ywbm3x{#qYHqZo?N{t*#-YdddAxdw zrxoWG%LR@|NEIPcaHu+}nIxD-C6yDkDe*LjqGP>^5Gk6I)J#I97`0tmP7Zl(^?BUb zGRA%Vd?XY8%nZKUAO58^ZvS&Ow05PM_1ea3tt^{ixlqm&jw^k{(TGT@nq#?^!=o_n zg?dTlMB9`&8Z42vN)q{2ecYAS;m+X7u;c^>Pp5y4)7G8)ar^#vK4H$>!qrx@VQ~lW zZP=Bjj&_Y{`CW3w>_W{XWTkVLG}Wxj{?zEmwo6=>^6C?I_j5R8%JG+Y&0s%s_c^mW z2orIaTU}@CMJic=z|CcRZQ+C`ftd0UmZ2nUahI{k*)qmW ze&~$DY3m4onJS#N?(SW}b7!A>Jj*8coBZ}gGwvO1&X60nTX+~mK^)h@t{$JBD}BV% zC_1>tIxFJwiWQ-bEDeK;6r+;Li6$xWG*}{Sl_c`5>XAxY8J3*ra1pmaT)|G|r}3k? z{4Ly_{`R?7_}foUyQPiMA|Z%XSms#EE& z^;!;3tJ(URdP(I(+mtvOERnWK68W-bTa1{Js0oY(@qFWH>$~sE^Y907yur^B@kl0P zpUL{%Bpgw34KkXnwSxa<9=8Y%;ON1yJVjflogqjR?` zpVl#Od(Ar2-WoLY1w789U5r}&nd z1t(l|Fi+z(VgKUB*B*jn1R7q&%ky|)`do@CLZsM-fhm&&)2O6!qFJ{rpSDU;uUU6W zXm@ZwFpbJ4gjiTVkFS%U$jupSkJh}xl4sGalQon)gl-)jAg!m3qSas`ZV!1nYpz)j z*G3Zz$dizhN&D`ln>t$Bv!COwFu(V+UDnDj>$sZVs%g{yjlVUlO^`cbipR9-IkjG5 zqfTZNGBd^TEmR7ho-0YgIk=}0D{i^K`5VIF>A4UoqFqQZjY=vfI(EtmX{#jjHFKRA zshr?dt1uN8Q9M;(CLY`xc>MCw46P$ld^K$Gog&OUUL8PMP11)<6JT$w88e=4A(n;3 z9eB9Gz_TB3;NE_8A$peQuFi}POqN`7X}=yO=^*!{#ZujRKw{*%9D?xJak}ZbP)f}T zGFZX))Vr?Mty|bQt?@hI{zLOW@^MTEIDTemvd;}gv5iHQ0U9FH?a~mKRj22CRNQ|<=93qXri~TF_ zKaARjEtuaY9(17R%DjnjEG-EYGdoKtsE$xTjQya$=%pW8&#TfZy`91 z;Z}*;BXVzyzl6_l<|*3Lhy?#NRF51 z!g50Q<%N~zPTny@?@%;EYl>=5$Cbl+h!80(OUoo2(k3c#C^+)8Rg!wmm_8+z24xc* zS0Fe3(udR5ul^d^6i!<|`Xjj?oBPd~YY$|chyzme^Z}{KZ1d}ICOHa{WUz1^k*Nk=99l- z&hO%{eA-)o45YUPw=VtZg|_iK8_h5pnT6-CIj&5HfxW?%l+J2` z44j6ON7qdDy7Bj2T}PScmGK9L?=)*?b9b9JzN{cFLuX5tB#@lxupz~FhSq5hJb#Cd z^PHRhD`tWxAL1$f#l+iLsq*x;)2K`7x|F9|m+V$&__g4H*y$opPlN9Uy7QG=EF9yN ztr?GxHT=y_htC=DGs~&Pampa*uk^Aybw;*Vi}5>*%8nEE!CELDVygAlu@iq7)3DD* z<^%Y=K_$u##2zd*EiJL>hF`Kb#X9z~onz0~k&vC#M|?Ex+Q?<-9o9d=10A*veZGTl zoV>?!Z3Kj}Lww5*G1e&zHJNR*o35O}SNb>2JJ93ytjmh4t@x7v+-D3PEG48Cf;hg{6qcoBl3*H@II4S%yA{$_NunxSWM)CPu;XYk zZNkuT1%hj)PKG>5wNJtM zpW`)N#|rOD!_+((ym)qi^`jSi^eOJn41;10>8^aO?@<7vLw^~59~c) za+58pid&qzB-L%H_Tn4iuJF<=Ng%t4lbRg8!nOuEK7X{kVqIWd&&IF&imaPIgW^p!LKxNk}%vgzs!la=V==a8)dh#^q|mB|Ge`-$?Otm z@aXt^R@u6oLlYfbW8KlZrm!q6lfACMA{zWfL4%Ab4CQrr>Pg{}D99 zpTCIfuRoBFcc96yy{-5YWDYAKEg$poDp$CHS-irNpxFHIb#r6QdW>QhV%2Jfu*UPP z%oZ$IkQ6kfHW)0uYKo}y*Be`KZXjW@Y$hrhS^3^w8Y;&~vYWuH%Wek{qY^=fRt>Y86Qz;ga4l-5pbTjw68t3kM> zWrs%mJ9A$x9(=})XbCB&vci>9XqQ~+QcAZp0d$__LDH|72_F;jJm*9n4f8U3a$9T2 z>R47y4W4VAQkiyI-NVW?+lIMmBaA@!XbsaYq~z(j!i{Rog=J*P&3c(sr^tu*;U{GF z$OU7-(;Pj?&nsN6r0naepwEKsV{|+=Z3F*^v-eKhfa>|yjk3WwYKP}MTNlr5bSk_h z_%p`43|Cu^QG91EHkia5t$L3*8gc^hcyaJj9N%gR%hEDQFpWwa)rXsQ!1`FmEz^#_ ziVV#)3wE?^5s&W|EOlip#}x>Z>=50xw_#8ES?o^-?<)>~&qSupX?vK2EQvQueH3fz z;Q1MGm@3*oI0&Lp(_XQ3sVU;lZ*L@>J7tBmJD)<{#+r?0zwB#D?~RSeubo<*L&F~A zwN;#?hDVYX*I%Lav;fjQ%Z1sr`R2@-{+sXsol_1 zg?)nyUEgbm*dAUT0p&p`D7t3O&g;_1Yh5xIX~Q8+%0N+0%BLld8K(PZtd-v zR5PAsH@To*!(*Xwv~&(fOZdBE7g{EYnMjAd$j21VOEr2q0;7ZLdCS^5hb9}#5FRhI zDv0BIO<`GDCJCldiKBW39ao!Wg|uV*w79uuwmH{9se61_U0w?h`f$*(IWPaQIb&~s z&hA|MWjqP*vnW~j-dNnNR<+ilusLlX6FPT^L%&%XlyFf{k@Q%OVY479v!TjZmR>bQ z)cGfLwRPtoVoc1}Y!@{PzjI48*2UxZiK%%tekZtIh^wu55(q{*Tf0Y#@V7O%FrPM# zX6H@DWn3zf62Y}e^LxwSC;e09{5jvS=|nSMe6T*k!=5SZoywRiQ}LLHU51I6-QkIO zD#b$v$~Ao+D}kz~mdQHp#Dl&(7(6?;^twz)`7A)7{j_y;_B?If|UgxKZ=mK#4VZF(mkieT!H7(1G$81bc#0C665J6Kn0B)Jp0FoMU~x zxF~Vlr5;=@I9O_PQ2C({@IMIft*5Q!LHy#W+{1+rPg{Al74po%PPWjU;5#?n#ZFsU z+43mdb7gwi;kaB=SeBMaf@xIZsHRgdsYQpEqi0QFS<1BE{!cHN_S04q>}cB|mb$VD zjw_JgdY37j`2eQjUjpImDUFMeR@*yCS$ap&nk!GUlvo->v8EoLpHa4{qWdEX#cDw; z-)f4eNgep8B6B)1)g z%Sl{qovYMoTOGGFm|L&0)0w8l2E{Yo8a(QjwD88BH)=f7usy$7?QL9& zyM}KghM$Ga;O`AaVeSn`asAc7tRrpWtcT7iu{4N!aO&at$(hJzR<5%7-jB$w0GTj{J1LT z3GCQR&SL=JWR`u*zZEztfec_#uUT$jUYZ=#%%d&|=Ll7`Eyy8wXY3U#X6 zEN$DFQQIk#N1}8^tkUB#EbMcIPuqB!3rWG`LckBUxl;-NZI_l zNs*@GebR)o!#<_LKs^aLnY6nn(bRr9xmg{t_#=+r625QR>tdPes<+}m)-HEJF#rOJ+lv+aJxQe@-F=@ zl#T}Bx%^y^92WtLhx;Cm*eVSE?m{8Y4DlqqGa0A8D`lA(prl$kfd%dsE%UM#jnfU4 z^Ahcg%L%TG;9%`J#HsLj9qdr+C8ZP1l9EgtHojUC{|Z`J^Yu(;`IZn*eZ&dtqYsyD z!viesZA{3UCU+hhn&w-#@kMdjHpkLtAnhjfNr|Vye9eMWEn6r}y+xs>gTd3Orf@7R zleDmjC*y>tmAG&&qtEt z+8j!#Dow9(Wj5_5N$s+L!r>#DDK068z_HL+Mb=q3!!uSa1t_`-wUsOA|?o|a& zOpca3L?}l*cP=%BN8!N{JWb=0(urnCsYRzp8NqR-tdHkP*|v_;)?i25b{Tl;80&E^ zOn0H>Y3l^{U1U2{9{;e3rnmjzaEjiR+HCsq z;osbBksjST?Yn6JUj{;b-M|m9xuT;p%m&n0yd=j&;M_*or!SRlSX{HH`Ev6dlzTO5 z{q)7Y41bE9YNwj7%R5?-pn&a``yS#P=Qk)vh}pp^+EIfjYhA8v10k>vbVGS zj8k#=OOTDm$6mhZ)EN53*of&k8jmX`E`e5X${CWOWP|?ZKpKV zta7Mjt2Av3tj=sB%faC3P*XUTmPzXU;;E)nQdUTd)7DXQ%|bVHrS_=0qe0mOkL4j= z-+Uq~?quR=>-V4_{3P9RVb-)cZ66ax>Jr~nvo42wPxtyyaZOHJ}i4O)Ov1adBd2SA^sVIt-9>$V`|@AU6?i0WvTQH!Ip}%pwsecJ5F1Z zb#TS*ud?&S(q7mpV%d-J7QW#3##k&jeA`soQhRvfdhWaqFXJ5*>8e@Z@N+eq%&jL^ z-K>Sj%4Av)9(sxcC;V}_X-W8C$z3MVoOFD3Upj5JH$Sdj|5z>kQ$_d~0njKcaK-ZN z7^J0U%VxIAI7(`lH*;^=4^Ju$f7ray_$Ufn zYsO^UV_7b+fjn^UB{X!jWbAU-lo29@hrvM-n#Lui6U~ycMB2Uo^1iI$wDmV^QIBLw zG||(DoF2!E$4?v#uRY42w4!_+AaIj#ac$0{B$!$*lx=fdDM@**)Tld_MkXvsiKjsn ztMr(Lx>8w`iq6j{)O0XZ5iDIBn-uPnbyP)cd$C3=Vg<3PmxfHD*f%ves zpU=D%wqJb7bP>h%3EIyaYyB=G&~>)DTeQXAWlcJi>K<03Pj2rQ&Rb_$oLp6AYoX;f z0=`YrQH}||p<7*t$6c(tJDZi<2Z!CNo$HtSzV_fs*PN+VmnLAB_H1$VE#)o66FzB8 za@P@P+B)yLMl4rhyPVsd?iFU~d$etLI{PE6pL+BN;huEph9lpTu9EVvK)|lxE7wCN zu*k+$eu>n0szarltDKM5$MNbtw&oT=d5CStLF%Do&MYbwH^SQRW$=r!(6~&VqO+v?FLIm_(=_-8iEX3Lr%fUxhvFg(*i|6+iW1{?k z*Puu{Fl%G8Gcg;ZnS53B?BcX8MVhJt#cJYxCZ!D(fPEDY{X7WWUB(=Qo-fzRv@?Z? zY*I%AGIQ4CBNvrbD~EJ2>dSz$@tSv~w)_gCbUCiiO+4q|kt*41m|Oloi3o^*2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0P zh=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%) zfCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y2#A0Ph=2%)fCz|y z2#A0Ph=2%)fCz}d|KD!?^R`t*0RX>_OX5~mor;1oM8zrtNJYpJ|1uyE2nXU@N<<{`}o}R?)lm8JQE;5fB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5crP-UQ36!(u>FAM=4EG zw@T@=|Y~g`(E_W^8Bq(|Jm>w?5AMO5NGh>DkT@&TTIvAwnra zUA$VxA%|jN7q6mLn#G|k%%R9S4Z>VLJ-xZ8S>=oupmSC!pR=}WsIjmSTE=cl`Jbch zM)}6VSl4_szCm|W`Z4D|d$N~~kDg6d;WCfVbog7xli|DB4CRGy#+$J)?SJuYt5$mQ z>b;aU<#SdEt>cr(XKZYuWYzLHRL)ozMkv=Bm%p%Zyotsck7KL*vDMF`p*y37B2>>< zv*MC#com%5W22$EiBi7wcx^*anvt z`s$VOo43+VzPx|fT;4G%b}nB=TZZ0_xe&Fw`@=xFs#fESjfLZ}lWXNrToQQ_<1Rv|63Km)=kD3uQ65XKf7UGC{Tp4y B)%*Ye literal 0 HcmV?d00001 diff --git a/Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project b/Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project new file mode 100644 index 0000000..5447a64 --- /dev/null +++ b/Vision/DE10_LITE_D8M_VIP_16/RemoteSystemsTempFiles/.project @@ -0,0 +1,12 @@ + + + RemoteSystemsTempFiles + + + + + + + org.eclipse.rse.ui.remoteSystemsTempNature + + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject index ff3bb77..60ab6f5 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.cproject @@ -1,32 +1,32 @@ - - + + - + - - - - - - - + + + + + + + - - + + - - - - + + + + - + @@ -40,15 +40,15 @@ - + - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project index c1b033e..bd850d3 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.project @@ -1,6 +1,6 @@ - D8M_Camera_Test + camera_test diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml index 1eda590..174e109 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/.settings/language.settings.xml @@ -1,8 +1,8 @@ - + - + diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf index af27b55d5216753fde1aa05678173955f12a0af9..3404a04837f5e4a476a667689a3f023f4c0cb658 100644 GIT binary patch literal 503720 zcmeF4e|#Keo%o;Kot-32vfY%-&Za-MyG=Vy+LESFLb|lwDFi`4MMVWpJv{VIPd)3M zdLEv30$bQZD=AhD3K|s7s^G;F?h1mLYLKY)07Xq8wW+5f{=T#Fqd(a1`}53fvPn~Z z-0Qyo(bsD_o0(^x=kxpXc|Ol)p4oEt1uu(4BC7H)s@|*QubyaBt>t{ymumE_wJNI& z)uwW4p1!Y=ayhDxEdR?9=aB32e3o;T`*J+JGNO;_`|0%&m0lH7dtMn+151=X%&O-v zeoI7M{N9*87TDdQOzx3}V3cN&tmniTO1zw`SOB8sC0xwbEB?`PmftM)o5(QqO zz)KW(;S^|AMU_7{k~E$kN~VqtsXLXcns>Uj`M0ZD>Bab6`KOlh4_Hxm)QWkdmf??D zaW!U{?wD2Mjajw+*cF}i%BoY3T65e-t$OcKtHFQNYE+L|3HLE;uJ@Rg^dGaD+%cnE z{*5)ye=NVIPFRYfyD+E8(3^$v4O<~78_V>U@=bef10oL{$M- zJ~N#!-Jb-$s_TV=hx=-?`BJ>eh}EX_Ds24{Tt}ILGm0HmfVusbqdCGIU7! zX7lcYKZQPK^QXV|W1&;?>u-WCzH0u;c-o2iNlV&8ldfasq#9YRyq~RBX1SmO_<`L3 z_G5*E*0Wm%M0)KboeO!BKyhb z?DsJAjze$Y)i6WvL*GCyviE8}-WlQdz}1@Gz6fFL78;(XhbD}PAr*fnke|0g%Y3OmX+KNfH!M-Z<)Nf?n0p*?ksZ$YAH>F7-rE!4FmlZH>!wQ-KjJjMu>Mf=5}c7L=^JE zcrx}Jm3*%D4cY1KUnnfnzx8Zk!i-^eL>^ykIb#|p81raVyTUAEM(eaZ zYS>Tbe$<=L`iHEWOZl6#T`mL1)^rU1?kk9Hoq!ItReka731Lc9wVOW+OwCpI=LIlS zU=nx|j~0?f@&x$KuX+~wsK}ql?+cZ$$}>htf6YsgvyLe_bBsXFX3G4mV?2#Ltic|d z*h7vJ$(ZP<5esb>@}_lLuAygQ>`*(#i0BlN852ON&;$2dVhPE|kdjDCRU zqLBZ`^>-q;R#o-4N-66wI=eXRSL0Nd6x%MkDsq>JdTC>hr%cvMj9Lw2R_jQyN=Htq z>Jukf!ByKnkyZMu$g9LWap_x+f!&vThszT(R_doiY5i=4w#k4d72cmvUS{QGyp6aE zUoZB8F{T*uXpiS2-WBgUs7|5UX%q;f%nHOol-(J;c zi;Nlgs!97zf5+KxJqGq$?maVQzmJOnUX1)bi~KSFWG=_tdEF@fRjrlzPF*o6bBp@O zz(f1W<)pqgC%AT9^|ku2osxD#$t#$bO8els%HKoD{Kz$F-A6;%$BgztpR=@Y4BE${ z^e-{j4QBGJ%I{LxXCi2GmA>u=u+9yx87)Daj&BX{stvBA9y)%X#5cTt!a4cO`3Q3~ zi}|&?Hm97wRm?BL{-@Dx3Ljg1%E;Dq0^T$-4>40pO{Y;=$LkVb)~gAjc{*Ww`nR-` zXT|O`A}6Ng8N@#j3sv_aZRGw;T5358$KDZ+J-rQM#D|<-_ou!_KwQfx@}Fe zx({h1_v>Z+qu^RU99?TEUCI)_1kEzx?c6$nCxHjTeHx4 zM!v3?RuT7`IVF4(_~rKw`3?N~I`|vFsjtg#`q$T?Up={{>yB+Xh(A1d9rxVzd%`|LzD#>= zD7?2|mgk0pdncs(G4w8q-bK(mjyogFX9uocbLbn$bM~Lx*G5#tNE#i!gj{Y>tTCs&!Q!5&nD&WU_isl zGyXesD#N^6!|`|k$E5xEUGTSC$f*ilcKy7=eil-OGL(pKo>sYc?lV$@Sx zMeok{)DHf(jua*gRnj^0;qp~lKP9g7;!8psT9@=rTB7_|XoK5A8;pJI=Meae_3-dQ z#z~>m^r>;HYIm`;TdI=v+#8kO=ktrkCcXS1h@eSJxpD^_SAd2&t5BSF6!P8ITie5;I+`7OCK<}m_~{9VcA zOFS}MP!YL@56tEVuMXmzSV_lE+U9@uW7==nj+Yxxz=AJhsOB zSb(>Ab{L2H ztKy5zvsv5F_9}=Gn0IFzk*j(RnXBu0n*6>&;satc@!f;P^yK_)=6c4An389*^x4+< zYWje-Hfib-+2Rzn_sq*YZ|7C(N~CTgCT_?-p8B;>AS3zn&PlLI=rn znp*{zqsdrjc_261);vsJP;`cV@;i;>+58q>7x6FhTV$m2 zci%iMG(+~RxJl5g)~&Ld}?Lj!)%jka3+ zD|TIS-GQG5uSduu=rw@)q~SJ3)PJE@f-iQqf>Te`^~$wkc@l}EC(POO-ryvdrW0mKCOK79*=`>n|amwDI zwB*Tz*VVk&{BU1f>>_nbVzYbnyeFvp31TAbI5D?-UpNl}4*V=Ws$SW|0G>--NEvw0 zTdCa%a-FlpF*9-p!FW9XjU^iXS>sWi=LvER75J#X()mx;m9RBIPA;H9SSwXsgEZ@y z_%U!K2ORVRFNDV8bKTtnOHki*!v1I0f6cImETy;g=HTjWOtjP}!v(90ruXt!qTjp>f?L<^J^GPMOd-;*`BX3mx zI`i>@%8%4V=sRNmS0NbV_XU-*0~o}|rRJ%&j+BN$+66GoQJox+~%~ zd1Jtw{z zPv{|MJ;os!BcAP;#~4j|i~t`Qqd|36jS=7^W0<@;CLUz(ZZ4-zGLQj5Q|X3`@CV-j|juo3r^xfw|7BZWDP&YcR(| z#|?5eR>U2rZ8K_CJ${MtOCl?b?}g)g;rL!SzBe_#^f49dFlI?$2*$7X|p6YmFQ}Y!+FiOrXQs3#T zu1s(Go`;Q6PeRQz5`GgfpGh64!8vC<^ZlFT%yA=e-BRP(LeBZ5S}L?L%0rRim>Z$i z7P&pAIaTAgC2mk@JEn@xM}sz%dx>Yt$YEVKzAa)K>L%lv9czrJI>lKVxf1&tYLp8|r`RKA7 z*R|*SzunE6PCNLokbIpLbFW0cda-|<@Ol+>x9jhDD4vx#DBpi=Ti1K&XY+7dhB`hC zhxD_#l$C4btaFm<)P0A4J4dMrC=W%!J&HYrHan2}$>T<7bZWk2XNeWoz}vOPvGSUX z;rE(HluE=R0eznKdxeJ3XP(dw`kYIxH1eu?FH-I{WV08(XR&qgFqib2f^J0XYD7lG%r(2T&PqiQE zho{ZPv*n~S=6k{ic-I^7qL{X$ZZG~ut|u9<9XZQgqp{N~#SyJGI0$kClzj@~5sB0sKUs*7(*8UsJP z51qG=k3GX8bEO?y^QCw0aDMHjhhsf=80uc+@JqYVOOCoe;2iVb+e*83@{)|f-_EbR zfv$h?ZXsr>G2XiHajs3|OV{j%4-?KW)oJkjZfWcM-pk@QZjsoMekS%s`~l})Z12bu z14gt6uheb%kq4mV*|*8sW`5+$$Z;^Pj5%B`E9W4z9*JADvEaR|RZhV7n~{M5{6{cm z!Y>ZTyhz6vywjjlFY*^LjtvdwHyceCDAk@HEM>6|y*4ypmIVmA0p2)7i z&3HG7+*aCYIejm@$6j@uhpn=(Lw`p5bFiNB7oRhPxJ=L=Uvyfg8Ad9^IRP(|Y6)J7Ye+QT+3z`;>PvxUR_@ zqeh=O0y5p4SypI9A9Lot8~?og z!fnECYg(B17L;>Ixv2U2%np1c`Ym!Rynt`!PT-4rmkpN-xy;+tNx+vyUM?rj5r5a8 z?|hlqbFne~LffvkEltkyLL1Mv0n5diZ^?TRVjr*8wh>#HHovh^8Lxvc^Stz7_-AB* zaZw24ySb-^mH9G>SI~Rp)_h+3bf*FD=F|Ee`v;g#HZo4%4QM$V{u2neO2QZWA&S&;a?HvI!yHuPv~idsSy94W3bT zBl>igmdl8jH8&Piku{#-#VT4{j16)(2EKTJ7(pq^Yzkn{msTosG2?2xSl8#{pEaG| z8q^S&s|0RfifcM_8yRGDQ3%uPL!7t=PTf6=;o0Kw-m7GM=JJb)5ibz=@%qhyf;#+A zZ3&uXCFVE#HmZHf=XX5o^eAdY%4-}~V#$->i>wpWWKBb1GYWa0S>voDW-ZNip>aB{ z+*9@`H9zxo!5zjAbe!zx_7$)TH#D%GRl6p?OU~PK!!hoq{hV>Ma7O;&^kw+I`9{>A zpWj(~nGy5mGZy-%@OO1B$otU9nZ^H;Zf5!P?5)PV)iiOnfKuge_y;nfITfyl;fP!-kB>Jk<4=e{#>L&QIyK zye}1{eas)zd=1z2Bt|pn2f1nTKf5e=)(CtVx|G|yr~SCtt#VzzBT}QrCAI~ZHmjCc zjdw=!rPen81Fd2sh(oJW&#ZG#o@K0I1H&v9gqPM0u-Y+$j0 z&6pG5B5ic+`a}Ua8dBzyh2!wr`xmXj8X2)Ox)H|Bt>Bhxn~dF)3Ox*BUw8Qmm0=zN zy*=dGgQqR@EjW8T-%A`#oB=F47N3fvH5|;RG#tzmD*O;$h+mQzTKFt5;D-%-+PHDa z!Xk7H=qxn{N_l=AYxCkq<9;|_0B4@5@Lu3@o++e}m6FLgVh^3HO4fvD(KnNMP7Qo= z(h8f!S`@!C%+Gi>d1$B{86GOH%XfZPy)Qd}j6cv~WCzydH@}RS^6D1ookn&9e`xZ( zmVD>8@t@xod)gx7N*+S`l>Rfv3i#D{Q#k+1>N#dO4>R_F>p9?bHu3*i#Qv)kJY9|M zuk5r=_Q$N3F*oS(_FHE%C)nhVTdp^572wfEHSWKq4Vm>AzbsGJnbK&M=PItdtXz zoP{cK-^&np=SMEz%Dk57ns*8pd(;BCXXi`jEEF1ATtg0eEUpg|FQmReoDfxK+N)h? zb^a=gv-IQaR>^aeTNK&qQSR2Q%LS$y#+|FIcKTc+e=k!;irjG2Bgg7RjRg|xyLT8* z50$!%tJ?0NM(j@Pm+{U7_9@<^>C0OFa1U$vX9vg6tA1CmRn8Z$N2tR4*)vdT{+4?_ z=Y22WsPyxEXSE@!?&Xknm2>qoZ`?Qq@7t?>SGSosbE=Jd*3|FnHnaV{kTL%VjxuiL zP~o*o`v(4&-wWkBeXoKR$&fA!!}ABjv$QMm+g_u_tdqS_$-ls>vF3=kt46uEo1et? zH(=XNR%3R%I~HH=jT#wGoy}QzY!Kg~hfDLNlhrQfAE{48+lCKFJbP;&IyB0)U72g5 z{o2;N7F)bdo_7r!nV@!7IZs5;q2x; zeetBd8Xd+a*yz5EU9i!A8{1%G18i)7jSaA|0X8q)E&d0w876+MwB@rf5Vq#>U<+9yuWI>I*__I27k+n zwXB=-n}6Qt{9`2PTooI%R^Tf#;*(nkdB$bjet1yAFJiyvD(~J^B1g>k3iy-YUL3no zxv$=~3Otr4B~M5n>C7MCaU1rCez9$X`H`B1R);@oUZMin51Z+RC~#j3Y{;3Wxxh~h z!@M}B;qStBb&Fhcy-SVR-R_vRK|PA?GrXP^%I0@wvC&+)M;rqTz*^|g_fp@8Ck5x> zCQl_!J-hjkqyWyJQyeDmC^BxX@JF?r0~dT5wN6o^=IKf`M?>C>5MK(NA2X-uJQ@w? z-0lbZLw-r<4c$*pU2mj^4-_KgS8mq2xOwT$%vdyS(^l)sx8muFt_ZEk+w@=Y$=bog z53L$x9()t@?bq}j{AE^XEB)~PV)_E*_c>=o(y|V;FzY3Ge>Q0};9t7-ChsqoJ)tvp z+rvlT3$95Eo$U_f7+GV*hr$HCwUVh~9Y~r(f4a0Dw6~B{cy#InjvS#<@^V+R5@Uy3h z{Iw!SC!Y)6eZvt|gAei0-*GbrGmdWAZs3a&C%JdRn}}ifI+iHDc}|WvWfSqx+1h{M zyAp?3OAYM;^5<#W5FzJ8AC>XNPNaUfJi}zejXhx%BJzRQd&` zNb2)fX0Jg`1Qv3v6XHXQ%hj0BC3r?j+#azaz$E@u`%<1;e`Qvlu~%Z3Bp1tdgXe^9 zmFJ4HJ=YaJ*NLsmddj)6H!J!nJj;*V(Uw@_#lfXU`b#tyf1zo5DKu?|rYki~2l3_P zB2UIPtQ1_2r)iV>^9nto<=Mb~P6hVFlSBQ-(ef)BkEi7yw){M#rHmtXp#+@c_DTg` zuUS;Vv6@esp1<$abZKzCzDzojv^&5JT!5p8xP*BMe2Bn@YFhpdH9UjN z=0|R6OLU;O-N*g<~R5eN{B**Yfmk zp+TWIU7iZt1No^5;wddZYO)`}mv948OxMvPY?TkG60?MGgN9LqRi-$oQ(@%IJ9 z22LNo9>3wd17Cwplej|SiL;lx;{ub8AKoN3Fo+){b`Uu1bwV38PTfa;{*xwhv^AT5 z*y(4UlgvN7YB02U3j>>1ygBpdz;{ggjC}#T&Sy8Kw=Va`%$s!{Ne$2OBibLod4p=M z4Q=*cY`}*HwwXE2LgJf+PK)6Q9a0a)vO!*;9zRj%i7)Xy<^i0Yi>O~5i8ov5U}|4X z`weX~Pxi+(?Zh_>hH!kk5WsO`=!ahy(($!Zbj*CEkZdrZ*-wRU@PzmXnhHE=;gR`e zdDiQ$*z?Q}i1YDFMs0{w`v&rDAx=&p4^BM9KekadC&PP-H|SWzx#p~EB^EFjgtD_X zp4R;Qe(0}OW4Flz9{x!U^Y$P%Kp&g1g-P=l>ov{92MZr*$NWrU7+?Fycr&`oJ@G3Q zS{Tg|E38xI-%DNyU3={uV*YiCISjmZ4fD#7HfB8S?A$=krqWj+E3$5i%;4#?3vi4#Fc9MbbDT9 z%bpw>JkFNoHw5-ae3Hb^#v&fF`fS(eT=o?h4~m@cwQg#(ZFAr zYmfu@0==2H`su`LH#OOd-EsLFzvT8Pcf0tVfEVsKv{k8hZfX`kQQ@DoAx9zk7nio7 zUkcm4L)v<0&x|oewkmSP`b9E;K^3JhVzD-n2l^YNzdNUmeU|R;E<3NrhL@-V;n-Q) z{Rck!tJB&hT!Hi7%zU6@>B~Jdv@&4%F*K2vuvfx0o8UD(7 z6S??1umdx$XLeUTSAYC-nSrYN_hEZyKHpz;|6AN=-Y7OEWfqdt<{|G~ul3!0-$teF z=GTZDusJqyk+e5t9v041Q+Gx597g<-p2IBPK&*!?T0#GgJD&LACc{1j8A8_*5x1Eb zG?|za#1E1efo46LW|9}F*3q{Gx}JJVv}B(`j5Bii(!_^c^b~!RSnTFaI!>+d0pDLU zT~D`cPkeIIokkePG7fQ9RSf&&v=}ysIW7$7BmHaMh@Q@*;WTc^C5g9~F5|Jh^3kwGBhR#v~@gZjWVZDl}q_YIys_cQhouK9LJ2F(oI0lgLRu za+3H?p-tljPTHTmY&|}N{UzQtiwytaj7i-PzT2U#M{arpd#`p<`;fIy=iXYe^))T_ zV*DYopxFK%a`GAviD|h$%$j2ASCMvf_e}d#iIsD``aPMEw-lL=A&)uaQ4!C|J@i<) z$RmA-K5Kal`#2Kxk$NiP<26}B)k-4-V9`$+R~vcA0nL&)p9X5rUW)osv+ z9kaB#qPh(-bG@u9QiF2dEc*4Hpsm)wo7Ypr^th4tjeh20agIT;Sv~ct26;P>`cMSB z6~wpZSI*EjPp!ysVMChURmmq^B-t0v38CaEQYRkxnssM&e#Z97cr1k^7Q0*J(;hQ zt7+`7#68~6mVt9FIZVHm_{Vu~Z%M;(! zpd$F!q?PkW z(XpJ>1r55P0sg{#{~0Qdd$_>QN`MD*hiyso6J-=jwj>zqjRfb{7GB9_>4(=mAO{h1@<@` zSLcrs=d*?!=8ZBJ$a*q%OKL&f4LVM)#D+HU;bd-K&qZhWu#s=*IMe(-IstCl*PgtA z`C%xtJM%hTulO*tVHThN<@}^QG&kW>@l9geu~8xeI$ky;R+W5+z@TjbG9bB>H$orm zUWOMb#YbdFUF&$c4jV4^lfD8Q`al+YOw2V)AGsj5!~YtIe+c|<;%dneRmaG)_+HO_ zalZGx0iMUr}nr(&hUccbB0S|391O9z0)->@o+N zntw6(x|;bh_e2(Wc2(t`*?PQuBEJh0dpBgI4v6`SVVpOoXzru$neoCI;6)rH^CNB3 zZYrp=$XCl88C!hEzJj}bVbH{F#2NbWlc7LA_Rr!6ezy*~fm4NUNy#%*%|A~&9LztzcO+?E zAL>yB?hn9!{6tY|a@bQ)Y@5y{{6T8hS&NnYYgI16xOh%c$E=?dJagpUo{Pxw><=Dq6dn)%$Pvc-1 zuRxB@fgWO8SBnhG{6EN3z^5j2e>ZWpx4#G7NG z`A=ehf;9(?_X=|N9USc{$g{sGuW`^Zr@>v(%V8Y7d zSI}GGYvOD8G5s4{ISbEHx2v>X2YAyg{CJ!2Bhaf!oAG0z{mP34FY`X=gbZYAHYWLd z+>8qibRIct&fTbr#Dx|#G0PiN#h;5WpY-GSaCu&Qy!P+x=`dXJ>FAmGbDLN|bWZ#_ z{+l&&Y7@cTc{B4Pd@k1}%sI#{JYTOSmd44|n@^xa_}Ii1zLw{J7V#!6pRZ(n7T;^; z0$3|>!S^7}sK62pV+~+@E|`kgO^GX*;-`~s{12F0N zM0BGPUJ1>J(Ohtwz|IU7qi)3i6#E!Vtvl&!k|&hCLa~`Fi^BgyL(~E`_0w*U=e^hja!TMQhT(F+MwQ1t7Y$_J7T?$S~Z&9oF+y)!{P+IS+EQlQl(E^+aoe{u`n`{H#klb9McR=U9sMLe-R6 zsIuGPb*7zoGcI+U)OajZT4wE8YKzFZWStJ;U1TDY;TgmCnxmf7OL>{!6w(a?s>$%& zi1Vv0Q)K_dG6>uIp zumjZ1m7uqVS@(ZGwGXn_T+|!4!H;8Au8z5R^+~&B-5j{N)<{gJ zRuI>{T;e+R4{-C{_c!MsNxHnd-wj=w@{iOe^W6^<3+-r;_xZ68o%u%+a&J@qk;e4C za)I{<=C0%Zy8Nz0CvDRDnZf_;2KSfKrjx$U>-fc%ThQ&nOg%DL9vbYZ^>*_<(x9y6 znoQV$LG~I+b5ClvcXb^Z+HDygF-ZL$VD-GS+f~Y;%tVpvDa8c`fn92Nwn=V@akJD5 z35=b<*p`2!0XW$s{E>QK1g4Q~$i>TZQa1@a+qq8t_lREVt(oJ3t`oLG- zbL+plg*kVVh5mWpYExEHO{6*$wa?01b@a2PwMP#yhZo%pY7(GvAJ6qm&N#CY{lX_{ zo36ZWn;5|P40G*eQY+@Eo=d5-fkyBNn+ndrZUd(coHlUUz-fbv4K6mg*x+I;32RyK zUBlnnCc2QOwxdtm%D=vrzSWrX+d}pMk%JFL(!-n?mmC(g#lI}5wQ9nkCh#VKDZlxb zf%+{>ES6M@#kK(bx|@6Zs!yOYW8J?4eK0^R@`@X#k(R(@;wvrQDsx zhP__m;cv=*y{c$L$bnJUKU%vp-~Y2xe(>C-{@YfowZ$#WDW%4Q{pFD_Sqrq9JjQ8M zYoC2E;`$xx&}03PLx&!W^o;hc8Ghm*x*%=q)BYDB1BZ6nwx-p^>}Rxmm+oiyBcE9_Y&<-zAI78ITzPhYK1$*9dYs8VE?iBY zll;`}thhHS^(LunSZ9mlC*$N!-~Ub7FO9WTUm3mMrBB{V6kXEqYc%#TPQSmVLnU@x ziOt0SCX}oRZM^3&IzTcI4Pjrwy)cHn$KbCrHv^!t=-B+zff6SW0wU{^7gT3o& z?rrK}JtJ|j*o0oMAwLA&sN>~43?H4pxvDd<>8d7lWDFfv&Ocw-E$uVI#cts<-#n21 zN9`qyOHPw(Eqnt3ALorFZoMjko^d_bX*H>dVesnsPO(YkU-}YVHsVrSQlI8py5mS; z4c|&Q2>mA1-RMINGL!TsiZS4%WYT$(d;qv4KJ~2#>%iU6hqd38-PJT|Fnnx-I}aI} z=loL)`r+sQ5!tQvnyAf{`hI9fj>_hn4Jr3g|5MDF`EB!iU(CH@SSbVi;aPjyX;QoC zJ8t~rckjcVoeO?0@#h!mgZCI$ihWBvhU&x?-Ax|p?jEIzB2PxtzmN52?w>?IP4KRf zXXm;+SK^rg8Ix~AfE#p6_@nYppFNj2F6lxmWcKKT5iJk(;D`K)-0}Se?)%)Il3U%j z=AlsT>(ha}<&w~RbZsZ^sFf~X=%iU^7yg)hlj0=K_2}^Ru`Kd&nb5DAcHklJZ8X`? zopIFKr(YGZQtB~yV+qWR=Ya<}1TctR3CsdJ@nF)L=Z`8a-*Ue_8NfY>7uV*d zZ15=Gv=}2N_&MYw8CQxr_{sjEUArstomy0^9v9lYO6#*cOMBfX@a&ehHn64=MI~~X zc&9qau0b#6VwaOXbApyud!C$6zRa5EabC8^tWzq0N9qUX_E+%IwEtC%zL)KBp12C$ zOZ{9u_u)<5LlNO|oYLX)})@g-st>{euEnLkE9d!PyHgI=ferKtG) z4Z>rYU#|`K6v=P?Tq(14V@d1F<<}DP^F9cE$-G9|xDnz@-c330M*3$SQ7FQPcCppy z^{9E9%-_*7e2)3njY@PK{Gd^w$H`U4paZTF-cuGDf^)fRdNcAsnf z*7jOIujRQW&@twLyP4Zz+Z!Hy<- z5^e+;9Fw?`m?7<)b7d3de2a*WCAMU*8g6ZHjnou@qt^c)Sosj%8$HrkSR%>4k^UALg z-`5g@Qs-$%?b-LgnKf$UZ(9Ozh-%65=Qaw0X+J>&!ohcGCvVtpYVDW zvdDWF6K%WzH znWIR28`xofBOAeQ^OHIk9I+C}{U^w4)glkrZL3j9&WL&2ca+67;YITT>(4}?wf-&>mw4XzqkL)9Z$~>3(@yLHM=Qwwl zdCCSQ@uK;D{avF$*`JSh;KxO_EAlBaCOkGTm3Q>8-N-w3cr?-08;+k#S`EO8UYRAv z;+*>QH&kXW^?iXpsI_q&n|Ps}gCSX0wAivHswIjG!KTzFXXck;=2j=C2rZ4nu>PsXfzOVNJodot7`Y5tBsgIxN zRXwzKHut(c4eB2BS!(@&Q+)yW9pNHb+pZOq-hF8byN%Me= z9q1b4DwB71fkAXs=Oz-5Z4}w`s^PETYcmJKrsF?&hmPNw5AYruGLiU)h?WQQA#(5Z znYp^R)2c^zjrY(%#Gw;;{IowL@qMxBiUfwxvGbKc?G7BcABqZw*_~>E3s(^ z8%BIV{$1h?fdkw(0KbOA+`u^KL!}MZ*NA>dTk`<1mca7a^(ym`jeS$GT(Lj%Yn5De z^uSHduax9GBu{V`{TdwW@Oxu+BRKy3MshXKi8xSb^kU{2!TdsE)5{l5o4cU%VN5M_ z7TYH@PYcfIO(d8y#B@NPL_4h~%vUn_wP+t`eg@#F`lP5O|rly8Fou zGymGrY4NwTHBEuNwU560i_G238`fj5SSxEFH)C=v;RxeV^BuCTl4djZ}ue{6*|lk>-0?1+C0aEJ3pbsO`?Ql$^`1MBgNKJr1n1%DxN6ntbK z4}a9W8`~RkrB6L)wheM^F_%L%6_FKa6&}lz{zu!OjrNh~eZ^n5CrxUjwf^;q-&Hrr zyV#<`qKBe?X1mZhN|XMVlqc7em5hrQ5aNI(C&$8JVOEmv@6 z-uxgtD(U$$aLpYMehaU)jBmtdpQOfwhmxx?&k!F>P0<4M9N*6#7Q_|g9WP=n102l< z;BS~*%iOjoa{oK@1l!{@7P58&ao3mcja2Aep@ZNLe|sx( zbs~LVt8)sCz!ktPxFC096`j{H#z{hVbiYA8X8xMBR_g(8??v8c*Gc;%>;U%9?4eGe^845PW}Z)eWE{SXoA1IN#y0ku zU*D)Q@7gG}Bd+te)TaKmw$-ddvEJ8?E@9uzlGt_VcQf>ZFPbMm)_pUl#{R6}H$GNB zW04 zGi!*oBYs=6x>DAS+7jn8hn}OZby}5cD|J(Y8kX9Le&<};HGA6{sNdH)DE8i9yi$JT z`<=XVo`k0S-qC5-h<%wW`1SKmOc(wur^jb)iuQ(LPaSMy`NJ)Z*d6-aM*r!|D*A5F zf8XT9^;%5H;XOC$L)K!j&+t7iG06e^=k)x0Jj_G0*5W)_=mX|e`@|oT7Ux}_Vun2- zvCD1XUP{G8_QhV^)|dEA!C>xH3gPvTdu*77nb`UkFU6XVz%2X-*N{p<%z(a84~k7H znLj`e=lX4_ua%Yg6Mk#l8{vyDeq7@(HbVMvn!Qfeu1Z2%^DDGfe!p{1A>C7>BG9v? z80T!L78!GLyl)kRkI+r_aS^)RCp;qO&wKJ;lRmtD=hv+BG9Po`X)(^aH}7ggS7J8c zq>c2)@6O3S8QYL|_Q{)Dtjpo!rtSAN7a5RmYiN4wbvyFw;T{d(M9v)^NY0&Wk@Mk= zn!eu`{38$Jh3lo^zFO}J_vrYH?9r~8?qptVeCEa`n2V`^8CT$@$Hx_GU(gT8mr_YV5rF0dBqvl{*Yc5pDJV3#pvJi%A`6gUK~ z<6upAeZ+h(YMo2=MlfWrLU=$9W!2L8zzI*FahtJ7>+v{t=YT&X>y){qQ$r4c7z3E) zorh*{Z!+dfY{PDvz=jNX==A}cK8R0(y&=NAJ+uwOWQ~`emHuS>&kK3T>Lr zUUdSC)c*M&kiF-qQQ6}y1vUfO*l9-<^R7ZJHAiKUZ%^KxG#0UrOI~5_FNe~y)+YCj zV}*sreBQr3yk#l6)#oe{Jy@2McUeS-;gu(J6dnl8H4l&30EgT{W;n7}b zF}XJjf6MQRZcggqnQw0I-PjiNr}tV3_4CJX($_Wpp}EMt@VKIPLVI}I7VKlTEGzrk z!M}d^r}sLN95FTI+lP*)THa2Z=dY3g-rpka~3*t#4iPV znhBoIW$*JN^{iRYwz5Z8Bm01$`^HF`~B!*`10vUsRT$79gKhjeB=4`@eX`AY5 z|B7$L*5vRx{sB#wa=EvN{2;^Zi8a*A-cH@dGmSa+$?Jq(D}Z&0fuCegl5?Fms1=OU z#yi;S*tevc{YbAgqVAIXNaHEciTFG|&v~1hw`1(f7gKr0*hGy{8$NuUJmch5H?X2- zekVD(PJwZFi?6y`*bnI;BPqU#G0Bk%tsh9U2b4a`Z(`w=e1EYU-_*i>RP*zLJxz>p z3S+E6Z?p8(V#Oq%ef)lkz0g$T>xv)D3HCEQ&uzKq;W^}Pef;@L5|c=$K=u|qBxhrK5Mm6-e` z>;7VD9XRmbh46-XDQhg|?f7H-c9-S07-+x{U{GrC7 z*ak1U&94)K#G!@Z<$}K9k>*oX-_(5R{kh`M^oMhj!wZ7`iwo$V8r@)@EVtz__l!(9 z_9E`dbF%jr&)xF~JX{>|a549&zbY1dRb1>w%G%Zc3#uZ?_Cay$Hk z-nTj{RC28vAm1iAIjNY)>)A9cH(mr1SP+`f*ecPI4)5kr;N5#xEpWxeu!_QV^Q z7e)PneCZ4Q`H|cDB!>-82W0OEZEt{$_>6rNeR8495rEqUZW|bZgMAe7P2j-%TGvrw z!#o*R?>+Jxjjty#3aptQ%UTBKg7^@rtzV{``KqtM6Win$ot#`>-QV>wp9+@VbmlYQ zir)(T_JsR+@{CcR{^VL5SRY@Ao-c#PMN6@7rN-m#i2#o;fQNsp^Ph!&XzpcxspH`5 zksJ0qaJQQWb-cNK@^9io!B_NE`Uf|!>kZ7wHWheM0}8GSkwxj> zbIuaki1(XUYuE-Wumv&^JR@TxzZPpRi-PC8t>%#MS>#2=S>0#8OF!RNjN;RnZ_2yp zyo!kr@R;K)w|kU|nm6-IoIVYBid>-^jJ>u0@J}4tyn7vOzAArT@sO0m zDStO68`R#kqwDM|Ia{ey+;(4nknI3|c*e8faE9P8MN{YQLehMjTtn|3lzjN=Wajs*o9eL`U)S!*IAz8urkSS@ zH~G8GOZ3_V?<0WoW#OJ?G3;CrSM3(q%nSKj>i48ZZ|2-X=FwI0kFiHBV~#w4t-@aE zc!GDY%EX)Qt#;JAmDqE8s!^4Qdv_IM-Yz0tVj%V(IacWHFuXx_jp=iuelxnWJ3si9 zJ;r=Wmge)G(x1!Q;o)tW-xcUPzURfo=Bt(YKmS`CmS?B%7z*)F0Upf1!J{~Z!) zk&o(o6&tEysIO|TYlRz;i*TR3H-zzZ*alf^+fMwI*+hNhZ;`jTiaAZL?fMw|BqZH& zYHtouA44u$=2WfO)IvpHjicV`RVqd04t9LBSxu-0zV($=BhAj~I=|vIt8eOBS3`}c z%xir1z7qYbE4inwQqBe9pOC?%uWigl*Z`TwU<(ww$DG&m45m$H4c`&tdP5fY76TvG z!rYJ-*mAvISrR>$`cv^YcS>A4x+n)8+nTdMy{(08;Mb+!VrD)z8JuizvcZX5<2dU8 z<3@A9tKlU18S3L{PrL&>eX@B+nz{g?k{HrK7q?RiF(q(Vzb~O zu$iL;H4W#V6*SHjTqS1IxOw3ASRuU&8VEfm>45F6&_d#RO%HM~(zpK2deuH9wexe^ zoV7A%9O}fUcgBci*)yU&6Q{N@trRuUNx3FI)M@rRu{pVY{GDO1!C~xAR}(o#=AglI zZo5uclul9E$p``acNw~!4-MqoE7@8r%GG?hIwiBKa9RFI}pte z{@~pFF7sUWLcG+-4!lPG#-?ZUfBg2j`O^32hx;COvhU&i%tS%g<{HGSd^gn%{9wFO z@>qt^R$N$q$3ET#F)F3a?~_8A;WXC=;$V3TFh}d`n(Pw`&#VtdpQ0A893kIU3QLjMzELZ zJ2_v@-+Dh&gS}0O)fPgVZTX#v?tRP&hLs=1cM^wxue~FuE(!NF4af5Io~Fzz*741w z^T74YuEXU^4Cea5{-)j<;SD+x9?XAA^|Eh1zHCPe{9*lG-amBP+4ri2{fb+NOOD$o zQ{r;5yZTIBJ-V8eoPnlUy~O|5w|CUZo~zg7@*~%^kW1~vSFD50>o~JFtfFuBW{0Oj z>yAxA=d0T^on4`G`PBTwnGc3^J}JL*;RlU?&hw18_YWbR=jBT`uMFudvE-(Jj?7z* z7S{1S!WQTUJ^f4h`yJeW3xBg$tU8mwFX3<5KNebhui@{PXZ|p>0NVT$`_5)r%Q_{X za}9K^Z|}%>msZjFLOm8W;Q^fs)Sge$`PI)!=lT=VS!e-0ld}J*KC^xsTx+~g-d!M{ zpRMGev|rHQ6%OpB*izn4AU>&K9Z2Sz4?qvl9fVP{J6ZA#HUsqsc0*t32qGF?~diFi9J>t@oH9JIV+N{-8GmO5v0OENca6L>>d zfQNM%>_0M(-D3_Zwobkm7xRcQ&^hv}lApH?_QH;%hlbDJ%d$pX=Fm+Nd+K*O;m?`W z_^0#TTdC_e>GwgY@9)lcUqjuz)Q%y~yK1T5PjGK*79D6y{jt!)H`uyu^5KcO*#C&w z&dC}e$t_cJOPp`2_=qt&*7F*5p)D*C%^R2YGom@sSF^77IkzX*cxlNww4WB^{XFfAjw1L+? z(R&3o0ga1MS<76WHrWsOx73bgnvv^WwONDw@G8HYCFhyW-@xBdFS$w`4gN-F4Qjhq zsXu1r9SpH=MSPu{Sp&)9w&i;YSwrgPwVnlQ8a>j6zu{4KAMcb(UR2LvnX7J>xh&^< z9x~JPB{6m2gOERmH5Sng-sfrop0@lCOx}~{9gbM|_rv@RJp0%)TJDE^NUdKB`)#&e zL7%KSq$lA)mIXiaH==8v=!qUn#t`_VJ=Z5;(Ef_puSb#YKt@D&pf|aF|A1awi}QUE zLp9H4y92!oj<4A5U|XCf~1)f!~By%YKB55`%}d|u|82X_(s z-y-{MRCFOTH5}N?nu|MP-lg;jJ^Xanv7!0-(&INFE4#4c+vGPo{(1R3 zUm9DRFMaG?9cQXj;m7H`^Kyik_=D*G#re{rx62xvt<-zD=R(_m*jMH~sqXKsly_wK zjqIL}efnN|zWY0^+BQ6rXyZEe;{kN%fxs@5T3u}$2G3*vLwA$5+rQrO_r%!SWX${{ z8=q!AE%FeKxtO!ms4wF@SAR=~-)NTm-ryahLJKgg2Dc9hOz>cZhGCZ}_G(xDkvZ%y zU)#brq5g??g*F5KwE`RO9Bs;XKd@NaEB5OXn7W?OaP-m78v47m>l=kr6LqB2%jFA= z^3ZFl-ru=UWL$E~a-H`oiQ(Y2wp-+Gunl(gx`nu8t;KI2c})C=!@)S&F{t%`1hf6{Zi8j@A|Nv zJBfptOXsjFQ!xj0U@v#Ildj;~vLx@naX;Sod^m(-FitQA&tp4P(&Rli=|^I@VV%d> z%G`%M=HKdfLx4@*5ApEjbHkb_+CCtAz%tJG94Q zYoYHI53lS*cUD1XVtA<`eEjwbEom=%r?c;rtVxPqYTe1AEA0us!yvv#^d)ty!0);~ z85f;NCTd)AeD7pGS~=>FxpNlL7j})@>aju#zVTWkuC`I5dJ8gnW_T=NPTpzMy3zc| z$6gNo#a9w{Sz6!t#=$yyK0kOK@!*ygzDb?SkDj-p>&e0r^!?S$Q~1^q?*kz}*gkxe z+6KRbhm-MP$Sc;a-G%lGu;1hZbE4}qu8heZCQBqPC_)eO=fpAkJwf7lYC1E-Cl!AP zZaHiL`g(sB9cnYFIqH~0%mY6S^jP=@Zf(xta%UHLaj}PD8{xM;S8OGGA&&4mC*@sa zydv)ve@bny$hi1R{0qN>cmNnyaA1e7ak=Jc7%suy5VHy#j87ilZE_B8S=Vuii$5e! zDK-V$7|7D{q-iLXdOh}z?|0A_Hi^30h`Uq!UBkTrK7Kl|k*_|Fnw-l6KDJzL#T2=% zrYpI29d-de>im?v8+Zx!LfbLU>)3x9dx2e%cNib3!S)r1v9WvX^^o5+2fDNGLLTtXZ0vpU%;xwGrnh3oh>7-QJzuzLx8Yg<$4pFZhV-Z#XqmCoUP$T`GPJTHBf z3v0Umr*LV$(=>o@zWB5r<_Z=5rk{k8gEkErWNCp2t3k>Xb={iJbZC|#ruLFk-{vxY9esbSYL)o^ zabQ^rY{V@3(Cfl{pHOs4+X~Tf;BONdlv?wkwtO3FX^QxYJVLo#Ow9uZSwp3sBtLrf zPTp}!cjWx-tcCUFN4MvC;vTih#Xjc#lCvg0J+YndnCoxvIku&VZ}@raS&yE*oHeeu z?#z#xO|llZ_UQGycyF|?BjIhckFhq_-_w*Iox}I%if!hvu!+ZplJGDI41J=n*j%OS zK>BY558|e0xW+SLbB(8E-YoG#jSj#+;>U0QntbPO#tqJ@GYpXYoncCaFKVF|TsOW5Tc8 zQp@B!I(*lQyiKY2r*9B9d3|eDU&RM_6}{%%Z}TqQ6+5$@@PHg6wPah#3wg+Iyx#^s zd$x6t+8`!{hoco(dL-szOmOQbeoOMsb*ZP3eINex5#|q{XAL-bPXK$lOZt~JSEDwN zuY7-P3$|bk9-~v|%KL~nTHt4XH}o&iP<#eDEOk`G_5uCGFAJT$_lh3Wj{*-qa9I+% zr{x;*a4iSlN~7aqiWdnoF zSVkC+>*q#lT4WB&H@oz=FC-^99LN4rOOt3+_*IPN(FdWs>|K6*T_JfOkNMBEeC6T3 zEbF1IW*JM5O0r>V{^_e~%%yqCP4t)go zdC;&O``*ZCbz$}W%%$A@w}`Fqfe7_z-`%%7;BWFukAWk&gnUU;b*USINpYx z$tC#y`;?t~AluR6XVGzdLOCtE($Vb8S}r!vZNc8@oSyWNm?Q86zGYvH__k}Z&L0bH z;M$TO?ODSbXH(|TmNgRhSK3J%y-v^blQu_cPv8l$$MpK81?UB9kD^D!ADz^D$h^NZ zj*pTvw34|5`8qG0_ruq)&IF!!rc7VAFGi()Hh3n+IrHD-W~BB>=L&*n_C8ErfZ8W3 z;sxJ3AjU=4*I^?<*2JrRp`jZHz7amzO`kBuzK{Cf)Kwp2pH+*ZVPR-PkomCXE6e3s5ZrzNK=@C&@M|AB^+HNo&cx>Lbz zs(-=Hcv9$$z2ZAHyw5ayEmkFlC@t%B{sa4}*F)NadXVzaZlhcn8AB;#}_MisdbQ6YIQ9 z%xiLX#A_$cUgH#0CuhDVphV95Xg?6FKP^i-nF!x0EqqbFt5=kmXmc?uc8~ADN$k>V zP{Q7|$7>okSbP5j@~2~$8hHmwVsYMW<;-68z#(g^(*JJVKkG|!mKqXy#+-)%qz~*= z+Wb$U>9XC_hwv>p`gK_Ajd(YL<4po@elWY1KEcVO9spg``!-GW#kw=!15(dlixqw= zh&V8$$t!wOi>YCVc~Wzb)i7uuHys35>I7mtNhQxWwBee8_x;b+~7T?ts3m z#olo3cW#2Zv4gxW#J%7M|goWG5>%9R}7f;l1;Zg+YNK_5QMqJt*VM z99zch_{9*hzUFnGthZu|_uy{^&1;3X^_?$Fgf<{&^@r{n%YCmqLGd*vuT`_E0u= zoA1;x%YBWD`AXz~8r;;qg}a}N23==`^e&u$-dwNHJH+oec!`(g`;Yem>qJG?;Tb%r z8<>PO;@&x_M=mlM;M!-$z&YmkIZe!4*wY;S+HJOQzg+IqvL!mk+HSsdizn~;VDood z@E@FZTdYfxUtD9D$b)>N?u*mmlNwz*`BDcE{I~s;Irtb z_{!eDXU!?BvBVx}-yyabJCj9DroQvFK3IcmP_<&i($qC&`36}p-yb6<@)UM&Bpa-c z)g-A|>_xx5hFbRPd4tL}VBg5^@D2cb;E;!7z0C{juJs-`)N}`Ri{yx@XOdbR&pBVO zBNq2bUE*SWt(RIEk2t*7rY^)%UN88Uq$ULW<^}I|QOkFNJbQxy44uFN{+XpJ+00y7 z_Un;YTi3FrxK`_f%Mf*!T&I3p@8R>!<7*&$6!Ft1-rg?wdek)bM0KrZ5!&v`{Aj2T zK6(P1qHfuIm~Y~+H;~X{vTxZBDB;s4F1bZd>bon?=z0#~30XfJKE@u#_;A+I(w3=o zI~fbyE4ACYPZJm?pJ(qP^Q*G&NmyI6x!5Lkv1^Z(c?aaP5t*+7$C@tI5Q)>Y43I0$ z7Qsp6LH2hE+I>&A>v(d@nzcsou6-A=!*JNHT88o}o|R`SajM9TUSlTq^jy}G8Q*Re ze0jf_Gd5>hOe*x>S3aWoG4ozUPN7GeQF+fG%%5p_r9QO56?v9=E|J+~o!HTC>ci6l zLy_7)iH*JdD!nEv>$dyKU#Gpi_o-{~OTtHVXVyB|O^*|wgz@(@yy$PZ%sU6QzFwQA zPM>x0;aPEbF8l<>O~2zkrokT8ZjntJS}FW1@mQvrTGOE|(cz&j=jA)E=9_a_)*#QG zXJoTyN^J|@e4QunM3}8gWtLEDOzwy0$X&UTx51~rinZ8biAlP3+b4%uYxG$E_4(co zxuOZ)ac@xat&Vm_Y8_9KZ{M-LT<^)+OitE~d&rrwUYuT-^^)>^9=`Pm?P~Nsb28@= zzSC!$e0QVrcZFv%j=T?Px4=7z@g$EoGsX+&>tb_=3xfAXrVFV!sd2@%V|>Q z17G2BJNWr`A;XlMyY~`D-6=I+_dK+uM1Hm;>*CO%NWS!X?qef#&E9~!Y?T71$1_h4 zk^hVLp;!1xwfn{RS;19&0Ph$v=j0eUTh`xp8?q0$?62}zxOT^UUcSF5`8S)K8T0J) zrgyJUjMrJAjqx4maT>Ai8uArg#Jy|%{nn}8e(Mxy)aj2~tD#2+^f+0KTUqAdOZ+jJ z--D~X2b0zH*AHqtF;Mj#zguQ}$8W%D@dp~P_s$oUe8+FV`9jrq{B9@qn(sZzK2eXd z2NQc0dygLCJ%mHN^Ut?{sQdc(JmWvEz03I8cki?2^G(WyYSdc5;c&EaET>JWY1GO| z?jbjU?0C+vW8ruGmU$V*HB;0n#AMA&zUzT4*WdMP-Q^_7doHB5ZGp!gOnT4M5^b>M z>}$$(i|fO(55Nc5x9!SUntekam9b^KeCc%;S^O>iIWIH#zMtO*Z+C57B=_sEg>%u( zE$E8W{I^$q+s};|3sj7@MdRtAbk{#zslV+9&Qas9zQo$e)_KTUGXHj!+&man>%aE@ z*n1cFD64Dl|C!0mBqSkBU~)krP9Thl#uyNjFd`WsV6dnNSYyR<3knu7#b}Y*I@q8= zX&aQ*v?sRlR_Up&X{$$%wPmbPX=^L(ixn+aZ0)J-vDTidMMU#{e|tYqGD*P8`?l}@ z^Z$IDWIy|UTYIgw*S=fTk!JSXJblCW*z}K*{i<*AzMX6CBF>KYp}ch7OW*S@Wf&Sa z_qzjSPxot1bKjF@P7iZ9bMhX)b2lp8??vjF?w0tD^L35o{FHSBIv0IdJ5Fq`6bi8>zz98V=kD$UMHV(Cu^z6 z(5H}JIs44{|7U~T+sgg@&Kz{%7rbWyIIep;hrgQ_x|e_7F0OgznFZcpe*@puP>ER zFe&kDL3#2w(51O2ugytJ7QEqy>}O#8c%(Bu5J*Co?00u~%fXkLSwNc5*fV*r)n8e1 zpU=D*rM|O)IYrT3;os}0!~2s(q+LYX+_AZ@i2R@%joT8WGs~ut;H`j^Q@Cu_*z3lp z_9|cUsVMoruQ-pq#hs|z>#cqfiK8FYBIyiJ|CKfWa44~xff_VG4&d{cbaU0VzP=f{CO_E*Ep zI?`#y#oM3Ep#M%KV2BB-_U@c_|O2d+Ty}{{oude27GM0Xei!N zerxPDr&u^IJU_TQ)WKVTod$2fYE+~gm1;lp^vz}9DB z-&s&bKR-$H`4c#9nJG*n0ACHK8b(&wH;(jU_S9d!zx@m&|46Z);%IPI;j zTD{f9{F!;H3qHub>CD?$o;U?Oi@N3$b@sl1a!qu2zZ3Z}wcrl+xD%IoXt}S9$X#!P zh9k7EjN#eS(3* zN8S4T(!xP`q{G6z4eQbzvg|nl`kvS<|Bk|9)n_EioGIH;a2)c|@y@dam5FDy_r3^w z5%wbNu|_|0@D~*b{|e}z4DK$oZ4yh>M>mh>-1v_y-jj#gVL{<3Gul;8(-+>WGyjgS zH(T$wffGG?mo1fqMy`oXpLMj_S!vcBe@(TE2d5LB{!w^vQ=^9mdAFb1D48sDD{tC` zT|Ckq_-_3I{?q_Z`Kga~6i?}er%+~iirTScYJE6XHN&(ed}RBhW0U)ej!o_3p6};E z$Gdn|3r|eDz&_q~ER&n11^R zeHS-`DjelZ@4M=4KkfBZUO%mJ(pS|u%oCbEx-@hybsYwyL>i0|ztQ}O2M-G)r$T$^ zcfV~&&QPo}l18t(VD9I&#V12lLFE(>{_}Fy0 zInPN})E(6&6QL*Fx&3MG8vlx8Xj43eHe$b3Y9HeZ?#C7_&=%C&^tUh8oBlTMcYT3? zWQ!;IroZOORz=7}lB?)<>KKy*kvpHaZNr`m(>5ctceX39x9@JZZK77DqMtW?ypb!q zwPpoOXcvu9^7m?;U3C{O;1X&^ESK#&tQMQ!h&1u>vP+mJH7(rx$ls$jLWp{r0~VV!ZF7y+A3Ty zq)%(meL1}S5p$?l;yL#8cJMZ4Cvp#OW0rMjO)SnEnN|k4LvLdu1JECHZX$HvVB9nC z*h0NrS~Pdd55*PkXE(6?3wKte`H2@69GA3si3Kx$I6z;ak%QaEl>2al1G?cV&dgx8b0^no$afOvfzNdQFcOgTc01hr+ z9Mr!pn=z<=Gkx0+W^^?8S(|v}hmp{O+>gn)We#nuaf{k#yOZiJ{HEGSGR~K~H9k>1 zy`N4Wl?+u)x9f<=Pjc6ICDei6t~&#IOM~~KI`nQ7X+4kZ)miv;FD>My-P|`a-;7bf z8Su%)DGM9$`!e&MS-0vE3(W{~7HN1t|A2Y(t(!B*^u5TvnNE|!r^{ZFNtwYL9r`18 zj~vF&$gBI!TSPXmYUV}UyaYe`vS$T*(|>Wx)1W>+3cpP6}&_3?lF?~Ai`5WODW5Mq;7KB%YK1X?>8}Y^1e^M^S)t1jNwuZ-s z?w!HgIjN+^4zyRB=I^{Y!O;D>>xH-5UAaPU;z*{TEJlvCd5)pa z@R&upOh18~c|UYe=9oR*p-TiGi~m-h;+~QcC%+9kE8}T2E#?;U;CCz=>j7Q@lKE84w8?GRTlCq z*8Mr?KbL)yqrK7K-(JBD85?;e!F~tEvAE^kQ{U*pP;!puRzs^!fkz@=bx*hYw_W`+ zr&{|`^WIIj>K$X>m1y_Tv9y^d)4XBIX2wyWzq@Jea^uEbowp%P9=~9faa4*XyKjzp4t7#sZ4-;oHU&;M(!iaC4D8PhgV+OV898JHWLg$xl&Ce8Z_e$JWs zxv!!Iw*cegsZKFR4WsNM?_#fQ7+Pap$=RfP-1DN&SmZkPT05KQt2x7w*u?&t(gN(< zb;f>Q<~U0<=bub6o@6b}3Ae$2V`G!;@Cn_abJ%qRGj}{zY2EKcoUBsjo;Ja;p1c2MWhNRzsT9(C8T zxOWi#;NF)raAwf#zu+7eWoItL-hX0Yno}J(Zye(}t*MNxGctqDYB?lQTW!}q*gsoz zm~%?3L1=GsG5tY%=pW3b>6}+u+5_p1;0^?vX7bY~exNktbvIO)bs5%@l(so1$Ql9b z)bNT@_O9-vzuQ_J7@<8ApRcYdOpfi<+CFO=ssnR2$zImP z#CjxxNyyy4$DJyUqq8>b_0bwcaM=2l_&M-N_TMoxWPK|TNG5eoD*ztqPAAi!V2@2> zE%g1Yy&mg?b)O7tuFC(2Yn(0)m~}^JB3zUMt|D_5>0SbNt+bGJPT_8D6gipmgJwPMPGlj%9t+Nv6;#OGjv*i(gNhJ>UT&tJ*WtW^LE( zsdCqv2k_6mujw7u*0h%~fIO}+8yo1@~4ul>uHZjGVkx)w+Sv3a;M2a++|Mx z&bF$L2zI;oVR1JEe1UpF=e+Nc8hp;Ff4}3%Bs@ubVLDUni`virGWCTq?szbC4$e@v zj<$NoSF^JZxa4$zrof2%5}|Y8L7rw$Nq_v%U(MQowOJ!#O|)ois+u)>oynD63=gXI zjjFV~;r;9-V|=MTBrQGebTjEmS9-LS_=}ylDjKq%OnJ$#AbpP*`|!jgfY%@%G{7g! znSbcd@`}V=ABf_8UanE59cIFy2=K=1~&M(biPPfmy6Ry2&0(1J% zmR(zAj)wG$Lae*E`^l8fK>U%&wMx&XqkR_vuWTX87EJ6j`y1`L@pJG8`h7=zesvN1 z7h_|csNpM=owZ>0@|Q*>3k>8T`p_D%-IGCCyVJ_r;g+@CT?gha7HE@vi^#W#e7rKJ z`3OTUh(ASeBC+Ae(LJikk11@ z$S!4HNff?VthFHT9(UkS9PiwpjPGshrM-ZtB>KxA;-m&WIsqrNuH4}Z4L46$~TmeQ&FW;bV5*@OS$cGCG0XWw`et}k5kx5W0USDi1l!E1Tri85p|x7$`7 zI?r)xKAJLhuUe7HpPI1y=4)O_X-}F%-C27ZTzAd`ah8ZZ5xc&%qhy7%qvlV}^mt

    F%VZpZQ%tWL={k`04X4BUly+?#?$rpjG;KQX+&CS5~%W3cxZ2b;p1T|9J^*~@$XTCf1$6@ z9~yj|6A?aY&7dES?Pl+w6Z$Cq;@@U3jr*>ZxY@fa zpz&vHdcach7w#4xD|_N~TlSMC=Y_gA!)KqV;^GI+c5W6_U@^Qh*n)#ST|&9i?h>sGYz`k9!)}< z55((ExGUZhh*X7=;FO`w{WW!oNL6jJpsla|k8)#=E`Ej{du*D*AxrNA^m!}vS^uBH z$*PctkI?7DL|N4Yr_9hNI2zwx$Gkas*>mmw&}{0#eG#EGMlaTTmfTAL&$;jxU^NYR zjIDa4kGaO3;n2hME6Dp=12ForuKo)%r{IW3hn8@E(yjHnLyz*kusQT~?AKpC4m<0& zkB<+vyZLax2V?eP?a{>VKG9BSs%M@P3H^pS9opy2w z8U@#onWmMOOc(Dxwi=l(T6MPNy)|DI-=#g1TS^ahaGqpuVDf9wd*BE54KB%}0iCht zzPtFYN%`8gAcZ z;A!jw@SFpGt)~y1dq-8z&SHDtS&(>{hSOI4DjQgneoo(X81;aRUjq4Q2^hou4+wS=8iP(QgdPQ0P7FD<>x$W z(=~7cSEreDk&T`;{6KHe)NM(S{`K*m@M%TFfuGLg%)lJce$~ekb4XA9yLjj}BUALu zpG^C~A9JhYb^nX=>o=6g>z>3OYK-s7C$7$Regr!a}BES>k%8F$W+ zZl(=Ba$HRf_w1aJOmLPlfjm~uo4lLi$;UOH6peTGj*IUV>_X#_Ve&&a0ng5FbjA0+ z1kddSKfoo?s^z`Faf8@3_E6OP@oM@cV8)u5*|!`>`N4&c z9eg@e9&g!mT)gF1@%U4Lx{Xg4SI3{qEX~PNVw1fS<5o7;Slres)cq+7|dBuY_(_giOXHw1v)*TYe z4IFX)(B${)oyn(bS7F()ZS zjg9x*M!!&MWs#na;@D?=z$qViPb^kD=!}nGDL5Y7JpjYrKA$gEhOE>Q-Q>Yg@Dncs zH_p=ay7cq+SE^Zw9nCGA>Cp3rgr_3D2OEcR1o3j%0nb(Z>{EquXC|A#lvd|~VyQe)7o8ybBOjB7)Roj|!T?3ERdBu6i z>$`3M{wsn1*|S{umrKSF{>7GQj;Fq~^uXKW=ny^Hv}GEa{u&p^Km*>F-_$@RK7lD&H#qUk@GDljaLa>M=T0C)x#%xfe)sduYDOEqKB|)sL^Hd|gfy zIg0Yl)c$c_-bIO0(_U9={f0WVpEfI=Jezv`GI8+c35kunbE^#u&3>iZw&;xywOdK! z&SYpDXQB^q*M`pU?hQp^3%F-0jCoi7gm`CQH2BjVPa$h?p3IgBT%sKU2e^Mqc)B-h zUTh9$@WSf1MHkmLQr@wqygge>O_@(&tbQExz{083l#%o5Q=`tT0OxiKuS%Ko>4nb< z@0IUQ;N_8-@x4CzM%j1@FfjExfk@Z}wmT^AK`oyk~b=$RKKDjIyPOF(v^#p9?vJpvdwsd~0&#Y^fqONhx?im%E__OF z_N)|V>|U0(Ppomkk5XZsEnp4 zH}B9LRbSlC=QPIxuM2&jG?hjLbLZ@Ti}!TQi`}4l<~I@tyrBO7u6zXx7jKZ!0|%}y zwQY3zyx3=wQQJl%sK4s$;#AM|^uO%0V^5yir{t@=7u}z(3)`D`OQX<90;h)9+00W{ zXZcFb5dFa8+82Rq!nw1jDF09v_{qAkp|ww<_op?fj`SH4s4goS3{DB3*^AuUH`5n8 zB>`TH@L9Y__zEv_Dta@~TiBPAl>jetD&n1s!Hc{toGS(|vf$;v121$3%?k22WtlLj zEPqg5(ELi^z3V#Y_a}_w=Rj-0{K_cjlzuQHI=pRxC3|yBJkCXM$F|{(8*dILD*Y+y zwW5KuRouOu)rdW+dyWo@zHUaIVT`15A9zR`?)}BgST=ik!fH>>?suvU8_QhUW*kXcpSSthJ8!USUF&}s|9(H2QDeikn(cYorjZaUgKG+eiZgAl9J@m)y6^*~Tl`+Mx>6)+V&45p~ zXI2w`YE6fe{~+Uo*BBEAcKiovZ;3KT5!JbfUHiBQ>^FYr0rXr~--_9@%TG#f@;~(K zfV%s6KlmFcE4k3&%rD~*`hV)|v^fdC?qYyvB&kOSeqeCnR^>274Xb7rYHcC?SS8PRU? z^(CI|&lhulzIk50^`mXRjJ@_ghRhe90v~Ym-Awx2opr5&tH}$Q!55M|B0UNI`Ucvd zL2bZ1N+1I{Q05C63kIMEXbc>yfX2YJO-|@m`eluU^iC)JWM1jRQMHYwW7{^NPUQNx zovpFA5{wVoldt{WYU^JI67d`9v$db>#!6`V0md`s`!%=7xa<$KZMViJnnRQs7@&_R z*4R(5XKsh_SD=GAIOd6Z!&RXKeN?bWW5=3ToT93~BqDaK5iEj#;-_~3EiBEr$FuvB zW`4AYc8zZbk2Eh__e;{egEPU59YfBI;MmR7xvu8d&dpU%IXAYM`J_oV6TUjWXEgI0 zJHHDZj=xbhS&7GV>-kIt^hCq#C{P>4W?7m8khP@VeUpUdVXB5c%DMS3k~c+&z#N>oW#^lftGwT-Y&| ze~9`|L;j5#`XG+YD>aF_9}5gSYPLJaR^61EMB8ka8!${T#-Bvr!&*RAg0^SgQm{Mi zDCRF2gJ*~1bz|lME99uh%YmIcpNZQZ?wMqc5BLMOMH2*1<{KZ3)g&HV%srL8eaRhp z=k9c#U@tCXx#A}>Qi%ZP$XEv_t<0J6Wd2dm9`b&Eab+T<_fdc;n4wX6&&MoVDY}vKx8dpk4T`cMdE4tgnyeO+!E971l-J+s;&fVheSq zY?(dr7I;znq(o@4XfDYt@z_G#_2Wnh9$!JKL*rlP}iH0AJT|kDTxo z`7pE)nh(_9$oMF^ad)7DyAzWKZ;p0AQ_+wQ+3WS4FIN4}&dr41Z^F+NUm6t-9WQ-k zacB(jU*z5;$zJuCD{0fksWVhp%^T2{`tAH{esO5A;!FyME|Grh1BRz*j2cTDqjp}; z{K~EbvUGxZD#i&RcN~1J#+SwJIA8ar2{({?f|yfb>I$rHZ)Y6Uu6H5S)@n0wUwJyA z<-=jpMjxzsW$69Bc=Fre{j~erXe;_L+UkU;=6o2-AX{u^?zX*MvTv+3eQd(Gi*dA- z_1m};GZ87_PIu*baCez0gTa-a=IxTzfD!mL(b;$-^Ffq*8*Zie}oSU5RaezEN2Q8DCF13T6@xl8Z#l0!R7W4Wn{ z680xCZkS-|i(kAaG9~s}{Q@s+yl3%**ehvvjhhtiu;rLZIU>W$F+M>#X8L2J6Gw6P zC;5GOLfjeC#6DAG$l@vNrCFxBL$^&zn?2{akDkJL=tjv{$QIL(ryF&L?LfKkf4RPq zwl(E3VL~b1U#{0#d0WPw8z*o#RipMN7QVT&xRCa!`Nz&#?4w!8oa938_ciUrooc)=iCNk=>(xzrM@q68fA#FGrp~s0 z`L=$>FIRrQsvcIy{Pa5F|7YqboTpr-j+u#Rx<7RQ4%G4afiQU)%!&QAo^h0gLE(Sb zkFNRq&ZA-(sRhjME+~14Iv)V{UKw2Hz~9;PL7NtgNFqB|mKS8WvU8T!rIWn*h5Vc= z`Ptzu7i8#6hc#4t+iiIhGtK% zk)f-OAVVh~jByUc$k40aO@u~)>F8P5rC$9b=Vx8J%yN^x7~NA-|Ln@om!`|l6;2z+_Cr4tEuXMJ z?G`)N-qXOF#HP(kW^O7MoD8lRK33t$&x|#WC_i@>0sA6g&l)YVGx9U-QbZdR(FW`T zVSfaB4QR8#$RYCcEac}yPLjTbyKyytpX%>lim(F<_AaE!vwvaFRJxL% zNiRuy-Sh$b?VUiL{RH?EZkq|SC%Zeo=P2Z-`a4mx;&o%^AVYD-?TAZQ*H{*>yMTMz znYUscm^F;*RV$H=|Hwy7T+FT#=RBONdKgG z`}0@E+s~gEuUpAF+lbj!{=}87=KKtEsN1tQkjFOU!xrWiE8@v7BG=`djGg+PGcBHE zEwp{x#lT?$Wnlg#=Y)9j$+P1Gcj)8)|ecu)Cll0$`un&UHaDE)wu zLs`EV+?OBk_T?1`&C$AkR>qWkxl;0DnoQUr+(RZDLN`V(gk}uw;1{Y+mph?>Lf#2e z9A|*m`(;VoME9W#Z|r#5ShIfd>^pY-;%HTJ*)2sedhzpVowRS$XkExZwGg*0B<&91=^@j;{YpX z1=#N{*(Uzf=sL+*8=nqEH$EMxW?Z&U>tOMxqO6C76Tscxox<9Y*1F94SKrLjne*}; ze7a2XLmRleK=K*$BMGwx_GM?@65Z*zYtA*X*5vfOdl;7-hwRhSUQzN@NB6R)t%Z3q zat?EqS~EF~Id`*OQaora>_=KJx!NaQ7H^q5mi3$BjW>pv6ZF=?vWuaWZODGCg*}{% ze<{j&?^KjA1M@gm?m^B0zeD4+M)dA$Va(b8x_%RDVHIY+{&x1$X$raoE=E9PG3^tG^Yv5o0#VfFdFn)mrMbCU*N znX})E?A{srO1zUb0LIyc8`!(T{uJbZq$$srG$zr!;?K$%j}VqQVeX$~z9wwf!m=xv zm!*F$_140ou`l=%u8eQm!Ceb8aAYm4ABILgDNiLePh(-epS7CP&01LAO5}iQ&T46G zW9tB%^1hjjGRMwXNW31ox_!}n+H}61hhVL-&Uez+!LBXdXx703DhG9* zPyru=SFT|GfH`W`V3;qih^?;I{M@wAMY3O;h%NM)HlFHF%+y$$w-?JppVhdIJB8*! zue-hoZvCEh6!tOM_NX&=qk)gHCG}Ag?k3T-w>CyNtY#mBEsupYjxu;{cn$R3 zs5%SAS{uXOO!>a5@+GdJe2tXTpLbDmf%^K`J;_G9Ha2H$Jb4=9^6QFO8w18#8w0NG zrO+g6V@C^Ctb;VvZ_>KET^rNfbrx%53FgX$W7Mg$m+`=D-r5-RnVGaPxW!nR`EJ&l z9L;xE#OAQ>WY*J|qv{NRgIn|6wK4ib%3PbaHg=&ikFhIjW84{~wXx5-wD!kzT8nVE zYKOZv)&@RISjc=mxb{2NHndK)*@gFYjJ2tgaAl(4l)g4L!j^R&brmhg)6*XfEqA2R z^61koEsvh3`v&RD$Hk^Qtc|rfC3V6l<@xCFJVS)l+Smveo^jyWYx)LvZH&6xwXty} zUtn!)7ikHff1tH7)>_+5I|0}0v=@N(nHY@~)`!#9#un(lw$MFFlR9coT2I5cl1Z$Q zG4IWsS4Hg0jKkQwBiuD%?l5Z~qix$PJTA7?Ya8YZI>ooVwz-ixG0HdEEqhlzb}t*gYTZ@kU|z=hD{nW_%qgjs|9sBAG<|~Kd6Kz{#>ss>o;C2XAvu?&&GgDUXb31HVk<X6p4$eM z)0RENz3!}?ja8dy+yVTn-1W4G+^}D+_7G2JeTua(*1}z!3LnMWrY^l3oPs_ZB6hy% zwuFg`{3f|WaT$j&kGfO*CJ?$%ut{rkRUfj zKM&1Z%l?u!&GE4pYqOch=)Vt*YlHkPrMsL8TL%d?WxfB@kCK-E4qN4GGti=_c zAhX>+(Uv(AetScCZ>|r0Ua91Qyh85Y4?Ik{=$kqp)7|R8P_jI8j(syL7>6ZI`+SN0 zWA#byRdjp@Z_cd--s}|#QRca*YY+SOSFfM>gWHiav6(smo}cms4Fbsmo81F>GCs zC7H7-b&R~q-R53f8M%!;T}Eyja{kSh{RYZ@`lkNyo!#8SNLrEu{-Sb=_cOl!bG@TI z@ip8VZ_ZGR0*54PXW?A^s$C37uiKkNv-rxrDPK4{+ndwv7J zPV;dA>OeYS)6Rm0Jv+$V-qZHJ<`C|i_Wecn&+?ARfL(WthWw6W+|KKQPuA`)vUPl( zw9Om6lGW|rGw1n02J=Y}>{=yJv?9j`Y2Q={~ev$Z_i$gw#vT*9Li zv4o{FFQNNS!@LbQ$X@g|d-YTfFWx(ZC68oAwZlEc`}5!@$tzAY^^;wA&zwiG=s1*V z^Ah~LFbxrN2F3Uvwmv$SNIgtl$h+X3t6$(3#biXyDpnrBQ(0rj=A58ZIJSuD?V4ANc^g8^+Kh z&ut`budt+- z8jCogk>Jv+&?0+}i=b7VTP*t9&izr&OX^&wsq^;+*IBUmK=k+yxGDaT%bOQjz!jP> zIBVSdFmt~=^vj&9&bxANI^lsm>v7xP-N58m4yY%l8T+YjuDP20caw!MopYU9)^ZX4s4-o~L^Xco5+Jkbl&kYW3U zP$13iz4~iIGXwAzjqg^uN4l~Ma)-(Ye$%#(vj2*EOSsp-lS$J3<9g5`U@lx`Xz29GE@mQ73z{QsBu&^8=FBXN}dOo&eH+@IA z06kPi{gsF8N)K}evZ4BpSilJv5k`HD+=H?!EbUtK*h{->#&c&uTKE!AzRbX1bqER% z*oUS2^ZSU0LO#N;{)JSe+VovEy_Auk)Lyb1S;ypqJNZ{-*nAq0zk>nX)b{cxj{tQl zdD81=j=<}O@GK_gxXa7I!8&u!-%`-}Yo%jdv7te^L^NY|Beu2HHukT&? zg)>FG+{%(i;ul_+bbitA_FnwrFmN}0{5KX=82HaP0{jmXFOize+9qQw=&&Ex-=j|t z98CFo>7z8}o5~&>w#=5M{F9wF*Uj`#pC47~T&%tf|IdvoP0qFb+Y$3H^iF>gfaXz> zd-}&M!b#DQp?_qgY;aX>w3qfFf&Zr87EL7XS3ZZqy?;{r&ND|y-;B8^zn}7>EG@X@ zcKL}Zx9HWBn=@^cH+Aa~()&Q=6;AfUfIWWyXK9KLaKC_sE$|M&GYuXK*2u;uGbR;} z5dPE8?1fLfU}TkNH%<2B4yOs3gz(%|VA2Wr-LdnsF5QKMn;YkXYZS-8Ael4S$qOia z-+phdr+|H4N5mD~JCg~VQ68L4A0QegkA6I%{I#;H{HWX%w5&TqWlmr|*$KCT`vq^} zuejMs$^Psq-DG*T+;UQeFUAU}JNRePQ+QOa(;YVNg}ZT!-V|RL!MIX7cPZp0!-$Xh zgVTQ4_A%hFz5B`MzLD#5l;+FKIq6+;6Nk32eX~1ORohU8{&rEmUc0#NDxaxelKTCK zdkm>7dsf2*^v$7&l~+_g_E%F*$$yVW19?9#*8LBx`Sjl-cd|oXN`IsW6Sv$WaVIVM zc$22gv7qCIb>rkByZm8ivGKcwGMI9v!VN~2NUMw7DersLC$v>_OzMLUGv-Oh1?r=` zOnU-LFKyFilvCx&bvA`#Ig~fe-{83Hz%qir!E@}wVaawT>c9{p*Lqsk^2di2zR znRkuB!2vquEg(Y|nqyO3@YT?<=vU*j5Z77{OPleoaZ`OvzVI3LZi0VCUXdJT;*zG? z+|HXpAGGZ-xUvt;+h-QgUNgpS1#dIo^v+J^o%)!!pdDWa_fMl7>OY|If`6dPoP+rQ z`ak;i7ol_KOR;CB-!3kAgLugC0q4h#{5`iK+_~S7wjds6_Qe>!sPKk9^Qecy!vpY# z#+XBR9og&)+`;p@jUzvm%S*$gt@b`J;Lkd{p?A)pn07CG68tc8h`>VWP`8o@dAm4N zV%}KC&(xDR)U!l&l3lQtF5LMCw3!wrmTAjhct7cblLqD)LtyXb7b}J@OBNUHzBNP^ z7ww78ls2@qShRuJ^eJ*nr*$`sp*7-oG;78KUS8Zk;MG&`3596Gq4WYgdf#FEa=1SK zJ#eGo^?CzC;e+lPFy)5cOj%Suc%NybH~aP%(N^4(Vao&Ut2~AW3P(h<_=^u3Tygz9 z{Lxy!_{ICjpC23k;^9vb_)NbNHu&>4Wg$<4Kcby?Ts!44;|!J6_3y`@To->HAHbjY z+lJdeNE?clg!k?^GB2F$Z!hh!7hV|{i?;!Yvny7?=s>~pi_qu0_`%VJ7^JGXl3f`z6REAhRZ9<-`5nFx&{xPp_&3%~Z8x5Sm_abm{5S(xm zzcqDQZskG4Gu3`B&YJ#|^b7oMn$q#BQaj@=8C7Fd^*6GcvGbumo94H;15LaH*r=~4 zI!Jjm{ufUYtZ*|hGxnr~q37?xlk%DV0o=0f$NV<9*LKj-j^+Y~^+AWhpHHHA8zPsj_y<9%-%eN)E(A!B_*b5FJ#czOM( z`eV%@8CZf(b}q@KrQPk}syDp(pTmr&|JCUaX|sUS;G++8oR{8(j}4te9>U8QcLTHJ zUf`~ENcbYWkuc5`N0={3pELE^7+e#NdDs5a&{WD1-(e3BG@oh51}iMjW1m2=X%Fmb zL(i=CIH@lhh}`=Lhvk>Jw-u zQ`dF0&w;-EI&-ag_M&(C42>PmPkpYTU(qMDY{tOkXJmch)CH3rjU7~mez%Jz+wzg$ zAbD4P&Ee$N_rm8saQGc?So6OBPTHn^@Nf1|n=)(NfcloX>js9W2)8_(8{%*3r1~ff zeCTj#1pL(73!}c7F}LDu?iJSkh z+^U{C!aRZA^mO0zWZierd@KFAnG z#o{6UdiS&F0Jymizc;BjDo_0*y3*Bt4Veemk#P+8C%6sl?O@JGIBD=r;|9_YjeU)D zNk@FPP;0v?QwH-TSp(*wynfI=9F+k%(yOP^F>rs=;+jkp2p1voCF{jFXSeoqv zuI`#BynKKzhRxBy$Go}jw7x~SVe1dvu9&E~c{6`$WGlf%^!IijdE50$jSG`~lO3~` zgunI+30D2-9O^^P0A{bI;lX>+D7bBK=?;ra;?<$aq>HZn%~+JO8Tr(>r3|i04%E1m zE5;4~_THV{%RGx&p8_8h#*=HsKMxmvzrw2x34f$8oRze5M}o&YEdcOrc0_s~R5BzH|eUsh*eN%4cU`+a=>vTWr+Rt|ljRG^umB+ZI zpKb}SFmhL3Moz=*;eg!3>d*Eg_v1gTe>A+7zESHZX*kv5w3&S^0jJIE2@t%569aPy zIt43{&0 zD>=$3ey}5CVfCx)4Bn`XbWch9F+2v0>P%CzcewU+|8#eSmmPSy^979E%zWAKc~bi1 zsP5QMKO?zvX66*LHv)GD`sGZHy-Pb)JjF5X^EGovb$-#PWHiQm0^%dYOQx!)IKAA- zQ8hNnJqiu%iR{hS{5>?FJC)0Mmq2s)lR0zXlRV(LLkE*f@|Y9nt!B;^RFu5g$9f3m zWZxtGYZO?Nm%OsGI6ye|YLo_e7rN%nozqJGR9~%knfv!hYAnJzPWs6};A>;rh?^|n zY$xOJ=Zh9<4TU}KwX_xed9U{6=jmN&=P2HsZf|4GpZR~I3VaS{-yTOEO|T!8yL}bk zJ0lvhdj;024jVDjXyd|$X`imAO zxbGno*d|67EKEiVRyg5;l}5d9XEio<$uj~6^fU}kX?ieU}^XeZO_#Fg(>f1?6 zcUsZT-LWa)Q~QYS0w>v-{E^`3+*0yleRt6ZykA*qs{Mfhdt6cl)GG;mxl?!7mx@;4 z=S$v+d>u9GA1jmH@s{=|XXnAS#1`^=2AnQ7<=VNkfO2)lo~$o0=P7Uxy;<)-6L*IG zTkjijc1UjAS)lTBwim@a2LAzGf;*wNfd3F#Niv#vj>r4OKU!YpEhFf&M|xkqlRaS6z15g_o~gdu3^qSq8^R4|?J9H44>w zX|#FGWs2d3IcxS(f-OCF{hHQQ(N*i$U%LMM>I;Z{828CXaG!Dn_mhs`KJ^IhCm+GR z<_PY!M{ut@g8Q^I_l2vLH@np}#rNu)=5C5}7qwDy|6G|fG&y#~3bwbvkb(_R~vUe>yNee0>wNzIj44w#Xyd6IQq z^U@11U3vLsQF%v=TXyu+8MofLaMjvX%P#}(R<2&P@)Oa;Yc5%J*5&NFbDRZ@=P8xe z%P%{1(uUQQ(b*lOqZ@uTPzXbE_b*2MUO3x^ z@a&haA7pQA9&Dd8uVIk??AEn|?29g4JlJjuM}ge*`%C27=gymZ)}qBT7oW4pb)bw5 z%UhQ(zOrQ%F4Y?*RZrbO!KwFxPqfZowayJTK&NZgt!a&(F>k@V6QZ-$uW7yril4I5 z&~?L{$<-&!pSO5Uw4v^d=tobR8Esf~$)(WyB`vGguUWn}I{VVKYgZ9r&YU?@q6^kv zdhx22taE*y{09)d|h*N;i@avs2-GBEYJdSb@{3dEmU$!Oe zoRaO#A^4DlOt}m#oUv$@#ijYy02Un<_Oq8?vTFVEe%Pm#&-xfpop9Di>*{Jw23D4l znj99dy3C-u15K1qYOXMOXM2Sjh+P`(j}G1nUZ{Cz&UMzTyU;mv=9$jAY*)rZ~oq|x~89W*N&$qzA-xv#%j|EG+z>du%lzWU9^PhIn|={qmG z`nj_TE+G0bCvU# z{#ocRUC~%8{kH8#U4owYLs#`}=p7}!5B(Z_YV7q-2&YieD2gMW;?siyy%jL zzct%Ab=$HRn_ifm*m~cF@%I!oEcom1e*NN6A8EMpsWU=PzM5=!(QVJtZD(9PR%sD4TG3OfdNb}7z-%%$eaL+tRc?D|p z_19GM4b~`y%o@eYs>$a&qGmPU>>7p7t$B`bUX4l>uF2#(y5?HGV``fCMrxFAkqKE` z^D)e0YyOSzIFsi1nq`}G2fXr=iolqqo?{M^UXRrh|36Lc4`|HrdHwowF~%W)K1|Ws8!j5wMrpW+rl@qHj8hT2|uDX zi8;Ggkjyc@xwWcYaczX}*xJc_N7pv+jnt0jTVh;}sl6I=v{tQ9YQAN)g5)IgjnxWD z<;K0DR_%LSZHVvjwcp@dX}%|zZ-n>RLbF$;M@hDf3CS23EBR+-qv9`NmE9 zriAnzg6ZhjL%uE=KKBHHhk|j-}`DW#QYz|{eJU(zmb zBJV(hEfigZ_s?51xmrUKrP~5pz{09GjTtzZ}EZxzDF%k`s0k*_wkwid^maTA>22e z`#j%k&aLPBxpS35+qr`0wdY3pUUzPSZ@Y2dV!qd(D@fjOu5#RJzMnVYzhKIB>s@uBz(wfGMvhMvzyc|ImS2F_>OE+zIli} z^aAD^Zc;nun~D~*~J1kGv+BxYN?Y<+^Hu0lbcF$sWIkS1CzR@8eFECyy7PJQ%v~j zO=Y;B+N5@*^QFi2es|Yoz>_nat5EOf7p-`I;oeVL?SmQ_-cSyQ%?Q zYQC!$qf0GuRWrKOT35BAOI_uvHgu`2uG)?+)#a)?(53En)xGFa54oxrUFr!}?Ln8? z=c*UcrCxT`Yv@vkTosr`{ZYBDil9r4b5$9-RHdt?qDxJ8RRg-zd{-?-ms;YgW^}2w zu4+Y>y2@2;=u%r80bOdos}`e6Epb&dy3|@%wW3R1<*GJxsjaTs zjxN>Zsyoo7?snC^=u!{4sux}A30Lhwm)hs57ty6&cGYX>Qiog>IEDJ7a$OZcmm24) zGIXg*S4~Bin(nFwbgB8ST8u8W#8u7cQfpn+iY|4PtJ=_|wz_INx>T2|?m(Bi+g10X zOFiVOUUaD^T(t*XYM-lSE}A`W-n;Od_wv63xuNlWsH+G49H;Y4)`Xg{FY zg@Ir5^a1}PnFm6DX!=({Agj{0!=WI!jp{;qzxiw|eu)zUX7bc?{80Z80v|%)LkN5b zfe#_@Ap|~zz=sg{5CR`U;6n&}2!RhF@F4^~gn$cyq<_EfRc>(%_##f~BzBsk`uMTc zTAM|j-bejT2lGTyn*Wiq{*Lp&dgi@wmy+9QvuDSn%d zt)?P+a@9#y)i%>IG&{@Wt(We&-?1fp|ab zA3nXjU?m#ad7B0NR3-=KIDg3XhvE}!I+VmPP^ul%E-->5| zeHyB#_p|NL$?i^DzGD0rd!Fd7{|3*0E&iQp{*{>h&PMk5+4uo=K^!P`oI~eXye$40 z?Vc9irZ=U-3%>{d#lzsW`YWD)`4W(V|MHL1u3(e*v-#fhEiYV8EBO)bi7Wih5(1Tf z%EH+T-;9B0<^RJA--N&5y9_-SeJy$yzOR@9cfi3+K734gJoFKX~pfoBhsJxV?<7`W`@6eX_2#_6WM*G0wG@qYLj6t{tQK z+4j5cZ(h3d@qZ|-{jC4eL!N(DyWgR3{Xgr!?QPHhW&9h5#eeD@&wuLmv}c(8i=Ll56% z%h9wQK1R3)&=28$@0a~fGxp+}ffM$!PWUW(%UAr)9k@rnYU!#S9U^g@f8*_D>F%!# z-S0eB=#_UX{@u7$cKMxMZWw76E*mMjt=DV#H*1ru`?Kl%q0;kjy3Nv8EBYSF-j%~zi=gdr)~dY^sBIMM_+>es_Tx?{A~Jf&GOwoYQp8p>FRZs6{{hw*|{L6O^rYl>H?|jzte;NN*iM%g0n15E{ z=68O{$r{^kuj1cKdo2DjbdTQ#^d!3Xv-#e6lNbH~{!2VhbnEZ`n&-dxk;9eyg>QKN zJMdpNEdI;)c>YMK%o8HgHznipee64K?*S(+3w=wAXd+s*gEB)^G5|1O;{XgsfgKRHe*+Dw( zVg9p6_4~hdxc2x3(x@$0@gcwSa)0VxdyOgZ`~z>>{?`j)^Iui$`Ioc*sEq@pO>X*Eq^>0GTwEpvb ztdS2(|8FOF{;&Eo3|$V6e=E;T+W1j+p%3q0n@;llSMxx{9xpPw&3|&8=dblr@iXsd z{gk1 zUg-I6jbt2#KYy*?|K-E_moD<+&*xzA)M5F5zu%w7<{g-0|Id~$<6JNPvZBMm|9_f1 z{{_W^;bZ%=n=kPETj2m*0^iV)22e0r&uo>x$}oXb4- zj~}l5^4{UPV>CaT@Av!Tm6L9V z=ZS9pyDsw5eE|Q+F!)|`vFHE9c=oIei+|%Ko_~@{7t+&L9sJI^b)J8iv+`ZI?e-0p z(`-4;Y4O6{O*qxZ`&s`*pY;4w_}6)!=+?iM(TC*&2k^gYnE%Z(P~?D&$)F1@hz zQ_I({TEaoYrS`$y8u29qy#4iFLC2Yow`!NQe!M+@5Glds*+9UzOFgAjd(=H z6Ti&YzpZ7&HWSR+T1UK^X4{Y*Rmf^3;ort~^*_n8PS%(DQ@pu9#kxT$y0+F4^jAbu zF#k3;#c5uOnE}Pg`KyA|%Q2DRmGrMlce3$t%a)CN=lBvfZcFyHvdvU5|F+fHx63xm z+Bl?Pk|jOIH&5X^Np?CReO-+4++^q3WY>-`3AwhG5hfwm)@rl-h|RJo`!*#w-^N>= zeT{5qSlhDfM^u0_leqb}t;+tEY-d?pbM{(^qFz1Akn(ScDW4FzpNhT3Xu$I`#r6uSnc_%)m2TASk6 z-4vZ1VUq72dA>**%S;+;`qQ{)P#QgM8Y9;@zV8a-z$Pc>3#$2p@@fdF!m8I({V6IX$7+oSlP1>M5xdbnTg!<5Ft*mN|LP%JY+^1g!|Tb*2QP z8SL1ad~$FJKG|OaljSFUHp>>9aJqv>i{4Va;R=B{QG$n9b;R3%`AOn&M{1zmw79d$s;u<>t zDvBR5_WQ7BUaU~gWz;O-oQ{Lr86jw!&d8fdzZm3{_8=6t`AL494Csms}bvu&xCoGbgyt+~f3LbYw-)wzvnwu^HY$Tr#facJ{sSr;pj z20HT|bYIsmNIMrP#POZurk;C~Y#+54)jIO`1ZyIAu7Wp>d>XBJz$)MEp7moU@D9(q z#L2Vme=C9VZ2K$KJlp>2kVYB1ZGVLde@uCgR*8cdYgxnzGf;JWW30nEIb5d@1=gWO z4lCqPXdTweK@-Bh;-pEVRSp~FFxEO;E{7>{ILbO)frGP%jj91>wvf-tKyx`wFB!;N zpy`9-{Zr8{@HFhiUoDsi9>SOro+;xGAc~A*Wek1}*T5=YGv-hrW5JKmGe4t{3vZG! zU&cjpb+Rh?`~o#XpA1jF%FfC-;|&~gI+f^I%F4<4w#q%xnKF}+kNT7aPiD%+$!R3hV683iBTBu_z$xckxmyV? z=V7HDmwRr`4&nw+kvW`mqs-G~j^r#>m{Vmg&WR|U88VN{`J&wGWsW-G3rRG~7i>I* z*3bE|a+oKhlhXrY1W%Vang6_E&sUipUw)ecoh1uWcvdd@M+jspa*Pwc{#2w&U-0}b zO3#37iHuIpCzQqo$~BPlQzfzVi@0ayr~tubs(3rVQBql7=Og8&IVx}`meC`*cGt-Y zUiLFAIaB!rTV-@|PC&j1UT#t*1!2R;Qwh2{_+KH?&Rg2z1pjD4<}F?C1Ya@%^OkC8P><&PKXEr`oYGseepNT(?>M+GbJi87W%t|!f4p-G_GDNyYcqtJX*zrrO1D3m*Z zJr1v;oka>0{DEISk&#O5ho+l!B3o5}ANyzH7KjupWoyZb>>cpQja;ZWy(UgL@(Ur^ zqy9-*Bo-N|iau_9sv|85w#)x{(wQ3hu2TD{@u`b&oq`j5!k-|{^hm}jSf2EYDie`^ zD4*RXSVQC?rS?nX(-?U~3H-`GmpJnyQCXfc!4^brQk-9#>MV}vV!q&;{tSXO6$_Jt zZ~KM4jlSX&73m%SN3hNJ6@N;J`!hHN?CkRwU!^oMGqQ-i-(S33wycbm_`S@kQeXu! z-ND|dfH2YkS`|wJw6VH?z6r0fiZv>5Dc^#2z%NWn3oa+GUB0F;iYg2n2t({2<6yX> zaK{i6^BAI!L!Of=-^H@Lzl@`)JYZ8l~nQcjHEDuXG3$RtI%(5IHp zA{*6vR6uDS^%(7$7yPX6vr6Db1-sgqoyZfiB#k8$`MWHt0D`P=^y>~ zU}=ju@_EVR(-pZ_asFiDbVo{M`LnU?h}&Tob zqmvWklQ~P~0J(3MUPZE5rjI;k2Br2LClTfW!uh(Q&j5a3{O*X|Y~bsf#YU9U5ases z|15DCx0Sv`>Yi;~>Ce;rT1u;FFwbv&>7Ua4TAgFG{N$V4z!Jd*O5p37@QN}!_ot-$ zP@!Et@^yV$8JZDilRW&}TAhh25w(09MZOJ1h{4LEYb^3u=|f83KW)L5m3~6$KPUkD zx2-EZAlnbD--^;>RMCf&gnwIeX}7BI!!0J{>e9a}-3m9r< zXkJ##%7o_mO1l*LfK5r$Sh4$ZL4eO>s6#kb((H)og7UGFX0sP5k7FgxW}iQo5|q{-?G8>VIC8S-##t$AkZ;Yj_- z2JI^??ySvOcljm#wpJ%!@X~DOXd_}fd4EwQE0sntqnML)W~dyPek;MuP&sG@i)N@C zJbymHB43u*ePkWFSfPYj{7cw!k?Us^@OAvXO<|8=|NkFyrBBm_dEjwnDBdCb{RWO}Dyf^bDjiLTTLV%VOqTF??Moffcp}|KWwoIaY!0_sI+N&M~a^ z0iOs_GmxVcQKQ_ju+Zm>JW;`S`r1j;%w2V{FUQG#P&MA!4p748GRlS zrS7J{pG+^}(Qc#`XJoNJwZxw+LxQA6zAg<9HBGnFOE}+fE9w)fVh$|LnZXOk{_rJc4;JpV zyYImZ1ZzNc60(wogb*MsLJ(9$lr4Z36bL~l$SnKOJ`C+P{V zMw2$qIo4)- zXaI9-R+5WQ9;GSq{C|WrP5*%W{A|xD6FLs8rfaI{W;>1EAzdrdO#z*La{bfNwKDt~ zy+FD&(ro~pSWIdPF&i|$w6KPa%Z028Wc+DuG=pddqLn%DqQS2(_GIQ*ZhC3VLx!<>xCpoTcUGD>`}==u-se)Qz1(D(49#DyI={ z0lem8mL~0jlyYiO9kfp}LgyWF>iU1;+-^Szyp*;w`kR=NekM{vgQK6$lnl~Do(1T( z=6&Tpf{#G%R>6bHiO>cGjFt)2L=eO(CeJ`rZWf4LIB~k;wj<~?C?G|*z5rR%1^9Mr zPC>gGjt`Sb)euj1p~(jlKY!xcj@z9~M-UZmJ;>q5xRN;aO2H}>-|m1$yn|NZYQ%1O zjzASYcHnB9ijU+w@WI&9$@c*01OR^xhQv{JSYLp2f}!T>)@(%{D<#QXLuRD}c@T)M zR5>fv07IcGHG&-YhA)*`16HMEHBKsZCeo!+a|u)-V+nx9q>xJ84@@fc7XbKc(3L%P z4q2w=nz;-Y3a1GwCCP3%t0W+U2Z+!NkPbl+cZvw@gme))lt2|SxMbd#6e9FaU?P+Y zDfl~TAcbB)p$ow2G<-ux5{b7Pxk_hLDGaI$9WmR13KR+ZG;JUB#q~Zg=0+K%W=tjH0DHN;W)8y zoLD%<4_sp5SS1#Y6AQ=x>lcm-@VXb2a5=f%t9UP>FGszp7SH9Hckfj|J?*A z(=GQuam%3uDXzq3l~ew9GBP^^-5S2KiM*+l_a`gwLXs7s?rF226S>nV=0u?Fmmz%$ z3Tg2(O?vj~P&|Zs^H~e-Ce*bUp=*&-XLYv2WK^D4bbapWs1~oF%yWK=6Z$y4fd>utI zbBo#_MNIl$6KeZn()Y3nib>y}=w<*8lsDwp_!g7CC+m@7()Wfl)rpni<7r$C_~BF1 zWavB%W8NtrAwf*~-e8K-CVj7*uM(k2-y87)5t{V9)6W4In)Fq(F+j9QUlmk>pV+5W zAwe1$Y<^fjza`WhCKzUp)iO46jyH}M$XN-n`AbvBxr>hcMa#H6pf=?c@N zuS%t##H6pf6OM^VU-clYP5P=QVQtb^y$EZQzB-n$HtDP0gtbXu^&y;UO!{hoULiv_ zsFMh4lfD{ASex|KAl5lF>8rs+Xwt_e%Vg3JYtmPv=cAzRvM~g;Nnf2osoJEk#x6p- zHtDNzY&cE&Tycy27$3Ysp2_N`Kthwgn*JmZG3l!r1hq+D%@oeyP=+LJ(pT?)!S^4S z^woBuq)A`x5JH;t)n5r}lfL@kM8MjluXYmFCVjQ*HptK>ef1&fwMk#?VZ*gaUwt|r zcdj<+tG$Z>Ym>hE_iDh}q_6h92w0o+)qe8SCVl0&teQ6IE7zq2ZPHiD-Gn0Aq^~0G zHXz!huVOA4Xp_E*OBrp_S4r+nFwiD_mF%|0cxscrI@8mfie!xPLzViIR z;1`te z6O+EG6j+<|;bBEF+N7_}ayim8>9gI*iafPRU(IzdA_JQA)jWZ@^|nxHlfHV#NP5Nq^Aljs_{vwDr>8tm>AEP$fq_4JncLUKTeYHd6 zXp_GBtCZ0uef5DL+N7^`3ZhN=*q%qB+N7^O6hxc!)kne&CVh@QMM{Ujq)(hu1&2wW z_-_nOlfL@IdlR^r^wp;VYm+`U-R(pMO!|Dv5ELFT>9bQg&qKWRZuOenhGNoJAA1}S zG3l$l9%X5%1(?)Q0w#UlfHK`M_)Jg6WPwSa_k@|6v>qgjwJFBwaV}6P?K$m!2DKt` z44)|xpJ6Lfsh_AhKA$}i|FTn&avxLXhAFd=;xvNlgJUh3u9uL4##rEhinI4vDX35w3*O>`+TP-@7t_!gZ-4ZDpX-4NAqraCdMkG_tp0Y9*T%;+rY6nb8X z&Y}iWl-5~P`6__Q@#%)BMr;A%Q)f}9&jFaEeC>uf6^L;|oJBs`4UwSeED@=_3f&ON z-nbzWHg1T7LpQ`ZC`p|q1K%TGnDNS6RJD|AED71nNugjMKSdM#ShW zk-hZSH*Sc}05)!j?*cY%h~#PA5Lq?rhL{Nn)(vqxidZ+q&wyArL^7~$h%95>5UYV$ zH^f4;-?|~vuh6<7^3Jesh-3hrgX5A2(W8Dyk zqKtJzB$;(XWOJ+=BHc)=8zN_KtsCM1aV&BXl(V3R|#LvbqGHO>ac%GXUz4Gs%q0O44K^fitX@2BqmnB~M4r z9l4pHv+(|iqNd@K$G9PGM#HQd;sE3uH$=kL4UuB38=?#@#IW7Tiaf0wA~*LLH$=kL z4Uvd-LmZC`=q&uY1?gc8#SM|Jg~kn$zX8?_kppGj5J_d-5cxQ@ZiuX?bwj)eh;>7x zVc5DM@;0z;h(xR#A`$C`xC(Nt8{(rttQ#WbST{tLv2KV&tQ#T`>xM|gx*-y=ZiwUt zorPmhkis z#to4JV%!iZOG~Bep_G8m!W&Sg8wQ{0$%^+{Tiz39YEF`5Iwr9#8hPa#ZA(5WQX$;}?FQm73!^M~M=rJf+U_v{&HFT6oox`=AH_5Js zr5M;L0tLsG$_STi_-M-<3=zD7+{_d4#VaUwhJ%H2UI7v+M7Lw5h6<6{NxSg}35942 z`VaduIMNA)D4`JXft65*WM4)?A;P|lghIqcoPBmcY`Z*-8&~PT<2{Cq5`Wn(yYWm}uK2g(r!TO#Z zqjzC?U#3se={J*pvZn7qn)7t}GQ#J_rn2)hs3*G38c?$|(L&vtWmq-Klv+1yz*?_^ z&Y9U)Q&MwHg>sv93GuWB6g!>xQ^eahVE$g<*^?`=6(PL=tNuEsNHz{dcifBy<3Rs7 zuB<(*My8ZM7QK|Uh~={yOalFA;+>hFE#;TO%$Ri>^K%-o+8c=fkog@XABST)*=Nnd zP~{50n~7IUK=*f{>?N=oKqpiwe=UId1l9wfj-5|W+KmLZ0m#M(jCG(8GH3{74HpkM)Nk&P;?MHM>2M0+_tM|ca7vp39nBRxR-b)Hj@-_dghBCTa@T2UF&NLNr3X5!RdTcA0_vcSo8((WnJX=Cf8Z3@$- z*GXH^Nz*N=O)FHzIxVb_6XT3n34%^s-^3%eIN&7i6L1zre4d$CJR7~|t_r4tAmT5; z@^0}2^tt=XFlF2YNEyXa4+XrPB7PNVVl4G=NHc~sI4Krxs$t4$OnHMTj|F^ni};I? z(zy-z@Ct4%F>g<9D^>C@2;}7sbW85SH!t^O*J*=0M>*3lbm42&Whnb3t{i0W@A+om z-D_32797F$&ubtmT!x9Bh}!rq79hn$_;qVqqB=3`ohe}Qdmv~q0SNC-cSH<#W>cOMe6;ekzK&T{#N=(LjHVR=VBDI1OkLZog8J1~Z-5{+736 zU7M87wHRLIv_<)_gMJAv^yOs85&n&8UfV%O&SSvS>(^X;_nVvnF<}q@f+h$6oBVY_ z00w~OMO@++S;u4GfFJmkytzW?Vz0E9Ds^f?>M>U+IG0EF%1MbnL-{00@CuedpG2yZTPVuD%ngfA#%+w0U{~KcP(PQ*k-m=lKx0&oLVj39?fT{|@bu)2i_6?Cply#rwMIhRb# z`37!{2PGvnY6Rc!$zf6%vlArefotHfwyBhcUZ=Fcz&iX0KZp}ms#Eg z#|nTjOFMFAxg+68IU>s)g+PH&`Fa24bV`BTKeM<;|u zB@{BKWnYfU#J;ia5DA6st5KQ$%L-Y$ZBQ|Smqh6@hef$HPf#5bm1YQ48lJS0ibbg* z9L+gE(s-U!Q)nygwOXaU9kAsi{bRD*Njh`nlv~3<9xOhZ$+w|+hHQvAldPX3H=aJF zxrMG4nRRrftWH><;uWlSof0g@DraOft0CQt3~ESKp@uZHb*+Y!@EtX)SM-|rcB@wj z-%*6Hv*c}~K2^t{Obdf!oB??k3YX`}0*c*LU3 z?}MfkzYuV=1wkiXMYtukI!35mjkI=+s*#oyZT&uJ`!l}{K_}jwa9jQBGKg?HmZKvN z11qMUgQQ@CsAlGTPPb+alqtO)HjWMeZ&81OU2q)l#gwMd$YihcL^3@YXgc(+v&i*K zAQ>|!PlZFm!(fi(uZ+o4FM>+~8G1`jOXrU1$+V1L1%lWxx)XvX#47 zUvu~<;@6-sTUEnWt%KZL9z41WH?NLgZNAwG9b2D20ql4+NXs9IFJ94fXAs0H z=XTgL!|aEW;5iFoIUc;|_D=UmK5#5>nN$KTr2$w23c zc;|IYopkc~KN9b}PS~0-HVYeXPEZfG56L21Hdn&-K+TabGTGc$)D=ryY0IFT{27Q= z!U{+=8|mqLp$v70M&o3x1;kjpI%G^H{1K~=90sd-9XgIHr-Yc}+TEH05Q~(al=3LJ zW%I0dYGNpT$S5-%UI0H{(Y10mt#Y1(IWp9zn#0)2AT#Sied>->=u;z*=-pI=8imK= z9hp7c-k+fr`bFgHtpTX_aC_h39&U;{a1XavyNBC*3FBh+aC?Wlhnpw`6 zhnw)X+r!P0PW(x{s6{jH z`rWL<31{3l!y&bKwi;QLJ-^0xu>qW-5 zN9#q#9BZ^Z_#SSO9mXDRlKpq~a8t4@yh(3!dq6WmnU0P=+&$bOx$NO4{5|a9rWor* zCWDLm{!iSp#EM#@wq%z9DZjb0f zjQckNYgvu)+;N+;O4%%-OF3@?SI!GCHir67CGxnp;5G2$-=g+VPQqY&N7@b>p4dH+A@87&#O{dI^|H+LIA<;lcM3yC>+zsjl_D zpp>xI`-1L-wcZ!hiQN{$Q?`NZ` zjNKD#7fQzN33dn}^}gV*1hw85eDFiSdiOxEld#@B5bSyeGW70&;6u`Dy)W3qhU?t} z!KXZmPwyTG_Wl;Ij@=Xd`z^pac2BU6dYg{j6YM8X?W`8y><}Q@SuJqILZJ1&K)Iiz zh}Qdph|A;pwB8rQTr$wR2ZFei(RyEyNCJe&ZJuJ~oKG?oyJhW3kPjR{iuf@QmbWy)Rhj-3KvR?+c!j9If{S>%H+PqjwJkFL}Hx z_3nXSgOF*xFL+sU^zMORqjxsS=-mUspM*^7eZi~J9KCxW_@|cvGQE2s_}t^{4R;R& zUwBi1g}Vm=&z}v#Fm_K6^*f;DTl5ivLCmK=F@`o-U4bUp*gc$)5@?4FtJBefW@7A6 zU^TK@uvD^Q@XDquk;<7=hnz`fTplM)CK5QqYH3jVJtRo19?l)PnZnpTZ48cwD0dG8 zW8A-^VLEnCP~o15G>P34R0^zP_XHDVrf9t{ILqZo)567eCoA&Qv3r8K?(Jm2c!R+_ zfpzSjph^%OyC<0Mjz^`#*gf}yHmqT*^}!q716Z?^*ge5!k3SfC_dxKL43yqI5WFo^ zdiOx^j>pHT-aQcLP0L#E3*Mz6E7bdft=>71q+|C4?|HlpbnKpBn;<%NPw*E(bnKqs zeQzylqht33+dZBNrepU6J7f}Ry)XEyl+n8ff)51Iy9a`ug6Q1?!7f4c?t$P#LG|34wa|fL{i!AvS(IB>CeBPUa7AvibukQvIpW92%^u zW+rzTL@UTj8*Upm{~WLwZX33E44^jLHVh57+G5+Vbw{k0iN&^I8-m(m+pvzswxPAy zz6K@;N;nIxQA#CeLi?Nt`LX!o@hI88(uvuJj((MT|XvW=0MFJnM8SG!cWR!6RxC3UPjB}v!8F)-9z!wXHj7OlA0;ZJA36ls4 z`K>G(CRfZn%aCVPL)&vN6`HIz=uQJKgr4hOCM>fnU?vX13on{z;Th*4eQt~gEIK(W zDE3w1<1R)UGN%<0XPYu7&IX~*%jiKF8qP-QYgz)@uc535$>;SLS?HOx9FTNZ0J|}U z)K$`Hs?>A#rU9+F7cI+`9#e`vwh$U^9xdBS@xjBBE^|G$UMW62KV>#Ij41U2#;+Xq z;e6Tj(NM9nzeT(A*>7U3ghv=jd=I-XTj&=9Zyj@U)Zd`N7h>yhj<79c*W@V9C#T?#B+pSB zLD4r4;yx#yD|G&ny>kl&l6{`wZ(_c6Y{ur~D~^u8War$1*32)E{K0s?D0rCpMS?Ht z2z)E~byf2r>zG2QGVX9dZZ|a_%f_7s_bbO||Kt1N{PqFIpXPW@0UQgMJs#P^@%~kC zG5MDYzmq!w&nN%xf~S-K@0bj{hv2a;z+a@ip3*%lyE;y>I6w4Oyz%`dugxtM_lG`; zQ^sGidrrZfq%Tvv(Kq1Nqgd{YrOn@Ki4Z(9Isj3NOZt-LooHzv&*`?q#d17j1Bi>pI+^dIdP6NNhlHVc zee3R)t0jx)VcvH`e>(4AUwpwEWST8E+LfT~HUJq6e8zd*NAB1^BDqKTDV{S1EZQLp zY`iP*Il^0n&yi|L6y~()GC%}gG$GGeV;4j%Tw@)1R*i}gBa}0nz%xW)p-L|=XI|AsD zGuU&cqt@@BLA3)i$}R5hU9EWY;yXr8_)hYdY@6%!*gH}eX@xe_Ez%hzj#`5D9<*%f zHKTOdZ&(f;$X~R*BcM?7QFll1GYCI+0@8Yo!bj)h0Sp50BLKZg(q}5Loxu8B44~!& zH2ewx(gLSPe?0c*q1BJnX#%D$Qh$Wh7ftE|7`h&J;ZC~=sUMhB+!uOMIXyNZ?_At; zDfmUHZBjVh5#Xb{J4!8CN-jG<$=jl|K1zLK^x*wXnlT5bIZpNVoaLbDp~^Hd1B^hl zo^o~XvmQhGYKoNyr6@m6Q#>D1eC1y4u{@-pbN*Kv7*;#=M9t+WEFrNbU*)nor05({ zRDte*XF`vnlQfS}nxeitx5to>hHhU6tIMR2=JrF-REIQA9)e~?NVEMAG`qs*Q5+A( zgVkpTwtsU-3p7nVee+uQyc~K6F8e~7nTMcB#lwY?ZaDH-D%_ISgNR_)9H#wpJeLDk(S?p!hPxA8WyrofgcKkAB>W>+bb4p_}Z( zan{fPp;q$gAZp}ZpK9bTJczU!x!0!}+5E&%joj-~jqDFJOWZI&7e4)){}7{r=N9f4 zTG;V}!o_7gR`4~_agHy5bhlJ!NxDX4mY}`4O>_=b*=ZC)eXUgLaJQh`2wf_5c-z#W zRO+xFs8%q03*XcM(AaLnByjuqe5>-8RL|2IPMJ?hz-RTS3hUWgceQu9)EJby#v^r& zM>(ZSLHNrr6G2BGj+!5j^b`GvVnO#j_yc>4~2&tidHbJ;Mm9 zpW>(TKMHC?XUG40o}N@r+)TE86Lh3sfjnUF~r&T^;}~V&YoGjk<$S==F~v#{Fz;62X&6oyrS!K z9)&iXaC&86M3;QO2$FL4e_Lf@;KeBHFEU0l@G$!Jru{ zZOr>~$!9oJ>7bBcXx^9Fhvt34#=KA1nD+^X=KTdIN%MXlzGJEQSc*&P3_+oJzY*4i zLi0XL8uLD3W8Noh%=?73TW8RdurcowHs*c8#=KA1nD+^%8n@110A5&)d7q#$?-MrW zeb(8U_leNF-xl9zfJhor$Gra&@{=wbL(mD$`;@BPI)kxyAl;bv*>IZo$tp&tMP4D# zWc3>$*1Z1~5ILGBm_g8(_k}Y!a5fn8eskPh+Pp86+Pp7>+PqKDnD<8lHs*c8#=OsE zI%D1^y)o~z;l{jwDQ2TF@2>)E%=<3_Hs<{ufQ@;dJgs@3RkP-OO0eerk5I&#_rCyQ z&HH3v&HF54&HL8_vF81*Xumb@AB_rI^FHqkYu+aVnD_a`63yg;?Q3>Egf?S3I<^lI+_iu)6s&mMxgmQvRbfIvYL<2rne&X#RJKiWX9zI z(y%CJSS?GG-h>2sI&$vF&4krh-XC;y3!gm3y#F4mXU+SAk#EfVgspj>Vyt!2_=ht$ihcy)Q{vA+Mjd`EH0oJ_FfwJa(Qd#pp zAE(y5&x%^}{v06MtrO<`(U4@#`@9XTd7p?i?-Q}+{Rbh(n)m+z#G3ah$C~$9#+vtu zSo1y+Yu+bf&HF^Gd7s>%M{(>aQaS|Yed3fVI99xfzijZ(y#Ej2#=KA1n)f4^hcNH+ zDML_rz`V~+H0FH{h%xU|mX>;=DFO37Z$O!D7<{HyazeG-Ir4v0q6OvLVJJA!E;>6Cy2Tk@;%5@@JaQj5Z zy8H=E8wrE1o53#28H2{*->rED%29g+70)2>=>Q9SWD~dy`44LT_T|#U# zu-poCLrA%AZhGb9vqSXrp&&&+NZuX8Fbw}oUivwmLhORkzz~vNm~IxND8y#rIh2u3ChxKt?au^SYSHU~rk{saN#^*m#Lz0i zs>cDFZt|kziJ80(6=2iCvRO*>5sQ8VG!H|v^%{(2UL{xvls<79W`KZmCV<-@oS(p{ z(ghzG7qj$VSjFUt$iozSpL1X&5lsCZocfamL8AqmbT*3Rv6!GsfTo`}1>pe%yE(caUDV7}NSm zOtxo}^o?*0d>gJA>p3qWjVBB6=C}r*qx>cN=4QdJ$!X{mE+6kXZ-T_Z`;8`X3O7SO zS+%xlxTn;T8d&`bCr#A!UFzn!MNVPTB+uE7LZ=>((a9-14H=&xZd z*>jEoaCBqS34DlDY0_nEc6mtA`2Y%G=3NPOYytAq$!aNpXE0YshJ<7y2O|`23t7xO zfQ1Ng(M@}h&4<_$oh{8XMF(Mk*#W;ipeQ@9GR*no0Xdf=XIhv;PX{yhBk0Iin2M>! z0r8XT;-B1BJ8jElX|Zv8~gzkj4eq+Ib=U=ynOmuL?A*SgVl6R;+Ln zJX!d-D72+9bCK2)Qcnugu1KO(crq2L3&QqWYUW%Kwm);+49(0|19JyI*z)97e1wXp z%uOiV$tgYs&%NmFF5@D%_z^yelbEpFeaIwq?t}zh(Zz1(2%e0*q6^*5FC&dt^jf!b zCBAt@7r33b;+t2r+U;BjT3*o~xt)(g8n5V8Zs%r5;}yNy?VO5lUeW8^&Pn*@6}{5! zJO#A8qSw16XW*Mx>=@_zhET*E26G9GZ)by^#<$(!GSVYGXyiBPOOT63el|gKq6B{`zwShd ze9*jzOCpljSu{G}L^cV>j6n^WF&!Oy@DnA3!0ZL)IRkbtupByI_5u^Jdx2TT?gc*J zL<#2Dy}*q0ZubH+$L<9#!>@AvCrXga?gc*hi4r8Udx6=|@9;zkyJ#dQN>DNzR;Qx{ zWjZ?ga8Hy7$+>k{#;*PoC3rv7JyC-AJ`|CsB_6cj=tK#Mw0nVNa8cic@hxF|!_9~d zeJ&P_MgcUBj6<9#Q76pG-Ox7N^EtI1fST1BKSXZLBE0!(4&-?n9q1Aj-0D)^dN3G+Z?`52*156(q+E8$RMaGw z9im+J$e)1b$-8$<3Z}n?cK!ny`Ql~XQpzMlD=SEyTK~0v@9Zy5zBApQNS#dnY>sT|3?n}bM zX0Ff5cQQ82sB(*ql{2=!__vVHE4IPuj8|dhT$6)O?GJVeHhEAbtwMSJ-F__f&B)~Q zXE=W1kTa+&W6yOWb+##M*r=qL=rN;`68?57DN7oal(11r2^*D^uu(|~8QAr6K zm6WhiNeO@dDk-IYH!7)c#%(2^y7jFkqvS60T1rCH;4+l2XDqRY_Uq zKT=7_Al#;0pGwMXbnL-ZQX%+;Dk;m^>@ZYPl6_w)Y2uKx^>`nN zRJn8UrR|uJ`Sk0i9g|t9-$rczj?@6txDR+g|;!n9djqtqxe`<(LIAN zIZiyM6!4i;(~_Ka(`UfkQ|N96rd8EKf@z|07V=h36LJmS4csl{vn$ayJw{4b_!A zq23#&=NqQi8>V*}roSQ6DJWx@&i@kAA~Kx@f&pY2aix~e8HPIz!~KTg(HDW?+Byus zTuZ0Z9u7*yv6xX!F2=q^>CX`uzGBR$3XWSAcogHErh=n%B9P87te!=Z=|Du}Q|LQv7U+uc1Wh z{FjpG6=JB&WvooYS-LWFSo@QKbh&}t7CV=CPFD{13a~^WY=Pym+A`cTK-TRZq;t+V zCA~n(8vZk^eVzVsOP!9hk|*3W9OlxgsBd@9NG46<$UNVJ zv#}>(nU1|yAcxZte=-q?!`gttGf-G+wg@%r^$60XX2<5Beop}d3sCib{}_DrcGn?A z3%wWR^0olYlLXY`YuCi*SaC~$OQP5Ku^BBgGit!9pKad4g-90MjB7hRcwdj*9Q2MKu;#X zr+>HsKFQJq_$2%_Z%~PYb`l5eG#)#%!insso2xyQ@Kqr7pGtU`0X{kR>>E@y5WA?) zFnRWvXj&ocG0}`gSl=L7z0NK_>^1cPys%Lz`Q}7ipQ^A)F)z(i6&wf^;yQg zK_w2_kpQ1zM{||F#d-KhR+r$j>5b^BX8_a*yvigKO=l%(GLi7^0W>K60up4$CPP4l z$JhwY^GyV=UDV%pEG#)2K5ne^9DuOzCnEf1aDA$$`BNuVW6kR z(1Jp|_3p@Pa^97Af<`{}Zow1~PteF-kFvB>TGFHhJV6;@SEeMU0MnBtG*a6NCbJ<( zICy~1mOG(s zNRyPsB-QvU}bkcn& z_F*TbBRPB|h&uW#D83&HQS9gncogmyI0oGczibS*U0H_f)6JC z9`U~?G34oN;%g}N6T$BQp1zE=|3}hPly{nBWL)YwuR!sOK{U4$U5@v|F-Us{X*}ac zry))}>=YT(knsUB_`+p~YeM27HjP2$tDJUD#)go*(q=hX+d}fXe4T8r>APhOTVDn( z8^#B>=1NG>JyF(>J@Ghdo_5|u#9k_E$lswPxQyiBCFA6V<><{*iA_Q`4Qj}4dXVUJ z_|={w=$k|*SHeN^)Q0TumY1Mc7}eJuET#Jq|CqftMCk7%{weXHf_J<9)VZEB1#Jk4 zoY*)ZKL$na>!>S!mGc4)`0#*Tk~f=!_guii%bUPXdtSq%NV6f}py$0onpXmvXY&6A z8eZ-|w}26JbrjwFyO6}oJ=t|ugRgStcf_Yw`@6Kz){-V*#y_t=t|PL0Ug@`nN;1`( z$HbS%ul40owZ1&6)|bbd=&}qqfIW-va0A$F1oZ|mrfOeycA7ko>iF`gQ>fg88^CCx zI#BIbwQ7I30c;LP!VO@NW&?m2Umk{flif^_LV}^;ge;BPPuQsagpJ{ZaA-LBhH5`c z8p8=;V>lsf3@3z*;e@a;oDepK6T-%DLf9Bi2&dNi@|=L5h0vFWpxyu$8Aw=f0E-N2 z!KUl@u93k+xB-lJXNFAr6> z`s4bu%zvczlR@aqQ=i(;Y;^3w%+0?py7{UN!i{e0Rr^Px{C5=BpB-}K)P8dNp45JJ>UXF1vmuzt2Uq)*Hk@!GCaddltF$x6Z1Tv; z@R-f30P1M1(U#`|RL<9&uPM_ruFuzG0d7xR3?`{O8xrozNXnmws7tsB-I~Erfb{X2 zCA<%>hx(Sr1?&=Ld`?WlNS1I3eZ(B-dQ&E1MY}us-b)muJ$Tz*J{O2YnC$sJWKEt2 zbe7}xqO_k9fn`OI6;(japW?X3auRO_mR>i}eMVjUTqSPvc_d)`L`PDK;ld@(Y z=O*M_AUPwjD~F6BvO_A`L2n;JKN5LPhh<3p>6cTpb|aNs9<*GXtR|NuwieQ!uS3m! zEKXKQnDdvf1<|KD)#wXW z!!$#xfQhDq8FTvPnw9pL=jIuo`3A@2w!+}>ibdR9-d9<<2^eJ z9h>lSg0g-xek5y^bvhZ>D(m#ks8!Y{AWxKa`lKYhoDyD6I$A?ym-i`dCmpRJ@}VF) zT0_Jh#=seKJKjUYBMEEt#P2uw|2i+Hc61tW)A^Ly0X^s`e7iOD3k%e1uZFU z4zvov^HFCr~fcKA> zw#cFxKk$sBOI5O>@OE@~aC?*GJt(1TbrYy`t$MRoSAbvIEY_;`O5|*yEY|8ca*Hm| zwdzL}rw|dxctK|qMXma?R(AqP&seBybp`4M?a$PWZ1v+ftRQRPK%<1dc1f|-*M5&W z@ch(EPoka{?T-BL%+zljV9Rcvj240mFh;%#*BT@ui`z5<84Zt zwgx!7##2;svA`PBZb^V>hT>ex%)vME6zM zF&S#e?b-L!ps`-YteRV}5Ssn~lKwzV_E7*I5_lRwi-qW|Qn0NsG^3FsG#3yc%_0Dq zo4~CFlu2$#t>SLZh(7?w9$`szX_zw)9O~rOFgJ7USBs@ev7BCLxci{bmhS=)Sfi#L zE=E5^`)2~#i$r)aATg&865XeTGu$d{hh2ejR~5V-U~qUS{JX z{v;%Hz7DnE6>IHm!pJG-f-Y41E`Y?OPe9D&WtuwpS20LlF^)i7m&0}o*?=mjl+F;Y z=Cr{_^Bx4RVQMP@wYzv|z1?KeWuyil-J#&6^? zq#M5xHk^JVWF?!!sAh*elhw;Wtl!9IKtjI}f`{@ODTYGLz`>F2Ldn3vksVTn!}lB6 z2pRSHjj-XyZ{#M7p79%biX$0+7;6lXe>0S;@f-1wVqPf7)4ou!YW9VKm9u^$T-&rS z6wQHHzY#LffrBG)+4OH;D5}B0zEBLQ`$EBke(ehd?+p7wL7}1F2un1R54NvaH5y<7 zI*deC7&sUQFfc~~2Sm&9;NZwJ!p-`P z@Ra5H{YIvsjEw=qyHW=Zj%*MH)^CJ4)^FrOl&Rlugw3&jBZVNdFBF`;wJ#L2fYmNV zUjf3}rRWm0Z;SOCp)p*RqOSvM>o>v~DSjhtSe=d*lzoDG;BjQNV5wyF6MQyZja1I0 zI^;|;MGzf0IP$*t zJml!W!IACWn?Q8n;K&Y{Yu0as-0T4iM0DWb$WGyAm!g?tm!gT-rD%39{6;wT6e%5o zrD)=mDma#+iSIOc=q{d&>1N!;30rsZc0k}R&Zi7P;Q@DXb}Hw2h>N>8Z$slQ&H*v* z;*_PO@+@E}0e5lUfHK`M_)Je$yw}?Do-k9BjvvX2Ck4kiJ$MSRl*Tr@E^ROoF6j%# z>TZHR5e49PXj$+`HB&c2EeL+geGzIN2_B`tsJf1+kF6wFL-2LBLoFrvws4?7O0C!cVYum;;)gum`!UQzm4ggnch0SmFXo+PmPaa zdKac=#Ght*SElF02Qj@H;r#f6OfMx|6raxY?rchl6Jyd&fYO()(~hS@xVeL%uC8$b z)!Yx=Pp_Eg@=^Zxg+RGPp397qEAe_EF7O@C1)qzEJ_bpypuYl2kC?(zaM}qZeL6zw zboL<4U-H1bjA{sZ7+e_cM!P&`!kyx3G-EAt)*^L*&B5Kc$P+J*+iV(~%e~8?b+1Ma zsg2uovib~tk$o+i$*aNQ>~Z+w)!>F4jTE-Agt@87I4f=w_(%?l5|fUkkJW zV*L(BM>xxYIC0euWJz(Ag|9~B5Lcvh%77~;uhjOK+gA73BVmu7G30ybu|Qpex|n`b zw*ZMUB}Ts*{}^`RE_C4lRMxFY!)JpsRMYKB@L@K#r=V0~ULiVEP-?8%(rcm4<@NfaKaeBg}`6qN8JN$CwZO3>*F zNI5Uo_Tfmd;H+C0_Tl?O|C@bCPb0rMn^E#3M2$ja!#-TXmiA&sE8L?EWLEZKmv$#A z6R{Wj@EV}H4^L+w7Gq>|AO4VixCp53!_he?n|7_~!!f)Y9|4Lk%LbTadaeYB?zvxK zc$ISwtYhK*dMm2LUCF5dis5e>rYd$5(7*RTw4x=Bsp*XV6vwBa(*pEo;+Purhkn~k z98)uP<}@eZ);sgI8MrRzi+vmpG>8|JE@z4AbsbyE|fhKbir5{%Rh^(*7aiI%BnMk> zCrJG&I$VebSF-rs$jIFX9=C%dqmAYLMJ?K_Sn$<0Cjbr91Ex$G6Z1n091&*Ub4 zMG`uY#}PQ4TC_uRpCI=t5MYrVlA9qzkI@rseB&iR(Tpc};Mnm*&acGyKfy+>)M$u( zMC{`3z}S+^8(4WnQzG}FM9{|PSn3iY7doP)La|~Vx`6%I?7`PSvKP$VnxHXW z66GEmDEIo^VAK_JP}8j=hHlr`C&0Sloqv#iObla{PmwAgbrY&Q3&k{=At=gev{Xc8SbC}5&BP!^qt^iKHhVmdbJDnbdbimS z8X_Zs)Mkw-nT-nQtW{EO0_I&vI=Tezk?v5bP129?l3B7?ccD|vQ!CtGNP{;l@tiX0 zv>C~!(|#eH)&*tQO`7g>r0{R4(j?%Pro}4q=K$Z%` z{k3}(7|2|yA{l4T%>a5Cieou@Rw5;T56Xn|2ivANeHMU&lS`4Dd7Z#W?tIC+9G@c@ zW+3;jFn{O)`Fx5>e*R|U^O*@exytF>vQz8g3~5mNAWz~^W5E$}*w6-B0Kmr2jxOe* zAnuFOdrfXMD=k+xF+=d848b#~Ifp>geL)OE@S=2M7wCcP)D422N$gzoxJIjq!XH>; z4+>^8{|>Yp2k&Jw!Io=mXqVdBS5RF?4gj{DiM0#z%ri*9nS}8hsOJshogU;PF}gF|+Xu z(Aa6W1LJ+(QB;SEG>XpE_&tJymqs7gsLlRNa8yfYH(U;87>ptjbQ`c9>{PaRH*cWM zUT-v6I&%#&rF-81(3`o^wTbD&DK2dXnU_hhm(|E(vckGAK`juq(P|mB;iwz@%gEm5$B3Q&2nzHNF~nCbo{= z>v7(4JT$bGbm&5jHM6S9I68+=^T`Fx^G4ryCFa27M;odxEl58`#L-8 z20s(Dyqn*CT!IXv+d z5!>xpYg{aDdMljFL(=9t{;!wa-Z_2OY&xu9OG=@0h&^}xzmpaak-EAw+flcMd{f9 z`~MA0dBuG9_}VSL4n6CX)Av}rY~2qHqx;nE0DSTH*$*+Hk4RLVx}kIu$6{f?UX%_t zE>Zu+^!V?%MD_F_`3Z&Db`nA35=Gd!L^bBUVnXQ^++%SDSQwY6BfC3SIOFFc z9}*6^J68w~-zDl7=z;oNqS$cb5;ZyESeGce92%FXHGqvv)Zy*1VCAe!)Mga7E>Q>H zW5F`kC29^3>k@UCdo0KxaV%D?OVp9yV_{vQ0^DKZzeL~MI@_QH!>fA+ zK_$B-Wg~uzB5!$g0Jbhsq_Qqim*a*!440^rz{k2oeG1OjC5ni3i6UZMqPSJoxBGx5}h;@k~@@1E(3bgUN-kr-%0nqWRVdyT2{sny_^k@&LL=C{eN4jNl4B}5>>?5Uw zO(aCZCK4iH6A6*9iG)blL_#ENA|Vnskq`-|e(#YGDb=WNM>Z0oaK=qI91@KBR&OLk zA^d7^6}+LKFSAS0-#ZDLBc$p^LS)hJHWDHwSoLjtq>lP_@JNU(W7W56AXa^2gfF{A zmingkXy-l->(NdI;So~xMM7jYAJJe|>(S0&UdE$c2)0o`^H8>ya>p56bX@XtVcUKhTOzLDGa6bZ2# zM16OW5ZMqsGA2QlNLCC%6l2Uo#)=EWUD|--zen2F1{^1m2{=xe0msSA1RQ6Y2{=x; z9plZp8zp!)m7>ZBHNrSF9vxR?V%L-WorX_Q;Qhe=NPLUr-$MKa;#(zuKXHjn_@3lC$h$lhM z{Wb8bYVn7GJDE={_neN*mBn}L7DenuFc=303oYlF!nyx%m@^wW@7SFAk~0c98EcWT z&dQu0r_6JZp=D}3c!V0L*tKVdw5C!xe}oLpS>yA5 zOU|*M)yFxzP$eDjY4!R{f1vzIT zhmjf$pK)Q@$~tK=G>{yASDThoijJndjgrQLWk)*M4)Ui9&?9I`&VsRW(nFw zx=<)?rhGIv#zV#0Be}!t!0=qk4P&t%g)|$Y5oW}^I&s$X%x(j>rOGqW9o<$cuWrzF zg>h8Q!+q_m#zxE=E)Vnhz`xPs63fHkj@c>rJ@|GzW-mlZ`Y>}FMU1PfT+c`>51+-i zsc~Ev=Z;y1IN|-|@RH7Hht!_~u{&nDmp0rnOYl%TX1N%rcgzaqx7snASRUrO2L|Eb z!5f9Zyk9Udi`_9RQCd=XWE=L<5~+X3EZ3##-!aQ&)B1PJav8+#nB`qr|BhMa*d4Pc zpiKQcX1VHUcg!Z1hcQxS$1LN^)xTp_1{V_}28v|04?Spi%5w$&# z5$>4fYNXum^&C-K39`iUFw`aKpd%E#k)8TKWO=w*D%6>_e2AO|#iupCvFQ_cs;mIF zCDh_ksKzsp`3RO-G1s_|+x`WtD!chC#+*&K&)ph^q1KDa`CNwWfpWqnpIjCJOCJKD z5vVd`;kcMon~dV zBKdVmqKgDFyegE)-h<3-NIutQIk_buy|fn3R(HYU2>4AlEiB%lKXUecIn~LlbE0Pc z@?-Eqiz|33zjhTlzxEW({JW9KE4rq@D1Qj;T~H^8cRsh<@`}FbyoO3EC*I%cfo)P9 z=L}p`=rsQQxuSN-IgAk*i_r7K*9YhzMlB<-_-^bdetD60@)g}o5*4`^U*Wd4sge>c zk+Kpe($~9D!!WmC$UStvQpuV4@fd&#`Y!`=2B23c5l*V`{%CkLz@Yp_HR47UJ#RX^ zl#)2H%P>~4DHe6h861giyQ;QrS!r6wWh8$7^+Q3koc=A_h9=Z$kenvu$swX@4IYku z6V6q|s4#xEfM=r$zAPk5fEYE=rJf%szxH4uf7oqEk^nLO@Mi%!u>ttt8-Tp2`{*Ls z#oI9E*B&h74`x^CgN6L^RRF^PG5&}xL|#%5eENj|?ZHCqwnHB*M3&zmR{1wPSV&j+ zNpwzPIsI@B7Lw7xqmZ-V+bpLK=HQ3R>4g73T~42aswbAy59eSZPMGiOU?Cf;Tn-kZ zWLZBK(|JG86cesv%G2^&A1uV0+W;~D@p+;n z!7Yq9d8mVh_#0r=U7B&MH^jjY7Gg#1a{9SI63giao+rvq{Vy)3t4a8&51zjfwU9H0 zq9vS@?b;&M&E(*%fm#DC1!_%usC-(5&EyR*6{<|yOaN{s@0`UzQ!8ek1(Z|cPekFf zDm*>~BEiG+$m5tb&~_zWcs`fn-C-G`H^ z+%6MtZL!>_TR4Q=#-O6Qg+s{iMxeTdL->?|Xw9XSJ;8Q!GT#R%^30ceP;BVDgM_6M%@D)I*D4}6)Ag}cfL5Zx(dqEH7VINnvGK?oNUF|{6GPs~#D)TMl&Zw1(+Tet%ISI{ z+jvI`nm!2$T=_l?W6!@8XVd#5ENWTJ`9Z*6cUuR@jz;?Ulug14>r=UKG;aZVSJCJD9N?)p7@Rx z;o~k`QfCMf-<0dlPi$Kxp5apa)^?dlU2|tbK2SUWB#pO>it> z?Ryi*F%8=HCg{U->w6OnID?YuIvt!uP`geC0|{%_>0l7+9J)>igNe{}x+A{N1Ccbu zMw1Li|C0Qq%f=9NpUh2it{`YvaKVA*An3@K=Jd_+5J5#NJV)6pam-y;(eYSjwTtzrHuBy36k8~!9c$( z1j+6RXutNo366HtQDNb3D;gOKTHlEKT8qu0iRjUH`%dTl)TlaT3` zh2T|bj$RuN{^@b4UPqG*KKD3#!)TJh7v6cm!f29#=U)uMFq&i#^>b0NEjpTH5c3xz zPohaKKoi0!TFHttQXFO2usR(rXeP!EGx%X-wP2}awFI9{xwgTXREL~NW?a^iCKCyq zVYM_Uy$uQSbmZKTn+ZR&yg%9+98Wz)lMKeVpP*qnnq*MnjzyZRjR%zi>$UMz9S#U7EndmxW-f zcP=Dp*XiIrkGFw#oes7MqFtwhzX+mTr-S#sKcFJobvoGYy$nRVP6s<=5^3L?;IC3f z``!c}2%>#&f}MhB-(Th- z^JRmd%gF6Ipos7DNhu1c@AGbGFXxu}K1tH$4AGdB$(TA5!S2%i%yc=ew299uq{H|7 zD1R*>Y|Z?E5T?tUg@)OBHZ588M#ZxFfp8NHwflg$HFSl^E=SVT#{rB3@B#s@!so5! zD+7Sp06JU&VA9>5lS|Kvfhd)84N`6<%`yP13H%JeGX!W__#1${F(~mq`aEA;OY*Ug z{R#&!BkPg;Hze!YYyj3Ah+A{7sZH`9!rJsCz}lP*pd)MJ6tXHsn^B12?7^8X>-jGI zMn*sa-_xM1Zb$<|r8q7-KspA}+?pbEfAQTEr4+mTMlkJ6-+86j?cNi}_DHD|AEf63 z?>t_zUqq`n0WCS}Jd8j?#h78c>}Fz!OQNw0o+BwZHIkAm zfp$3u^Tcf|#LZs-&aIs;e8Ir^RBVJRk@wM-l7Hq)$iLP{ek;MBC4LDj+FI}h8?ZTZ zOe^4R6mM#O$*uFcY~@_*sxC)!FM1hUK3zVs-EQg~sO+VK`<3If|MC4Cw{*bqr#T2L z(8ZJN$C3TSM)1Fw{7Z%ZeXjt|XZ^Yhe%GIXOC-@Ag7z%C25H$^%5q~qbbaqW<$}lxkQr07-XGuq-RK|KejG!N9W&^H>_1c7NH!sqw zl+5xYy*jg0b+p&-nSOcn*hv#1bY`^Ve$VOImo)E0ci@LRmwTbxHbNuC61Gkz&jh?$ z6--0!J`g&+d0$Oifj-2y+cG)W(&TGflDizG`h#-` zZtt;C-oE}8Jo@@SJ8C0+xJ+zG#HR-wlNn0O&(95i%H<7E*)K;nVdXQ?ZQm zeK*KB`Q4GRSw_fI^5#^$%?SAwfWC%Q1g*1z6zW+N!RHEc~P zfWC%Q1a(1*Zc)kC3X*Oc0QZ9tT?=8!iZ+%gJj6uZy}DcKeZxqtvC@{IuckW7R;3{1CU-J@S8 zMCRCOAd{h=5PRJS`I8Z%{t^l~20&j!DuVKnq6JO*svvIxI?g;*k4wTs{0F#I{{jBE zUCoRKk#k%x`_jZvLW4ezMbodGgWku{3n@UeWCOuQ6^Ptd%G)dqrm~LwmLIve&2bG6lFO zFME9|FUx@TmyLm+3!kEKU_^aOYfez0pM=62<1wj}PJ1Vh(3YJ(hu|zb+V2vhNqQ(|uj4^7ACMLnyFqYUg z#>D@9*WUY_Gs9RTz%J(SpZ*f&=l?j^U3XnoiRzQ1$%#_cGX>ZMR9lj*EZm{b1CL-9JIte(RmE z{nk6-ulKEY#y)n4qc-D=(gJ=P#<>x z#BPA?{)x8j!t5z{F>l*0{Pn-}-gcWrYu|bw!Xbx`jp3(lyRgC+J2}#D9C4oif9f^~ zb3uYkPCtXO?$C%E3Y$c)jn{HZ@3pYPc%efrx!xy2gRYib2fPCN1}?4MBwYlg>lrXx z-iA5ZO&lTp8UHqfS5Lb;p}vb4s-_UoHGnes=b@}q@RU8iHN6a_rX{7v2vBZm84A6~ zz00-lz+!S{GY7N4rX7Xnf|+@i;KVkQ=h@72A7DKj!O5KtEx+-&OYAa?%$)Yy0SVfYujGoLezn* zZF@Zg@jD*Y;6Wlrd-??JqAf~Ku2qUO6H@Prj4({PI^!;FZfPbNjJn zZvQW!I9D06%&k6CJ&acY&mjZ*R1M5imsR- zrPV7YrX!!>5ila*M-z#JN5Gir@QR7OpxMGBV5Ec}O}q-pgh#+g(aYTaqlqbq6CMHM zs0@#QkuCgaA}Qe!uvv%`9swg;xF?py36FqPf-U@LB71N2(ZspHqK_sn0b}&hM85Hx zWo|zuOW?B1y&9s!Wp4IJS(9eLViheYbA%quO|F%URp5Oa&(iY|`UHR&JA-Vy+)ADr z1h9uiJc#`a0z^Bq@5o@nGB?LZvBfd;%tsSnLBYb0CUSdHodS>R;VE_xZZVbg5~?$|90r$P2EjCK=HM6i+|me=8-M##15GTt7y59vs{7*ip{+L29Q+4Axa^?Q5!@FubiMJl`Z}!T_PTDyKhFYEy zx*NF=i^!cwZ6FqrJBgwCln_>2^_jtDr-VL0s8~eJPYGR);P8~t?}*SM`kYfji_z4^ zA|m+pETXS?D4vaEyRTU2G%B3k65E{#QWF<@&E z-38cML{9^@77;yXp+)p7AgxE}pY7$Ufw1s1(mX01T10Ygy46GRj1yW!+=0}(Mbzw2JmZ8GQ61uh z77<5f>lP6yp+&SG;>@#~e8q{1b~u7SV6fqe6>_2jQ(n)a+1v4O$?yi2mb4@lznpoD%vxFBfOL z@RSf6Dzu0``_5EmG_;7001{e6-|U^KOeeI6IH*F4h)8G=5eY3KBB4b@q@_i)GrU}^ z)E##yp4Ea5creCWfj0)v6uTS^B-0H$^W;Uao_tTibZNk^)ATUt+9K*pJ(Tk*P+COd zdl(K(+dKmp3pURui1;L;7@G%bH!^Q(P9K0%@c2)5Qon`i*g@Q8yKorm^fM;ttJ9$Jn#c$2px_80kn zxZBZKIt0I-rPFpES~d6mTngoCeLn$U`hKP`>^eO7FGo0e{m)l956#|SEuFUOMPrr@ zO|#I_q1n@#rNeV&v2(XP(q}E5w(CW)p2z+f`F{9T8~J`pU>`)jA8zax-_H`%Vd(q$ zI_IJJYWE8^gftFacx4Q-?eYcZp*bEtL~MH=n)v@G=b`5!E5`S83F^+|P<%f;>D;RC zhdna1biU+y=v7E3v~(JfQt12PFbI7=L_*&WkB&9;&`ytL$1&8m4_#+lKzMnUNTi*}i(D(CiAn^S>jgCuDWEkI1 zKDwyE#rM+>xb^+8L9FkGY3Wq?dLc33`=P%_x+D}d!wck4fIj(9g9r%Vk)oY-y=Z5C z_QqMB%kfcrPeMI_95_usft91L6kVRn;WLl+5*GiK=hD*!1&^vTQu0(^jB#Vs-GZ!eXVwH`)P1}TXo_7s{bveGUOqOo?3dRPKY1z;b zR>KWWufqW1E_QqY6=qQPa}l)nYXB|7wqnyt{NPpJQnXIAfkh=kI zfyl~0o@fQ)%t36M*T86-*TCqC$3d|x9tS;Ct`z^1hdYCA!Ui+`Cy#K{<6?qEa~O#WP`6W`W1;PT~HqzXCD)zX-P6|HZQ~)(6-T z+C{H+3g_qU|2hou?4EwYcC{0`Ok3Um#e&<_&Nb-GcD0j7mF#NglYs4N=No|SYA0oe ztDVeR>z3oYh!U=Ly6Ar4Y9|G>zS?;KvL3E>?uGJ)tDU^kA@l)qWVGf3WQ;O-uzY1J zkOS*$8V*vl|BIAX_kYbmKEu^cBH{ioBCYTL8VX(4`fBGUB-8r-FW#&Wu6A-%w!Yd) zO1Rp|)tA;+J6W7?wX+@A!u?1xqWK0x+!gNqN4!_fKw*&x;j$h35-T)31N@BwlFN_Ru! zGrYj#SnI?wVY_Cd1RsiLirwQZ01_Mfzjz`s_z~xF=Y9n1Mb77`d8&@aKKSQ{fg=0% zpJzAg0=w~LGOMn2-4Nw&!nBg+BT1*}Vwh0fWaHS~l<+j>^lp@LH>Kpg0gU$5-IO!} zShZ{;yPvTV8|T#El92~`f$=zyYUG{~LGJ?Ez3S)K{GS7}b0~nD0nA0DS{RI{%1z(v z@SKu31<$GO8s3YLdmp@dV6(S;lWj_34J_3$h7v{owx#L1y%v(Cc3?xYrWz2T8rgE1 zUIJxT8o-|*OS@hTpyQhWc&+&`0KIMp(0eu5S(B=3J!&Ypj5WkCqzw_5B2Q8!Z?Q^d zBbHRjTdb1J!1~B%E#G79y$rPPLKuV-5vPwFi}=8X_k0Tyxd)D=pyYnc=_V$F2+oI+ zr-HwmT=piwd`}0^pB$3a0WX@Y;<9sX-bMy46B>97F8<(ua>T$vC=36ChC%lGn5p53 z&;(9Ds-`11o;qt9m8@*ln+*1kb1}q>P5T5k09l)MN4h;9?*KzG%Z*ar2^|4eOd+_Q zq3s2XF8^-1m+vp&G<*4OB}nVmoy1VRTLsmp(tI@A%SUf_D|`9w1z)(A?*k%Vu$S*_ z$`MnoFTt;8-JXw_v~GvsH^n0kSK(js99g#=po9K9*6l2&tgTyu*19EZty|_fv~G#e zy6uhM#bAQbcB(!c}GFa=DU`y+E zG=i*k+XG`oTergbxz;VarL}GeTkG~0NW*^ryawTRFCPnTt=qHE8?AMFJ78j@{L6KL+iFHG8|gB92u=yw~SFH z50Y2CWz9=q19+Z&Kf>(=dD#0jlij>^`p zTT(*n_FIV4x^>Iqgw|~}*h1@;y*ILM7Xyo|+tpxKtO6VDC|2j zm|)!!?`ZL8FW);TSZLiI0=l(s3Ab+DO5-9Kmb<{C%+R{MoC1t>OE|P{iG<0n zv~KT3I-zy@IFQz@TgC~kTOy%#OC+>ziGy`~-ty`w0Q{{;Ui2>`D15mmf8lT|> z9>-cIjtSc}>7m2Ltazr_JuUzsu@lN01Op&A_FxG1en9ZMVgURMB@4Dthj~xHEC_Bt zgWySIxP!YoymJ_O=Vb(&2tLcs;ax!R&yl#;>ooDo9sQoL2X*+-Fo+>LN!Pk*xeGa4@C3PPUDf~}f zV9tS@Gy`?1jmrxWPUG@nV_ZIV(#|n1KihV^8(D5U2Le^UCmXNr9LPYZLcfsvxqHQx&k+S zFxF3K?fk0>(d1f?zXH{co;^r~qvtt@{S*S5J9?_^#UJq-KX4-&uHu3zbh7FQ=aZ*q z91@yB`3R?{XPYsFZaXzHg|^!ox1*25x@|Y3VdnhrtR3ek%-4e92@Tf{??T12 zwsy#+IJ=j)-<{QDA|dcw6sBH`L0k=EA^_ktp8-9WnPGYupzSA+%<_tUp- zAdwOpNNqQxwcU(18@(`GJIqDz2n{46p@BpsG?3bEM#C`XeQe*{&1n2YYj80a0aFiH z1F6N$Xztr_18E2`eKQ(W?_orvo6*h#+Wcm;p%7aNbLTT|MvLDWvL5pIpS)0=DEqAH z=7a{)SQtpB_;#a>C8)tR90%@$`9-=J(utT=0k|IR$6sF1E~j-SR4=0@5Qgv)C&nR|Gfx2&m1%Vy_o;*@0$Gg z>JQoxz;ylxGXK{Tm2LflSPd@#)y3J}7H167kmAe-kXVaq(8W0!8RubGWEtliB+sXy zXxAF{9`}-+WS*NPYrJ&eRJE+?TGnY#b8wY8kZqg`kcK{_M4mF1qD&MRxz#QkPyxyO zWne1s>haulXa~H^;y;;E*Mq}T%jSg^=q+HT1zHF<9seFVz0Cr3Kf>Vf%i2z_q81Kl zJH6U=dX=gW%B1b|DsHfw2aj#EN2~4hY6=~rGxTNK=~e&L1nsGC5tB%`h)E<|#3T|f zViIY&h{@Bb|I1IW#x2mRV1zgwsbwC3S=0}|PSeM*?W%BOwIj_Qr-9a6N18j%b`9A7^Tpdh&K;)Wk;B_3z~Cah>p?f6`j)dB`Rr@Fpc>@YpQYd>}m+;J`?~fx*pa%6;tgTP+B$B z7+=-WRCCgogUNT-k8_bp=B1}K*itE#gZB_mojacf+k?no~uIDep*Blc1gk~b;HzF{R^0;{_;iUL|AoEpGZxo~?R*oh;;ddq>k$euA_dAGA z94prIQv{FOjiJ-Yej+~{=S?Gc5*|p$D`{=kNe#uz2aw z3h+|ED)}f=(}<^1sl*G;zY&~Xp%O1SKP9wyu}Zw`e4ETU@1ueeuZWb)CWv(2bvGc~ zWlQDa9zu=g;yPyoEyp3{cZHmHB0vB}=;Xv2-=8^0$QP&WxpN zU`f}&lCFUzT?0$H8rJD*SmhVHwnTGck_{}94J?ukERqc@k~OR)Ygpy?qhu-3sj(7i zSmoD)3jWo~*(g+1n70J2bfsopg0|CO{*S{9ZjNQpz>+}&O9l-r88onDP{TTd8dmw0 z=yCEs>tI|DP~tM z`Byb^5cmwRioNQ{`>|*08?Xt%0EPD5xC0@NcvSulk5S~}=Yvio@CJZ82>b&;7v-o*AHoj+ zpxSMPfXmP>mHQ&-MA9%Vz$xRXb_%cQJYrsX2tuAA>2LtKIC)XI3cw%&rvPXqa1MYU z6Sx#Wy99u10L%xV$_GPGDca8`HBXCKS-XcdRkv^R81cBKuU0nLdxs z*cUrQ+Hr2&2d{_iI5(~!z`5~!0-PIvMSyc-PCnEr=f?IIBc;8d2HX7_*h&PqZ*A&D#ZWC#o z=k6rHx$Y?foaY0-Wpm6awH}w*-J{zYT(3r65kS>qz4y`v_?rhWP?+!4OO)6P!M#fn+;PL>`jf`(Iw7czL8@X45^l$d zb`tP*oM;aNAQNpnPO`@^n3L>!0-R)TBfv@aRRH|!GzE{b=;fXH2q)PpJ;}~SSTVsL2jl1~u?y)T;uzk?3SWv$%kYz{U1l{^kIwf_Iu#L0CU>o>dwUUg#dA}3Bn5`bvoY z6RIG;o??24n0ks4(CGv*^%NuM#Xt*7Q6i@Y#SG~Tv|WfkS_S5b6jMtvFLx0Xq67`P z3JOtzcIk$=%Ne(pqF$^9dUS{m?g3`J3HM~J9MjWLMK?3IeU3*H7cC)MpW~kdc&LC4 z1Q!a}^m~9aGy{1*kZ8-E0QkCqwFD0na4pn9(Q#!IwicnqThWJ?ptqDL#_z?pSH-FT z3s7NTZyi{NzzrBUg^ePJ?XVG<%+7+CERdffLV>*P^kLyv?t&g(ybX~sa#Uew$sQw} zA%sFGl2@C@_>hF`b0`Z%6$uilb7JWoO=4;48BL54<k-*CEc`h*C0$1?$U#m2N=gQuWnBK1Bs=N8)8h{8zf*Pd;T%glalWdC@-9R5CU$k^F(vH|^Qo;|(N;mq9dkPu|u zDQYV>)K*DqD>u?sY0*~h{?9>B4z-ng;39w-YAbhoBS4jVAse|HgKcS?rY$IN9<>#N zaiy`!qqZV)Es*?}wt`Ngwqk?{eK5wg#v=NvMyaugPVWnapfwin2fBu+)>uSO?T5Hp zW084ne?h6Wh`y~+YAvFh2OzH2T8#S-qFQSaeQ!6!)mn?p^@9YZ)*^a~Mya)k4%;1Z zwbo+X&U*mWT8rqNgAtcnizR<_PiUuz^%b^&b+d3cwyAp|>W~{!tSq zkf4W#5Q-*1%pwF9-owi8#hknc@lbjoP&;WtZxU*0$rY^u;Z_o+qU-4~ISYjB@vwY2 zrJHfdTwlNls_0t8xD06FFvjRZ$`3#(tO?NviROmrn?&DbhJ?A#UO@j4qJJcMO^E(z zDA40WwAbFKoM({YCC~ze;#d?RTM%9-zC}S3!+?sfOVC@0in~kDPl$@gOVGXc0V+-} zL7RZ0lyEf)`WjGGlq!aigt1*H?k^!ssY5jJfC<`vI8bqd33^UFQ1OEaI(c89;tK0S zYh@u(@rDWdGEs4e3A)z^pyCq~^hKbm#QU>ufWeW5VO4LT>0MNd?EQ$@i)tiqKM09x zWGd09M*c`Ns*##eK%*MjKs2h6j-#8^$Qq!kHT=FU{sACiG*Wv{2)J2DhhP!C*FO{0Hd!T-&(d zuL*SqBrQ1)n)qv4m~#=|OaUzn&EcSURhadux)YNHjSNjeBSTZr&oDIELz@|zmviiP z>xE!j0;lOo#~7OA4jRt)CZF$#ttt4F^S!C(d&b;kL~Cv`no-^agOw`vX}ik{wZ+Mh zhft$MT7UlmsMAoSwYMPCjkPIKw6!Txjut7#;ADt1sYoksi8iH3t8GE16++Y2GEe?k zM-@*)Q~w+>wDGp9m=xJH2#i~EEhp-KBZ8+2jtE=$kEVq?ypT|w1k2C=pVh)ohAnJM z;55C9LdvVeS8HKLGc8QenQR)DNFUU=Y21%VvyCgr4AZz|EYyula0%j=mKAxnWhIVn zSwW(fy_zk11sd^o2#B|A@xuswEDY4PdiEm(mL_{5L%rEAwjg$CWr)526s_6ZR8yEE zJ+RKY(QYF4-|}V)(3_F-L4P3jS0Y0CoBp3z--e8UVjmejwHSmcLC!34>i}z zc42>5gi_nmI8DDnf%B}neio`EG}i}AXw_V2gg<~}dIQn^2Zg0y6+S)Yja)VP8F2qI?;19 zN^_m)KY$u@opF=XfogM|=*>VwbA8_#=$enC^K56XFMO$WbG?W!pJJ6qHue#ak{$S3 zGaH*ptwT6^@4}b0D4Oie4E!pH`fg~)_hQ~JW0a!7Ac!3=mVa*&o)E$W5cpYu;=|d% zeR#cU(L#hx1WCg$_I(*I zN_`4YRa8+5TLv{4EBhkyMX4W8G)nzkqEYJK2ikh-Ey{iy<3*`I3{(}>A$6`>7k-~J zNFQlR@=Xo9$D8CUod&)b&+0>(@vH+1rqy67UN3@nBYrU>xgv>O*qx0?w+WeOInn1r zbXTH}gy=p*-xidanhg|x*%#q5qv3DfWJy{pq~AQx5$sFLKoah>5(&KL;A~BA<&TrkEFbkAz(Bga1)wlB^Z$luJzP4YC7r9>_jErrCpQQyT{WnBaG zeWF@r5v^YZod5;VV=P1-4Wy*D0?VPGWW`~-3(K!c>kwG{7WM0{O#F5*vKE{9{I?*? zQ`JX>tu@uO)*7@HT?yO%m$cS_Y^`cGi!GVcbUg~6hi~ju^R@dgs2;N@$#C<|K(N!% z(8s!Mh)w}&rjuKNHWJlsL-bal`FKg`!K8{#XR1Amr=cY-0oxNrsM&hW)2xwd2HVm&O;@78 z(q6+6+<=NQ?Zt4@UIcFh#k3dE`+=JFx)$g=M0I-+9dL|kFD7N%Yc<+y9f+pAhJ$o2 z_)3J8)&fgY(`vw?gRVx5owfR9g^ z94r%+ zosM->j_e!P_yNGt8^`033n-V5u&VO(zK%`^%V#>OBc^Iko!*G2GaSv6do=Q1ivNYk z4=b?S_fWUG>FjZVW`_fsYvk~JL2J7$b!&MZraBrkzIQS*0NL%3uJ4@#Bw$D~3!>YT zb(ow2&>QwcfT=$LOnG&W0Z#MVdERh>Dh+?V^6CkDka8J*lX-~i5BUqg)H|r*T7T>` zL{9S+-XBK}m7YhI@n?`F{RVja2@impn?8L2;Deq8T$o-@{)r#qnN8nC{z>J?X+@eh z+xZQ<1MZL>-wp8OnSiU(`MUw0axCC#m70zSxqdyTwVe42Rw;i3L6zpGh5eD|0`}71 zBD^1WTcnWnr||20?AggdB#dIFGo;=PAXgsDa4v)70rm?)^1Ul8Co?Xb2z=OJwK@$ zN!nMFmZ=iT%?@W0`@*6{nv9>#NB42Yktur{;sxv2CrVkBr5FxnD*Fj~M6i-}ySmt8 z$GT=Eo_c`X2WcE2XMB`U_)%vfG6uots6V|M%4osnI!~K3&|?E3$!HAkE*}yLnLUr+ zK1)R3K1)R3K1;~NLNY;^{A@r1`}ZPk%8b z%`c{S`im)Pelf+{lSLV*at=l`E>L9HDKOtt8~Hs)1M!Bi3-uy{N5vEj>w*BSn1Z_f z0E=P@h7;6^DX1sxslY4aFBMaA$W(w@F(t=74p>(~2qCa06hin39tp1FRKOa>^Tktzt^nJHT?On35w1YQ>Zs znFOpAQ*u8}ODSZ13^InV2;k{aF(pSa(|M%s4(@z;FvIx_k_XuHKnlDHJcW}P7fuAq zX&myh1UmW;Vlk)MI4o2P>4?oYToK7~YH~c?3oZHKkW2^>%h_b!t(MN}h(*5|JRm=X z(1IILN@onE+ClC#?G8Oq2vy^ZVR;i9mleu)F~_jr&_adseM^ax*tl91QLi{7*rdLD z@iL@# z*(<(}v^{mwDm=ArdI}uWO($QDOns9&$>k0Bh zQ{J=l3Gzcz-k&KU@QP93B*kkD>PH&X??7#*HK^Z_+DmItzZ12i)}Vf8YE!L2{i>}9 z*BaFCLT#!wsNa=xvi6d;(;Cztz;afI2K9GixYnTlK!#U|2K5IqTx(E& zcZS!B2KDzK+)Fg5KbUYo(V)K7pbQcXdJ%r1LC?dMqo9@@IoF#E*t>)Q^F*OgyC%if z^X7p&*;^DXwQFkF-iV>KYpQM@V9~Cr;RLmIP1O^ob{)W9YS;9T6#%t%O^=1cM{C#g zIC5z1njWubA)0;Z3DYo+w02D&v;?r$uIY*E0c-7=p7bNYTDztj9s#ViYkKnE0Bh}< zp2GDLt6kIeG^_KdUDG27YVDdH$*t^KyQYoV7kCSivB2Y(EJeGfM={g+oMa|~yFeby zZ~=ql0rs9C1>QhBg_9WE3L~FNS17 zh^VzI_q$8ttd3Z8F}efqEszVf#mD=osQ|Ra7l_4I^a)xpa}N{ra5SI_rFNRm0%LLQ zVDzYZ5)vC1mK?f-CZEO@XG>`Sj$l3EzMQhdAtEtyxNy!XOY{b|UlD3Fv2ngCXQCC_ z9Hd_`@F=_@G6yTDwsY38ujH_be-3h`s-UjUS@{znYAqffmRj)CDmRG~sB)`6MkK`E zawXFGCRMM|X;LX)^eNmgXAXLiIp{^^pck2gUStk>kvZr^=AajugI>%WY^Kb%qPDCu zi_AekW)Au>bI^~OgMQ2$^t)1yRc4Vn=*P@KKV}a4F>}z5nS*}J9Q0%6pdT{_{g^oz zDl^u5OLH*L<{-6Hau{c9t)-H6djS?Ll^jk`YpG;CVQML=K+#gEA)LjvmP(C%5U|*Q zsd41cS}GN@0aFwDqcdtPl{#oY4kCFuO-)jrCOQq^RKx$$GrbZCdS}Ha26Tn(ar9vB!zJZtxm>R`Qiy5{nMCQw5I~y-WR3)Mn8Vjp42ET<;ZTC=gwvgDtIWI~9jwbTzSoz)SG zel>W&^h<;mXf5?GfE?LYOEHzg@!eqTTtKi9q?_>TG>wE_C=x9-odnp2#Vc^`WIEG@ zd00XnHIuc3msI`MnO?`nE@cYl4RsD=1l|NZ%^NBu<**WuN0@mnO|Lu(h%A_U_@Z~H zhuT{`^iREos{AUX)uxC1*lVdDdo69#L$sFK^w9q#J%o|nOb_v6V~L5Phd|mSdT2D1 zgVsYrfa)ox>S>_raW~$2Qpp5HnoK?DZrpOxXQ`q?Atvx9;n`9ZorJJZ6*U4;!y(I4 zla38l(L+WRRbV1{9Sp~ALXuzne&@~uuiJYPo_IGK$RB%Kjb1a=iwogOtG>cUJC&^$ z`TI!P*_R0~M0}@-hDR_`0>uW|xGdSY6ffo)X-qCS5^wY)HHOa}dwlyye+vG@zH1<4 ztq95K$AH~P%Q=AHKmk*I01guHY=-VG;ExIJA>gwF2MgFpa8CgbCOAaEzY*Mvpu(Fo zD)F7D5-TB{cBS_(ELx+6Q&)rciz~6!nzJV{O$E}ws9%y>z5@r|dgR5v_(pHLfrlnk zNfM1e3^}t-Futi;C)kUCjT4NZb%GJ50YnSIIKkcrXq{k3qI|{)Mh@!)<4c2eg8cz3 z)(Q4^z}5+tk2%yj!FmI>PB7Y6)(LhLVCw|i0N5Hp$$BnUi+4Ubf}nMRT@Bbe!D#={ z3HCSq1|C-;j1!ER77zGS0CMHQ49C3lf%hYj0`Di5lNlFI1a7r~EP;-GnvD+f`S7NL?j%c;rCs9qHayxlDMu#ij$5f|e}yz_xK(K4}4VlqwuBRG#nsPWGK2q62R z)jmwBfU6+slj$LD0O=|GI!y)W#f4%8$?Gj_og#Y468n)2Hc`?1MZ_52YNpYwIlR;r zFF#Zz_Sby#RSA#;CdZ=Jc89NDQ4hTQH31^4yvF{s4yz2LRjeEtV#KM zXre?v&S3Gk+_rCWqpkis-(=#Os`(}pL6x})9m79>@S38(pwIea@{zglivvVFN2TbX zIuK0qZP(7K@T|RYR@?Dso=JJUpU9s@5XbHbA1p1NdBg)e`&@*lGKUeK^G}F50~g*= zREqZ%@ZZQR@U8|@oYx5wQvQlx0m%%+qwSmA9Z?Axhjlt8`(4&!u5$vA3E6A#^a~t% zy&AF!oP_sF9Qu#jzR8W6s)FwEeo7~RcsE4c!-uVKn zY%S|-fpj%5Tf=HP%-I(|yziCYA}{bh0Y|%spfvLR6P*(&;5@ROB(TapN@R^7ZQtaE zvpAb%$9qFQo7wWd*Z&J2t^7D2bIC3=Fsh-eoTl%<2C15j&7r$cX>ldB%O1q0y_5 zr9v(=dhH3ee94l9M&B$n-cN?uLZcroH2PasF(ocE`nR7#XvfJ_wrT`1pI8v{i3Ks=d=T@^2QlA#5cACkUAIEK_RR;e ztr|gpE;#9h#vr!P80^Myz0ep891d77GzNnht`{1E-5IVI8iUwEV-QuJ3|<6zWD zv_FFxmW4TafSvmh%>E2=9z>N*b_CYrCy#;A{*0divoU3V2FcO>j8Hma%l-_KZ?Gbp zr7Qb0NZxLLMptCf?9U+mjpot$<_)s!&(OYkUQfe89)Bw>Tn{0c3mJdtr#MoCU#Dpa z7>!Si1a1?Px6+A}Nl!iZZX_mhS>L+q8}ufR`B~XdX5O8q~?R6;5yL=d@XgeC{3d0 z9?Y1zKI_Zxz2pdRhpj+dPhLFTx{Cq(eDQRL6I6b8JluN1bj~cqFI*OQ8ikbHL^}P% z-jF-VB%3L{u>&F5=VnT89OEdTn<>5V;;!+zs_sqr0jRk?H}H7}-37SNXTx|CpT{%n zb2FtkiK}WAKHUgjLubGpd@ihblScrq^0}GPo3a3KHLjXQgj}y4N0?NKtLokeg19V< zf<_)e(LOg*di&uhkGN~NDie4J!ofzpH;+TZ8^yfmkm*YNXXL?rXBZ?8#99qF@J_{3 zIGKOpL?Cz2OW+@EU`8ND2bmQXc=uT*SvPE+2FR~iG7GJ<5Klcos3fsxNG61c``{;c z0_rI6YAh3Pe~ZZ=s`9WK@Bje$KSkGadfATUG@XOM0=R>nUQCd)Ya#oqzmCmV#A0s( zN3kkofAv>hLSfux?x}Ta@YM5bQD5y9XhMHwE&nX)uV1~B>bAiQ$^GDFqBPrsy)+VX z&sz(E@0~@mnI>OCsS^8hBnR&kTu$eTy9JNr8^jxltJHyrp4i|%4md608zekub_ptF z1YIDu1WuFq@+JsDYlzjkjN(77^R>Fl4 zlU2pl2zB;63rO)pj4_0u;%-+@eedM3txg0RJvd{K)FyD;gwg$tdT`8!1J5%%!+dHi zPnO61dB~C1dp@4NZn7GJS}olGQ2WE&x+ejPKg=CYQ2WE&dcq!D@>k(ExCI5N@P~4W zNK*6o!x*fRM|K3f&)EP|$?t&2uj>zLZt`xzBTqqip>zs=6dOFN(qtt|4sl4QME`Pd z2YWq>fQ;!iOhdXrVguEq$}9nkyU69f_1x4@!jjiK-2=(vo&#FG?tv1g;1I-d>RI>f zFiulDG*97G5=ao^ujo}a-Uzab3ltJ&fnEC5elGzlv1s-bU|c&^#mw7UaE+$WQ4S=P z;xH#WrYfrk@F-}~=SG)~lW=s#l(z@K@*gtssoTf^)M=W7$VHqHoas78%Zem_Gc>li zXd@%cVx?hbR27q$WG|w=y9PePir-SqZaie^yK50pNm|7(7;6Yy$agn$WrKslQy-p! zr&c0QgM%IOEv#<$1Mx<1d^1LRb0uPR#ydza(ZOMr`L6+X@8>wTsXI-EflaU7YA}OOr>cB1pCPQN19eZP{-LWH%%)b7Rxz}G1koy?wZE#^~25tNFAk1#5S*S(8v++ignnT!2bEZtq-5V^a z^swFWQKVf=>rKbp_tnAb%c*(c(3%~gqITTmwuD*GV%;e@6sReQCk5I z&YMYixxguvPu_x)@pv0V+`^{Rr%9D8V%$}nk&1HD!#X0TQZea$c(>b&%iy<;250*86(KtnQziR6H`!}V-V23!>5dS$TJ|!mxMaL z&wx?PwD0B)b5%KQ$6yjiUOBDCVCF4|m@haLo6bV{GDOQvVEN1Y59Yofv2%LlQ#l3{*#BuWc(-lAj8Ig!Z_A{A}Y@KPi_H=^`HC#u=St3 z4%qrn==rq%lU)E?|H*j3)_<}LaO6MXVH@K=A!z+4s{mX7$?;@CG3f7U&40qY=a6YL z{xkAmzB3Gx2V&845_o(a5>Dn{I1#wT2EJ?qGXgO>$gHry`?X~f-){3XKz_%PS!kVw zcvvFg z@073KL7xLluCL!gskaM#z83nUC_Srk$V!%sq=xbWcJ({xT7da__DRlI!@oB<#YB)&SQ9$&)Fw=DB)_Kvrlpf#nk$oeUe8qyqC|}C%Kex zKcBNtav6CB`kZ}|M|~Uc-~!G*$>jp4R3Ukb*gD?S(slnevaIJEwtWtaO;+c|D0Xn;}?m3j_fYoW*1-x0hsdi)APb}Nte7qu|p}gDHMCM6+5(<*rDvdp;(C%iXCRf zmdvBTQ55(I1Wu5A@<52>bCecn^0L2`VKJztpP|kkQ=#yT@c z_ruo#y4_U15hZ4p2li2Gq2+Zhl7tG+n`6S0(psl_n$6cVUz9h$8 zFt-<2Qb~@xKwEuDj=MlxeMyeHUMbP$SDykZ%`2w_Z>Y$3_{f1O z=kX8c6iu2_aWA>WGj*m8YE-J-1u0iO0Jd_`0Gl;oJ_6d0qTEMW^v1L+x5qe5BSaC< z!S<-m-a^UVV>)|tbY31eLZryNsVkS+*s3}#rNp;cJsl9bkfBY-K%!LQ+ge6r2~V48 zE_%~Da`^eu0v&1N_%pdgmd9*$q>Yn0Tzc;DD6XfTJ|i5Tk033M&$USDEijMxG3sZB zkIzIjJ`>USbYtVwjmD=N8=r0~N_>{#VNW=gnM-1f>6!vi~J@{~L}@i7VF64EtaI-E{wJ%)s}I7%Okx zQT?x(n;?j0iC`Xbu4joLS}X|kgn`_sq^x0j;wDH@FL>Hbkm}ANH**stjiJVu`jlQQ z;1rK{Z@3Bkead=OYr7IglY?^A%l5ER03n=$s z1Ayva(T+6vc?ei_N&%|F{)ZGqJNI?wUV5AyM&IyQX;qUD>`!9bS7{~AW7c_sYb7qd ziT5vfYU4UQwUKo!DuzmY10+{N<(4p9=mM7sxwz6jV3q`4?U8S(MU2EDcug5 zcDQ+Ue+DcLH*YvW?Qrwz3DeodsHitaj2TJA zW+ZW0UM!--hN}=$W%WoR>~eEjsUAr}E1QK5<1}zo*e>HVm7rIZb82WH#E~T9WAZ8B zq7ii|G@mosjHC(~Nd{}I2^!J-|h-}Ly&1H|(fGJe)|t`x#FjvrQ)89!`sGk*G_DEWfx@gsex zD}|^%(O1m)x!#PQTOk=+%GH#gBE20tep+9v=A5IKm-S1E9~nV@%n0(E89}iX?zHI( zZ=(Woq`fyh22f>mUm)z}Aq~B}+^A`LD(|1{C%dy+Rs(gK zE&(lHY-?j9G!|S#7BQ00WeS<{R6aBadCxZ6uCkUwFxNH^`2L0tw%s!+WFly&3M!U@B-TBK$De3%DV|n@JEKvI2`X zOl=e4URkuF-dIpa5PhbI9o(rieWr-km@}LOqWvoxs$TcC)x~;?1k=YPT|AEBWrj&? zY$)Me@Cd%|0+G04^^yQBrc^cx4#q_)*G@iY+X&y3Q-t;!G8VB}5fKA-&fsimXLJ}9t z4%PF_!qh5q?`>wC)EZ*L)=(G~$&~;+b>xPySeKh(O~4d38pJnPtgpEN+qhuu0oKns zXLmPq)zhYl(x3pnOdnE;E$XRQ3!r)>U>^pVm4Lci0n!QobNrgMgcS0pTrS~x!_Nn3b~QG4Q5%PB z4u`2b!!1OiGaRO_sN;<6Tbe2P$<AZ-%T$zaUq zx>2H*ASMbK|A|^kLw6~B1HVJFdYLIPxQG)thxtE*jG76&hX58c!II(Vch0^S0Svs; z8KBp=nWEy+Nl!hu5l=lx1`pB-e?5fH0kPAakeo!IGXN8fay|Iq;0`Zg$PmlqG|fb4 za0~;75=0ik${w8L1Kk!CobE{aZgYX8cO^KGpvl7I^TI650*#ja>n00FGYcyip;;DA zWXKT9WU_Du13xDVXOph8FrJ{!0_Ho^!edbuZUT*27*pzE3Ex9b6Ju%4>eJat89W^L z%35NN0ydVIbbe!r5q7uXVJtCCI|1c#r?8W_JR6(0Xg<(W$n`pGZ+9BG@@JB1I_au_ zv`i@j^jr0K8*4-qYriPgD2Y|*Ac9t~tUx{fZbZzY8X+($OgZhA9jdX##fw(pWXw=b zCUhF@O3uBtifb&AcL9T8NbN!5Xcpsf$iD)=P7@D&Yx$$K#6~UOV5_sNjMu@wjO+)L zeuN)b{AD(%Jb?^iR9Dcbt7NT`LMzjqxd&tmBhm8bBqoM^maQ?NOwXL|oYH%RK>4cV zdG@hM%;GXIXg%|On8iuWvS_f?*-l7$J=hx&&6!-@PEY`@fw*{!ZGbkU?%V~ zoMpk9Q2*>N&Wt;d%TkM$y(&C>b786a!O@i>*W3#IMl}l~f_?o3L~6GjKxftdUX;q) z^GSrLD?QfDa~I;Nr?qEHb8}Y>-(&vvO*glkP;0wIxFc#jb~Nq(hg*cFpt*zCwQ)go zZCnt$HZIuy+PGxw+PGwNZCo;TZCvxKgZo2Q*;`Zg18i?iSpeAHnz9zKy*1@3!1mUZ z`vKcqQ+Q9B-LjR8u8m8^u8m8^u8oVX4yMy9c5PhpfBm&_PBp;#v1iaPbs-{PVSWBX|z&()p=^=IY88O=1INv9V#i_ zKl@gNQlp2D>APb2p?&8rZk!GEJA1*AjmwYeGfC|`WY&tMhczBOclm-@BLoWWM-~!kq^JiQWhD+1j=_kEXFxdlcxtdj?YU>i~MRd~oVaLT??1eEbyu zo|+%!<3f{<4d~yE34FNgUZ(I3UaSA*wkqpQE6E|qf!pg~O!y`D0T9QwYi=3k@u16Z`?_7D0yV6D0RiTTKw z*4+N2-hj2{_8Z0k)|%U&d=y}e#`r=*bgT_1iwbXqzX>r;FlHm ztsp%rq!%HH+C5Rn`rv`CMJiFx^+7ruig^|`v52uZx6>{@97aaRFOe&<7P0y;2goK8 z&4zJa!a2ZVjYZ;fIf9pyB{oizPhBk5NiEFoU4XzPln%#emX>VdNR7?Op3BTG)!2O8 zkcE8OW6Mb2_m~TFY?(}QY&o$9J7YQ9a1+QC`q*-?@)Gj$GWy_3su!i56PqtVpV7AL z{buNT9kB8VhRr`m;yYmIT0iEsNYCkNQ-gD2HWE#!Hzh~^LgKZR%_pt}s}EhUtZ~uO zBftLi^%82(Q|i*N&@Y%m*P_rHkp4~g?U+L2xrT*~K-AgE6Scq8eI*GkF@PXurl zjwvZ`6f-Sj{FeZ7<*}WTx&kDvs)Umn7fuBJ&PHbmbo98A`kN)QP%We*b{e4~lI7H7 z4lb)4xocm@Gea^VM4XEsqohVzCe}$zhEY;fXZatq|L@Mav7OIp;%@!|F)er3YGql$ z3@|vmKZ_LTpq0IJgBgiNsC=zrPY!1&AXUs2H-DY%Yv7JztWrcBJvmt0X*zbBqjyHv(;eM*K72;KWk+w`wHNEIJw$iyW$~_Eybg1izfpQQ_6VVuZ~bnl z&U>3){%A*6aT?tHfwo@W*2{NFFYk?o_du^56x2(P=d58nqSN#OdVHar*%FET7ou|ITAZRs@@k7fLsi+QrGBvR^GPI>=;m{L`uul9HoNC|B^U)yxgAVp8T(G-q{ zBh7eN15q?@G7n-reCgN2Nr=(v>!De%Z1oOje#C4&BkFrTk_WRayVwjkO)1%{w98tu zY-~)+6t>G~!B*$sZMx5C0x!;yOP%{_Y?*e+dg_?(g=Kr&lx-T!ME?GauaJOj8SxB+pq!MzA6 z4I05&rjo`c?+>~=Wg)WVJpyqa*G$|)_zZlIR4^W)4jT}WmHPqN=d}kp^(F};Sh&aG zhiC*9m&yQ3KQ9E`7>zmmAcnJ_#un%FjA6@ECZ9ENCuJ1kX5S`}@e|6BSa)*KntSPU zdD4sqW*$TGC`=wI|4ItzzZIeQauz%c1@gkFJ_d)UCSzE5&QTfERkyw2MaaYIQY9$( z+wUPrHf7Q^5uW(dSBZad_YV8Cy^wqna^ZO=LAr0cZIDH>^2V6(uS0n9G~gqH`emHS?z>Jy#+gER zs?!f8JPdO9pU6{NQ!M?bQR&Y^I7`3Alzx|Ae8Z*p^yR%Qg{(EW`iq6zU*1dI6nO50 zyYZVm!AH?2o=>od;3S5s6r1-k{L5XhE}Mxpo0D?Ket^)-#dx{Z6{a%R6K=R1!MH7X z0FlYRBk~)(p1ITM_saFWj}Y#c%?FaV72y?r@5PX(Z=&r>Q031)Lizn9_nEWEEpRF` zv%Q6LGvkvMF3g|3TKWBX{Yw@dj!Sr{d_1@lNb-z?9F(WpAM{TInag`AU1jd4^uanM zegV$klW;2Yb4njVI5%@G;`)0LF3g-qcqrj)rhz1QfobvK#AFcdX z38pfO@ZA%V!GA9oP1PZ@4p0As zMX2?v%$=0;(Zy`Z%x$dif8GVSHgnnlz@Iz~xL5w{mGhMUDP{I6nY|J@Qx3B>7zpy_ zI80$^_8_LvhRqd!Td2AoS#@6wX!R# zbC&a4^r&`+pw4prd7piGFO%8nm-p5nQI&my`TUdgJ1=_(3gG`aK~0v*UdopKOM>dO zI=hX1V5{_j(b;i~^L*k6#2=IWA=&<#*dJjH+3BRbAXn$k&)z`Fi-Ii94xxaT1Zm7J zA?4-7<@h;1JCTXLlDHAb+N>gFo21i}y_IoZl{i;qyAb)CAlGKEBJ!FbH)TI!Z+~5o z)-Ug6^*)TdK#&lVlqRdxI8zlosquR(Uas1|<-ePF0eJRG=I{f7Rdzl?{0|fF;s;+R zp_U;iGCHbM4U%#(91Py!E&mxAh8;P={l6#9K?QX@ogzL;FfEaY&?uMSm z@B)vcu+vlqo1T2I1dqWp#V)r3fTWjDou@(3re@Ph0RR)`=b%;HF$|#0YG=ZR>GBMy zzee~?`0wg8B-Y?L8OBSuk3e`P3`Dcvkc*6-=u&ajJObl||3PleRLJIkvRIWNZ=QPb z3Ou!i_gApoUx@P)#>VOPOWHVk&1g{+Sv2-wVIy3R@2j8 zqQ~3GF*@Er{^;-0Lkdk2ANN)=efem4@JD38En_>dILG7HX`<3iF2R!LAVNPtB_x*& zFxbPyVB-y9<-Q6ewE~R$uLU;#IAUNtfY@=w=7M%$355s-+8APY07E{C@l5;PQgGuE z8RSVFdL?rvwr>Zt0j39PHb)zty-atV5u`{h4U-S+8*FS7pFEv)rN z*vn|^kFd4=2wUrqu(kdOTkDUowf+cO>yPlxxBi&wj%S{)}JtH>yO}9 zvHr-vW34}?@Kvop#`%w|KMH{L$Dh`$KZc`XKim2fhOcP-F;45&A1SR{f24c~>yK<- z!uliI&a(c@@|&^#m~v~@AHz}6U)K6F>|*^9-U-$pliBIkpO_L~(fVUXcZT)HbiO(3 zkJ5IM^~Xxxan>ITf}Z?2)}Q#fn2ErbuQrIEt4jP_Roc(hg}y1Lo}wD7a9J!27N@BU zrb^e%-7VqQFlM^Z?N!f{VeN2NPB*#!pq|Fl5~A1xC&;}(s+Yn#boQr=k`o+NgM+dM z@Vi1ifpk}0TpapUs)y6y=4?Rp0`H%A*2WCWo`=B<=6~`CHCJq=ldlSGro)WQ^tiE^ zZh5rLW7g&|qnGbL{oEBt$&Rs^L^3-17urn1*yb_A&CS`fe7ruDiOa3cW40qdch%-G z`wu>MB@^&hw3#@_cZSWxbiO&8iPCnG&BRLGaW)eR^7%FseOk``>tU#@!L;Hu4T24# zJ!bne@lq&8vfGUOfw}`VdN)R&x`qoNC1Fy68xxxPZ)wlVC+X(A5EMD*m7rg$z+-N-Vb${olq44ut6gG7)~-poANe25QQHv5Q#W2sBTIJ0-Geyvbmw-{ z2U%ams-Jb)eIt%3v(4M?6@3EfB?h|RM?U=91ZE!ymJd*g-3h5Aor}&un;&d5Ae%Fg zM65%n*WkAnnUz)A;W_IixG`gcaxX%FGZ7CM30Q}p9Js0WshU)YFCk7%eo4m7Z=YY} z6bFT$}z`I!t+2WXHN(gtH$J6Qk$WJ~pN z_NmmddQ|RT!UXCnF2V<0K}-8#oJ0lIQ3p0dGp)t^j*gkzT~T-*Vx)A2C?G$K;YVqi z7zHLRfBzD)7MipST4V$nv^b)|gf|F^vrpwv^vCQVNgOq~L+i~{21`&rp=+oiQIwrrcyIu${3`*nHb( zi(;}c5Q)WSe!3GumNjhDNuD)`C)t-HmXdx~uevQquvoJ7tW-J4QMxMKlhKqRDAK4l<@R=+Ug3+<44pm6+FDLB&Q0 z;}z(pEsRHRQGrFaGZ)*={9n>0t=H7{YLYcY6>l1vH5Egx+6_w#0nI8b-&C}TUSNw~ zXp7%c(MFP5)Lex3NC6*rs?Iyahi^0C||eJ#pio3vIG1+nEI(i_1r{t6PSiaonZ2h z3I~0Tq8VMxN$j(&f%qVW2@)TqKyy1f{Yxe~lgssFg^}66B!TdJ>^Q?u!9ar-Mj2sE zepY=!+fzaZv>$9BhyrhXUYlHApQOs#r^+h2s+%(5`HE z_PlOqVmn3=ItN}90Aj+ru)dO5%~>EMDmK=mX}f<;zw4o6F-ol&hT*){lk@J-$|Li2 zf5#^tngz75p5q+`=CZY%VMI5#oZC9g*Y7aR6=hYt!M!=)~Z#jX_};MBu!#+(-!FS-kbEXs3|RqyWPL;F1w3Mc_}K~ zq@^k3klKG!m|tM#6M$B+SqvpA^VUgA8Zs7f21jrP3>Nv@JT< z@BS^9khr-aofhY%JV?_I=|)9w#3}vSp!Qscd9X5UNyQ;2sg?BlFY-lhm4?e77gmZ)hx+CnZ!M6T0Cu_ z6#Ypdf~!-AU=UJn$m|-p*@fhkvyvGKi3+Et^nkc9r3Zw|Roc6{+7~0&7-A$(KPClw zZ7;&)x)l1mKAn)Jrw~#f(xkV^h#^86I3aoH>#ldweQ-?{WYigUeRm&x01mj4QGNU1 z8SQ=3vD1z>EEXNL8uIb zvsZehAE{^3V@hNxC%x8bzLyD|r~D@NS`CLY#odInse<1|Grj^eB&eEPyUzav`CHff zAE8@#q_R)a8^ez18bb7Trg012nWaX<9h@D&q-ar&4!q96d6h0jv&lszej~>jb#CyR zpttizs1J-q>c)-w2K$KMl55fPo3xZ)ptZbZz1vX!H|xiw{NI!Ad*|!O{6gu3FOt6Z zE!y{9%opg|t#n~ox1lxVXD{hR6BVQw5=%`aq)c@z)4uw0f8LX^>yDcmC|Q4r2h5Z4;)NbOyxovc0r zy66YC4J7LGGiZ8?kqkLmZ;#MVohmRa6BVS;l%<3pQI;Y6G-au`(@c}K`$PfJN5-iF zm?V;nn1WKs0(3Gouc(DJ<&>VUEOR}tXpo398T%jQg=nJr03_l;3K^Q)WPCGIE{*Ax z)&jA~6brLjrUZ(i`DLXd&S6Z|(+f-oeuj@y2{OY+eU=SH!$KkMewU5`!g-Ndnmsw& zl2GRGdI}E2NG?dp;8zhDev?X=&_vpTygs$hDTdK>W!5T9sj`2ReWin}B;6>;>RU~8 zJKMh{n`(}KD{U&B5#*6;s#lIGz0q$P>6>#{Lm7#@K4|c>RVkE^F2#Idqb;g5y{B(M z3jMRF(yX1-;Nl=mY?Tkz3zZvL_cWOFtS}5!4+d{3D@=KMRCuJ$Gs6lGtfrFFV!csr zZ{C3Fs%~nNGRgiiL(T<5ckef4dK@!uXpxA&;AzkX7xG$UMW z&P;Q>OqQStLZU=y4tPI4P)fj5$mZ88L0xVH|(Y9S~rptl^!{n zsr4SmJV?gr_`__idoxBml)*pOlOx@OgE#nNCJi{xA2oINMm>Eu-zbLXHyOoHYk}ff zrE=emv8X8G%|_^hr900csuA#me7)*$A%6>v>p4U-a=sYfH=N^JGmS`%_BQifiauE4 zcT-xM1?hgt(v$~7`uzL`)w@$`9~xcTpWQQ*l=+tu8u92P)y!m<*5^hFv4faZ19}n& zlrBxe)Fncom{iwx5H`S2nC%@2vl)^MvL`*vX3C(mb8jRCG1(ABU8{p=edJj8K`=w6 z#M@&^bf0AIArg`z4Kl8?R~6r-g^^y;L)cG_ut$XqI$AgFR3#@eg^= zdPv|&lUQ-v7qOa=o1v6r3GKmdc|yqJby4I@Bj-nXZ-#fK{Wo^VXqLir)x0?hP~=F& zq2aBig(yLda%~{!P+nX+f+t!bRkfT}v(O}IQ>LJOd5z$q+(cj&RhCIR{t9&812gPa z?SU!gP!5vmbb;QwJ6)!)Gap0Mt`8XNrqoo>1v(Y<-9AJ}9N+BMqEP00OhYDL-&oc{ zTrwOfe!nQ)S-XW`gSlm6)|-8{TeZ(d>70Oo8HAzeDK)Bb@S}55+fRPQ6{`l*zxnsvbqQTJ>mdOrM7IxzL+jAVHonGm6u;{qRrP zayN5&8|P^tbKSSNMWQXd4>TNrZ4p*sf-U3XtS-Ig&33ZmmmEuj$eGtBB~q-OL= zN6?-WCaEhOf&0EJfg!W?yqc~3L$%^?56eSaN@#oHLP$O^j3vY6)&>u(51p=}Y$xrU zsM}OSP-_p(*{V=a>srsoR7i6+Odnuq_V!%N-WsMpiFBd>u&j@NzR}p{8-!Tkj{58k ziQdifM^36V__2!!+3b0mL=ef9FUSE^0U5n>h}IcoVazbJhRQyr$6oe6+yKvL?XH~W zq&k{&Wy>m!b7b?s z-Gn=2Nu5_q$``mqdWMvxSb|FMBk^gb_-Q2z9vCv8!73NX-oZL_)?FD5%v199tHknI zo6ke6{TImELx#wCMY>^1^b!OaG;0rG`FvxUz7GZ5gVt8|qnffiblXHSAXAcHq+N&k zI(dO2urOuLW%pc{bb9F)EA1;@HbgJyjb4CPW5Fkxb!6pf^1*GobCPNjnrRCLsids^ z@!ON>b*Wn`q=i#yQlOWGAtt5xhmY{=6CFOH=Kd&vrO%T6?f2XyQj15I;CUF*_4~_ZWpDQ_TfRsSqEidNhxmt-#4`5Cun8*r$luZi0TN z4aG?U460KuOg4^}>bLT|V1d@NrQw+?eb^b=LNn!>w!>0D)fZu@5HDy+Z~K11i?X*B zMy6xiTjGEgdOEC19G39CN9qrc4O*U^ESqkR8{05;kO7>=!@-UA+T z%s!kH38f#u<`2L7ZXUg`ga(t3_4I}`TfhpF0PGE9X%uCW4u1hlS2TI))#|-dRCkb3 zeacoJoeH~bA9gr_mS8ug2O7(GQk|kPoKMWr5lJNqBC~=ka(n{suW#x*Cpve z?cOXP(|jK~huTln?AJNe-LR(=znMt_?D^}pU@>{@-Me9vMs23pI9FOal|3^VHiH+X zsa)B!rXeC9=jj=fxXBbn(4%i?nIH!ecu>TQf$M^VB}4Mi?Pz218~YoW3?vfQrx2qE%wWvj;bN>=HYExnA(Epl z$=ts1ohH#FD$Yg{Yp@OX5sV|33Bh{i6Rau$MWJ{PP&mdq9n3};Ce1nWSe+z#2G>Zn zN}##e1Pv8e(-~}UNa&5zVB|?UYFnQEJi)}B{G_s!Q|w#%$|VCm9-O`2Eb~K}NZ8EK zzOsq}pJ(qQm76aBxkihr#%tj=Azipl92l`{3LZcRz^8dnsDY|SX3>Nx(H^;NT3lj5 zU$&&0wDarnVyrnqc5y1$bF`ey^^G1fdB&LxltQiJQ{`oMIGN;^Qu7j@Ly5{KT?%>Q z^T%WY|CAmKWFt9%s`f>>=Sjz~HuKPPQ7U@INc5QMq$p<=(Bo%YbPv?%YzxJ)kS!YH z1O{@L4ePlZDtZIc+bNn;;XsiYgG@(DIAm)jauEj&0f&?z1JPhgbRmV3(mmr;u;iu^ zmO;w05v4GDh91FIr&9RS)yQ3;`j$1hJ8!5arGY%9Wo^Ul8epsqe=^Eq*qRL=NoI7&WHixuGj0hNWxqhn+KLZ{t zaQ)DLhOaUZ(nW46xs&-|Kp)9Jn%xM>e#TY0>7tT>IP*%HE|-}_EbDS@$(&U}P-fEg zFm?8k@N=dHeGNw#fHCLuu|ycfC_NK0*MF-KW>74IZzf(>2tz`aiIRzayMz+Lkby9y zY3Z-h+sP&pNX@^acrqCYiATLfNi<4_`+$;QgoUmLlwzlAFdD82j2ORmiik(lUN=He z(MgL+5spa*(pW8IAbcb-=a@xcpKD@C;TZDd>+2z&mC0@wW^~gJ899pTW^fk zGCvVjCz@p?Bd88Z2v0g|2%Dhox8tKH2^BHXzDcSZG``-frnGR6W`UG1dqxIkXwb63 z>3y|q4yM1M5!1F;v#e%d#HSHS14fj9D99iwj}@3FeHKa6MZ}lJkPMn4*ro6#EI7?{ z7i+i5h|>3>^i4nr4dei|fl1XK`In$=;BlC?FkSN8^dvBFej$F?UtMM%1l)r+)Egc3 zLjq}l4kH;u9E)wYDWoz;LGBXV34MT-!2fRs#GJLnP>TZZtY7~N^UlkWLiv1LrB3k zJ*t%o+jN87M;<{w1-d;5coUis^BMt{XcnBLGeai(ZTG^4eiT)60|amPfa*Dz0#A*G zWC}ugO4bvQ1cUUP0RYqxJ?Q{7X*Z=A!v&Dw7^k94)|lY?g2J8bUgg3nP0>kx94JEE zot_NWBgYSx9DOyBGAx7riGs}ht#l%)I9D@}7XJ2C**Qqenw*_Z?A?T!uPD3CHk+I-g)?&(9kF6)DwFn~7(#6PBEk;rj zkH*lH0+}$uV@K&GxL*g8_J#8SEPMp22c+aE<3|Tou9hb-{j=^pvZt`=A zbCoWB3=Iw(o10z{eWVfu?J>^O1KQB5i4;}zd;+T91g)LEE}dF>-997Tf=QDle!xQp zG_ri^PKlnvb}-@LN#u+sO=>U#;d415Fj7Z@F#jN*ShWy*j&&7@Kl^vtqw#CQK(p}A zF1$4|tFfWNvML&)vuD1SoRYQ5%>Z-B_=xh|7 zX{@d&Ll0`2D!GuVv!SNEaZ_1k)fQQh>Xp}&H*ChOkj;4S2y(3ip_#V3#hI2955KPd zcDak%+TBHA_ZIh|y?fn<;_Yp?TpVxfToLc&evY*Ck8PF{|_K?O(D$GBcHD6WiA znU;vV*u521;%y}ncaf7f$z6m#wk7Zx{Mqk5;@;BM*4)gs+@sV6wGar=PcYKfMo(Jk zr;~m})Xm3VJBSZllyn!P`CFW6;|Jf$tr#MWECE)w#;rHZ zl_h4NBI>imSW9wgz|GZEuV1^dqQYqQ#+f(FoUI%03-Nuu?+E!){OH3EXVpUjHVkg_M!RCC6cS_tIO6mR99}Sf`+W! zP`%NY6dH>PsTzw)QkbGaJ<2E=@Q(0RGa2~$nq|AUwz*B=)4+f;9awU=B%JBFqz`X> zqrKg|C7}dE-o-&+5kPaC$QT7jadEO8@e$je#%%n?1pv7UX}k0va_7vtc=l4j^KXRh zHnjkNWD}eMBy-%Y?k^=|yIRQZz&||F)C*;NDt;Us<37~f4&X1Mdy=F?rliQ}Zvqmz z2|AyUW4@P|q4y8Tw~P5L@!7-eVfRk&D_+53w0^9e*t5N@Oa3`6|Fp;3+aa@~Z%`32 zI@v~@5L8jP3;oMebh#5h)UOlq1YeQTAl)*J$ioie7Jr?fQ<$v^o?dZW(n$ex*y;02 zCm(OBY8r&$DXVWHCL$SlmRz^*YR8&L_98TLTNsAj!Z=CBh4F9~E9f{qYic7h0rAky zBIBkr9%r@r2YlSIKGFjmA*}F!xDKQCYoZb6q7w z3^2nF_@z`FBqj2$1y$c&achjru3Q=^p;Gx&>duh3BNi3~G4VYsmLtBrE95?m_Li>HZGFp1iZAX8 ziRbC{!|Jte;`>%i{L8M8<3i#TvBdc{dYwsDO9=RIsIA(H!OfUEbM7p8Nf4=+1%+8% zXU$sQR9#a!s~qr(R&B1Ib;JAx3+B(AGiUa!TbC5Px2$Gs!>sE1S&en|6|>6g>u15{ zn04FxiXsJkMNsacb!C09f*;g33bln(UooSmy0&TC4C(_$K=_r|By(pL+a=_vk(=~( z56iMPRz)im;Yf1?DDlh@TzlpSj1Fy%$j8-nATXE>Fk{MVd|G?)I?&qBEjuZ7b0|pS z#R+!s?=kvyZz74pHfu3meN{WZk?T?QRm;vTjNpH<5_%cT)Ic4*VFAnj#BaEP53*Q# zZzf_I6yh#i;xHLR$%-DRm@&tw>c7QVy}7=LeS2WudWC^|A`@`?-wV(Z=zVZBeL=F( z;H5*~L}po=%WJ@El36{r1TQK-0%-CW*I-s$s*235qz;}ZKKl_i@$4L{S?^_f^rHXh zG^#n)5WdrN%{kKs{l|C101&PPwQ}m-BIJJdVq4@o)8W6zL@q^{AX@pid0&Z`N^u=0 z$Pp;|nHVH5Yofb|ehcX*5@}u@j+Elk5lx{)JW?!jU`N2E8x>2yj=&3wnmL7&-e?XN zL4Fr6j}+oV#UdJV|3_TR@?X?a&5}Lw-No@ei9}qyyfd~>j6i&+Ovn=#}{1c(^DWA^SK>wC$vUy9|R7 z5**?^9CRBNq=?6G`k6#8E^|-fcPfqO3c9~C78JL;`FkSqqIekH{|~D}{J))Uelfrz z&RDTH4GQIkiT%5%k)U`_Qk>d>xLJqeo-B-@|LtNpHAfJP90TBRJ(7TfzoYR?d>PFF z2)LareqmE1*_s92=Zy64|Hv#QpYX=B665b_7x+mj%N<=7zdp3^|g@X|O z(O_{gWF8OH>bES!>}sPM24*0aHz;~w4<)0qJo`pa$)$EujEtRjMuGi6IqT@z0y`!~ zCEZ8(;jft|pBkcr^XDejH$^Kpl`CDW3@&h|XK+cENCs{p0ki^^kF}>na^VPJ_ut^- zggx8qetPtxW#=?6FIG=)WzK);ykqm+Ywu zWuCPY{^2nnTOKQh=wBXgYetL(GsD`0j`IaiOolm*7Z8`R)^@~4-TdRMD?nP?=cAp! z^=w@`_E>wQqa)`kjfpZP{RY0j4#8x$_O>O_)E@bGjfPWgJ4c zdrxt#4MJR^0qGflc62@Y7_J741x(AQSPok@R9971ZK&_rjzA4GiqNX8%_@V;4m{sn z9^E9bv|)mWq(}k_K;!#dTTF5C?RZgG{Jl-#1&TnhZh3-kkYq%f1<&@2@ks3L zE7-x6G4XpbwSh@r8S%n}gIs~)FlL0~&g^l=Rbh%U>B8<&RW|QpnmaCui;EDXnv8$r zLmX1mjm!RdZF4P`s$dHJVe!ZvA@PG?2!CVZr2wL4)DaDY$#T#N2Di(*$pnG5Au%Pi z%54CeIV|+8j5OAYXVswb%bbxQ>b6JiJ%J3pR?eQuiK7xUM z|1LMbC@y|s0e@d~LM5av#5b+bK1`avF7C$^=snwk;~xgxlk_DWU=50I-v#nrcq|Ue zcJt%Kd&PdI198utAdu$eEjz`JL`;17PE>t5E7T(H1GZbiY~X-m@t=0b%3Trh*`2W` ziX+4iVrvX>RdGc~?6wg>a`JLziU;S&$jhu?l93RgAftpWuy-^lvlfX8dO@t1AA@|L zW|0SR%9%cnFf>Md9CCv|BrZayJsKQMP;@aTOw6e0aw~{WUM6r66n{iC5^N77f|F3( z0Xb7hQ}ztuQAzp%N%{dHNk4$cn4A8UqI~g5L`{$=#Pvd;CR_|Bv}Q|^9!*2NhwEJNZf6{=nfEUKg0~ydE&&1q29BR5IO(oLHy$C*Tfk0J!*t z=;1L?7c_)rud;&T?>Um2C0H_K32tr}hu?<lQCuoTlcI5nS$y}XIe3ZZ?t{03Euc;MkTZ`He~>^ZVPOYBK>8900#$rhhQh^L z7GW+ZK7%;>NvA{n?T(Q6g@dX8Z|q2lMGSif;r7!Y)=zB@iPuD|Ma&7kdBVxhb(>0& zG4oopc=|468N?9Zg2=;|+QlTqqi^dFccZN%9Ieh0#~cV~>Kg+Nc-qEoyAm|61P$(z z;y-rAIFz0xp4iCd6ItROmYZ3MYFvggnv^uBIo~*_0!b#l6jhcY@(V5NE%4V)4Bg_a56nA zNCGNJ;%vAxeLVgIg9!2C@35eIlgjP^>L(NGgW~@IORqu`{c$HW1cWNW)GWEW1hqe0 z{ENdhjwVh9p_P;3D?2(MK1uF;Z%0hrjVHGz8K;jsN%7aXJ-UnWK|)A;1@ceai*jGs z6%#*(0nm0=Y=uZ>#l%-2BEMrnaJL!inDYC#j!xL_(^x+9)wwFuIMhO&=!XRV6nU$aq=z_ zDYpA4xUaBXd?|p|=z(}jz7XS*;zcmV`VMhf2>zM0FYa?Nu)_p^Q86$BNI2lkvzwW8ku)=;f%+7VUjL}oE6F-D!?Lm7YW(dMGd5|3v4M^KT+ReBSWJj`;UKGdUrThi- zrmO7)Smr4EfMp!?8JaUVk3pZUm>_DZKWAYd&$Ne=K$iRSL?74K%$TT% zN!p@zAajZf<6+1I$`H$uJ538LHeFVpYh+dF>yk%8J>&hi1ck^|D zf931K>-BtHT!UccM2O+{;_>GChN=qb#CidjG|!dDJ8vz>`)Zh#v85#ZE^<#6KN&{y zxO)c?s`UBTHQPn^Or|Wqm(wi^WcGMCFt(JQt)QRN^nULcJqT#v9OG!y7@79 zt&!p`ZcvP5waWf1t-+Dixk>&E*WniU(=c!%ZPL%u?S!H0kZhsDv3VaQnQq%+t}RMJ z&h(4LEODsfL)ZPwU9g9a9iy+1?_G!`7kfw-=EdA?%UZ;Y z(B7qrgW;HlBg@f5sgtmCcPGNHg`3-WmkVEKWXE3jv87Kf zb+_(9o=4byh==fGIN@MX%JebrFR`rTwK>$HW#=;mAvWttgRrRlMXR+v9~mC4(M{ zos$CX;0ahEPe5mqZGB}-tcr=hvXjMPJ#3uILt=f1UVSLEGF&P?C4FLa{aC!H6Ww=D z0W2_ZY_XLr<~DqhtWAU)DxDhJe|vFE)VcZGHTpPPZVpU4_mCROV(rC-UO9}432lg zfWTjo9TVS1FeAHTm)jJ1Rs0>Las1N8(y2X|{ikmqXj8^V5VHC3_6{*AnJ6S&N2LT7 zwK4aUcmklGoPu7yk}axf_Y=0)=Go_NIF@>&UKvK=YK06qqdAVCsT^wDIyxF#mv+p$NK z#!kD9k;23ZSh*bs!~qqLc}&FG<@bWl^hs?+sCg2G;vOiXpTO7nt%_E`_}NSS#|IzT z*#X16C|p7Wm=NDU2nRtXH{aa?ho(bRCV^uH)FBwoxWF6P zwob(bRBoqu!|K@61%EHp<~G76yWWlNgAauEuS|j&-dI|!cmV@+@l*NY_pN{w#8IcYpeVp1UFE5KFTHITfH#^g_R}?MV3vbBH$DEFMZ3lN3sUlwY z_S>)>snh5Y;Q>PkirZ8&(bp_+(Tgy>KZcIIx}#$`Sf~S(1nwyhfq9ODX1)yj6fA}` zAxD|aHD2?UG~@dl<+aU6c%q@-NQBBmhX#Z{qJ#zH1FhUkOz1o0Uuzz~Bj3K!!W zD|J%Lvs*BZ76;VrML-h!-FFv%74;l^&>15gs=Tcz_XWq!DefFw=-zS>J5{&C`oNN~ z!Oebh{CsdJZT+xUX_4cf-wZ2eG^%SWF@+&ls0@CgfoDLBS^zu#1M!su|a$Y&_6|2}65(~JEr;n8) zB*5*5-^8cF>=}yn(uR9&h4kGZ5?b#>JO7H2---DPvCYc^dkinX{BB|K}jz;0$5+*OwrX73O@X zgHoEegjPj5;WeJb8!blsu!OF&LnX*8M{~KMk_222q>dkh#jKVkLP>m>qHx!R#QUYabQS58AP3zi z(UWfJ*wAT>Zhm3pL`isQ3xXdA@L^@zo^W#ljwF2=L6CgXPNPE0T1d$aBTI8Qdn1U4 ziY@L*<}Z3Rf?gq?8o#62YUC*eKW93)E6yrv5v!P!=Uv5IkYR*(hUE36dAIbA%uxzG zBQFCMI^9Y)!fWnLyUNr!WLQn#1%kfGp41|>$8<8w9iD%l6cg1UF@c>6un3+bq8@f1 zUI8i>?@fyDsVVHQ&7&q=n0+3lZ*l)#91Y!{P18(dCM zRCS0CcTij6p1JaD*O&24@+h?TFAdCt7>Sdt&=dY_A*Jn zFgT&k4%weTCe#I~IXJ{zUPBB0fdwVVffX;@jBD_Gro4LzcX+~SE<(B>uWttJ{hedy zMwXTAJl-Pq$jA~VWIJdQFhLKrIs6KheQcOLQM;vQ=t0{sdwfEZvfF|u-!h8i(u3zP z#ivV5p$9)6W{X+2ZYGZ&9duzr`)2iGdUl_SdbBQNGn3-~42~4ffpokespOrpFE*Fo zUbVhStp)Ok4tVL)zR+2IsisC_w4BoY@KBo~X_QK`G(uE>@b+*-xRcnag$!nyqeYW` z0-AMX2?lR16U}mQjbFM3CUkyz3$4cFY!%M}+7>kVNAxt2?({0-i zy+a1A$gHTpLuv?da3Sr{ip!yCZQOHy*@Q}0M;@|&`#R*uaOFQu4HNSY028+$0(F`6TtdN? zIA+H>2H5^P zwW**I)v@Q5O>ql9=kt*FMb4KJQ$uj5=DCXz&@A}XR1W}a|bb!f5z@{k1gHX+>H5V z5Dn$*CdBu5VEcu~F$4STju27<9&zTLF7wI|9l(;^5cFavppz zgw-LXSgqpuY7;+$q7P4I`&@N^s#rt{N4M{_i zLnB`;j=LXEIMXB%OuG-Q{vNGf(LuzL6BC<5NpS@waqjzixTP2jQ&<{DUI;9UY;I?! zQR4Ywc6(vusUr90QgKIzdzY3D`{?B<_@vE+MZg69R}y~>LGY8|J^BmQ-=N4I*PZ}N z2su8;`1tZFfPrwt+~V^MB7zb36|PsyPU+ABIhz1sliRPS})NC1J@5 zcE3c1Q*61oE+&3!k+wrFVB2xn5^vJH2QohriK3zeQbVwI>L2VF0uR`T9rF(@yJCB> z)PpjpT2}1FZaI;?JK|UrMXkdY!yk|etx9<>W$cNodFq5H3v=cqs$^Q16XZxS>l>`E zlk+QIQ%mZe+6hHV4dKHfUg5HVH2QEJLA1u%Ppyfbv0+Z8{xVq!^${*DYz z3%RIbI2Iu^8HKaNTs*;I#5L;>mBZ3tz>1$q^tEAP15{=OPtQBdO<7om6%=_% zvNwi_55zbQvyCZ!D4+j4%Gidg4b?R@=S<20a!ab$SHKosxig*G1xb;XM!oaK*w!q{|>`w0vx;ISFo)gmfTJGg*H zKN6=lzcVY&G2KwMp}qn~Ry0;`P(i0mZmC*#t%87s_XD9L>NP+;Ff= zc41nN?~n+6XJ+fp^QIkJdAB&;g2QmIJR(M*QHu%{bA0}vK+mxtOk7)M6!G6sX;Ju% zLRiP)&exEO1E~=~>cl)+q>CuUBrjU?+&`m!OAy(cm&W8GbuCF=rZ?E>gDm=|Y#B2P z^|Z+cTEad;TFs`usiM{I0B^I>oUXcv%@Ubg?hCJ4#b#Q#{Kw$5<8n9O9X!In`t3=X%H zHnn#P`29^RBi;u&duBLU{#f#ir9Qt}-i+|w9-JG6T|Xdz|A-LUABM+@sgK@TZZ^jQ zREgU9eG}S>qwF70Ha5a+>zMdD7BWA90%7r;5h31!OCV7E)}2U*+!|6lI$W&QYp}6~ z<<@d>x~&$c+qAeleK!wwRj{Il#aNGQTZ}D4}v&I&WH(?3+vq)TR29aHa@}F7O@8l z=-#3rhvFb(gc)tMlBDMOrOkT+%$8}g;wv3ZOz--4kW;A z_{2@MI2yE4=D!h*MG4P0Vh>!*{q8JI-PiI$L3!Uk>)_#kLAr)Gy|$*Vu}Y!*tVB#E zlq(nhc|!SYqyu0+a#dh(tE^iNO3sz)0W)FLMt!E8E-WNZjU zl9&vcERxB?cy0t!6SQrFLz92b>EB>!Is2D$S@#c3Pn^f;i4Dw~1BhE@*8X^!vYhr6 zqLc4gn8;-dn$wQqOhjX1Zb($S(JtDz1X(L>VcH+U5${imDM*%D*MavsLiyqev~j@S znf(7S)x0z~9nRe!+`PgCDQpL?L;BWJSnGq#fvp2MOiD+#(vsCP6BB9nR|)xFLvGs1 z<48Prrd>kL3{T`D0uXfHBiEC$^~W-YQvkApMaSVE!uex&IDkYoP!~cM`SOfo?6vwe ziapTw1v=$m_1E#CleSH}-Pv-t{MY3M0O-Xwdf5RIq&00t-nLnyG4^D*6Pa4berNI> z72+kUXITFXaysT5SebpJa`5DGL2>~olom(~#N=jG*F6~%>Z2DqnHj3=Sh9M_;6P_FpcTyj=S(dO3mpgd`ne z!S2A-Y!dG5HEo6jUg}ZpmUlFA_jh!-ySIc9x)(L)Dj#0mY?4dfYd6-^@xhYHiYfgT z`qwgor}O`IXRN)%S&&2{o+deS)Sb z6XLVGuwxj(4kBnw1bd!4l{R)^j%vuo(B=VvO}qdQs%*=xka3Q+;w)(lR`!PIj1Bdc zRbE+Hx#0#$LzQ(+>#=JBlMqQr>jwbEyX;AwPqx9UcDHv@>HzJHF9P@5G5r1$5~0bT zp3HOgS5V0BI&z4wkJ05K90o#vuy6c$6#i%1{b&3+MiV_E@J(b@i@>+InP>R--{~L` zJo_u`WQjl6coM%)VGDT?_&SywiNL?f7cbJG3nK8JNKO!e&p628Nb+7EH^>kq(l#E% zSBG<#IACxk1qiOADCKmzdJpxjp1<*bZ0_hh?0TAm#=c;qst7zLr=mu3NFkf5jHj;r zBc`(O=RdgPpWv^zVK-PjdPe^Fq5ShxhZ5QG_}d{NP4EuzmibWl5A#6Ipc&Y$tn ze#H&_H(&p&{K9icw-JFK;%FHWc!5rf5P_fYM_*$&y~)3{m8l54#lHs}3AqDK@HISa zciQ-y*HhPLP_h53KKWBFeoFmv;Zt^)AvJ*^@o~alBh}-jhIi4`b_Vy|68P9c7VWiS zyVgAvftkr4x{+!vpzi(>-3`)g9QMG#?=74ge;c(D;vakxmtmCrC(5`7QBX^5xcHp} z`eJJ8{Z#g&^ixJZ<@8fWL&P8M^g$<%D)&+@hzNXIg8c#c=TYw9W4NLIe1&@L1j5MV z*@N2yb|-%CrX+k3_#}hjQ-C>@{5|$tC9EVEAA6l7CsM471z(HPc-9l?SMz9Vl5RdH zN`8U0{@MYvUS;(bkM$HkO5RK7!=wM<5FgS;nerSV`Pbd<U^a)DqeHQhK_ao)%GskTZ|UM(DbP+gVTV9F`F{&H~pi z{qVNFBdGNpx*Z4JB&p&40)HxKRKZSXHtmJHf?>9j8Y!dV<#cse~J%hw;E-hU{BWgb**F86O9mA_3UrxnsC3zAgo zCWi4xWXm_ok1mwULl%Q3QKAHk74hdr9JVx;QSsqeDA>kJ4F3sa>R2TMCf2HtS8h)MGk{R z=R@>UK|dcL+?9vq>gb;haws)D59LhXL%D`&^qL4dG$RNE~iBPu- z=%)y@NQrhK8f+;et=A#4 zb&>_WNz5QvD~L0c7P$?cEF>iX(}UO#8U&I=9*)LhbQJccz#}##E|S7;EP)z% zp7cDIuCH?T*>vNO?SL7IJ_MC31=Tf5{9XYw4&=bDqD0uQ1GAL1T-Zi>S1wlP z(-p95J=tlbzaKms^fd;I$oWqh_uGv^y@MLf+`*|*vF{IPuT zU()#e1zQP6x*4CCY9iw^o7rY8$%AVMxwGhM4qYuKL5>_u^dHL?>4w^1lWAT84dq5Mk`I4Gfih(CERnT{xS z1KA-r^AH!3CHFtt2EC1K&;rKAa%yk||F9NaK{n`v(gr=MZP49dz9_T6QtHHqsdxqb zRMO7|`iV+2G|C-+h|SP1OEVOvCL5CQ2?)E&D*bEj>faDrAWfAS`YAR;4@xui5D)OM zGDAOWcS!FbCWnd>{?ORn4rV|}I#g+UbR+RaEf4zR+8#a3+U6qzR`DCEw^eRvtX4rb z=^$n{NUhV%wG*+~0J<@PAwaDd3{ymlGe$$!KBDjRseYU;|Pjn$QU6SdxY zwwro3&3+wUmn_6--xDBogJIr0Vm#)Am0A#hF~r*^xqIb<>t0iBKRdYgb?#u2?4m3N z+yrQWV4Tuq#=G^LZ%f|1V-=lcj}Qz^i2<3>A?r)rs!(ZhH+IqGwx-vpyR^hD?3Wo8d16?CrX3f-3{i|6xhj)urar0Vi)LB)t zp?+g|Sxt4cYVDl)Gv}f}<2O`e23q{G1@0@|-9y z5Kmx}%9c*Kn-0O(v3`;X${Mcc7<`$~OSJ`J?_dTjeK6yO;#vF7 z0GQ8OHWuBpU@GQuFYj&KUPqbA46Jhp=?j_&&>idNOs+BfcHd!46J`pzo@1~MxH(9U zwTLAf#D3$<8)nYdB=0kmeW!@c=@clU9yGQ0h2vCfa+w!onox&uM1dbWrf($jciKc@(TbKdE zER;UXSRQ`fuWYxZ7;yaxlr=dU8$5)LEX{?A$GKF zuaZV(4Bioc8sL>FC;cS$!NK=;y}`FvJplN|I^SRlmID6(3%-wL5_}$UrN}Aosbb5z za!SGnikM01jw#F>AlRIEaN=9&_BTo9;?R+wKLw?=#m#@ieVqP>{qQD2Qm$i!kNnd> zIOm)6if7J6)sFyJvWM!fQ-NykdIJWb;S#bkyt}lJ5z*U1O)S%(j*8m3$xy*?0dfy+FM)^OI1HDWT(3q*Y3?-&a zjlq}~9$%sn!pbXzm7dHBw#a!YbOxj10I@4aCG010d{Zvj;O?zml12Z8S@cu=Vo?K3 zgl-RfhEdi~RZ~^oSXH)xU%Z>8;WhL1zsU~C%wYA7IqireKI8p{zH%hQ$(RWzp~(S=2Y zD?-IMwKN|OzG=B9@!;65SPS`AIISLcAB#zM;deab&-Q=F9$GRYC|ZqPd8qL^&l)vV zw5E-xyr#TiGsdH6THj*eG`xt7;eDNlcY_>WBoxMuLDO)4sJo;Mqq-JDwfP8^i`@LK zea9nTV=dBw&Ct|Em-}eqxO-REaj_;QW+9=4)btm4_y>C%{@a1$rpB78Dz%-91_Xxc zF{K*zs}6=ehc-fG@}yiW$GY<`TvGCq%kwEJrwU-DCsD}XBiS5hIqzlRt z#_c72nizF`=r4Mv5Ov(U=mCflMu{uC0y&boh@rQnDvL*^3xeZ#6$E>7#Gu z+p320vhpqENX{zzShRd&SyOof1%(tVqZ3}7NLJ5OoQo#6S6uof3W3jEXx;SNb!$mVgI+*6XZuSe$`i*|YHW*urk^%PvopnkeT*XX4; z>VYaI4bL>`*E6^P5*bbCsTcg7K$f2cklkRr58*W5Tz9ehi)jQ>dvuFnKrTSEm%;Vx zeuFF3piWl?{REey$21~?^fM_^(VSN7>TN2>GJ(N^QJG=5`$UP?O3Z#f2oQY(RQ1Jn z_ff3MO5mX5fPLKk;v3-RXlMLur;j~_Er!puA9GK++r-Cl#@F!BQ=qi1$B(r~h?DQY zktCAiu?mYeCTVgXIfh+ELfFVGi9q)wi}sQ78WQDFdo;FMckSHS@;g$qL~naVqj|IeOYRg4(z5OF3(0P^$UM<>L1 z7@4)$U!LZGY(}>;~23JAO$_qwHFhzYT!l#^LdmoN@Zf1ayDbqhzKPR-SEOrI2 z6jMh7uRoMqrU_Znb?<~M1-jyZRH`O$Mn?jizXTzl!shxb+uGaQMP1Ep3HMYdmZpj( z9Qz$QE;w;XZVhW=PHVBatwUU%Y{61hq{@OmakL#9kl)1C>rAj~u~iEQHZRuJ*_M!I zeGLQrt#=mSTJc{<5N{m~i2n!>|78h4UQ8fwiu+KfRl!(Mi4*=9q}d9hITE5xSRDK= zo-XG(GseboS~ZOG;74L-FPu&UE1iV%n}D=qJ#miUP14n%GuE+XjcNrfaeE3er_!hA zk@kzWJ$fbTeEcH7>fN@x_-mlDIg-d$!;E*t_pKxd>~W-0L*63qFK;|Nn9eud$Jj=H zod^Hl95Cktd#5Yi1Fe|da*8v6T-V*Z^riCH??(jqDVe#hQe6O-U!RJBWTjG3i~y3 zsWaC%HQrHXI2WcKRod>%So9EkD~-kR8166JUnL-01x>3795O?HDCtoM%-Y(xfG7@% zE0SUx?V@yv`a4pQB~8ma){VXOk3n8pfh}p_wbS|TkaubWVFFia4mxwKP21xL?ub-| z$>38=_s{YN;+Z~>it_G+;79oMWR{W}o;?a{7#n#I5h#JG5uIKTmV(k(_fdCyH2vQX zd-M*5SuEJzxgS8hs)G>gh!4WuBtCv0k7q`v@#qmqfYp^@-MRSk%{I-b z3krG*UeyicbTN;dMZ=Y;4ZqTR!x8Rr-zcu`5yg>ljN-0#?&$w;d%JprKq_YaJI1JY zt6Z4sp2Sv7)yDFQJCrC>!b-EQ;?>>MvxU%>IQ(Nche~F+M(i4q{$aXax$*rb0i!W_c*}rClh7vq?sFxVJ#(G6(;dhx=Cp7_MQ|`J`N3 zT~Suu*ihC`wGk8VdKa2*B3qAB4I9#|ELtvCL8zgxn}DIytnsOMGe$5?%BFQ8oP*;2 zQj#!!<+OYNgT@Uu7+6GNdbwi$Szr?|4t~2CU7D89ap=Uyy?2!=?~O=dbsd0IS=~@o zp`^U0xX@<}A6%+Xs*(Wug#w%w2+GWr+DzT3SfZLJES<>g>Hf-HM5vzz4WFB!1BBg2 z;0xe?O#B;}8fNxJ4b1+8u&UZZ#wiE#+D|rmHx)K<&Ov?WK-bQ>phfBg>^}kGlFQ znl9>{UVH@t)uC=uW6!OZfL?l3BuIgI(_KRP5E+~~^v8WL;=YIWz;|#aB0V6rJPM~W z0Nm~)@%T#j(YF2YGLM7Sw()!HC$dBqq*|O35C{eL?Zx(w3-?NGBvVD^XM&W54Ur?6 ziaf(nwy~;qE=yFln+WTHDHz9lwwb!{cPxSnOHMT(*rEmD+(ks?Liq>@M;ftGyZV{fi9{ zX$&y4g9jDr-=L)2H;gFXH!&!PSZ?=a=)rZ^J|E}EH&b4rXr~`-IE#_V_5;OiZGMG2 za9962;1LX~RN0+c?9$y-AsID|WqYC&kF^_ptNA$_Y>3Gz=)?T@>3#dfT=(cIiFk}> z%;gwQn{9VGni+V2Bp7+wc%AABsidJpo zusTV4dCgMaTXqfBC&V44f!<>0bdF`oa~j1R>)-L)jfpR`F)~T~`E3zuSw7-8p@Ad9 zrlDxg#q0eSNRS&?Hs|a-j4Lbq1Y!I=HXS+>D=l^xMmRUSjuAg}o^<979p!n_{#h6P zwiw=#0Z^C3PiF|a3v4k_#woDD_k`rDiLUU{RS{7gI$nr#qb~|IGsq_bX^!>%AznL= zn=_V`nSye_{FcsR(W#(}K+=ag_TCuETSvV42 zi36+=)DFkRG90d&WZJlaX=Ct5H$nvsZY!L=bh^cV7Xbz!^eV zh9>63=y=qvq0aD1%-Ln5)drnRFawk!4U3xhhsp_EqZb6V48vUE%wI^Qc z-oFCZu`1KsE9l^zkxDV}jp&rdx6=Zw;aPIS~aX+Zs(Ok!(XpM<4#~?iF2bC)r=UCg) z#NBUXLy&Uw))5j#<3Q2 zIakpq5xh^I)K(43RB{==EhkOhQMu<(?l710$L@ zHT*8U9lGC+c8;Jd{_t4o{(jt3JL%<#o{!>vJKmqbHEf51R#AEos6Ys5L!O3W*z-WfQPvrtP_1sQAPC%T9_p4<)=ntBLWLRx9ls*o+ zkD^`;>fMLy^!EjLb{Ovi3mogr71Y1aJEfnqKO^qV+H}PEbbxSrkIPtnN%V+S*)Fy7 z)(0JH3fi2m+MW0zDZ|!epK&9tfz|go2Wjk^(3DRU8Tv(Vn?3@xiTbM{*CcJ1GTe9pc{wBm$OG-R@gm8Z%-yT!5A z;+p85n%UxWK2tV5=4 zG68naFa}wnoe5$_d+r^wed;^4HwO2ca9xl+rr@B6+~btCV!ZV}=JAern#yiNS%gJU zHn*TPD{{zLLy$Qba2`#EjNkv(JAK}zHXgwJ7__Iy`vmR-#(jI*{fV^ur_=7A!M&CZ z)c#Axy~*R#@r@Vo(KC2IO^xA@vzof!ngwF7OdEnOKV>`m3;RmaHnQT~kSfy%vta?}R>qx&T-FRzR?x%8} zCPpN4K+_y@ob9M@3cq=({O(VHf4TgW!h^xqVU>ph_ki5)bq)*X0o2K4ThMnCpOkV( z_v01ZKZ-U9HuV0;r?kB=3ObZbrq9`>8Z;~36VB(mSMy+8|5?YnA7g+o zU2rh4T5?1oG~!xRGKm6ZG!5qdwYH_)G}{<DY8>tGWfP#ALo;252OrsBJ1sXQ;clrhd^q9idJ#UD`>WDlIgL zLYJj8)Cr!5+sNqM6GwF>2)g~SCycW+3vR@=S^Du;lv@o^BDW>;kdVB5C>7Y~4=5*EW zI^4J8`fP1)s{g<^=sO%8DPeK02uX25#H^`RrS;M)H%_wXz$uK~j7f)pN-{zs6xA2}0RH~G6axJMd67r<94YYosUHDpnr5vM}OYl#E+kNv>G zq#5S z@pWpqI`r)qXgqKDF-{Zz)R!}OPrIm(BqjFE6+;d#ch|3%C_Vlq% zMcFk|5Tichuy_89Bm)d#-?b0qKeT|XI z<^NIIl__(IFZMh}>Qm%$_}EzJQ;BQ8-#mlI9Z&BQ)!B_YwDpFhwJB<>Jk8l-oySp! z_Om#`-w5kdkf_x-2C}6QxMbmsl5nRnM<3`|E@8uma)Gtp&qSH zeBYE(>46_H2l-+ z(6`!Aht^`Qu^DdOw~*54Ave7qeX9%gXxU^Tu6;R06vyDsNJn}2Agx{Oi@&Md%P4n0 zx9!tuZ@P`fvJXGBLUA3}^ZA=Ik<`3#`dFym3H;Ck#Ivn;2K8uN;XMAHrzgokQD4h! z!_B@5G8^?I9DMm@^6ONzN#&kHxzBU^o?PFjfvn5akJqsB%G{k^CKpTXBHWJF`NZ`- z&XQosI24-@706Xt`5wv^Q0idkN*9#Wn0}Eqll^VPNUDj!x1%ljn`GFP_P}2KEtF zrcfIN*#T;M^c3GD$;dNnQHR#xv~nGT7Yt&_goneZN2_3RnXe7_cJ&P3srbgTs7I?y z9_R0AT5*o(@uz=_>QI1>)`Q4Cdij6bda6U?pNBfMA|r}xGq=bwxM!*=M{6^rouchH zmIN8)I`yv=ZPPM`TwJI3m!3U}_mmVb?Ep++XP6hGdM}_HrFRpJrnf_5ID_|;_$%i) zCnAounsW(yi`gtjz1i17P9ZmRH{)hHe0k}6lFKZ8&(;ynksiYX-TE>SZ6?qr=i(o>T*87k>((V<yQ(s*g|E2XT3=e;*l5)^RBfrQYih)ykF^`8 z@(*phzOlBdaw_)rwwBak9cW$6`^#&Zsw}LX$7Z(JVy&zJxzxNyr|E{dR&-N!V_9W+ zwA^Tf>Z0w&it?H&>(1T>YVIVeF3$@zYDDOwAUUEQ$stA z7EZkIuQ$~-M5m^7wDtoH*nm7zRl6~|$y%|btZ43%vQ^mB(5j_h^_=?Ow17Llp{5+0 z0q|mcEM>OV*VWaiYLPN-ihCWY+v+{#)~E$uLuXM(c~FhjcUI9FDLHz17zcyNad>#KJH=9{$J;bIW+Z^h4jG=Dj}QqPoHd07Ji)z~9K_xEp1S7WGTF zv*hNrbn4aC@`kFl1q+JHmXu@vs`9ecRT!cNu8K|N4OSzAkkLdR2O5c52;$s0?w6Om zZ|agcbEfjH)l+9|uC1G~Y3mFeu!U<};E);bA+ERKW@CL_eRLsG>&x+KY6AHHV+-ecxD(V`lumH__zKMuu{d=1#Du@yc?3NLIR@Z_y z4RW`(R99Bjm2I-ho1%4qe?=2*WWay1%}9BTwTvEtI(Re3N^6_kjYE|H`N;Plz*N^>N22jtK1D1 z9KNB>DlRH5D&w!0sdHVa+h9`MHu5>Ijru{&dK^e`C*Evcj~81j)bnKxo0m2;ECJu5 z3LpEa8YqiyraoF_P3xOBSY>qk|A~9o*t)VTzwfBTQnR|5fS4gXa))p$U78*Rqncu= zG#+&wVb^6>v%BdGlV+u850g=Rm<)j-U;-gx z0TIypAix4ffcZgy1w_E;g8&P#04uSOkud@+Wb*&5b@n-jlBz6qJeWc){nuW5@3q%n zd+o>B=kngssreakvr10sk7)JhXBBai1HS_O_x6vJZ>3){M-aFoP-|4QcXSn3~amPYx~2B@UgK zk|CAZ!7;f>5mb?btfKzti?6MW-s$Knel)4^i7H+1X|XJvJyR|e&-I+Gl*mg4ZbaWD z91YCR%;?XWlUP+F7oy6jf1`e4!C>tp8nbSA-z(of*LBv0gP5V^%IrRo$DvtFvD_H( zWq%4mbtg1z^Pd@fWD zxE!V|vqG_^-;9t34Jjk1X!)R`l^dr{+8?Gy#WUy95!Tu&Rpl=2Wr~X-eGk5RW5E)P z8<1pVYUU#?`16x;_Q=~)3umUrEuQBm=VyloZN_;N(197BWttT9fZ)Gi{`2^roY3Em zOvwY|fBR(314BVl8J@>0ljdR9>Q%>P2Ql3|ltxcwhWS0wpPP%7>EBKoo1iiF&uFsP z`&PFWO`HDxGh^&6S~!NsMzpxSqc!1elNE+W_NRQwE&g7`mWaaH?n zVrz+Noq6xwZ=a)1i7n1_ynXK7O4r$*vt7}9J*Q6n?ccWTtuip7F`FHloYj7J%1rRh z2ZOv^acJ&rGU(~(Cs{O}=G7|mC*GO*aHxA~c8ov%O$Niavb4*o&hHTQi6s{}1Uz9% z-pIGUowLlkIQs*(zf(cMx8FNcE>>v0GB*{3u2ppvPwbSxTRvMpTPc<)X~zCq!2{gK!Sw(yB5zQLGf$o||^(JA5V*qdVJ z6HY}g)b#5v%&2)K$o>EIH@N-tW8;Ib=@&76EB(Ie-%5TL=0f({Fm5Q{Dvq%#9bu5Q ze=;f;&)G@q8AlU4d-#DQVRZoU|KB^Iqew!-W`*YcYp(ssYr{I%Xr~+a;I-+QsX2XL z%hZg7ACAqA^^fT{Z9e+DqhtCTP?qP!34T)bgZ1>B?Sr;xKd(`#DQXyNbbe8TEExp* zYuakTS;-jaH2t5#`Tm#c&+C6L%`oWqfV6>6MOsp|u2p7r5}B*aWMTI_e+xf0^*YrR zcC5?;sy;R`t@YoZ04n-z?SAc{i44C!|6ifopGLp#Ri*zwL6rXTjxt-K8Xfp+wZs1; z@dcdd6<+rZO-?mpCv@<|b8>%0UqhZ~@|sCWotZd^ zt3@5HX9lf7TWobun5~WLgqCUD--b>hI`qtZpe=r4Xd;>qwUK!fnXOp7kSU_-+|-=n zlbZHCFgCal4bSQ*qz)&h4UEoD=K3@@Gd59~8`XJqRK1Solfu)rk)hci{IUZx!~6+e z3x^uox2J%#0}+14M`_vGhZT(l2SZ!4tkW}*9<=z1Dy=t_;hKIhYcQIyUQi}c;jZ~W z-xoeG#o;A1ZW`=)F;ijzFgo}`otx2O{JPw#XJhrvMo=TfQ}SQ;1j4e&EsJ!N8y;e< zPgZQ5SM`f$=8q4D^#y5@bHmyZ^j$@IKv1d5Q$nUtML&i%kkw48y~s*u%P?OvruK-0 z>G?UH=9!hwy^!m*q`#ij3(BNvUf*3dHua%?a4N8disLcP0<*&(`gurq2y;BGnH96D zs7ii;Af2<8vfRq7G*VIdzl?c2ytC&F>AP{p&5gGIys#=ZEvb6ZZG|{z*6BeA}`cQ0U_6L_ha>>OZN~Hb8V(hcL|fx40Br1JTN*F>AA-0UC^YP zpLU=2dsJzWn7uHjsETGk7@M|bUdjxUWsaux=rl5>L9XcA9BWp8ULmO#^wc(}4Pqcl z4A)g3kG5KgbrFc+DlBWbr28vAFX{YbcU-RxP0mluMn4#unbLMfU*w0uS)4oU-v~Ox zDSTXOvI_QVlFM)E{ycXqy7#H*X>>r3oU>!YAK6)09o2Q4CWm^Z1>*VR$tQ1E859Kl zk^VCDPsy5-ay&{K(p8GVrrgD3i<8J^oK->h)2pbPGPt;`eC zq^2fyl%#kxHZ(mO4b*1zlwWz9<4e*Lza1DIYwNert&Go(jt%STH%U%UUHEOgXBgJ~ zLf8)bwL$6<&|1}{jGo(c6EqRcsZHH0OisO6H?`h+%&`Jk@X55<5QW;#X`(WGp*F57 zb~bCvo@V-dP^k^bExLwEu2qst21RF*t>-|LaaCoP6Z})rR35GHrDEjqm|}&MP&Gev z@rAhiGS#wOjOs?mFK~W|DCB$ShWUY!TthmqY3OKbn6cBpi7nROoFp?KXL8zo9RO!) z(b(*`PA|z-7fUghX_~?uP6gqRVrMX0_9pa5PX*m9aYq;qvyF-af`lucJ`x*e!bTCYkdy!m7k*m ziwkDzdUt5L(l7I-YXf>~!mgly^^L>2VbY4EZ`K@|)60~wV^}4II`pSP+-N(g4E#eb z1GNUDcDkZ#WLCMML9O#HVK1y`#C3(F6Yhv+@ib?;N`=P_)1V9Y5l+3z*2X)gEl%ZC zS!I$U6SE`1rb&IL@0@<)L}97zLsoi`NG<51D#){%{oIKv6zxgki53$B^PWI7xvv+` z9|f-cbqB?d{5hd_C3@CKJxU+vbaOweHwxU;X%cqV=0>~B6WqKe-LdUFv(`q#P0QS= z{UGaHHg@^(7@nyOg!Nk|-!VOpXqt^pa)+;*6mFTd%<3H%plraN3bl4m&5sZE56$UD zO+tmq%n&zIycn}eCV3;xI{`N@4bSf`--?r6R_>x1o@nh-*4i*rk&kJS7x17*39&rg zYCZLzsg$=QQdmeN#!NdYV`YyUOJB97^D@QD&Ln-FusTw&ay5PmMpXH5>IM4|9-nlq zQ$NDv6W7cy;*C_x^hbDOW@*Cs#G zi>zqU@A&7(r|og-g*qFarOeFP3x0TGH_{U|qoJqiY2T!XqKN@*QnS;#Uxbx&wSDMJ z<=YnpRGhTHqE6Y>cio&seU%cAq570q!})HzeHmTf-#K=a}G_4x8V9DW?W;+$OI%!_L>7s{gaqB0sA$X!H_YUqwia2vnSFuk8XA`D z_Vp&Mqc^#5u-90FdS#{cYkGWW z;fOvypi$Hzf(>AhN8%4h`G;qpf$<;k0|nw1$Xy_J;ROG@8I^z6UpaO3YMScHh@*0c z3o4cGQ~3LDWX{n-{<_{+y{1phBrmTLI3WvzA;|}Xq#Oq%FSiEAI5W(kaE8|Bv2A|* zx$j7R`4?T;7bfe%WK!>+*hOF4CwgcGPd$)A9 z@a|h(75xN)o@hA=w%Nbxn~HSvd9T7-y_FMusw3+7w!PGo4qbOm4t=3Nx#4&jl-o5t; zw4HnJ-Aadj63q;i5pP8L=LG+}85K~je_VOTxt_{9XWuHRlMHfY!i?fQE1oKM_FAXW z`;3yVA~FBWTkpR2?zuB^r(ak+tIka5@{`Jx@oal^GzlZ*i$6OH524zF8S?w}*lymDC5&bWa(I zsW!r~rzBj}J}rDoVs~b4l;yos?(z`rU@Gb}YI;}LKylt&Yq#Y~dt2`Dd`__*{QOOGDeh8AjCs#i5C@nVLR`Wh0p=9?zY4z98_dX+FDY>eMBads-dT z)-^kJBI0L3>|=#IBm2ighX?%ymClhLhOFodJ|9}?pPjaH!-LTbdp@7i^gB*C-uP!J zDW8od^@*HFoPE44(j_AoFUgnBzD}KRK7${gb#vf(ovKz~v3HV>u;dedO5dkS<>bt~ zax>-$*|1((@#|iOdI3E$y|0R$#-6C+CoBo^EFTG;(dWD7^m#)2(5K!kbMZV=o7gXR zQMOAa#e&&>d>TKWaafHuZr|Ij`n;G2g|2KcHitjnSmwQ&#cRO z1fxSWT^5f`=y91xYEOq=@@qx9L)3TT`zLEj>r$5=oU*&^0d3AaRpqVV?VYU1Y4`D_ zF>#u*)>`tZM5O+kNU2gp;g|gMnw_M79$Rk*xM|Ke#%iy|xS_W1neLZU^qev#w z+|p<6$E9Z`C$!hidA;eccsrv{7}zI?`Q&82m_4Vdec71%)y3>LgL$yA4}IryxtfyC z^wd8y^!;dNK})Jxf*0(=PIQ>Lt9K32T*!Wwg7g#RHcZp=`t*l6(VlB(=BM=%QR?|X z?x;RqAmv)Pb(LzZ<$f~y3yqh*WCJ?VUhS*@xn1|wQtk6j^YfZeA=5@-|LDIO`=ScA z>?I3a&LydBekw{TIA`=MwS)Mpmf4_ANw*!p$H7d6GljXzJdhU|0mh!8x^ z%u}#_ZaH(tb9IEcQdcZaT&aHitD@`B=kY@L`QXp(3O_IWxklPm(DO8ttX`kjieCUd zkD@Rs2Q(vQ^*%cJ>2%Hk`<44lv|mS6M);lcAk8MD=8FE5B1w-~7}IV(n>Dyny{kuMhP`Mq`M;G-gHj+479v z=cN57#ik;ih3YClpSfRAn4(Fh!b$Pz5AJ%quH&@-uB8_KGo|+mm9BF-P*+0g?7JOG zckMQ z;-?P`OH^2eCw@EfNxl0FO*+g1^j24?a<1^ZmCm=`)gL+(_E+2YD~q}n(u!oSl&rxl zQ*UPUS^qSL(=yAeq&h{WVhmOee-)5AD)|k<{jI88_S=N{ctJz*%YazGdv^u@fIf04 zAJ>NWpN^BC@>4<9b^AFuZfd> z*i`J;@7qVh+F$#)dvd=Pwy&9d^s1$I&%IYXQ90Xp=4^L~3zTBH`)r}-Tv4;>y?495 zr-!U!>8V0z&*;zh&VEPp^K8NXI;C>9P}Q?uzXf~RKzU0&DLymfY@hzNs&w`_Jz4zjxumcA zTQ>Jqo_64q!Tn)u7k2@)i*)wmQ={)Oo-sbX`7{+1GHaRT1Pg-+EL19aVnWZ4o-^x5= zK0fJVqTJ_{p7-ph)BHEqvb!9=XyWjt7p;1I@j1Wc_qx~Cnx@-JJ+BVp^Y28{iWY`PDq}0|BF-EYjYqKL+H#M$v(& zG%Y|N7T}Ne8zlHzEGGSrKjS|Tm4PG94hoi?)xYU~DAl(B#vqyxM7^N_#G!?kqBmk% zat*!W?7yo1Me%{CU}^o+Eb0?cJJf)GGGEq(Y_TEtq?mS*ItQTWK-8mu?LTxM5n&DJ z*~Op-v847NMcYL*4SLZ+Ry4DSKCOz>Ca~@-aK+dHaNDK8U6%q6TnapLss6S9GNt99 zv>}?0{$`9`w7eYs`GNA^jDteY%ygE6&ZL}c^#MR3{Q}*XUf^CL2C0oZf))*{B=+A8 z{p-C+#|)bg=WFDhxs~MS1}aq1$Y*WrQ%EJ0fLBEaqT+P{?T8JP^39<0vWUKA`Po3> z;%0r9gvg__Fu?Xm5}{JZGH_%Ap!8^&o`Hs?fn-y(~LDydh`ajQRy0|S;`@kh*Q=gMNXQDE&BXaM+dD(QD zQFcuvO~B`9!emsfTgl=@0lGALz^;fAperZ_SD=2?vfJXUXy$x=Am))s%>zgDn=A*S zDsW7M)ukBjb;~FL9mZCH@46J=*JHeRXgX6}Ms?6v&gmXa%P0YR##VuUWo#MXM{xGLVO5fK(G#7iO0+3D5Y zA~mxkc;sv_VoOHsn7;~Fut#)A@%hT*ug37#MPG`R8V(`2uSAO(+zWJx(!4z-ZsrAd zlz5aEMC!?}S#NqVzbewEF(>#FXKxE0ic+0f*LvyCZR<}j@IaIn%R1Og2On4m)7@w; z>4n;&Gkc>pvmpC4H$0zP)7Z0t%|*GgH}O7zf&@%=E2#1cJHQVj62pQgBhE1t8>Z z%dQ=>t+*?=Ci>5!xu!#}T2%cgYK;*wIBeU3MjML)K%5Q9qZw+3 zKn>{-t$CxvsD?6OXhS+Uu30@g>YW3aZ?7bMfAiPlBeJB!4WrRJBKa@Wt*Y>x)hz== z`j?_N=AskdI2sf0E1tI|cBFEC@D?EoDuVu{komC4knX zw~6LxBiHXs((1u@{=C!-iVo_nrE>7ZcFSr!`K z3)2bRt|(cziPPVvI@qb55-m5J&0?aTod<0yfI>n&x2vv5)2hW>0k~=G;B5hu^FTD1 z=^sQto|ZIII+!UP46fKy^*g4iNS$j|rwCjZy{t#f-}!2K`nr)6emOcdtLc1S$tG)h zXj!JNn7Kp1nkaw1#|apTELaI2%!ChqfDbmq2czMG)sLjF!CEe4EvIMiZnfSgIu!jh z`bqTl82-FS5d+}ikQEeS;F2k+~+q_gIGLia*e=wnESj)rvT?Vy?*7afd#(j3Fgi5jAx{HPkk5iAFyp{(~q z*g%j;O7krus|#~jBssSDgczb(##+*Ri$qm}Hksx$t~`ZuCV;0x_dFG}dJ4sO(^Ek= zv-r53YD)k=8maKf=N`7)9-z(GUf{H`eL$B>M+NK70#`+^M6=OHQR@W_49RPvrc|^j z>1|0Gt;xQl0&hLNcxh5@&Ngqd2(*h1MzaTE7;nFbo@Uck1P+U=2u!QXj|gZ-TVmE+ z+F3e)5`882k#q)DB_u;>{HN-F%J<%A$?0BLnhS?Jxh`tBh zHns@d6Io>#cgpv|IN+F~8D0YT`Of`MI27o#0%gF<7V>4YN6rov=n@@_J{^r=ymT*6 z3i8l0O|6R5f8giFmH~bjbiWaElB|fN_LII2DU`|wPgj$`2tx# zsB+0Q0KYJ{3|tZISC$n>j}a8wvpOZ9s-GLxng)!DygD4eQ<_`ssP<=8tqh#E+!_$7 zmE){hx;>VX0=da`Th;HGrUGzSC0>%}s63VM!C9?Je^-<{Of)I^yf)K?RjXbCer{|T z;73;XdkV=VD^LRN8CwG$io7h`Usy&7;1^hPNBc}e84dTXU=;`&%5kQloN1_r?ry0p zRe5j`{1P_UkHVT+r53@j8CwSUIoSO@Msm^$lz?tyYruJtmxbd8VRPN2+P6$p2FRss z7N1C#tw0I5YHZ<-;DNIb1^kMvx*2-DArU2VVM2*BUJB?uZ4+#*4%20cKUk~7@I$hi zdTaHdEUQ_j<}sBy5RCv7cVk0EWjtN2hiZ4N?IB>}?|8MI819xxyA%-g^~7*}*3b}u zO*GV#E~uGNy=ZS%Z5h2@hdE*z3qV(p!z`J+2qfKK&v$>_x<9VwOcTtKnNR>){%#_N zIV$=R%^iBRF(z?bq*(>*iA({^T~S()!~>BE0;~T`QV`~{NTUTboJr&`$3)s^fb&5P zGcHOMlb9As0dTw{Q2?_bIuH#2J3$U}MU*ILh)G-%sU_g}*`Pp7yC^M4qFbac0E78F z5_6VU0#-%l2be9B7lCU*4s+Y(z{7J%d6>h0&w2wiem9ZBw1`q;NE{KV+rVj&H3)Ow z)Cro(DV>S;H_<5q$-qOJs7GK9d)Lg&>F7F!=zmUJ8ba*%K*7fl@h@d)0<26(jw3*9?fYTy9F&v0tZo3?~YwY{LYLBS{ zHjI5AIBqT)0>+JfAHZ9=r;9$hft6Lq-h~(sY>2eah1qZNFy$?=t7&)3=3wJUd|%`B zKy)zr(Z_Mteyy!nF(Fcok(j|-6QZwI z)6{Mr0S=2y4s+b)K%cP#w*`$p%0R2JHQs1COs`UpRm8H z1yZE4fKQEDlY-r+#&Dll7AKSm_Kd9oK1T+CJ0>kLN1AN08U{Lytt|^!&*a=yh44j@ zlnrX;_b7WInrXd zR1aD-F*~(rR7EC-xgt{4(>h|Dx6?*-TX0QeMPOPia;v}*V+%m1OK&P3?pRC=9nmSH zU1Sxv#as#rm#9H;GGs(Vp^**+q4=a?1(wBgwm*o z)3|_;veLvA`{JSKVD-N`7{&0B1z0WH_^NDtH$+wi=9b9&2X{xLHUQRHD;4&{pg6=E zQMDo>RzcQv-KqjrV++8b=;i2>=)`{+6Mw6g)6X902ig8pY25S^GaD8X{lKQN1z<~* zXd#GpET^CFAq>0ym8<0?Cdh;h1qjCa68Ch{DjaSBCGUGU#Jv`1WKPTN32Sb zFGs9OtU`pUV&=4kLzQ)gRYg)F{W*Mhz(1XA!PidjA_aI6U*GY=WN&wBt0%K zjf=$Y2=JiT%y-q`yK7qS*$J4HV6xy}v<1Ia-qc`RtmD>e@|-1Lu8Z`j2DJOac(D%C zC6XLqL$vY)JR@d_(C(9~LGqlvWzX3yHtS13V$YVDFzWVf)yKu$3<(agw`7R)Z`B#! zq~)!8uH~)t>>XM@7`^hBwh$#vEL#&-Tb*Xj&m- z31Vkav118#pk!xRu7~)s93qG~B}>rBRrmFBozP-& zv78WGmIw=sB|@xOB1D@dSZ1z>EWtvjdF?NN~vC z3JI2vDyUj_2bt{;QBCU%+ zr;qDy{$6G`e=W6}21uWZpZA(TQu9i_=9PTSD``zOf_wJh@##uEw@R*GfIN z2(OqYlSO!?o?CfW>bd21rJh@3SL(;)IG$hhjPY6wldQ5*-Ip${D|MW-D?}@=t za*}LI{-dkR`ljfgrZ1X5YeaHi^h)&U<@#q0pSFM2z#O?H+F!6sPGxD>FTJn%$TifV zsQ6h!>9YoSCQwdY*u--p4uQ<#oQOq~xX+1Nw3u>pawsZ%)*$r+KQW&x!ph1N$cyB8 z7Sf!EHI)T5Ckn%o6NMczCkjK96A|T%Ku#3KAtwr>krRb6$%&pEnf$t}+xN~e5Xc!2 zmT2T*D3IGGD5oIDL8oOOJbQYRLI9pw#-a=#+8q3*ueU)5qXZ9@eD&M51(s!vjIgS~ zhegf!WKDw)OB#Gw(coFx7+l5UcDlNe5f(RitY<9Y!)gW}7Bl#;mcfUm3_cu~;KM=& z&s0o~M%#8YT9tqd1{)W_vx+^^kivtHMY8nK$1v`msdMK(dqV*iN>o;j-E=TOt(#FvsL!97~D|ZcGkE1D`bvf7Za|ahFX< zPxH*S5z@8K8rC&AcSNB)N2MFN^d0f+ej&e!(~>Bpxf=zp6CdFVUkL%-nZ6HgWJ^oPes_{TyXG0@YYGXVF<=-~>Pr$YG9 zKlqXsyQ&C&PsnMKx}neLe5hH%s)s!EoARO0@S(qEoBGW%M)}ZhPDOpzZ$)GhKRU<} zMntjV%g;yngnGd@9QGvQux({v@D*jiO_3f20G{@(3WX)+_ZU zxeK>h4yzE(vc!fMHY`hU>Bk(k1dhT-mcUUs$r8APy~z@Eoz2M-Ve+2V?Bay=QuJob zLX8g^xwSjAqzk~@_PtR*uSb-sNW%m0#3EH2^=ZuxpG$AVSH$}S60cn-c?fy*ac<96 z4TTLyFB)A@Pu4}!3eZc_x~+cfh$IK-mdTH+JINlrQEyjwx2X2z%Tu!^qLa4M5Ywxwn$3D z=Fb|+*{Q`h+l@L5hbVLkR$abr=j`OkjVC2M_4>{WoKq&E9j>k1OiuN zA&+Up=8QU4NG{3XnKaB3_|w9pnjP@GzGPy+v)b?;5T18_$pN$@xQW+dJ0e{$KKNk_ z$8ky?29AFdwhuTh(hsRO#xU)kXpUiUpbFg*{2SZ0ROr5#2O?>G^urkLVaS5{iq2oE z!ZD14k=X1`d)W3h+rs*oVE9`Ft_l1F%!0pwx#9Abc5MwJZz+2N)7LE_Z(w9}bG#yE zH6*TzxfT+K^)kiZ!>Aa{QG3bKR~7L3#r0+{V)`~E+!C4I>tb$tqA_mK9_ue-qzdMU zNTv@8cn9OE;Kn`UhJa@h6WM?s7tP0v`NmWU*K9Q?} z^Zi<-VEkUTj|We-Z&hg3#(l(gaXA;pw{(>cM{E@ulzdd=TH(e;X5d9Jo1SQnVQz|4 z1-R?-#u%na3!daav&bsL9CtZzCdk=W{f4;jnD)}+B5xYbPZlyTJP}JPhl~-CX`L1` zC-N$Ae*RDum>-K&g-!ZTL{{apm_3(==V=vb(0<)0az$`Wwu4I%Oq)ohfc7AV>2P^f zFevicaDLcPZJ1?|YKL>7*oTrl5_ttUKLe>kc1rBauEG1l!BBNMHO$({L8Un$`Ns_U zxR>E9pd!b=r(qL`-4X0Ldt1OhBx%@&*sYUWzvuZF+YwDD=9(_pIV|pq#D>$M*zDzQ z71z*tNkeOtzbxYYEPtE>|G;%T6fhH1nxoSVuXs~{V{$q$p-rUI%T67pYWCDd1#Vgm z(|FscPflc})iCXzjeG6BfKknTgs@9Xf1m9F1+FM@w~j=?@avjSOe8+5p&W)tY&hD9 zotA{dtJrXnCicE0jF#AN!zq^gO~y)WxH}Wea4}Y587{_3Y~BDaOb$i=&o6$0P@1tK zq(h@29hwVi+T`{$q&Z=3=h7SwITwcfRW;AuOGxMKXp$Yo?Z+|PZ1j9U$_{N(|Y$E0#h$LLD!}v%SPs_Frkxa!KyFNzCbr{~1N{&|= zyxHYC%wdt_yf977S*~NwhRF28Fmft{Wq)GRqqrlu;%so73OwmEJ^pcbuj}{Vx#|K8zjDx?zamgPq4!r_F}H0AMn! zb7HXA;9#)~eWOTI6Tpd*W@B9qW=fh3a5Xg5Dcvike>_tUhNFFX zo5oM67ivS5;5tOQ$^yDX`gG)h7{-k%VN`=mQ3bULhXcGiKDd>p;M^nW?%1eCGmkvo z@jJ3c{sE4t9St_%EtfM7r(aIN8Kvd-%wFKvj4cC)MgCU+VKgY)>;(WX+mkPw{S^RZ zo2+aP;AMOBWwX!5(^B2amVhh9_5*t&w*l^^X9RPo)?uPDaLw2%5UQ2qtXef+&0FgM zP`dx4`k6Vs`2xM^v|Lt|&qNx0;Ht4TfaW9xZn!kGN;CIOR02BQr(g}}7I`1xj-9uR ze6K&DKDFR;fRHNP@)Cu z(n6@bu2PiNa0A}&fslJ$qPw0uu8NDIFGU|lU;fL#{Ax^srnS8S%lSMKo1#XY*$-K1 zQadhLbV+DqPWs(~A>fjT&k*adKd-+rB-x~TUr9wLTjSs=op$jQy2<}p)cT|7>m98z zf~|eR&enKW0{2{cL|&BgBNA)X22LAW0NO?R>+Xga#@$!ABKEpS9R}_iTLA8ftTc?b zk*;)vf_JYLx~D%DPom%LQKFQl)-EeK`AEHf5n~%|8@Lwb)cu3K-kv5;k z^^PiB73l#EXjBOW=pv)J?3I8`6aUDNQFdbRItR9X@m&KJ*+ubR3?3(^+hzuXGT3 z_>XzgqA-%I0VJgK%-R4FipZZ)wlP<>F;_PI_)<=9Q?6`Nu544#d#|cUlK(VN8+Dx7 zFq$M_z9P~}2;f{Rv?OLDBw#j0>@0#EV~2rVk(DQC84XJiw0Ar}H|j8VMcSbOx@?7l zZ4YGIV-fxXlWDSPb}qY}oAry*?Ix6RO>Nd`s!1f3n{`59H6(V$Tn`BXqElqWLPS`C zkl6G|uvy<0b15XQin%7z)&wLoWwTDOT=$u>Sr5@-y4EyPSdT2hYLrZy&3XtP%L&nA zi4Z=P2=QZy;Gk^fENp0vylTs30r=O(_N|ZW}@18lIB;f)w<6*Wem*k6$9dw!HU zCi=1t4*X4N>#GN1(!^3$>X-t*OC%$&3YLaZ{YDIKioUGx9to96Z;Kj(!kAR+$o^7y zlzt%6aIMv0d?po+C~8mIq$)fTH28=C&Bhji7SW-o@yLv>Lg9~DR)Nu8v8+#IPiqXn zDWV%nt7D}0awWoosBSR>AB!}b0Vao}OpA7BnGk!%7Wx!5-5T*PM?X%xO}fuyKTTvW z#iWUhe7Uf`N_m(}Vp&nyUTnOCw?z`O%{(;m8!^a4(k8()niEl)kR#6WZ7eE%N5l|; zZJJMC*3mEw5$P^bW01v6zYUST2n--%Q63`qkb5w`D*25hucR<$Zsr@ls`Q@8vS1>8 z%VbH&X&gwi73PC3OpUz0Fx|1GU8`TGNCO0Pi9!s7h2W*=ZQDnD&#Z6;c6XQe- z-V({VEMFbw(46~E#F=wX#5kFqU8S?GpNMh6DVZ-Z7B-9QHYjP3lMM6HK0ThBpv=>U zYTIHS=5@`?1JU`gMO%6H>bWp6E{mB~yjqRi;=N{zH^FH+Fznx^047<<*Xpd~Es9nv z2Gc5HJ5f{~@kM>D4ntU~&~`nRe2(?lath1{gfhiN+b^f9I6gQpSB-T{J;~NR-fJCPDsGq7s*H<*+sT( z7a=->2BMFMa|^^YXb|NXfH9SPyB-GD3LTS_7*rvEm@|0@KI_%f#S@NN2MYlyLxgWOH(u z-GZ|Ox2FO>QVcVRE{SZd-qijale#QAq}6+lmx1DOySfp)FC*_sf|uzio^G~ftZ-hi zWNd9i;EP+0O{CSP+HhFbWkpz##WpIMnk{~7!QChh-i8m(-d1mZVLd7=s~difDuf=f zB^_78eQpDQ4f4z?o?*yYU3HyC>-RY_lSBJz9cIbKt_a)~X?R!bFn3Je3nb_C)jDScUvgClX4J;B1UwR1 zWtgwHD}YullqQEcW-Dm{*a~u(ZI=UAf*gh^m#nCSTeND18m<`A)K+Pz$D{{Y8fz(MH&Qv5HL9m6OanvZpa8j!=9V0Dy#X`Z8cpX zWCK&b%k1w32n5s4GPf+dEs=#pFOiX~c&oPJT?uxwv|kU2Ju&pcsuMehgLSQ9j)p`q zbzPxzOGIdbNwn;Gv}l~AXEzNHJN8PLJihJLb78S-VZqUnQefhj><@>+Ee8d-Z9otOJ|r7RdJZ$c~%CKoWMB(y(KVmqEwP zsA0zLhz-~#>NmhZK{3@`M-lfp*+{o=%C^H@!-ko|F7_HazCRqe_(!sbU_wI?% z0zMGw>pSksWo#KHPP_w;bC}^O65#c`1e5bp%LSmK>kA-_6E9LzbXP+JroimIPA=&y)4OkEa* zG?SuPoeybTdS4XMVG@LNRrXvIh4i*~%nfNmCYaq?r73k;($Q##RBI=Jn+d-Ep(R{Z}pW3&1gBs{jx7RwRpkH6MD$)zKXh0|q8x zex-g|Ms<_p%G(URRyC8uunI~~INF(>Aq-_&C?H zaV|5?dsd(ZV6-|J9%$6sT}iezv={;UQN177)Z%1fAqy!y$ZEjY=pxfLy2=L%5j{@%J!Dsq8cj~KgAaXa? zvbj|2lxOoEXKTQq%;Pn@{6Hj=fj>940Qd=U0BEvdC;&bTg8)xeXv-#JElftl*<7qK z7dek0&Q6du#?J>WcbK&2Tn203xw6KLY!k^ZfKSd^HXm!*6ij#9Z4KTpd>jEz8QM(3 zVMCfYYxw96J$o337&?w2ss}tYwgC9@HqADL`4 zhO+f;=-D0~%Dg(1EowvI=%YRn@{Q)GA$;bJ8p<3slsPK>#KT-w+qEcsLjXJ{q(jl5 z9aFds`jSpdhobL&*3kD^L$mmkxioyQrTrTQxH1~er?psd)Cu~oi09fN95WaLrdP-h zFC0R;Oa5LFh4REPN3mcJYw?CCln?WJFIhbGcUYtRsaTs9&~D$7x7DQ`kvs-0*fB=$ z17JAD@TIDPOUCvCthkaM5wL};BF6)^@SuKCJl#|la7+gn?GEd87(d^P0Eg{RGYH@W z>Ez~R!`K3FQKa{4^%!Qy<-lcQM*vO;rW=O$I$Au#>z#wqC)t}F$=M!SYz-R$jv8A4 zj)|-xm{TqXd`^sn70((9OP(bdkc%Qq!1$^+0`QPya+t`LvH`$XHM`Z@bSdCF%}BP> z46xJqo|3!v8(>di%9&$^>vb5Wtp*)njWmTYeuX#yFrAZUwXIMDh6Ai@0gh{h)G`9J ziR{}UVK~4_&N9pF_il(`Rn_nUtfVG~soLT>0Ql-UvL$xgqy=Ed*b#s;u`CDnTng|y zN}9u4DcKhm7;7ZkRR>t8n>F)H4#S$G@?q&Q`GRCDKzc+E>yXLAN@R&JXP=mu$+3C8 z{>0=WM6KQz2kE|$As(y_5wUONStTv!g-qR5t;Tu!RRUIx9RM~({;@~6JlUUj<}XX+U8&v>`#_`_LYz$J?GJ>rL?uis5z++>pK*NO)BXG?kc@y|GV@tqck;f6-*E|C_ zW^CHgtd%mYglgqDt5y!x(3gFb`L>Gx)!T=;r`>wsTgH}wc9HiGuFEn?Kwp{>Tv?Um z11|uKKUDz9o)-WfE#T6Apz)*7N>H}>f@P0O3xk}m@3QPo4?2-)978dZUuXvfR!n#H zi=&zBzfRi=GM&?dZmNBuZVKEo-TKRniFcUo*fwa(Sf6r%XW!1#`{@1(#Ilu@&s6;CajK&ss`X z&TSR?XNkt7^0o^9AFd9#Eb{&Z<&PwJ_4jQAfF)!5fi;nrg=<};OxjddtxUC3A`L4* zL8@ira#GS!D^NqzWoK^*9ykj$nEoQrEIOp$4CEziFtbZV=B#uT9ao)2hZ|N61PM^>-7vWAyhN6_lI>&WjrLm#Pa<>nVLqU8!Bq zyev3sCiMVVmz4`f(!`ud_wd)%#GbX*3p^4jGSbgvcdOKOD_OvpB`aB27c^UY1?*aK zc3t4!NM|2aZ+rC))fu(w&r>=1CvrNF%(Qy{(Q$XYAY zT9Xy50zq#%hC6H-W#ERfRlxO@GriTPd(ng5$7b&!5cKw#-mjUm9>DbuW_o)vz3EF* z>}?ml6n)qTj*7HYt_UugSl_@WyldkA<5Jrp!q?!gNLvGNS%et$mivL5BIyHYoo2wt zB2@&UAHkM@Q^xiKog&nM*If+UNW|a`^L+m;fe%8uMbW9e^^CCov?RJFydgTIPm#{( zk9^?oh;#(Ni=k(0;x|Nx^i%5;gQtu7!w}$#2yLLp#5k~*h(UU;A`75*Ti?wllS8j| z#9tE8!q50?Bk|tLap0DS7QovgHB4veDLx4?!65m-)EF2V(@sTu?wVTWn)FDA3vOAL z3*9QXY;^{JHDgDp-e_$O08Juxvw2CTsih07jKS05oR&apkuUqp2p-!8UXWSxS z!PpUCQKVhE8F&0M6Bht<=w5}Gx$RQGXIAxvNN4?H)7H8?Z{RZW>Siu3{ zu(1W;H;wH9ri~o{P%5KZ(E5ia?g6}kfvkbFe;9Ub8B0pQmdFoea66t6e36wcQ+CdB zhk#J69B0+iQ$m{xv|HK!F2Vc8mVl~AZ+z!sm_d>LO5k=B!;Ocm&^TH;MVgubn)Fw8 z`jcicZb>>hgPjCNX6Q&TEkE~LRC`sVcNigCY-n>wIS(wi1RVJZ1qXmvjV%GETndbe z+C_x;epyyfl0E3ewy&_pzVq zl0>9A1ANQaGSDvCuavT3d-7$orn*$%XI8cZbpOo83pg)wy>N4uQ34uQtW4+v z8b(b+87Nu7DiAc3<4i+2(@+f>E~?sn5kFMg5I+{zXiEAQ1=}LecqopxJrycHNQx69 z=%x<*hLF1OkA>9C$5D%9?IN9UDE7~ty)M{uHZ(xR!lmD$bEEq@bmgSa#R^|GTz#X@;Wq@j)k+11`dw@;R zE73d;>L2Q;MDmhI{sHbA+nXuv2})HUXlziSoTXl;06etnMXEnxKXqtJkH7$ZI3z1L zt-;^(EC4-Z%_%F>Sw?jvz4{aK12IgaNZPWt(vZZqKGDJGLPHEw^+aO~Gwq3{7-mhR zO9xDHFBF06qOa&%2j-*Jh3MKlfo+dNkS*8YHk z>4}ltF3T+gqaqI`xF#!G2IfSb0k`ZK0Q&ZaA1XUVS|0!!Js5phk73aIWyQb|g#p1x zdRL^fz->`3R7pM%eMSEE=zX<0M$o86bLB|3iVj4-2b7HM%?#~Xk;FUxdm*GxNsU`s z0x(360)SU90Ow6pG1F9_%V^`RpWq2^qO`*_m4TA6y})^qqVqru?&j4sK{mr%(O__u-7e1qX6$-b{iUEn5&+ci*JZ=D|!Lwp^~jU+%tjc`5AH1uT}(rZaH+_G9#;DOcB@3+A;nT=IyxzExu zp%%{4Bx;Fa)dOQvA}z)BCcyrHh7 z7ihDx{XkICYf8L-=@lJqΫoXL>F`l1P|L{a47zuPMNUr44o(<*q+*=~U=O=s$T z6^tZ)Df(s%;+97JA-aBW@zqz%Nz@M#eebGL-k8cK|6P%e>mouQyeU!y=Cl9IL}{y8 zjW=>N(y*d|HIa^Rz^1cXf?a1X39dSOU2wzM+k(5!hPKhPDzaHATsOWw2KPkr4{%GQ zlmCG@sGvNm?u87P`=Z?0Fg%6ksv97&2g%MLB&Bq`t{S zMW98b0gq^=&BZ4L?ap=xx}7Zv)|>@4MAQraT~zuPmR$mFiF^v>3tX}SWnjRVdvwpx5>M)W=w9JXw|9ub}vX~hIOMK9|( zr|(IEowl4ZuwZPutS(E(BU4uamMwQG*d0`9mY}9v^efTFi|a4(1zbOm{+5oH9K+M% z($Hnf-Ur-Q#am+C;(rhZ5)No~lbQCy|14Y((=!wZZX)0Y|ZelSMMH%4@ru71GXRl_gdLVB{zx>byn+irD= z<7u6Am7reqKVV7;STc41SQmMW!(H|a;LnUL0nMLLGu^8)Wo49&Tfu%{+SmfH>{0;5 zn!CUymuC9Q=)WVv23>23yK7|%fH$13>RH1*G~8_kt2DgoY}SP;P24nT58#@rVQAVV zN{rnV>)lS5+@>_QmT9bE&?Auss8J4ajp-~rqMT!vYaRV#XSW43kYBpep(xOa2GPsW zQuO9mV^}QF7jhlwQ5yb=NR@%BB3me7=o8;pred(zmS};+wxA{7Wn87hB|z`>{Wxab zB^T#Qx^o6H=cJ>L{s!sS71#G)N~c|r=E4MDJvPk+fI7JoHYSdms018aH_L!iB9BP8 zKFJ+W3!x**S+Lw8;D}1RtW(2peAhTuV}-4JO-zb)`e<*ZV=jXh9qi&>ctWF|^i zg+7&-^S%H(A{ja!!(0~a-@z%pAX4MNl1PsO<1tJqon1X^n~AnWnu6N`REpgdTyi#y zQD|9SAs0mb2Wnn>0cRnG^~V5#?7~;!rxILv!aiZ;u zlCK~^PqT!<8~?d9mm~@Dps^}#OQY=WcB+}n0 zrruplWomuE%Toi1JUe@VV-=7M5$#eDu51j=bi;^!{g>5v0%1we+W78Rm#vPGH zi+TOD@tfz0Ir(+ zM~g9x*GnhP0Ny6KRO>AbN9mU{@8Jr!BXK5F#ven-cm% zZf*`7vx5D=Q+3kCFG_QZna0$bM`?R~S#CNM4XW#n6tdj(5R_@IlDlrURslCV9U07~ zF#QzJgGQ|!hdyN4A;m0ij6O*uVNN&dfx^p##d_L^2bgseSnfnk_#a8BFOiQ3==)>BX})Eip$o!U`IUq;#)H zI|Q(4>>zMiiJm#55ED3{WryW#+Us*9NSMw6c6) z#?q1o8hBuAIW!_EmK}DznH$oLo@U;++!8STKR!9m6zC9zujqxRl$^EiD|$0Uy(oGt z;^S_DrbK6N3`bpMgnm)2Q6g@?K#FiH7K-L?#i}YhXnG64BVz{uN~^1(Qtrf0oqJZW zM(#-~SOZRp8loTl^TxPKoST)7_l~OEvTD^M$~`7xqXa3PJI=1C)Fmrf0IrA{q8WXG zCfuKiz7%~D9eP!Q7F9fLl?z#m>Dm@LXWK>Sp6y7XgO)=lu+?&c6A}EOX)XZ|jU50Q z|EDmXa4n*oZ>Y9pWy`>0V{1UDR*ti3sc-gF;HH%=0k=e7wpV0d|AUC-RgE-RvvXRq z;Ar;C8q-7eDl_|>JMxnvZSTM-W2-=y$et%)`dnTWtQecV7!C@uAKZQLEi`>s6#niO z4O9*3peA1@_!0G2L^24tF8YezPv~9ihf!;LYmDILKA~3H`w~15sS@x=WDg}Uk6oVE zziOf~KpXb*3?}*`AF1r1e<>-Vzz)_Z1oXmHa;%U%D5i3gNHzegB75G*=eJsZxkE7O zEHEvyll*&Mjp3I(D^x_AS1eGm%W?}qNyJr}o)KYFJxasRiDV#v%A{moZHtvC0;pD% zMX=As0D2IEi!KJ}9pbz)x3P3gS*N`uy1X+bVA-Xi4&}X|<)Tu)3%OM#2Tjxi;3qy2 zIv*X4gU__>1A9tM4p*@3a1wfE8nF zz`DrY1$WgmfNREC{tZV^>I@@ z1O(OkXq8eoJOgNoL#N@kMf!+8up`o+O)tbSZp#qRD3V`*V2iG~l&V_B5HK#%M%5U@ zEQ{Q&aEJdFYXKM(St*#yE(fld{3p{f%pI2l4_!VQ!yL1o4*|zTvUECz>2NuKb0i;4 zugNm^^x&Rd8-#wKRi41co*2&k)}QxVkNIua{MHX#O>4lpL;Ld%?TK;doJgl0!2D=! zz}@o<0Iz!Ea6Z;OK=52o40l#dj>$4JtKrfU(!1rcaO=?K9N|0;I6Y+ zNxPCVirdZt|C>l3p_z_pvSi~>W2ijn(qloVT5yjI1drLqqQ6qgVr?L}CS=iUWNi%q zSYXkH4MdHODwoXJ+B7e((_9q*$P|{X`^0a{c2ZhVrGa?)oW=BqB6SEjEJO9?t_g!5Cp?JpDiX}52o|@C;6rmeX>-Ls z=^wW?iomo;ulXB6AHm{Hv2I0?5v+=IQFcp!6=JgyEHZ+(T^f9;0>K=y!5Xo_m>Zfi zk-4J>@Kt+h(attBsD+5x;45oSwz8@SA+&7G^;{9qdhVg%mK5I;>0kvs5ZRS2%wtb9 zS}W~RuN_T4i6y4b6OA#9w_l{4agho&#V|{rXpUjrf+7}NcX>+;^tadS#$&L@pAjGI%)%=yI4S+r(9X^vssoC4-tb9qY)AbWVXrMnQiiRW?O$|n;egAN3B^o9_F}6ny}5y zk>g=}J5Ga|$09}9l|MB)d@O5ohedm3)o}cm}8YMA71d%8Y zr5I2Lr7^`!)In1`t)>`IrF*5T7Y#XAy0%rKwjxmyB?zoX6k-}Nn8qRML>-Buf|u3N2Q3*n z4f1B=ko?)l%C%3Iwb#~@_F7qc8lt3q4DHJT z?QtMAz8W30&#B5Oo^>+kP0Gbz=6vWxBLP73F>aG^u z>0xfUGo^r9(uXR_UDei8SGDL$GUbj^{-ln^Qb)7pjm zBQV7f-(cmVpiEHsG$UqNV1f`=1+oD2ILaYm4mu&<>e5k1=0hW zk8+61Rz3>47v&K5jrl;^I>aM^ya2WR(_AS;r=Tz$rb{3}P>;af711Xs1Yu4KBnUbq zFu?&4L%~1{8zUPaCIxB%TCj5E8^o%WkAM!N9HRYakskqd35wpk#q-34#WU z90gq#mSuUZTJ{7wCxZrl3~~ze;J>p{5G#&Knf3=pW~Vhf+cY!O z4Ak`5a_iEZh?`yx+A;EZ&~3r-$_Fm3L+p7u=(^=@2HG|9dC(n!NkiQCa!_U&on8MK z8D&YF^#~0ZIYnyUuq@2(2(8(mXODz@%(7Vx#e%@zJ%C_rLgt5t=vhd5}jv z19_mI2N6&;i*VjhOjz&q{d;|mCM&nh;L(_BRvz=nnAD36=r!wb6m(ti3O$Ft`qWVG z(4Sw2rANIbcquQ6UVE-<=@BR?Kv#^+Kx+cI*trgI!^=UtMvj8+3KWYr@V?WFz5iIV zNuaC%tr(esRt2UI;<}fE?ie`>+7qA^?=NaP-8{_i~EbD{Bw}tKqTq~3h z?fD3xCNXjJQ9jRp_*mfLeH!mUfpbk!vjqpmgjV~Q*DiKlg(Qn}P^?5UWm%~~=6D6v zo5dDCD#_#j9YCkR}kDhPR3BBigV% zJT7XXI_$*z0}3`&r!8;iL0w*Gs44m5C#xp;b5o#FlBd^lp-D>k=rY<-xT43kgkCcB7_O%ue}s2Rwk-;C(1l+gQb z(Tu2_E+SNN|`6hXKy&^AjDhHY9+G2bpb$wa&DB%wL9C{1w~9k9?$5v5fpZHn2% zn(7p)Siq(T*f}TLH@uuf%aR4R!P(nS zB#m?;X^>$o5PH%}0>A_}vsnVf&ZAV^bcgBoOX+E{OoCd$#xIWdu(n7|1?{Z8P- z8FOMH&4LLM;D(pp6uRvsh_j7809*vS$HiAuuFESCz(zodjq{5IkGTeu;l5x}xEa z`dDm&XU_Eqf@gmrRe~qfDB7az9pu<;W!cW?UkCd~ju%uYq+*xJ{I}v5f4)!2ua6VHw1GVeat8Y&- zX^4nP2=^%hDhAD2F#6ZQ1WCmp;)FE#$#ZN@86;s4fkYV00`_w8(}g{A`yI%v92Rct`UC~gQ^yK{SRQmzB<{&W<(?bVo+!p zgQH^RJL5xfjFXC)`wSJuF=Q%cf8> z-_S>rbJGJF2;ZM3lAqHI5zD)VB~FOtVc{`jd2{DUg7#QrsUG545gR>>@5!7~Pe2^Nyj`Ke{=(xirf{4z<#hFN4xw}|`* zlm6Gt^yucD#I;?Eo!)i>^$Lzx^ows0eiW~R9@=?I?{DQ0Re|(?CZil;(aS;ph(Y=xw!Iw0^90ia z@zBdbZI%X-hhSDcRe5Khkgoyn1o`4z2l)YLIvs%O%rZZ^)j{vG@s_$x##dS zao@_{3F@@l&N`?|U=~80@p6zqm5@q^SuY1I3QQhi*~>wnh;nB7eJ=+c*J5SztXkDz zAZ7$|8#E`-&EECzT8Ek!SQCir0(k?v>E)g45VxFZUx(NeNCi9OnP0&$CWyiRcl?LEYLD z#Xf;^P{dTP1cCaCirCVku@y*t}GdNCqOYmK%t`u%K+?Iu-Zeuuz0C?>fK1a%e_ zVPG_Nn{tsO29_jbQ<6vqBH`yT<(&k21Q^J?=3rDd_H1!58(|VNgLP~OYwjpH*dW|^ za(PJL92BdPTme;!iq^(vJ#7&=;!3Dj;pU~1L~;cQwk1qnR-9Qpp%ErI%B~D*PdO`a z^VoT=6dV-$kcT+cAQW4m zOair~irgl|DLrCEsW5d+A)g79JL?tSU+9GLM@wU%(?v!2k2s}AIx7{X&M4$|quklB z_^QA?VTC5dDd5_7px2)%1K~b z;2ad^0)|@Xc2NmAm4sGie_kR6*}BvIfco{_apHMYiq&AeS90%chrQkgb~R z7|3PE%d+Wx7kc^?ldXc<{u!=JfldnC2B>bY2y8`(f-zqM(c5pt!|Nog%9ExxdqEF44Wo@vTJVWHmk z66eaYq--oH%fiAX30^i0qZJwODzl~d{=*s7(1%Xcpj{*Xw80=YbEdxvdbg1^(7fPi z1|vJ`0w9+iE6b(~ruCZ1RzbInY=CwIt`}<0E5dV{tyobF^rJ?OfDokF(Jod^U<~B4 zwX$rQB4*h{m|S+g35+M`BN@-}Nz1wF_G z(u?#6^qD{n6avZ3q+zVAA^A3>X6FR<)lG;^WAxtnO%c1nKMZ<#l zS{HO(pw%4YYTw&tYCo+4eYdu)q)AL@8c;3T^eHmEJa95oX{Y15hD*`PrpsPk^pjCnWJ_Uq3sc%&5 ziMeMrdffy9N7Q?J;Ur#lZKJUY>Nm0h8W4EOK;85T(5H;7g7&-=bk9q}>!i1a-qTi_ zfkJO>J?YJEs>1cwlHM%oE#5-ykl>%$-Y^mat_w86TS9av@};$nR9k%FcTU2?)?ftW zYNim}w$iB`A=fw+8t zYF4A!1A#VDP&BKpr)Gs^so5CK9$B*t6wSs;&595WNnldIaRR(8(27Hw9-Sd#w1WH3#64P35=Bm(#nL+ zP45D9*T@Fwca5xp?hCwQr~|90f)0%={7uSgD2rybb*RsnYz;&+^d&o2RC3P*GLZLO zrI~k8j0t-0m|zX$g2ie??Om&Vc^4uDk$q{GQA@Yozi6QcoiVZjIxFyCKvlh>+^oxr zYM?JSas-6nQJ?9;1;)w(X>4dRXBw)Y6(bv@vtjCIKptl)00z&Ypy~y^Nz!=D7Yh~GFFS0H$UKU99qJG_! zRYCWR%s}@AZZFjDSw$7p_DjSy3)7Q^8XBURCQ{L?7R`=2l3I?HTaJ}lj+I*`w^GY- zTHY0Cu>(c-<0U2Ip+quet8Yo3)euZO?d70~{G%A`z824~V87AauY#IJW}sDpM+@pl zt)dFrDJr7tq@8K+Y?{b_0SJX_0Vm{lYP(~PbdA*CyjUg(A}ka=-yI2^g^i~|Ks{m5hp5RA8i}|Xd849 zhZ7!$Pne%x4_)}F`sAnAAMsQ5$xp98;-~8I^R4Em*FzV6sy_MY^+)_vJ%09^UtSMg z_^JBv@w5nRBc-tk8Jo$u0QKcK5QDPct$w!pg&!RyKU(c>wXnq1;NsR8=aQu1h4rb$DxyPE8-M&d{S7swek1N9p@4eFE|{Orw# zG>mxWk)Fc4!%|gpu^RJVY9n=|memc9Wn(xVY8eR+|CHRbi$J)`HPDtoUjW5rW{b{+ zpe}QcpB%OMq0;1BEjgFr91}nSc(h?;b|Azw&|&C|&|M=hg51R{4PchMt0nKUG?ukN zxqur|2J*ZrhLz-H)l?WjmyNv8qps@KYcn-3zS-I*2hRxFE8kNY?0r||P3v$(K>PWM zJi01Km2dP%XkG{Z+w z-sc_IVCfG(W69dAcfl9bkSx^u`FC?YDy6v_bsqHf)_gRD_&oXH5j-DC&xu$vrJrv> zNvS(ln*J&C#%86tWp?vR=kuny@PAdTNB4YEb3U~#tlE%Zhxyk8(dL=T3l;v;ob_l~ z6r}b|HM5Ic-Vtb|KzEJ20J?AFD9A0j5c-t+Xqpo!mLPYi$d)!)(PKu9fclIa1(7u* zMO&gQ9|e@l(;997V*SvcS`5#*Ed0&5DcXe3!2nr6}n2(MHc z8Z)(7^5!6Bx|WTY@EXaasTytCCG<*f1L`z#x<{zb$SSB`kVX=2&?`Z1WtMbjSm~w} z-Bcy`4r?<4Y8p8`C$wnfRM%p@@7(=MVod7r_%y7mR7CeaZA*8>i<1u zroG$#4)#8`j_@PezN98Jv*!EiTLbwjWE&XCpfvuTZ*O@gy!!8r+Q zU_c)<(vBId={j+MhKw8mc|V0;S0#Vbn}fED90l!qDP5ki=A$52S|lrGR!y)mDbzG_ z6f`4fuPkZa&THPn&3h%tL(oW`HR#55H$ZM>u~M*MebkzdfL4vXuqkxI$PC+EX?fR7 zO0x(T#=0SmHIa|4c{K@EQ}4wbV?XP(%oxYw$4-VuY99%n)lVCf8OxP7tiyW?+hIr# zEt};QZfq?@pm;D&Te3|}OM%OgU z+0Y>NDb<$Sx0yA~C>jv!+h+~LtkPD?h-CtiAy_YJOK06?xi+)5BcORBXF*Fwj)L~Q z6yMH80v=+oaWIGeUDN2*QH)%7+!AB_%H0Wv>Le z!V5{^g=9}LDOM%;!`=pT&B*DSLR&^wL0I~%x?!}~+2HSbHOM>4Qb)xi*i@}sbm4l@ zrX-jpi;6X!n4=)>;Go%?<4FYcknw&82FB%&nQu%9{=Mi-@!u{anO?h=<*#sbm6D! zlb`-1;fSBA$Iol#hu1?FeySe&bESId!cWyhADAEB9=h;T_0Wf~eyR9Fuk9Ma;;PZ*f|MAc7stx|Se&@?_ zdSb$jUgR}& zF%KH$Cnb^>&wa~*$%|JUlb@cq__<+zIS=jm;{Bz3aec($c1a%kq46%y81>sYxjghh z@aq3b^TzYb+o$~U_QbVy9(lgGe2TO45ohv(M=aW9K!jDd*)i%Wjftevh>1#L*hPlt z62R$0fl5L6$ep~7BoI@iboRmr9WaWU9#h!r1f4!t=>OJ3L^IWRqFvlP%-Pmh&>fE^Hx#bp!`pH8KNr zSdg1NLcK(L*`^P`sXqmhCzn^L8gQl*}U!{!Vdied=L%-Gpp zDQ%kmX1n6&kuB~Xxc4MXd_OLtQGVndA&iGIEz0ExWdyM22zu9Mu^c<7O~@Cq)L2Ib0PNghrMpr{3e6o)*+9=kl zkcOf-g!9N|L7?zP+f@-AX4}Qo$;GJMC~7aH+TuudOSL-!-M8tlU5ETNbw$xlm6RTl z@PtAKY|uR;s~|VweCnhy@PTSSYl0)7$3`~V)rYq!j>A~;doG9tUOSRB76y?3jKR~D z4{{TsCU!;fGb%oE|IsGdPK#-wVo2=p(IVwe<+vAtP8ykkcyOSIgM8?-yhnQq-DA-j z1@#&^!p#0_)@B5B&d5ex$eR})W7OAL?FhzrZDC`-w5^!N@?uYN#A2_kW9n)xPqVUk ztTUvhOV+$fn+MiUH3=32v>+koSSbrO#1c+TowO3!Eb6iTrs>vKjAFjrlKkFLHQbi^ z-R%g|;*PZ)0qqG++W*5d_>H~Ky|2RGztH~T>$}&}Oe_NNpiomoTgB9jCyg~UvYaUp zsd;fT+p9Ld4qTv(3tmW*^FnG<%naI$3iLfN5Y6>pOn6#_lA-`r?ONB00vPgEtwVQF z7pX%Jr>%!E(14MnAn(^s4Jgt|0O~Yy6y&OlMS({ySFQO7=$etXdTWNL`3T506)`y_ zp?+@z!h-h73;BZ*xIwQ3dF(ER+xjRc92SXQw`b&($TQYsvHWCeKJA)7vqsJ?3SBm` z4q6dBtInCTE4mAX_de^X&wA>!h^fL`j;5P@abC^u2{dCJ#BtHXTyJ+MxSq^Dm` zJMYYTB6s;u=xlk5cozksEt)EGQUFVb%p!9Tq-*5}i0+=2cCD$=E@z{woQ;l{iJbz? zyC{kvl#1B-(N}uDn~Kgy!!=24np6=2q-LzB0a`M$3fl3~XnRHMC#+}$v|;2$o=mu4 z733x~q7BMFVuB-^MQzbFQj3DP>4N4-eca4Lv%p3@G|PU}Lk}A7H(AiE+EEYvs8kQ# zts#hdXr^K4hrV8_hu$jHLs#TRw1@66-us6hEY(AwE!9KMmFl4vO7+luniTq>dyIGe z&^Jo;(0iqN=*bhQJ@lgS-X8j(R1bYvs)z1*Cbfq?YrMCI?rTr=(1XT%J@oZby`oiI zO;Pb$33MS>dRQ^6a&kb&K8AiyAo7tAhYOJmIA=GJjP0bxLnOmQ=tUCoJ5I9somD_o zIz(KYG>8wjYouO@rV!EomAl_zi+TXTfg}{QFLVSP%Hm zx8K^k4%uO2(O^|L=OiQaz{xZy4F=_gKsR!?gtnYyeEKb-4MKj-$zdT+y#~==^-`k0 z>m-Ow%W8(~yPoHtZzhwa&CxE{CjN|v5nK+JFn@&oVU6{2X z&`}Muddw`l)AZZR5i*VELkP$gZZAY`3GFz^mQFGuAOlzlM4prZ47^B&*vHidiP2Fh zV?e+~5?W@HNaE>%D~?QQwHw32s#!QTDU>;xVmL+&Gc$IKP|g}zBb@DK>=-Uoog}h1 zoWz@ZPNsP?M!Yj~Y>Z>#j+40BWj>C9a9K~#Kxe!(?LK2^Y{nRyHjBqthY;aWGtjV? z60Cbp60DOJr7^OfB-fD}G%R>lul>FC&F`;#Q}3JB;j4o73jBMuFu-L3e>w0?>r9Iq z0x1CP2+9iJZwrzFI5H;`kUl;l#qmB&J| zQbexD0@HfNBS$4LC{%Y6>dDz>NgWL6vJ!gVN*U&iwXCbkZecZ4J$ zas=e-shu=ElvxVpND5^}L;%OAV!DQX_Q77EJn)Z zq4M<@lob_FvjSR!eF%${nl)k7hOhxz6X<`hTU>|O5a=}#(5{h<*zPv&S-DqSgnYGf!yb!5NV1;}&X(&hRBB!nibUp-a3tpiEi;w3zIkapkQU{Sd zdbA9>Z{$eIAvnM?h;v)GM025~!XMs7?Zr zIU2W=Ky?ym#!FKI)l&i$pF*fz{)Fx5>mGbeNOCDJ*@}lPUq;zKe03P@)_C;U@QgC= zZ#k(YGT%Q&nS7HLxKZ|xB|)3MGx5fCsOw(Qt#F(Ycm>pHf%*gu3ZAJf>Env-o^=>P z`pWzXhZQnWX9Q}%-q0-&$!>7jN!IX_T8UN4_PXJu+_Ec^Q+^~X&Tdy!bk%$v0c{&O z3feca4tgj!t`B+a)y6q<++M)+Y#k+IddtnBh>L&f<{_NqNraDXBVzRq}yk(BiozwCg06F}f+94UAFZ)rnry@VD6d|T+K@bm~p-(>r?{CRLGY6v{ z`cA1H`hKY%dRq=hd+2@R{lP3Wp^bXzA2#0Wp$`sz+)jTx>xi9taGUJ(`XhF# z9y>o{c6vQ@VW;Yoo%!2eWjj@$?DYC0cB(#XoLClGadKA?B#M#^!-hn-1+&D$ z_0OXJtm&Tx4GB(EmMc0ht*8EGS=7A0+0@@`>TfpnSG?tMmi`2KbpS+M+A1H?tpL=n zSHz%62Al9(Wa{m_#OxZ>Usf31t$(4H0Nk!0t0Rs3QzaCeS7eY6^-v7$Wcm+L=0DeDPJ4*IN^c&RS415W#pwA+erf zlM$Pf=6Tj5#A!(;fc6BXHn5&ASqf2>@*^V{te$F4FgySm0qC^=WGMhyN|j88+O?&> z+X4az_8}d|)J&ke;0;-DO@PF{un*XHnjPa) zcAThuk0n17b6cRQ)ZazAB@iJ+|HGCr7gPTiF#{VyD%o=}P27vgw2Kj)Xb>R^UKZQM zc6dvmWB?Jiw#vISrJ(M31%~^IGO{7keHhu1Ilp1iDQ*g{7h`U&9Vz@#yd{RO}mKWra*@e&@}^6kl+5M^wj=Yx$UG%97ilYzcm8vqp!d{{!pdcJ|k(G zouig2{P^Q8{j64-+%h;1t$1>v&R7ZB(6XLX=@>ziM&2kY<$f_5wWZar9sdn7oa z68JQ@w!F;S;MPGt&Lfiw+pI-#WKM{?RQr#281!3KUI*PVaujse$Pv&zftd`!&4A+A zsj&43^uyubwGPoI&^UtXM%F=dUOF$dVB{2N$xA^Djnsh{7HPOCsdWesOanx|Vt9lDns}@Tv_wZdC?+lhC6A2< zq!9sGQv|jJ_8SlSh6yyOd7^Uk5yL~3Jr+m{h?QD*dBkAVoUd*Qu~teTbk9g=-ULTL zz6Dkh+VM7fLM$PrHZc-BWM=LX9B2{)PoQJYK}TOaY9ppfhA3jC@cV$#9@Q`;Ew#In z(8S}!>9tcsA{j81mesJ}5KC}X38F(Ab|0ASS!$m#=2dH-MSBv;+e|e@9$NFMG{Y~> zNXwjQy2zAUauWTIjGRh&HHDd;S5ufdXyR4xm&xqw)t2n;@8JT#cZ(3zqXq~t`FoXCdT6D)DjyMw0=f7|>~5Ra0E5DK6Dyz$kHH z?bXanwp7#LjwV~G$(CY5aHr}9G?M|%WIz)GJnl{OyMs-XGUfDUe}t6-e7nWcUT!4| zp3xVJ?3GJYF9?c))WJnMz#r}&gz%>jgIV-C5Ox%G$=i!~%fYIsOFmx=pNqP%w=R3% zDbPYQC$wVZ7>Ebg8c)zqczswNo<^~r z2CdkdStrk$i!~6I#v9MPL`{M;B!?9z@vGeNqZeKzneZuT4mZdL zcB|46g|xvP9q1zaMTP@<+4QL*+f^P$TY1>4@-UR9vZYg?6XmhOIF*N0)-(*FcfSX@ z5KDT#qjzeWh$OR^RU+xmH;zB%F#<~QR9=Gcj?=Z?rVkHuHoVM#=77F%Fs}_?^V;w> zuMLkwRqnM|jZ5KoUK_r*{GI}a`f4`;x@m^$2bSKv4(W%X2~dyrzNafz1DV;bH~}Jf zc})Z`XYtj8IFW)lffthk9Z*2C=B_D*^zfF>AQOxv=b>C_T2d!sAIxiFMEQX4$tOBL z6%-F0bne0e?{b`e`UENs#UexLjFi6gP!SI3%)o)gH8qo_X42FwYx)x(Dx!m8tEZbq zfsPg+dMxVb_sE$cdM_lxh9!CP{Q~XBt3rGVr@LwppCK!2K|cER)Z=LMDA~}&IsbFk zW(0J~@?r{f+Q<=*2W~2z#!5%|sibry1S{#?M3s8$=EElxfpGRCAeh$jDYCp&uP}O-7eVQ zH`qN42iMl}Nu|<^ptYPbW@eQ88RQLitIoWP^3YGxal6%8P8lN_<)No+dR6vvX5{1m z-4rMrXM`4=1mV?d^h^h@SUBGBp2~B4E*i_vlj_2|C)I_AugQ-VWu2rXUOuT^c>1Ke z@b*bM!{fUA@WdQTi8+R)#9IgRG#|!dDyuY_XKK#fUg?v(vtm8B>0w6 z+7_79*TWT7fqa~f?2P4YY1i;T8U zj>eOp5wM*Hv`U^8V&N8< z3GtX-! z`db&slT2vYNm^r#N>Q@mBsy+6iK-nZcZD80slRsh>Fz$Td-H;$;YnewsxGtox7eEw^olG!Z{#$X-U)s9fDFApvht))tfgqYX|gDu z&w1ia5NJN|iF#;%y6bPMK;JLbL*GA^+C#VL5kRzuzN2Xr`l0_9sz zur0nqc*IV<36ku5`8QGiPqWkOp$j|JKH2H@N9M?v|JzdR!PmZ_WA6}l>w zk^*fBLW))UlLA$N=rpRJ>0MPkPxjS!;;~4^M5XG_uCw~<_SnlF-l_do$8GD>zH;fB zrjn+qq-iQwR2f8*Kp*unhJ)tWH0Z2A?}{|R#E*b22**b*1j1jAo(2&N#CPO5-Xl(I z9~k`uO)#GgP!4`9P^TbbPt#7}lLGr>s$X#!u-GhXp1)}wn$YQnWJYM-$og#|as#cA zBdB5n#Bs`R3U3Q|$L9rUg5D8GQ-{Lpp=Euti_lIAO0R;zvM{u~a}x0?NfNh+RU}HO zx<}5Q5^xaYH}8ngfuJM;TMiSkDOEqo-%_=Vv};R$cLcZ(##DX72h*xf-cUcJ4HERx zF5s&}LZ_VU6B=-GQ0T0a3_)hGuQ3FEb}e3*Tr2u|p1wRqp9ftRoTz-*<_Xj8-GZko z&x4i(Q3Z`zssfQnQ3dUj^?3}wV)i@_!lsad_SyA(nqA&4^MRZQ(KIohXQnYZqAE?4 z=jD-I>{09;+BcH@BN0ZGCi_NK3nPlHo1M=0HTz!JkohKra z<0R;w0Aa8PV~occ+!K;i?@>}g?+SF_-4nWNRqwJn1bxq|+GJw8K=&Y*g{~Mm2Eur{ zNKTAxsmK?{;)qT-t_ezSZNPGjXn9kFXq^?5Bw<_KE>&-+8u#&t2}=xG9WRNKvt+0a zkZW@8hB-WMEusBJvr&-`IL&i)eJx@=1)$NX;vT^(RK>ge?|c3Fidcfd7tdbM@1Z{_ zFeMY@wOvduPx=}b5oha2Z^gnWo7!+uUVTQVeZ^y#NUJ5#;*eD-UwBF9Vyy2xFR&C-5-W5Du zdCPnB8pyuL`vSEE@wrG|14+bHlcx0BBO2j1D!1gu|EpQkFSSFi8Z>O=2&gKs*Cin4 ztbBA+Xv@fvZ6O@c_5;EXRu3Wkkfk6DSLw@H`lrh2WN{-|*1)nGf^uA;G3c&YVPBxZ zAd17HHKpb)!HG)Ke%KQFj`2rdJBUS>!*Hy!<&XW(NiWvO?@;|nYT7jAf8xSMQ+YJ(8857BO-%wF%^HBLbX-Qlx@(+p>Z-zDflE_S| z3Tg^?>t<0)NQj(LnNN)WDvGL7v?h4U&JtEi(WKx+MZ;2w{leKxTZeH>pk;yPV-z*T&I(?w;h^kc-lB91_ZR_Nkcx#5+qlz=n|0{N?eKoHPkmfX z5pgpPUC5Pgh6(brK(7w;KBe19fk@u|>ag{3>a5U~liNam&YXH6a$e~zS=OIk87h)T zbO%m85Sld8r!t{=Cl`fwon)PU=p^g(fUWOSgF?$rt_aob6g0(C|7%WO7dk0_)f-R$ za7m;buq%0!C2z9ijeQS$$-K#uHyPe6m^T^Tbeanps7r9X^8QQqpWV2Z8D8FZ5xlIJ z6B%d4x|7M_42OM7$w0RSrVj7Vn4cLgb1SN&>8#LYC)b2-ItkhqSVIUuuw~r}g+D5{ z&v3RaK-rE^w?!o*K>bdh7Fu!giqLf@K{o`Z8N$Pvc`!*%Pd3d(?tPa9T5O1{A7C3P zvJLWt9aaJ2-drlJVAq7rd5aOnj zGeVwT4WfO+O9?QqbxM}>I&GnB5bL^=#Cpj|V!h$yb)m;jasqF+m^O%XpOa^Vc+@Su zWaX-pWaXBV#Q(07_l4RNL&-7}SDoAt;t{t>3HdE2w}d?Ujfj4yh*K8w=Ru4>={7zM z$S4CcC*TAt)Nb(}Wk433Oan5?fM~ptWk60j$$-o_$$&g|G7ZQm1F~zQFv@`3HPU`$ zplU%LWk7rsMj3@oFJ%-CoMaR@U8-Y7q3I-}u;?VCP_e*`68a7!M+kktmlFD_kyS#! z>7|7JmXn13o|A;0^i}2(`Z*&%i~7uM;nv@RWkj;)(c%Knj>TOcarzLqS;={9{4V z1;>IGx>D8^hiAr#sZo0Hk%?Uv=pfF}F=iq`e%i2i%{y$6Y7E`1lb|IdM^bv$7&@OH zwKP9!j0{5}y&&cY(-!PQQv>LSVZZiPi;I4lh-D%rHsDG-5@00$aY43R@ZtmY!G2>HTM zEQ5sRu7$>qggcgf#gUL9?oez7EKJ#ukVhy*#B~`cRtj{2cLYjW5QE@CX*ld>A{i3o)6h&XLJW_~ zLv0A82*eKT6;Leyva@BW-n;Z$i{rJ#*DGl@cc=q zt}UT`CwYv-#-Y-*e^g0`Zh=Y{g_ew*0Ihf_XhXm|t@$tZyu0*^J#ESmpKRlOB0X=$ z(qyYL*;WPW0JJ8+UoeZBiVuVy37+^vHu@P9z4KH0#U3ON1uy-@o)GmP<3Xma?Mc7b z^RD!ZJ!J7&fvh+uRCjV;$me_m#GR?mR-lUV%zuEP+umBr#H%gH*MKUiOdhNBnh^P_ zwkd5J_f$fD^#$;Y}c!GbcoTi%iQ*m1TxZ zS1F5ar$A(vkS{(BIc?Xn{_<&t4vwJPf>-E%KL4#BvTwzbYZOG<>BCIW10zRL_Sw0e zw9}FR@&$a9?7M8Gqaf0*q(8Fol|`5Oah$u7*%uVQ^#gMt(98fmDA%P#9ZiWkT342k zmNFJ}!^jcPO(RD^5u;uB9Wpm{qY~As8o=hgO+?Fk)2GP zl%AucCpjtet3sZRc7NjOI88b(n7|0>=u78l%E4O7!O_UUBQcK5`~1$Pj2k86nwC)2 zlyReE+?th+q>LLS<2o$kswv}&yN-5cPOqT#n|tZpG?MoBkv$F4zKxk}G`;RLVIT&| zH6~q$=8(uip<#hOn9;AlKuvlDi02dhyu$nW;U6a5uD~Us4h2%doVn=^u^*fi3?AmqKcEjHLoH*gMz4H?PS!0AJB-h=LZj+`6w?4t(2-Bh<@l*5pM3} z{Fa~??Nt@6dDua>jjVzWj2s0W3Xb#ZPfLpLWsfoFrjb>ai~$SfD2PYhlI~e&4iFKo z-eExutukdPcde8(+@kwH7pKc}>Vi?0RLi!=$u73V7>AOsX3?+_o%56nCE9DEXh=$E zTq;^E>?)*qqvD!COMEo!5;15+V<4Uqw^iQz(f0MaC>~X4tDO^Ca#DZo;*k@wG8Dvg zQLcb!=LwfIRMD_#GF8x$k;Mm+*f3;8qp8hkYBL&bSimJ`7u^mE)Gdgvz1uKC6=<6g zbm;C&A3S`SK91LKeW<{$Q~Em~Kr5Xs3HVjy{{MszDZ~_4k0^NxY8u&C720+Zbl1o# z=$?^9$h*bThD1kgGz@+(ZxXy%yZZHaQlO!t$xSEq*Dh)Y1sZM;9pD8RX}J#ap>BY- zy;OhgiuN=bR0+YfuerUpO`n1HuEW;_%6Jfll&ev`Dd3w-Z`04Yz1fb{w^UM^B0XX; zNH!wB?WF$NMev6OM@%g;0+BDW+A0WhbmswD5VYz2u&TW=dBrP0YefarhCm|*@+f4Y z>^iBxNR)G_NBodLEzp0}Nf46HRGxi9o4hPiqDO^9P$q$f1!x1$c`*o0@(zR+3G1(2 zJ^I@(pb>aL@N8wN^6YEd=7~Q1us~x^>tz9=;8iaMq4X6*!bd=yUHJFp(4q2=37xCZ zt-Odq!4dn9jLvDRt%4X9*9+xCUKLebe^OauaYj%|qU&!wUfB|8WI&%bvIg1~c(2h9 zCI8w4s-Qz7v#5pb=rK*8dBM@UoG@` z#Yo$OFZ1%3HmlLBE)l(T3_{xD z80|{0Bj1(mkjXYd!-8X#4;r5FVj4Ym5>&B%-(|Q>v@8cq6my6k@u*@Rz!~7`1JxIVsSaTp!j8 zc#u6g`&6&>_9)dxqsuD#MS+&1yF$O^;Sf=7bK zrE+B2zSf~jI4(nTHd}@uB^Wj)6xFOxS+ci9r`Y%@_XMc+dn9Mf*rwK!GRzyWXnAC^<{^-4<)%((Hnvt z!9l4UnFl!>3Ipxm<8V?K=+5DkFff?IIpMgV+j$(DU(gRqJoM?mo9dyjd?fLMe@}B= zFf5o6#C7Yc##eApurD|e^nFZY`GcAhf-8bmL0srOv*M5m154lMu>4)%Opz)(Pr@xE_}3A4{e~bx)EbhUIowB)2A5`C;w5g1C^G&tYgYl|yfp zc<9c5vL;NIY&%G-2{ z(XBc@0g8+JgaMuR4|F5)P%x{ya{EEmEeO!NFX&MH$x{0b)!h|PM<3MDM_hc!sV&34 z14JJ@$EJ_Cu!&DX=o{Vrg5gqq7d8uYKW(om_6u(dZVPq=_XQ6Gt?iy9!v{oh@o~T@ zL7(8XfX@W>1@w)LaS_)U*^durO65FJB(AqqzAM1~LqS}`wL`vlsZCF*9c4WNKC#Hu zF0Ov@GXnb2a#zGF>NiwJoT;PF7_X?uhHmwLTYw+fLf@wZWxE-t`?MD@UWbAn#jjVe zD%cQg3bq70g3uHFJV}Q59||4|;)>Yz%Z@Vw;+qMY0&FZ_+{1PYdIZFESP&O=Jh1E& z^b5GxofM#BS1=&|1_jjiifV{mS*b(ds_5{4V*Dc_aGhS?s&q?P*uLuqV3;M8TUvMCJC>U(l175+VATH(@ zZvsr_c6G>xK>__X1;r)X@#CTNR-`K~=Eb0Z&x)`&E_}Zypv{1Q+#v?x`<`@QTU;R% zF^bqkOj=_RF~Ij217d*hF%}VnCG~SzK%eDU3@Z+G0iO}h3L-YwMaQ)ypRNe53RX-0 zA<`irPfiNRm2N?gpjR*;7!t&FPcc3%|M_V1rXa4W%9vY}mGg)BL9XyI{h^>w9bOac z2=)bWA%kr*YL9MoEI*-5$e)q?fZ(ivxkLW737EsIO@nI7OPg^$N#>m7;+heCR{ir? zEd9pCYb)J?9s#zOFPc+Sj$5zzQzfC0A*NBsTr6K9^QX}>D@AjHD+1QcxXy@(xgIi0 zDnn*Na6>>|m#@!f$5{yu2{J)b5LdKm^>1DR3xZX_S_lhcSLm7)jjoWrEE?JCf-S*q z!LHzuAg<`ILj~oW?GfKA7!aHj#Kjx^i-JcQTh^2XL1$@v$!F&5w(60IYf@!fg3BtS zE-u!Hn=;~-U<+gNi*nXx@@TfDd_i?r1Z#o~!8Ji#PpZ46diG{sbl~Na`+@_(LqS}h zS4RDJ3VH<0g;Rn)0eeYtiGDd5_L{h$`K-S#m@Ji-%bw(Sx$H^tD%Y<_?-jwC;JV<3 zpnSE9iSa%qx=+9uR|RpA3tfVgk`sas#hmw17>6#kZ&TaTf*HZQU|DcSK<==I4GKaxuYg<;@ao46LG;D@AMuvY zmT1jI%NNYk`LqzXOi$` zKaWZH6tQXb?Y{Is5QL9?^5wJuU-6MSwInF}8a}dSmVFCf!rmp>`B*S7yRffUHj!(@ zcCqAFJAMcj6)*OZxQ5iGF32Q{-Ek3v`vTf9mgE4jh!{L+J&*OIJCq2Y5;O(m#F8K` za*gs$!M0#ea8D4|NfE<>1;JVr=6Fz;{H1+d4@7XbV9g=#;_6mxt_ZFQHUx3?i5L>k z=MbEGHZ?y35ZsEVHg@09BDnS27 z5^MT4#1qfc!cQrlKi*RRi{j6zo^u!Vzur>+n=SnBwebJGh5xMh?YAD!zeVtL<#;Io z*vI{2T=1`J;s1II|Hc;nD_VH&JL5v%*S7Fq-@-Fz<3fH^{I`9Da$SW_DPr#s&*v;# z;`#LR+r)pMRR7+V_Lo}t?-6gGuPD`N|9vg>KiI;ri{~>6wie_+DW16ZF;weTNk;al_JgR1A* z;^SQ3$Hd?J%9I~^R9)FE@#G878zW!zn6rBKjBNMiBSLQKZgI3mipcn{x7ufU(>?>PhvVOZC6oQvdJ8cWDr3a(iBEsi*(8|2wsRWy}8Xx)z>$lema4?H~M6YX8=j z`m-(kw}`){L8F-UgR^5?=$mfg=URCB>p8C1W7LTFF1FNvMEp#fuRqj(TztoWcD#~l z!KS|-YLWl>7XE*x{WDr$l=rm%zr@eK;<&wd3ID~VGb{FfT?@~>N?g=0wD3P5{^qOn zMpbVAkG0hQTno>0x46*vyDdENSk-$o5syD?segqZflLMMyIT0KZsEVKg&%I=r^F9; z>C7jM#N%(b)PH9Sk9}9ZIN8UuqJ8nZdHdBCdG5R7!ro7}@W0l=-)rIju!aAk(vc5+ zpJ}N-Y~hc$$iKFQe}niHoi9n7S65Ehb1UAj;r`+^ipP-Z`HYzRI`|sxKf(Fj`EM1^ zXCu{|pAmmk`x*Bwua?%oBz#KqX)&*Vzv_7>XFKO#5PysFt@aW6|6cKYwvy%be^30` z*XlE{oc|&5_n%JnpQL@hXm%!C%`kv9jWA@AS`Cwi@&3-5Q2Xg+T>iMi^E$81Tp3hS!bN>j(FbR*v$F6;`z+i$LDzEe;41Ud>zc| zKPP_vmB;Oyu=vxZTR`6Bi~imyo_Fmco?l_Nh-rM!itkZ8E&pZzH;bRw{v7?+#JA}@ zcw7B3->1a$eon;a-Qt(tkm9>6J}Zsy$HYIdsf8rjz|-Ewg0&I^7{2d;s6Q{ox#e<+^!bL)BiPlzAZd1NT( zcf|8f)=bX-s`!fL{}R0XzbpPd@?YUGeg9T`d4Ku?@vExW@LTX}>K{&V8nKAYB;Ha%jx@&(#|^6`F7JntiFI$M0cMm+E8-Ou>}@oi`H&c~a)epEc~ zC8`UPf4g|z5$w$6|BiT`;jv#3?ul!x{v!(c~iC-$sx7WT#@lk%9%k}*P z^(FbA7ti~6v48xE_&ZvUujcaqllbM5{JWG7126jhHun4*)!)^5znRPbzIdKdcIEcI zD1P@#Q+|K39>MWG;9*|hE57^L<3)b{74f&eB%N<+;>-CoBfdOd-y!~r>^r6YIgfoz zJnsyi%k_Uk{J_(?|H$q8Iq|z+dfdJPhyA}S{_xfMf@? zEB>+c4d?s`4OBVbzDWEzYXS}Fy`Zgc;0i3 z_`O3s?>jE!{e7GG`(La1s03sFzfU~xzDB;Sh<|Wj?R73h-^axB4qe#u55(Wn`Qc=4 z&nLwnXua6X^>2&cES=weRs5RlzLD4ehWI5VyOu2T=Xb=n%>Ukx5S_N3O;*~K8@EeiQj9>dFlTR@w~gt z=Tr3eJL1dv{~7Vy&uJaY>;DLO`FAhZ|Fq_RS^o7c{3-F}`;C6_8~Ob^{rzR}XM~%% zzH{Q&y5(=)-`mAM(0Pgt%Um-r} z{|_$?isyZAEvsh#H;P~S!nD4;LwtEZ{sslIyxv?;eVfLAD{udP@w_Y8%=`Op@$vZ$ z^Xuc{m$lwL%;kSt{M_r({J$-pcWh(5`*q|?~J z$@RZR{Cm}2;{Q)$R~KT*QH48@u%ZY-{0S!DVnE`9cP2BFxQRisnVB_%`Is; zJ$GinCbM0q>U33|Q|El=RCQk>`neM{zn>5Oa1Z4{zQuEF+S@AI;SVjJhd(?3dgz~z zf)4uo5LWR?&^HG7XFy;7Fuuw42~?gUElpy}S&X@5eSg|0|&1KrnvT z&*$f$`R)A;PyYrqe@hek|1Ie2A78|e-+>U)>;fAGDtmv;{|zvqA5KmR=F>li=S`!_+qH1N0Y@_CGR)AK)sc=-nM zrMEo&eYC&t6F&dMdjAaci|;M)?s?b~zi)lf^ZyC${2dq6BKGks&^HI`{d>^-4MLdj zA3^i?3HNyZXf#^cI(1HM#)WQT^|-dJ8rynhr5ayZdGz$z726~$Nz<;5swmD|6=$t( z6iY>HN~to5g6JX1w;9)Xa)11vSxaf}1Jo zP6l=bmBwux6>*+dY;^?+QRu?z7KOTNlWEKh1@%`R&pXRb>WW?9{svdvJu zrkhIJ(ZM-yM}0-$K|e6uSfgny{o~SwpEPVUI%>?QI_SMor2CxnPzNPDyvmj zVt%{q%ao=a)p65~?sZMlkj?fR(^BYcKhAe?$4r!NnzBL}EO9OxNmSC#YbX~IVFFQ} zs6tyCQ{R16RnDM@T|Mq73lxz|y+)FntB0DRnz6s4ZZy?auiiIW`|SOvL|LF4otZpG zAs2BX3mK9;w$^0qz_zL3yr8J%ZRafIR%=mmF_sbwn1I;LBuUm#iqkaB)=_{J zxq{wHGqy~$yXXF1bh%@&-Pjc}b2ZJZ0dJO9acfOVZA!T-Jw+!9^JW>Akr>me|d?TH@FVZc*o`go~ z%{MkW*RjNZW{WQuhmkN~EGVbF&1+yLB736}|vrxhTfY6xr` z7bF0wC(Y4)858D-k}|eYSTS_Hfd2|LsR25KajIIESTPxd;bH~=@?)~e7Xceu>`eZ3k z@)0Atu&EgOpJJ?vfP6YGyE;;j2(zE>4gwrHn6v;`s^+i_2wH%p(F|dTkD`-4%dlQA zN&*0;CfNmCD~4RkGJNx{tBj5++K-#bEK-v?av&vjS*qwQs7B&|UU-uwW1s|0^)X1( z48^GGc?7UDYxsi*u%BK+QBNNQeul%Z$rBFeB)(gaX1UZx?ktXa55TS|F<^#w{U4maIl( zpv+iH*mW{BHH%d5Q=vFBOjc}Jk8n|4Ay5wsB+z1tYB;mQ)HryxI5#R zYBQM%;E6oM*K3ve9poTR8=Ul6Kl)G42>EoRSW**_Ksx`UJh}X{nV_EO$$(k zQ%d4BaC?=Zx`~T`n;|=qkSIP5#W}qRS0(65E`bm{ENLF@b+vyVQau955#A-5XQu9L zy+oD>POds#Lv}ZITJ1Xp!YQ*#5i=>%k$y-ZajHBr0%>liFu*2Z2^#^5Ox=8my+EAk6|ZP2sV} z*@aBjCsQuh5jhvBAVZHsFW?Z}`?#JQ8}Ux`>g-1JeB2X~{R%=N5kLU$YOLHK@Nw$0 zTS5V5gMX8%o_pW);>I%?s^k+6bNprkm2M-&)*dA!$}+nXA;oD2c`ToCv4iL(+j3bD zcOD?wM0xqC(--f(A7<&2Vi+d#Jxn@8hVyzK{Sa{7!a!@xEuaJ7-;6@|F^KSO)dIB% z@W<&$+$QJ<$r8nJrZPyoa#O&&U6_fOb;oo8KMs8-wuv#w#JDkaQ{jFdQgDkeX(yO` z*!2t0l>!-|m$oqgJ#Yy^xWwYz&cz+|$j*6ncKgZgvwjZ_7N1!jG*3xLdSofN*_D|P zxO;F~>;wm%Ad1J@=hsvlBN|_f+v!;W1N;wK=={hrnFeBd(6n=V=^DA%(wWaCrfD=L z+0j6put`Csdr&1;b`=g+CO)chF=0PEgh2mXMHk5&Tz0t+ckWcB4|th%86U?B(Z*-2 zehQ2ZeLfa!#Z7^^ha2hOhA2{6j+k6{V?Wzh>&oH`iaM8ojlxpLK0qBE6S({AN5VR! z*kK?-Q{({G-iT!R+bQzoX_coT`{o?fW(9mKB~|w-EbJs40lA#01(1rV0W^V4=)Xu! zMqBpr%-M1uE5%vDQ6Fa$Vn%T8qvD^3?Jewe0Old3aOxH-VXq;t;9&sz^=DJG965W7 zxPe52oXOzsJqDcqP|0iS;I`NUKN609K{ys3sbH6LxRBlTImEt6p$svjVNQgh4h28% zoZLZDB#!3Yz?}-g*>O;V$MVW0D+}S=RwHc2!@1Of;Dk*Z`FVJQE(YKk`MsUkE8a09dxXT_h8UYle(V>KYlvG7Qs~Dv? zC!DCbf%j=}I#Oa-Xc~_jY>_;bn^K2z2D9%8Of1yHB14f9TY%WG!!Q&r8>S3v)Oj>Y z>Mk1XA=E=!14VETgtKR#y+RW>b3?L;^uU)T%K0+SS*WEHsjY12STS9QV@ zs}{SUQf9x$Gb(J?kxX2{aR=P7!NL0fcijm#yhXrESzdTyaeEYl`X3z zaFOqBSCoH4A1SC|4{w9-HRvW>K_t7x_l@e7=+Ag%6Ryz5SC;sm{V!zsY2aFn_3b6T zU;p^l=u2-N=KJ0f-|hGf;_IJY@CE&Gh@reUe{fs$kIygog1o<6>hFhtyDd67_`Ob$ z7INo)YZ{sKAUw`G5 R=la8_!-dCyznc%P{14$;7DfO7 literal 1128331 zcmeF4eSBP1nfTAl+__1bWI8Fib0>Y7&NS^b=}VeI3F**wZZQ=^d;!q~e(Fzu$ol@X zuB+?fuDyXNOre#Ots1mw5Ll{$8`rX15X`MkZPdDe;+mAyrml+kzH3D&E%W<6=iW&s zX$rcZ{ryKjpW8dR_uO-y^SnRLdG5JeHeK@aP$;A-|HA71O8)8zht(R+Z@#KV-&&*6 z%1~{pRn5`&Ra`EI^^xX(IiehLU7k;KPIF(5(xQ+)s_*~g?2!7&qKGPNil~7_N*`v` z^NX(tsm1Sz=tC~Qg-$E?Nh z5i6=jEz=pbYTQw))*HRHvtC(s>aaD-Ic(Lthph(hu+^xZuwu>=)@=6)EABmEH94b3 zx%^9Oj`u`vb)C9Ixoz2qif2g?c(c>WB+X#WagN&Mp`RP&{8MT{TBX7&UU|mmd0XxS z%e9+BZ8q@Oz+(fC4LmmR*uY~0j}0s~u-L$21B(qTHn7;hVgrkDmiMDvt@~T!S>|Rk zx2QU5aO8?1m0KNE*7HN-Hiz{b$Eo~yk8+iDl55YE$8FBJ&4pyPS;dcY-N<|@Zl5ZT zpXf7_x#EF1@Ks&UA3M=ko6Z%ZO-9J&o*T~<>*BeeZ=h{3R+)Y|Wd6GE;?}qx>(r2p z8@D){$MQ;Gu{lytN;~9rC~l@Q0aGn|j80jyW03DZ(`ls|*Y>C;G8D8Wy_o z2Ol+bzqxaQxeo7nG+U$MHfNa&b3bO6w;Ti3B(TO!(mc6=^^6(iIDO1!b)7ng%uj`f zjw{b>-gE3n;Kyu!^_Tx$@YG!QDe&T{=C>YBW+PtQlJ?-F>r^@Jox4gouU)0ga$foH z1G@q2r}D?F=eHcQ%D@9Xa^S{4YQVYv8$9wG{GF@ZlG(67?jT_@bD*CL?KW_ti{ zB)`F31YSN@=E!fMgW&OH+!H+NYhnLdg#Pt4#>>{iBeXwH_)_G7<51p7;`(g z&4v{G!gw9)4sz88a1ye!$ZMe^}3#$NRul z>gjwSbEnJybUkXF%%eMM+RzuBR=IpEda67grN0P$=ZcN-l5#>eZB#otp0PAdKkegF zbd33z*qz00$Oz5Q?vAQxFy1AIYIostjaBNLRpzoo)$krL~FjUnS&z=#c16A$j&H&SQs_xJ6 zVW_|)@Wc-1<3{`p^L?!9S@@&Ef5N|iDt}d;F#`N+S_+?aO!8UQ@cC>y&(Aoj!u)59ohde`kX0KdSm$p_Fw3nOzw4tLIb~7u_zhDtwm;yGdh~t4!8Qj9Lw2TFXeG zN=BZl>JuYbnXA@)!mIRG;aBl_qSCh>1HCWzPL#)Gti+FolKR;SZsR^qDzrZ%zsyL> zXd8YPwqEoFV^rh+|2N*y{r_Wld)}AxGvbZ0{%7$vRnIoUtMwvt-!tN3_vN?P{26sG z{I z2Uq(0Q}pg1(TTO_#2R!W$4okL3O_Jc%@3SkkRJ*!zz=^v1I=ox=J`SRf&R}(v-iFL z4zc^E(XX+p?>EB_FVy!NUZC&S%+U8)RefIw+*Nw!jPS320o-)WFh)z&81SF<>3Nie-8gW5C0K=63Y=guN}d@sx=bt z)D_|qThxaJ9z9ep$Mv;Y{!aeiws2V}6_K^>xpOb+&)aXz~4YY^y)7TK_uYq5b!X`3Bd| z7?V$rM~KlZ;%jG3MrGfnh%bZwr{HaJKDOAD;jPISv}q&`F%wEn#Zg)N>*8P5t8u}3 zGG@B^x3rUIMej7iC#K{X#6I8)Rreun_1&ZB4wo4{0O!>t+0t%(d7^Twm|U1xso&PPkRobA1XARQ?WVTnPVpv=ZFw{-B-6 z&7t!3@kZpoo?~L{6~WkCGx4YVdo#p8Cy|2)au7xjb4XmJb3@JKhL8jO`z-nG#!vJa z*xap2avF>ingT8jt0Cx7EkPPWfX{w`GgHWpP~uljAYR$Z_9ZA|np z`K=l7JS|^WNUD%?XGRI#1b+FwLw*ClzRvs&;MCXUH~s7D;IE$C(#@x~9K#+SyP13S z+``G<$D@FD`SdIo8YBa`F#l91coX1F7@vj;O?}0 zuMeJ^>E4yWbKv&0=iU@NH`Beh2G4=})1JE~cy6Y9?+l&`@Hk}-?+xye+c>Sy_Xm9j zbeZzphk|?aW_a!+{=GBe{SEW zKI6k-R&>T){@+d>S2e(e{5~E57kzOb7Z+!g=vUWl1t+eSw?f!eTSeAh$=}G27YX!f zTcA(Tb^RPNuCWe!ycYTl{fm5#Y_v!liUVol) z-_NPk#l0t|($S15U&n@g9Esi)o3^*vRfWF3xWs*xxk=7jCQYAhjn9|IrC+1QRT5W6PL+uP^2ZG3Dzpsr z9lEZO_VvK(%Z&G68XbHFJ$%|&KI~J*AlG9&{~}{NkybJ3F(i3Ys zvIY`i{-QHpMtjy0;IAsb_Pah`o>9i8jbEXi=!Z_#i{4W4QW+h|-{Q;8X#0Rk+dj=Z zRkrW@KHq3vQ}MIM0$oafxyQ&IL2rG3rxD-8Z=rvI^&I&vd{g<`*Sqz?|4HxLleTgK zC$D+|98dF`WsX8e!P_3>OXeu_t6ckCaIF+vd(n9VtHe4JHb0m*vZV}1QIwuhGM2VK zXUSODsc1}Jm)|{AzYDV_ua|<~Mws>u{`CUw3yuD7b2+&L`qlQ2eoJTQSFX?4Z)mb# zfn%ay0&VG6+sHZeEA6UatiUVRXYBV3@K$V+j8(zQpG3cDJo?|Iht_5P;q#>a{Y(G1 z*453#tMG4y&w9c>jwfPs{`MZmpGMAT$GTIX_kZW}bEVx>Jv!vCX+4#X7hY3k7uE&3 z`Y>{JhPBi7YNWo=RH zr2EcAzMh1x=tS<DY?Uatu{5YWk zAGH%YcR@~A`+=fsd>jOI4%PJoGmeRVVUFZ){C=Q?;8=8_dYi!F*Cw2?|LL_G)AS*E z1f3@m+_+~iQq;=OH|wM50l|%oEx94|A=fLl5nGdj!wUW;;FI<I=|@irYM zOuX4N(^ zUut=>F%?fl1s7FwK9O&yzT*YwtLF(mm8vk?TBSM zN2u#MLM_yo6?Vqpk+D+PZ7W1QU|y2$*upyL^IMGE=Ks^2xKkCoKId#xSFBQ{zjsx~ zQyZJF{hm?G-XS$gp6W{VCLesvDE7qE93$p6A>S8J%VcoQ7lW5cOiv@51Ipjn@{tRV8g+d&_>zZ&SG!d$tTc>blYKA=^;9jXQ5z zZG_d?*)^f-&{NWv%5DBw5 zq75V@_lAGsZY$MtxALCb6n=`$YGczavkORv{y< z!ll{hl(&k^)j8$|^GaYbe~GvO z`tuI)vFK;~P{SsTckW8K;H@bDNE(OO$HQ4Hnbrhu$9i z=3H@`3;r@f%dYRbofL`+*Ym&e+Zs)n{N;~osZp^uW@X+ z!0q#crs+rW3O*^qC$8|Wz|DBOg>NhEG@rg7+M};JE=E^b=%N2hKi@ZsrFm*jVV;*r3E{(v-0Smg(4r0aL&$!+=$Py^ZW}P8FKD~@hL(=7 z*DZED_1sXK9dVkt-j9ChwU8U|D!QTBd}hNG_>sLemf@^nP9g_d?wA{Mxf9u&Hm7y) zBYMVsa)a2Zs}3pm3g)^xb&5Jud}VmLIkhC;j67z{hc>`hzI+L;|u$=BJtG>-Vd!xo+~o$FWNbu}ces{;s4y!@1A2X(K$-s(GfnG|y>*XM7yM zf0H^PnD^`QtVvwonMyLxu(}O-x?l5U$W5CY@~S{iv$Rl!3k%Uf&IaEWU%FH3crCNZ zhdozZuFQputMy`CpL@+xzn$%`gPAJ?ZeWUPJaii=cyvJk(;I?0agRB5_bh~F3xj*F zk@1OX7vd{jBK+g_n*(`u;?de7I7^G~YxZqWhm^RRCYS8#0PxRT>i!%!DMmx~R< zyUH-!%Tj;LZ|qU(>fFxcTMk9MwK6U+&g+PIJbxBE)YL84q#vW4zrYAN7k8b^FXH#w z2Oq6XGuO2|FJlEqO$+`ab9$tO`LxKqQm4w@6~D^Q2hZ*_4}xc68Q~{%8EYrh@Q10H zN8h1CMkO9oi>a;Uo)HP`k;DMHEj9mP+DE)mEkF2Y=o5IVK5ftseADEEG@;XjKk zWqRM(ewy!AxvuM+Yt&e-n1M!hZB{M58gyeDjOJVV6lPCnB~t!+BmOXs?4YI@X?SmpPs=_t!?%5`bv#q z{|s!&n9(q|z-;8m>zks-T4Y>_gQZXDKXodPJ&QI4{(oA>I6)j>?1MKhWKNs# zyI+RSy+VP@Rmj}(PHUw%YP}qvyvIFaU4SpX5ntSK$E-Xw+n~nWXq)&8j9(YG*0>`= zZ|gkX=~$uZ7>ADW?6a&3McjQ=G{kq9Lc@K~a9=|hJnV|fB7dm<>Tk_(_ z9Q>oA=-tsmL+#yG3Vune5o;mGIUHRa-D(7xOImCBo#R-=(WXYh_nz!W!ttIui5oI| zQjB9Z6W>H6W>y96yD9wL-0;;~iL3Bxc^_q=%Q~{NDObF3zTnW}8hp@WalM3{PTYfi z4yz07RSvj%?OG!Cv%+aiRge5N*=Rpy3I_# zw=?F7U|bnnj&;GcO8blWTYg_5*TKg-z{Mp2UaknvdxNvIEAiW2twybt?uf+y&}y_f zcjX6+iN> zK4fTwYr9f6hWoV+j27e8T6x|vY-VWkm#EaeQ7u0r z3nCM#b>;Xi$WtPRoku?XwvBtx;rpCTOO)A!561Jr2XEHz^Fqs1iuXPYLmf8p5$rUw zX`oxDwb3#fr~kfa++KwYqZ4do-$pOk$iIzlu+afFI>1H;*ysQo9bjA(*a`a6y47F| zaHw%5va_1G;)4io6ZhoPf?ti(3-ahb=U(i?7qV?P-eepfDxybJ*WcgJ$@Mqh6;>JK z;!VVv%xzm*?sq&p)S38JG;Ou3QS+R7?h1*Lm0Sk z0yg+e<6Pj!cOV|kX!yI(UERXhT<=n&cDFNXtyhQ9eTMU`c4hNBwa{p;+{1qW24F3% z)b|o!i^gTnr6yO!&e_y_Tte?tHH8v+FX3@(nKz>O9Jrv%h;_CaG0#(~IULYt7{5;N z{De7)=aI0F=XTGRAM!eaZ}7e{af^{G9nFWxYuv77ar5GxsnKxKrmdEhZ$y(7SrJ^5 zFX_MbleL2<9$hg={J9(a_G|nO{wyuHm40~lC3%VR`m*PTlCsV)KkdeO_bP5QU|+iS z#}AatuHYHH?P4Ra1ve%I&vplV46m_bK|BKAEklPhKYYt4=cm<^#(4h8Y($OQ-~c{O z3NE%Yj1vO>Tl_Eg(ao?CZaTAs0&qnB8l zk>?Da6TDTPE6ns}|bikx!vnZuq{o*lIV*Txz7hSaad08mA55v>lu- z*Ek)-mXiZqiEdafb3GlWP0mj$_ym`mfc?S>?6IeZ`cK2IC}6)h!3Dc2s%{b^83Lv*sbi@ zs?T1{Gw^I~_>Q(%2Xaf!OylEo#`XywgRZ5JYuGEifS>XOp-1d2MVp!U2|p+p6MpKe z!a=|0r}qjD@`b7Vl;7_2PmS+SY5q|Y{m6W&cTL07Q|;DO8{)bjY>2&VQVwnHcir43 zepl)O(7(Vdw+=BL;DdS`!hQtvc~-tlEx+wCyEyYiaRJ62-0k@odY z0lkB4qIX2!q_zPAIv}+_EOuwa>R=r7j^t3ZUb{U?{>=#)3f@}ljA}b_8GJ0`1brJ} zXvN=`;2UK7u=UuD>^reF=rr*w#Glx-)EN_)wEyrX(Sg4IAijgZVXqb3sIfy4@)n;o z;iIkT++*4P2z-!xY{g)p^XB_HuW)VK9K>(|I3`+X6fM`T&p7Nj#>$l#e$U;Os|2H)w)?1WpB> zq|nIxqCD$%SM+)6d-(a-C8IW&Q~P@IT)~{OK0jom!Tci|RC7GIw{X4oMY1=(>?ZLA z%y|LttcfNyJ--{+t5xW2@^2@8SVO$*`v%Bk6S^>N{&bzjnb=^VBkhRK#E0>;jf^%U zyWA7IQo)7MEWW~8W&V}qW019IEk6HRMGOP29mBjXz>OJAW_PY9S5oQA=M`Bu(!3Yo zO!IAVNnD;49!s56$iiAxP_E!f<0d=%>+u5pWUoFIH&<@J9|Vv8057y)-#_Y{<_B2o zfEU`TFM)f0Yk%R7zMj@FH`K};Cv^3UI(}cEtFy?&InHPz?2xyGu0KGwBwkztUe6Pq zd}A`uao86Jdx6|k>$mpneOqx3aacLu96PXKQl}L~Utu>z$D`lTY0(LthCUOW6uVAs zpQ_KRblKBGgQw}T+G#bOGPQtzI(rx{XctLT60=#x6NM%GoY<$ESre25wd2-5Z<5PE_b8ZOBnb zuE(KmV3&fn@07Ogrs+PW@K%MdST~6KFsOp`g)i17{6K$$^tX4)*e}!l-EZgAXlao; z8jPK$-M?a^zdWUF%n>;M+06MeM*bjUVS9bt;7^B`7w}H!wb;t9oot}>#03e&()v)Txy`|{=?|r>Cg99-Twyni5o@7B+Pt#N*wa;bz0ud zzuus<-uw!F13JgXFOv3##9=|4nz%otV;HeZI)+)g9$yb#w2c0<&RFdG8x8wxcnDdG zg`8%5(0FW??>|Uh1f2C~oJn4!T1Kz&Wj*oMaM3;+A7}XL#j%e#$SLwDzS!*>wVzs{ z1Gc|rs+?}w9{c3Ry++{2G7f%Ml@I&$6d%_2IbQ4INBY;a5jmZX!wda3+D8>xe#3en zM{gAzp@Xp1;78l(6F*!DE~10xb?f{#=ZZadz+(`v1o5HRqr`dSs)+?FcoZCFf4{!J zC+w=OkDP4Qam51SG8H9rP@Jm>e3_+!Y;4QHBT-Rmc9#&a89+-Ce?!=Gm}?(^|b&5NwH_ocqL zAuT#J(2u|h{WP3`j?{X}-DG}yx}HKlnV)X2(1oF?x-jdg@gT{B|_) zkq~;z_ixQFpRX!*LHm+HP9pm|WDb9`^v9v7*8Y$q%WS{LV7Np($>xw;c{w?jr*UsRBMUeF_XVh52866?(5eB?Uo}L`9 zEAcwHn#TT$-{ah{ggIy8CHk%SKiT*97Bw8VURTT&pLGTPO5TKgPyd9!BtG#DWQ%b- zOl2DlDujKFTN!U8Dr?VNr&h_87_=^c2PBvB zM(~5)OBt+3z!xd%;N5=QG7F8s;-s(5UI{-4cp51Oxn zcZtC!<1b>b8;Fm&C%nM3D=PQQ*3^5x^m40hnxYrz|Hs^Bdyd4{U^^V}1D{P~^d z;^r-Z997`{5cJ1R6r>i0{isB@>0H8ZrFNRNSjoRuO$+m=kk%jWl~i3seK>E!tBsjW-Vk5&3q?whWj zgJG26qYJ@@=+;%j!xI1dc?#&%WFG0puXd00ARCGKF7}8xc&@wBIvcyXZK};TcM6Y0 z$IZVL{o}7W=y@+Aci+L$uKYavn{s*%+UGP_;~|$+!q+^ofcd!RE31;LdR0yp%3CC+ zy59nZ1B;xo#E=>+vCmAj6}ybDn%L}G@;t}=o4B^M)jd+;Eb*0n4!M`%wYKkv9UQlE z*cIef=o@*m!N*=G)&8Q1hbK12;(Q$KhZ zuD8R|Hv_^FNmOr=?5F6*Xr7-U07?tGr8dd z%{{Gx$E`_obDlBKYqOCJVC}*7Rrn_5!v(P=Grm@yPf4|#5zcuHdpAr4Xd_T&(WIksF z?OTKPpAXut56<5forSFH`s~Sf<;nFng{U2pnj5>tTXu8AU1rxf%cy-_X8id19~o-D zvgU@yF41-N8S*pV8L{T~j#=|Ij#&%#Q}eTgnw;Jdt7ZS;9g*I{R?Wu4R$b_@rS`L@ zB}aHadyjIMp%JN3lAJ<9^p@HPPf>3cr+$Z8{(AbrXN5$Gv1D*R-F74c6=K-wk*Pp0XR9Uh4GQj5zQ@OFhmtOBqM?S`E}! zF;?m6A$Yx(+_iFveO&Vg*gNciMZG8Z)-db-4^aCc`xJ%UF`M~utnl|NqF%_PE-30y zqfc#6guDj$7Ca~3?>6%+>lXLPala9DR^*DG-@$o_Ut4tFeYSG$fEGfVFXK`-{sg>T<_1w@N%W&~Q>h}Sw>paz_5?LjA z_T7vva}e02hG(1PmKZlpy^z4z35;#I#~Xl?{be7o2S#8T-UeU1A|v&Rz_Xp})GdBf z_F#;6{Cdk>=u_}1b?rCqq0eLhXEK13eOX@&&S*b1>__byYC52u4ee}bXG1$%=v%2_ ztE<$@p;LYjKfw>ikKlnc_GKP)p6iZTEzmlt#)xH)S_|QY6uhtiUYM_W0eN1_Tw6QQ z32pXbZIe3n{-aH92K+!*-m~hzp@o>c3Av0rZ*NmpT#Y9>6g9`nU2*cW#Wg3762psZ z`ZWpQxR2-hC1;#kj(lO0v`$xUw~Y^w{S2}85~&q)RZjzTHsA<4p;MVNu-m|C1E&p~ zHgMX^#bz!xbFrC=u_&lz!FH9tu}x$lNo_};)|E-#DO{pPv%kux4+gPOqI0#k1D&&ZjJ&T8#9DHt%Y{rru+z>XH{nMY$k^BK?mAYD}1cJ^P! z=eZ`t`w_q=?-JC~M=`Uv(6HZ)J@$3k>roYq5IHdF=|^gJ=K6nJ%ne?&*!@L^T2t6U zOer-c>`e@R$y%V*#4+O{UWG5T4$OZQXy=x0`!jK`+*!+5lt zEzb_nM=^L_k2BH7;~n%lK~LSzin=3GZ<4r?b+#yWGD`0BgI|}uc34~Wl(A-*K6x)r zWJ$j#(b&g0{qDc4RIwe`p);|+F(qq48H?Y!;gx%#`~8Xcg$LPxm;I%^(Zt)r-MQkg zzic&nqt-00McmOI^j%kTZ&MHJ8L?x9CggfG{v>##ewK3yI%eN~eP?Xr^-ajgC^D?F ze|KHCv`>`^-9l&f%a;B}>_v=APLpdb?4ON|b4O!$T^~ZuxSr{>n$&oSd3AiN&?NjX zeTgg^QK>DdCm-M?JD$t0W}oe2;BVY}Bl1uK&&1vFLIgM|nan;-K7hHzKJ|?d>%iUM zhqd43-PJg1Fg$dFGY1};ll|KW_`}XGgLiA)CTe1(t{&Wxqq5oWJK-F57ZNk`+vfM7 zh;v^_DTDb#v-V`RN$sKUsIhGLVf5KW%+JC9{5*Z|9^G=$Z^^8oI?+WBkVkr;N2!AF zlM(hFX8oD_XVFg+v}@$q*$&SYd1gSyWM6US2Hs-ch`du~&&H37JKzeQJ^4sT^FuxJ zga3r@*!!FN9``5tR=2HrG~oOCq|a}eI5;0!)5*JG#jEFMldQ7~eN6TIrCL3CxV=G7sk9!ys}cFbnMXgK=w)H{xmj zmiz5-AMS~Hacy=|o)K9>2am8H`Y1WU&%qz@s8ZCQPxKG&+8yEV#DYTgxZvhBTAt-u z+Uq`nXOFbCfi)2;DB;W4yVY5C4RSFXy$mF|VoR$%N6stXV$E?mFWG0-DOOjsJTl(w z{>r>G?tdPk?3A zqrLb)KKud$c(|Ltr@#SDJ}$TsdnRb}Kxy z#2clbec*)kLANveg|OKC^+IEbuh*~-tk{U$=Fb&VTQ?N7yjVqie%`~tE}1t<8z+Q+ z$vYtz-$wt$5%~giXct|LT#uM{OZ<+UVROv4ZBQcX%nuy-a!mh{^9yNtZopn3(^f={ zSoP>4^n1fmkI5sT$Lwx z>)e;UBERPw<^03Ue;;}@-V<{|@ZhNUmG}(F?1k4gQO>sj|5$uW_9{|$%uiFpInMj2 zf82u4q&`Q}Ir5&7)?vfMFxV*IRk3H?7SVaUr-%*QVkLgRaY1hQ-3tWo3HaTv#n+Mh zwGw|jqA%f3*4FtOS`50kw?VtsIR4o{tj3K(VBTXmVSOf)^G4l)7a8cdlq)B zw>MXo2 zYEs)7e|Rf+-)bGIA+G!i{(UVzD0QBO)Si9!>uIA#{>I19IAPAA+K8tc}$8cBT-8&`v`X^IYR?~`{wym$Kj z_iS>&585+3ejlIdSs zp{e*Sn&v{o?7)U1zCd3#;t->Vf9vaEe#0C7Z}XEn7aX!;@ck#qYt_OJ=xwV}NzRD4 z?OV#?8vfa+`ANQ4L4Jn6k4RqM{21-gjbc;5H?*DfGqs+0KeameM#$1yY{97cUfR#X z&xiNnL1kV$CT*42Dj}e(>E9 zey2XfduZ@P>;oaq59Xuf-sv-SLvN>5kL<{MzyjB;@eVuW-2vP|{HX3Gek@k{ zFh8^oyXe6miDAxHYuF|%!uYl}D>s;L04fUDqG8h6ib12@`6 zBKH-0-5xiojn?wlCw5oeD(^sx42v9!{F&{7vu=r3LZMA#*Th<Dy*C&q$4 zjp(o-egr3#SkbbudDH_>#zmPIh!xv)tO$+BGqy^cIA7vC;oIyY_bnn9=yl*+zKJPeL4W{(8E)GTi*`(@qzFn^Q(%@d>D8J9-N2?24q~}XIb+;P|n|*`o)%e1rB=- z`a+K({ruBDyBQv}9GNq5^CO|aUk0w(143`1wdU~+=k z**gNig1qD9tYt7q^C0vMlM`yh#%caPKrTZaF)zV}Grq*O1>yT&BPZydY-2ucH{f@D z@u5%!-xWN_{Go4eg|E&e?`w2Up%J)zxMeQz-DpMTwU2R@;2qg-P*0e@V69bjVNCc) zq3rkxswj)dEce5yZ9sJ!6{-BGd z$-nEqiK)>)%lM6r)z4VuM;bh0R&Uh&<9d}{yS~Mk?P{(Y?YcR+? zleZ>cJk~(04zAnarF3dFzIMoKYgX6Ex=~x~mBi4q)J@q|<=9H?Zcrtuo#@YAM7w5p zTLbm`ItRs`7mQcT4S%TWv?dkx5aE&uf_Dsz6$7P=pGfH$v&O$ z1LhTn#2%6s=UtvciaiO@%WcfPn1~4Pi@v(MFZRp4Vb{6E0A3fqM~CsAA>V-GIXA(Y zkH9SS2-c8_zR!TXQ4fkvDw^Lz4rhC9iLaEE`9pr|xi>-=Pwcp!zvu|*BirnDvUXJz z+?rpat@8S_59X6SH7W!?TMALmhH8;9C&v3mUg!wkWd9Vw+rvU5a{jz0{}t)O?a%&# zbzb7JEHo`dS@-5$ZSacE2As5!{`lQF(I;aYvcDU7bIV`jOHZuv@lM%nctG}L*Z9`! zcI4NCy%CrbId^CvId`t@|4o*>zoXw}wK>cFJw#wpw-0R${TO#6AV9MimNS z_A7-}J>Vi37rD^mYFaXGkui%qgr3x{F=ml5i+W$ch}u;k*JjnQE?(>Ipzj^@y@S5D z3#s+!of+724Km&3pD;CcMPG|y- z+l&QTj>phDN7Z{|oiY>8){sNM#{gz|=b@RoHyLxqx1que*x)r6xjt&s2mXn_H$Y&ujea z8vo#2_+DsSkvqXXv~BbE4_lI!{p6ruKlIak3`vfd8uIO>=OTsN_tS2vd_6eiUG9HK zyD?L0(sjV@=uZn&N`3))up#%g3A5M#kQhrGT0nsK=4v7_ZHwEc$mGYhI-jksoS`7 zR)+oQI>Fa6U|nQjC)tzaqU>L&WsK9tJJ@U4x1^i>Ft0Ph&Z69Kw`)5 zUeagzjW67i>o0U;n_AchYHn_@r-?DnW{lOyZJNGXtcc{Z>x#fKWgnM~;8ghQ+V9Qs z_Yu6$d#{EUN1Bj&XGW5_slEsPpP#I#yOlmFp6yO6MVBDJ2{YBJT=D>RwLL1^z)>zDYvB%i$F3V{# zY#&#pgwrm2=0EsoeH(O=J)pXC{TH`DA8H&5ZP23I{3{sj6>k zzVyCWQE>YGS@F_5zyHEK`lm+M-=E59Il(<66^y-rd-9y@xy5r2J`N2R1~gpAJ?gIt zc~2Dcu@f~8He4M+?~h@_j$+H$zY@DnzS4?% zW6S}2;oz4_9jnwzEk}OZJF`pi-3+&ld{TTn^aI~_WtXY=8Z|(^O?(G(z-ma=AJ89l zd$KQAefXYz9btDb^#vhAmDl3qx%g$h;@8Fgg19K`4djaV^yh}}?vorgG#!w=BSf!~ z(>aQN#{PvKxlm#R;I@I=21eju|3YjNb0EIfbyVmuSH{(QkNi^4*A*BA*3`etS_bF5 z*bu3$U!t;eRbPWEy2&eKGje@Zf7d^FRIv0WQ=eh3*sZ{BkE;vGGlo6-lWS36eR4i> zz62T$v6e8YYR&UX2xGW@%J;&1#ynXkyJ z^v~SfuD>8Ak9u3XUhjPz{EEE;zPdhYi_z6Ow%ey`AiE#21fPOeU>%qF3vLrnh`&5p zf5!7l-Z}Q^)WkW!0kwtMtCT}Nv!A^L$$zgDpIyTsc}8I9?Z8)%xuY+-6G?YLVuSbP z2|6++_Lh27e_YAKAajRf<3s4>CvVR#B!>k}sR{jQZuqAk&kg_90ylSOZ_MvY9h<}p zISbY-!Oar_V_H)z-fE3o-I;Xfl| z!@m}5FAMzV+^y!2&{_CJ##z;8zDGabSD>yHe2vSy=e&xE4RDEZmfAf^h0WV}CQ6?M zG=;B_4aVNuf8vK(+PrryZN4mjU;C)6-)+T)HufW5%v1huj5nzLNx#mozEf!8`+Te7 zl<6S54*lu%9-U7T{wN)N9y?cxH>WFgirXH}4c~G*{=`;v0le+Q56yU%Ih-$Zn8a!J zfqdM&My@f|2iiHWil=_Vx~U$EwSD46f1EPo6q3X#_)Xp(^Gdxo!TSiz`7OboW)bw9 z?^o@SXUx~~x76=Rjo$RwL*nQv|Hs&;mJlNkpsUbV+MnRvt1|wkbC(@<@51-oo@i7> z{N7!Kh`Wn#ZQuj3|H!F)Z-?Oy&KCbN>@_1hdvb$c+GotAWN9w%DgB|m9U9)9`gNYZ zqx=3m-<)r9{MUbX4olOM^B4-|q5OFee>0E5kysV|6&hpW9~)R&^qiT_9LYI&Q9ki?TUTwd|TW?%?{VW+n5o28(u%#qMvi6 zZI(SA^fjaE+V6b5KjBZ)&xDWsFWV=7zdqReui`&{$-h_8q1qq#T2*^pE8GZQ`0?-l z6M=snw1L;!wqvtX8>x@{75p|^5z}PaZi%o@Lfjdn_U0({G325prfN+m<}3PY9C7w8 zSBWHYMg2pw8dnYKp?F#iH)qe&`4zWWeO=eO8fsXjF7w#?O60Gus2*IdvX_W`f(PTC z)-ji(10;??7bs+pnAdd;!X~qZZxC_4Aq{*BfsboJZpig@xn8dmqd9Ah0|%xL!QXemy9hWdEg|u`5K`E zc_70aM?cL{z1k1^@RP~ZfpS{l6S%Cis3#pPG|M~$HghDerp)=rc|GUKT*YV9b90&7 z6ZzyWa3J`YzyrFsf(!BMH9p9}NZs5!8)XvXt%dU}_ai|lU-WkD{WzUHARFvAr zq*ByG^L+#_EjBdU>~*4ZGKcs(#a@FY^iNk4IYweo|2d~UTknaVCw<_XZcCdiDD!vn z8|5wRb18mlRQtgde&d`L{II7=Tkh65N%lVs|8;sGoE!Y!MY&z(MeK!mm60B}O#Viv zr*psi=0&;UcjpHC9(J^Y-cV>-mgfq7t)&CV~dIg@rn_0T5~(oMI)@1BZG^KDEf?=cq2mWQp`}(+yoEL zP9vimfoUPf)yVT&Z0zgM&+p^-U+};y4c=wvSZnxuh`yWi)%>mZ5jEIT6klyVxY?H5 z8S6eoOi)r@7~6>-{+;%YjJh(|Pc#_I)q9B&SFGiGNEb8L3%X8}uQZ7D{e4B<)j}I& zBshqFiuJN@JGN{`3-n?AUfw@++S&K2g?)ls@Jpt|JwgZZ%SG?%Gj;XIYFcsz8fW$5 z|KHNyQ73zy-k8Y^-`qkjwG&&h7Ba8p%$}@@zS$F_Y?3> zYFG!7c=I6mpgy@t``D6)YOxG*QM@x@pCRwmQ|pG{>5%g`%N2U2DBnU8`I8!N_<_CR z7sJza#h#G6v$Ad`ZOK6s4=Hk7ZnM-mlUtJ5yiMQ@U;!T1WzhfdJbI59QgoesTPot> zV<2aH|h5gsqgR3b>B$c zywr}t&%0`=-;Z%`YZ@77OZ+b1!}pqG-|J#*Hu^s#x>MKxFu$#mTc+lgJfWesGheBp zJ!&()4Qw{B;dhQ1W{`9D*An}9hBaf}OP~(devvgrU?0uSQbll51Sfqn-~<>P{9$Ur z(dYWidm4s(4>Qn5ViSeNMp&)w!M7#$^?frFTm|n~fG_61v{PahWSD;H*>7Ls%Y#~; z6Q{r%^wTi2?gE}AHzKx>cf6<*%Xss1#b1J(_C2}cu>$M7cNEC$ft$POD^6UCuI71a zAlOU!F528nE~5~eMZLuEPl;>pmS=i{XW+x>&kPl8;I+^6UO|nI<3d>0GM6T0AKqV4 zJCbUKuXoj^4f4Y)ymFeHXEJvye}~=p3U$)|8<{nz?OLIJmzH-hM86fVb#i76B#qgY z?--;FshijO%U{#zkv9AdjnapBr&RKyI))`y-7c{#=La7(lk_D%wQqyqzbtDkA{)HV z)dDKyg_#1c*u~)O)5BiW=zZUk}Y`c~|S#wBEzymMK{LEj9thpj5 zdMp`3;FI=TpMXKzD}28ma>T+TB0J!l+`e~IudPL$X85^zCf)7JozFk;`5O3l1#ORL zUK81)UwDB2T03J=iC1gj+4fHOtKT1IDe=6-o5yzH``;n^ZB%3-SF{R7)I%?S{4x%7 zX3Z5H5%((k1Rq|q>(tQPT=B`>@X9Xq_%`{CjNd7L=Zd3ia>ajmPsau79O!W#@4P&R zPyAuz|B763!8>G)%~tCD+;hO~2M(2aPpbPn%jF#ze#5)3L_d9}J=guMR;?QzkF{|f z{c#Z4Iq2(!VymNd!{Ej2f9Pz~dixh!{t6#^n~a%ze8V%u)4~tImudRQVs)f<%jMS^<)O=}-rsqx@VMlbIKD&g%V@NCA#*K?^Q-%Z?6G}kC~ ztz5IX#(QAIz_e=>d6B)W`Q2D#{(ZyFPU1PW)hE=?r>h(&qpV?4ho9(iL=D z66gIl?nnDxY!1N~jN^~N^XN_$H+j!Z`Vn8Qr1LmiiG9dpF3|6W0GqrY;$q9$Jwn%T z(e|LonyzCTkp4t&WIjE+wLdOvR68u@PX9&on}I$ST?>A%eQbFrvax6T||L_X%FSZiD%hK}3w*}V9^SQx` z@dvlG@D0>VZsg)+T~Fs1A@7$Gr?d$lF^6V!A2v#DgI+?z3I8yl6>HbdeEUz(-{b=` zBI`1)jL9A*i^MM|fDiL0_%ZrDLHu}XI#c*36?@3sGUx*2^*|aKYBQ-h>X?Pk13eAo zSm?*x+Oj9gon7R`MIVZ8gx>mG(Us5zKf>*t;CJEi3cpwEDYd=A<6*K6!knDSKke+Kww7>>+td(JAOgpO==#O+%@~8_;)rH-o;= zN$jH=a&~IFYdE(;$4~n@^3sc`$+_C6W6RZ6M3LKSx{hl%qZgo~&QHm^fmfn0v>xNU zmi?#E7w8pvhw2~v zVhkG@cCMsvt!pa%(<*&+hng;OA6`R&WtWcp} zuJ{A=RQa{q&Vn!D8}dIF^NozOW$@0?i|8leUBMB3YM;bnP2P_&T3x{*`pJ~^J>M(|J}Z(6MiV(*cJ=x7|!R7;e#sogPLRs8ylL4B$pUo%+y=H-^A$1<9Ty`*W5BW)*zj5Oq1T1^KAy;w))gY- zz~3f3D7EH>t}WljTAISYB9Blm7ZP)TLDo>IC&`W6u#;u~@xjAA z>{G1G_4hR8MrQH-vqGEsb9CaVA^lxvVCWNhMdvDA2hx8R^T2O-Ogh%6u&XG|laGLR*}MGoxN9;jlIdVg9Q?@K=YYd@^jjRgwXEy8GP(V&Eu|Af z`17(Rj66-mfZNg?5!NUJTibQ2oY66X;ia#AEZT;i$;9|p^rW6UnC@ut(#SYAp`1kD z#XFikS<6M|IW6crozs&(VzUGu-?kj85!-fSI{UkP8*^>Rjr6Q$jk76re9LO_`z!6F zjb5kc`3apPwI|R7-$QB;ChM2xAs4JYiX7p8bW-mjaerqN8zpCOC9wqgIyZ>>p=(fQ z0?j)Url;E%!csr$KNI1c`cHB*Qv0NH1^zR=Zy+x~&65>!{rV@)%y(3DgQg=e`ui%N z8`Z(E{X!`sH#LVw8#3cPKT@WP7{e^0{PmWXMX+eCL( zo+ED+PyJ48md-&ZC8sO!3%s)bfrgVc!Qei!Q<>Xj|1v-0X~DCrzfoh=s+nuCDn3MU zNoV$7(XVLC3~n3;Tvr9n`Nyx{ zcMH6^!SovXWKJ&i0LY@=w`sC3)}8qdk9zT1tl%3x_<;dVUe%jeNDV{8m70UJhC$mP zSHmv3UBh4J8W0&We~RD9x6Z)j?#r3$CE~XX{-60)NWRM^`O2-5vx*yh(?RA+eSy@z z#AWU}FCL6*kn716FYS$8>24G{q&~ws-19^CfnU~Q@4KCOei^)XX449o{>jZ1-}gZF ze|wq4d~aU`R-YEsyONs`JOe-Pqqu_xYxtFGUGv;b3H#V_t}Al92c8_H?>*cfESb=8 zi`xV&Jj1uZRw^|W2G%d3efDqig91b1gJl_eP{x@)wv5^F(;<9)P3t~cZ$-cC!`=*< zHwkU)JO3OS$#*=l*L#p*Vn5Cyudign7v#|ytIr6tE_t=|ORPt`%2>3=zU%ga59aH| z&38$=x074i)WhFD3HYZUy{q|B@DB}}i81aCcyo{WZVj{C*K;vn4Ifa0n|LVyzzgA^ z>tzAH^Jl;}*DLrA=64#r_{;L$#fN}(yu$0y3>wr8Ou!m)_D;x=15f&M?Xx4yIpXzY zn}}Q3(;WHQW43U=T<+7nB{Ig^ZmxKTEARTC^Y>WLpE>QZSeGQfxY{t`2LpciJogRt zdH(J*O!_s5!K3Q)R#fdY4Y}v-F-*QGRLg#$CcIZJ@3CsULG#l-T}3b%f#3W!M|=_I0BehkZ#R1` zHaBT;h6ktkD6-afsQjE>W1N1kG6w5wZAPW;Jjkb@Pw{)bqYaM0BK19zzg^OaTy;|~ zoRoFX0<~b`D>*~U^%|Y5;~gr0mG<%;psvj>$~qmmoUv{)^d9V0;Ezq27yXr}t;^Q> zYoyd|vrbx?aSksuKY=mySG?CU*uz>Ly3Phy3Vn-xPBl||IJ6~P8rpJkuJZ=IL6&As zYSSDeoxVV79r*U<9Bh8vY*i|?h}u(f0X#=8!;v^19s3&A=1O9tx^>&9hgf@ZSr7F1 z{tCH+ao%}uQ1b1D_N>&toh9EwW4*E7m0W#B))9Nip|F0KoS$~%^1T+mxd-lQ^uA-_ zgNy$~pKbCThRWX+n#nk_zGAmPJF)qa*TEJ|vH3y#ru~7y=2I5H7|T0lA17q6lewHL zbsx|b8n-h)?|yig5@hEg?CM^r0ebM!MMd&;MOh~W4+ZjPw{X7~8bfF7_ZOBE^QtFP z&kT_diuU2>p*Pi@KS%Fse-)j;J14{-9K)MrJ!TI&_kKh6+jt^a%OSp#@8C&3#wLeC z+?5Rd7yHYEWL?Ua8RJ{v<6L~7)x@t|;AD+=#5%`4Vx0|+I=wM#75-6&GiI%X$J4}Q zi@Z^Z_n51^7vb-n^@v`3AE^4S+a1%s>o#DucmoZ{YWDL=zUwxSy{GECZueq~=emd4 zH|Q{X^00TUd-ynY>Bo5ooNvQW2lVkd#=DC58-F?cur-%&1I||?);x|ZM=Qrt+7z2c ztc=8>nQ?f>&Hf@1eCKV6n_^ru!Mb%s)$*sieIyRc*fL(O`1;E&{+9l-FE{w!o7V?zcWqrD_v_G; zvysg$$cp}^Zq>KmoQN?`MQB?vo*7DZec(F%tvBWzHa@tAT>92I@LD|g4i$fB4~6t! z^pCNs-<4~XbLk6VHO?V_58oM9zYBiz2}h-$7dxjvKMBu^wY}mDZ3nBarvo@& ztS`BL=pMfB#!=n2lk3&jw*RAle>dk5j>o};8(e#1FxK9xF+WUyhU_a29V_&dx=;3q zg3s#*bnWApBT{EEPzZbech@f0-(4F>T!uf0Jos&;RlMxJcs$wr=x^Za~+-m^`LVBVdlG+Q02v+GrPP~J%Oid86!~WMzskDsB;T<4 zNa!Ngw&6``KIZZcX>rL#v5V4A+%8_rO zUw}SjeVg^fMzzi`T;!7aXT{$BA#bBapUBu~?0EifQ}>7TH-yA?_K;%|xl8;$zYg8+ z+VpMHx9m%4Gahmye!N3J7x;ZR>>bbkDQ~%;<;Dfxh>XiP?WwPXl36dbnRg`Q{Wpn0 z9qcoDk!ORk<+<23;g-~=!f_)_zDIIUI~Y!Fw=wSMOm@Kh!)_&t2BZy{ftMp8oOjJ5q}on|9D)Vr-ea%$qUb zx3#X|)z)K1ZS?wuG8cai>{%sq|Il?Ux#4Fo3Ff|OAWr z^O_BHw|PLm^TWEvnk;h;=0g%s*BV3Ft>G$2Y<)OZdkBHB>{R8N)aDN+z5Ks}(M zqJl!XLw>kZk42#!ZrpR-xOdVQ<8Ru4pZK%^WVNLw_xYiJy%qP!PPL)<#;QAGcR6LE zdC~cyeW6a?hU+@CFV@A{?@roPC%pNq@!o^cAY(4YE4OdM^~5f84u2bNX(~+L^c4O5 zF80bW2EJzzvOzdRyESE-onhy<+_q-Q`DL3|FOT%krfiH4dSXBKF`nt$S$?WNtg@6$ zQ2uu#Bkk%56~lihBlRATpYY`2B^Xvap3H4kYP+Kyy$x;BkGl9d%T3c~apR>)oN&n$ zfB0kLxpRM$Ls|pKdVM?N8(->)?RCPju)nLgl74=Y=65G{L06p~e;{sx{tQ|>())$r z(Df4@+cmGPb+_LjOL8`fG?16v_X~l~y?MYN-AOy@5wE7ft@+fDU)yNUS} z^L7(_kbATl$6KB_4LXau=96_6yO?}Uba>AZ`7yQVZuUGAmw8FKua3xFZ$*YCxpcJO z9~Diie#-ARZ%XyVUS}>vGzTqtcs}%m_87BA`9ECz_NB#J?#3Hs=~#7S_^FHYl0wxb z?|>LOe5t4W1C7AqEs!tz;k}c{Gcp->iI{r(TpHXDa9?PEeBI^KJ^4MR?#WmAMEHOU zTX73|e9Z(&K*lhoqj7(-+>SGvJP|FGufrK@+`t zrz@4Dja(m_Ir})(vtZVpcs*~18agd`l}5Ms7gveX{t()RW-umkzRdDdtkl<(Jcr=||PSs?VYC zn;JTWGuy`z4>!tItv)Tb@N})qIqlJP|i9YWsC(OM=8{N7B zSA_@Euf-O=LtpmBf`WfL-S%ajzF~ct`mqoj_}WQNeF*W@cfClz-54{H!a(fk= z1TM4vF}SO?edNQOlcT>I>?5swz*;8t^txQEfZ~Ay6SM+EN z1DsGV8lw~*(7LP2u5#nnZ_54?WLwfpqrVER7pCLL((Q;k*{yi#b&{+O^-=zxJ7Ghw zx9M)LB=o9s^H#V6&tSi|@&RqJ&&#cU?tBW2=l(mwGA`3Pgu<5{7LGYTwXKpxBl@%^ z-Jil+5HZzf;u-dMcJfwY7jh48C02H7%^}X4iB<-n0bTqm)xf_0!Ps8Mev{z@eDs8PWfd=2p{I& zmLIAfhuT*te|Y_vd|#xv>GkMTgp&4+hX8L37K0zlkE^Xb@*gUPeJ3#VLGa-6#bN#1 ziqnVnZ>Dei&gq>^e&(wG@ZCu0LGDXr+%lIs*0@FWv(riSlzd5bBpK&ZJsO`Vp5D`@ zk4lDWX4-WnxB z{EWQXZ{DV{Y1J|>;-)41(U(0X+?)Q3TYrZ2@lp6?dLRGC)0#b5w*2hVpnIJ!V{L;w zen!&CVf6JY_|UL62z~Jm9I~quYSFzv;N&IAPPy*52K%+t8R;v(M#gyTv}UdKd2+yD z{uj|M)5CqFu{rcK_pg{fo%;NxXp6DnC5#2(RiTfOU)qiMV(i=G%jK`6-6Q%8-FG@~ zsicw`J5XQkn!oer1Vi7_-5*18h2BV^Z-fs_Hgc>@b2NP>Z&oclyxk49pU)ftAG67q z=_imgFQXk)I%bb==yKu5(!Z6bxVNI*DQu^magRG|-_>QI-wJn`J>p~9zunIKb&W5< zK1khhJ6Uo;b4jt&{3>@UD35`h=C6h#!||f=uYKF2Vv&)Bc(+Ayhsj4}$_we0={^ldM z1OJ!5E07=^#s>fNcLf6J^Z)MLKl6o*YbHgh!z$`zXl`^iGDIkuH23ZMId|me{(?H( z0*sHRJ7vr#%~sv(T@ZD~AlI??*4av5&7S_mR`y#|6l3S^EB1df z$62m9|74Q!WSDV!xE=l*8(aAp;2dr9KBiKxtn>jLpqOrcZ0VtZk?a%-JOSSmUi3tGn;9BbbyIa}Pj(fkPdq1=W5j<@D3fz+hch`A$j*M8}3Ivi#o!bdOhq~hj7>?|*8BW!^o;C62 z`6rwEbCTRGp!B&53L0Y#jD1MM?lf^}pd-coIkbszX)d&i%vq{)>e|bs^VB6~&46=w z$jO{1<9?*A@E-e41?zhX=iZH!EG@(@Lwhe=H|`iooHLnwN+3vd^3oZ4+NG~2%%0$Y zkyY)PSp&!Hv2oWthUm||zvpADt!Zyt0C`+zRM>-3%pS4g5OR9ZVc)dc_T(sKF>O1M zVfQV@PG`QVG)0-TerNV+btV2w^B&cvJ;b8P9`@Hf$=>N6%~7=b6G7dxt#)Mh-)Q{G z-UH~AHqPAI+^1^_xrRl1bNs0!>w4NVkSzEM_b);VCERf_6gQdFKA0x8{fPCd*4f_Z`092KfS24($P^rLe;(}|e2}KuV=x#$^ha|xvDT~+u_jtNCRH0@ z4uUmL>1FVs+V6kzM9Ulgp1nnkFV%;n!Q+lE15di(QCH$GcHYX;Ds<}ZFH&0aD^A}t z#J()?2=FzG2MzHFa|WFDXL*HF{EzX9zlZ-glm2m-Bl8RHkIFNhIsaPt$(8>geAZ*t z9=R)nHkUB>R0huHy&l$Q;h)gf#0F?S5{o$Oy-%3??vj4?baL-qDR$->HRl2CQO_@( z&YbRmcSl%9`vm6nXcCu;Z#d1oz{z1_{z@D_ccDi}(aMyvk zn}fDVx}~IBN;+Pi)6$5HfbHd9u#@6{;-`I$jLCw@LfR*1J(I)s&bLp{*qXh_>Z4dc zH?TQ}X7F$Jqf#HHjH(0n_-RkBpE}?UKvM_krPygxL-jz~rDlJrn{RhtY2Y=Nzlyi! z(0;NV*56a$G0EL2E}s?e9ptqYRh%EEzc=s3L~9l&tM@CP9Vb=Q?MfD^T=C?#Lft{i z{@U7Ck**-Q~1S0Dg9_=IFAL9?g7BpaR|Sy`+VuJ0z^#z!IE>FZFPCkv-W5cLL`&&O-4<*g&}MPl=s1uQ<20!)tl- zhdg95x7%JDy3ldz&QF=L*Q`nvPEXi<)OCMIX^)aa*;#uVUUtrhaJGa!5qrL}t9+HS ztL`_>%y?qkrv)=KmpvK*t^eDxpDp9Wuy9**b|Nwe{{Z(~S@^oM^{BWl{{*-d9(jvd zc$9o-+7RWY?HzD&njD5xgU>ARSwTAizrB07k1TZne6U}6KKGjK7G5jsek?ow?EUue zI0W-ZxUDYsaO=Wz@!MEfcRTp~R2qJTTfakI!Lwu;Xp%dO*`JuA?IpPv=O}$-u3fXW z^!Ko#ztCst4-Gxejfft#W-y4y4zric37t>Bc;IW$-r1+c58P{MvG)(B#rIb}5bs*C z&854}c-Puf*+&^kqs30zfThKqS=^D>xSKO&$#}!(`{5_xE;OblkQfKuj|XqznyXUd zjr*R}xY@f5XyZ>>c;M3PCC}}&^f;wA-mtwNn4BN!*#@6|vWANQIP14fG+Fb36!{94 zL65wXb={ru{Z&1pNzUqpd9R9hw$9-^T;}+A!&y@~AJ;%V5ts9A9gp%ZSN^Fr{=}Fz zXovjZUqzez_?>m9C%5uu1mU2?yTFOwE;_I)Y1*ggNNtpTGN!(JYa(i^E-tJaGPR}I z8N8uIyWJU0(l#H6H=J}&yf+Z32_>N^(>D9-8WNG3`ebqYK;w&YW6vgjraksrn4%%u z-iO-fowU!!Z;K{tLLNQRJ|`wBYbH3ArhP)A@tqCKn?skqH}r?*P!8@72(2@Encg?# zo&tEzC3k?U8Q^0~%?}5dYup_UeV={>d0%S)MxX5JKQ(g-j(Buv8TaYj*{D0!$lr6@ zLZ8Ea({AvvRR+A=5AW6e zRNmcG-n~`96ntL&Q$-Y7LuQ&$RX$U^cXBNg0UEfxy&P zY43pz=Gr^mZ?L1$Yk)FHE?_TPHJ^M-W_M^%=U#hY$yfq4M!*1SMbNTi}aAoZ_{)7(2 z1^>|Oym2*wWEr>{SLaWSt1DOd;+saP(g~8?AVuMT0H_!JDc?=tu__91-Eg}*k^2hO{@rgwLlz5gmmyv(Y9^lq`f##A7l{L!aH zN1%QUE3|%~4;m_ff4;sW-WC3My!XqTiJP)3e&BiL2h3X` z(8`3HxVzxgG@7CeC%ZfYez`O20m|@Ycn0+G^;z+*=r;I9VND<>;14wqNu9 z#9ZL1e-{tE#mE%B3#L+k@W;H`c*B2lp84jgc*A4ZL(TC$g~Ziazq8=8+iGk4sp>Y< zzdj8A&C>Zloq6V5;Wp~vtP|?$xOZh?GQrul1afT^Z?tZWCm+>(QZ(MxH!glaxC@O( zhA9kv5`1=ju{(a?C3tQh^Z_lYty;od?Sl-h?ab*Q{eJSa%eLv}3fdQW zpnVRbsn6qNIo##!y=JoveDL!XC#-aJ4*ApSYGIJ8}S^GB7TKZxfBliEX3_u_T* zN#Kk%vCuc5zd*_lExiBG6QQd3#{DP6H~u^xe>~7|%@bv{@yBzj4s}KrUGqdZdZ@Fg z`Op&u4Q5V|xe(1+K_~E2_-sb%X~xat_73=_Ko5%#bxw%x9oR~rU3Au=&b->#RjFIp zOO-763TyS;`$yk8zx-29nEfdo+AGbPRR?SBPArfDZ;y7wlj_sYZGuOaO^H(17n=2- z_P&9wPVB*Ca(e%6#^v-G8#@!%+#X0=b89$pi2bOf&mE=V1nsnw{k;)mzb(obKUFrJ zwxxN+L$}jkZ4A#MpG~YgB$yjG>inTe?-#q1)1%yp!aEctpG$@NGSPqF@_)|y#=CB6 zjvv^AywlDZ$46Mp$g5@zhcfP{<=i8@@$=i`dwvG3n)1||@=RoZcr+CN@7=ta*cAJa zqkf{xd5t&0IOo#Gxy_Ofv#;3jK+-tS-VyKGCz-y3He6KF=D^b{#x*(4^?lqKU2=;v z){X%($I=JE7YNt&2=T&2o%s+hg~vnt zhH%(7;Pb^Qk(D+^w|Y1f{>00m&1As`9AE52-JwL?+&qMv+MxailO4#(E*&qvhlzMPIjw`cMGUWzh2&;1hQt9Mmp=t|P=?MTGt z`l8CybkL8^sRfg6E+CUOM!V$7iH**t%j!e5PwhRnepU8^m~vE zCpDDcquhi;#c zxMp8ot-+z$uXNXTz4@SeD{tPN42|QA?CadUpfjBZLXp@a?i~ta-cvXs-W4c|&>o6DK3u=;JaiyNBB?--Nc-W?St&+lWbeggBrqN%my zanGa9^r$mCz&Xy6Yg6XDa>-Mod!_p$czI-2{J@W)<*epkZ}wn;^AK`oymwz^`EgD{cvHHD?iW&4wcVLcp>0>eLhccu?Q%xgwB4flx=+Bi z-J7bk7l^a0P295>)qPv#anVzH9jB$q*%0b6sD&qnaM$M9UkV@=sv4}d2%mEY(j zV-H#$7~8G4X|{Rwgnah1#FS`?eDf~Z*v7I!J|}qM)u`oC7_Gk>Uh^u=%Qdd z=S-oCEO`0z(1q?KSw;FLFB694<=0A!HosbL0^CUZ{So8%xwN%l;gqOT82irY(ZlN& zT(URE#N%89cP<;=c+KtM#1wyua;<9OZVB#U&T7UU)x9@|)V^*>>9%dg zk-+-6P0!wef?exce`buA&3@3wPpINd%QJ_bsM0+pPtynJ?Vo4ipY6r@$RoMTx8*S3 zrnyUZP7@yaRr;_g>_xmMw$j<9c1j&ZWA({h(^)Ule8x3TM3HkU;i=j$+mmEmNErGq z9c|+z0IKQRXP3Iu{Xn z-0!shH+%QdTU`CX{vo^kq~s?5<}~&C6MpD7P+5M7!x>P`sGu%Hc;*d=yMsz3rAXOo8-iA3$QjKIm+U|%28(`@7+YZdjuK@c9w#B z-dA~+G14=o;J=i*;vDSZbG!xa{R8BUw4$ubvCq&)URbAKuC>^odQyF6shM}>PO`Tf z3j>mKDtu#_&;##W#~eo{_dl#?r(ZxWYVBq&X?5^_T+27x9a*AfkgaP`fTkdyLAd}`T@o> zRsEVb6JY6U`yY3=Yt<%QW^A?wQ+R{1xbA4vu-EzHm(_K_3+?)!4D_4^C;# z?-LO_)(DouKk?H$aTb?m+~e8(Ni#oMO1;K+LPwexZul86@8*msW5QaHWp1eZ zg>!q&?RH8;4O@gw(SJ|h>FDDgrG3q>sQUuvQg^31ks(l{7(f1cD|@iU#lD|B z=$50?qeaF#PomJaJ!N#e)WK;EJ{4rzn?)uSDHf!PmVG_(H}5hsf_9 zz6NPt>nu zvMGs_-peeTo*ID0xqHaj%K6{tJKEu$B`uPi{D%X*p&!y_|H>U#UD0mw z#nAJ-$Ct*lBuQA~%4 zlrOB3cq`+hpOZ|5KwXiI- zRBerS)vW(o*?E_ zn6iTF&v!76>d-p@s%zC5w68Rs(8|Mc(oP?&d1c!B{qf{iq5B!%YNxK~$Ed55qMGwz zEQ4&Zjk(*74#~c;iuADw<1WV0R@QIlj>JTyoIAdi=AnI+CJ%;IdRuo&Rs%=S*F@)< zTbU0c-`Cs@PyO$ls?e*PZQ!h>DbruIkBB!{=~wIiTX?087$evHVxZU1&va;qIcvey zUC1M7_kls$y`J|7*#JFLCDX;Ed>5WW_z1q0Q3mflUIwAIlG`q%4 z3U|bO%pxC=!}BpdK|W^rV?~Lv+yzN`pPCSNMz^rf6dAH?8hdG0sO+@c7QtrEIqpT% zI1k+{84KBB2J&>X?lc|B7yd6dHdD7IKPF5l#e2MsIxBDU*n8^)?kZ~5{=||uc9)e< zA9a7*J)3p19*{Y9076^*UI#FgQ*bUSx5svhA;8-iY(wk0A58I6mGj zTO=`C`)0keY41pR4&$%h1GSXdmaov3&-mrZ@8`9Lm9a3rjQIbSGK%KOmnmarVutS1 z9Kr)-e0C^IK?ZYTe{5tNWpPmQyT+orzw90x%SbI^es@v%OO*L_Xzvfh%N+O%dp@Ys z;_M`{^OUOM3|Dr}(z=Dzq8|4R&}5+#V$1y<=JJHo9wOVo=QIN z%FkD%%g@zLJID4z|E0Ek(jwJc>;ijF18>xtIxC;GwMuw0v}X8NwI@F_);OyC+*1nf zOTj&Bw8+lL&(upPbx=wjun&a&5$rXf&I0)(#Y6hlA~kka&*F{w`YtTJq|hgr{bT;eoCLTkddq` zt@PEuVIP#IcYEo-mpsjTh#wQ4Pwcg{ruwgT^wy4et@>2tXkX|W_4nvo(q-&w{HUju zo{Ww81D=enyCfuIHwFb)@-y&~!0Vw8=(l&oc=nUvPq=L(%>JC7`2MlTPmNzd&5k#W znTrg?ou}ECv#zls-f%JZb~A6qIxuS(wQXh%^`i}Vi zNJo5UQ7z@U6Ik4rSbQF`E%wo8arf$!8ozUHn^{w4zGMFh%<-{y-H_iDZ&*W`^FJ2f zS+Iz;Xk_E6Mf8J}$Y;n{x5c?ga(?vflTI@BDWkwfVRj2z1P#qhrTM7J-mPH2wS^|Laj9ZYSZOT+CT~KMk$Un)Yb=ON!--#LmA%K@r*HM{o<*2?E1xV$SmI4!aqZ9 z8%LiAZcKmW^1ILvB{v0ILZ6l_wwv)QYgwKwHumq8#i|Tk!$*79-W~edKPZbmF5Dt- zy*OA8?pOidY{m-I(SXJQR?Z5r-(9jz{PCg&$ynDs5sF^(M4*;&+0$AFi$5M^JuI96 z?{@u*wIi){nf0%MS!Ximtk)nnFU{G zTyg@kPj5$c`DYy6)19^!=E=yp%vEa5PK4fV+2=ozu&kI#=<_$`}`YolZIZIvp<0B-WB?Eyo)se#@QuX*t^316y$)U$|?U^(O~Y%f*xbb8lxuMC)KXKwK2kJ zZI*Qg-5tl;n8q6POIjOaUg|E&IkYwg%#mwjk5&y^8@plT+L*2Df^DoPrLT>3G*(XU zA0Xe9LHn*YJ`&bA%FwmpHMH+$m038}+8Fj$^7k3#FL6EjYbKxmf=iQ&)Yr$po@};j zV{^yElV>n4zp;$9F>tK4G4R?^L7QZ4>^R|yb&#gUty*`tYh#+b&SGsW!Cbj$j52lg zF&?Y7R<-4LI{YHqa%WmwE;`e;<)Znze~!Lt@2rjO0hZ|bJ6aoKt+m6{6L`H*djY7QiP2a|V>oSX zY!UC^l!d-7n3Pd_(t4Z5l}}=gjCpV7ysBfLVjRZa9nr1{bGKRhD6)04_=MOFuWpzx z=n~)Z>gHDF#K>QfoA>TU>>eB!r?;>sc097T@bP{ZALpyxXdOy<*P7Ukq+8J(3PP8x zm7DS<6~=?*u8DnAWyo%jTx-(Re2~^#weG5XFfU{Mm9`t^DYM4Iy4eVt+2GR5htuoy zU1-MUw{Tc~*Y z9)gTFxc(Iy6Ke9{YCTTt>4oqiaJ45`#Clv&>@%k`UpbPVsM|VQ*78S(*F&h5bu-SI znY?nJ+Y$4MoHm%(z=*u|-ZdcQ>=xt z7Vgqicr0&Sy6xT26z#JqV&|LgN|?CFZ<0F{mvIR5sJq2)0(!50Se+w3d30j@U(*>$ zOE;lEb4E2i&7Aj0^9Gw=ogp%LU`;LlcwUt!3&1O+GKyMLlRa~Aye=BmCtANu?X$pr-^+{GUFKKY_=>Uu(p6d(WI_0~yR$vA2%1i2WrY&e)+>G7o6t|6&L6 zx0Qu_4tWVW#c%KK=YIHp&as50GcUmzRnB}VUYfo1ZT9LZA6~q72um7?D|rVRa{>G$ z@n(%FpX{Q0<~+*OjzgI?E#c1#(-bjhP>lZ(%cHxnDTgTwX&1k9-E+M2WXfd1JNWtB zvj3}b`3~t1T=$&HW$=mqp7>-vW)Od@foQ%z-Od{w&kf=yG=bthg0OT$OO(CbZ)9U9lXTpPf)`yU#eBhh5_3pIg3#`0_{qG51;M>jBuOjOQRu)zyPCnVW&q?|Mw#j^?mZoa*Q8skMJEf-i`BYycN*oTXC5fs4sK~T(E3}Je-yvDyI=L({;S=N zF~isTerL_4_=%4=r&}73J)Mszym31cJq-4n;tSjr0zXfEOK^Nn;yWjcclrX~aPj{Q zx8A;yRpAVP`)}6bPhI`lUy)op)hYeKu6?CHd}&{4U)9g(&!W&Pw2>W7v{g9pp#CPp z>b$sUIJIu7?bk?C>8h;^*5hGx^!J3JUWoTk=@Z_ip2dsavyNSS(@%$mcjnN-d+8rm zpvuc!H}x01Tf#X_8^*Pl4h-~zyE0SvZ@P8w(v0djxy-F&+|uhflt-JzEd)>W!Zfir zNPS5tkmmMY{fB8YL-G$Hg%X=etLE&a-cT~^5K6p;R^()3+I;wx4@}}|JbRT{Gt~=RR zk}|j~J_Ib3V3>Y17u*oX^x1>)xcgFYsGnjNza~xU_b^_jw-~)PFFI9zO`H+=#m(fG ze0lPLY2R-TNbWFq=8Jwf=Rlu^o5xG#hFyJ_@t0XVR(Ugcv1K_TE=R~OrD3<6kL=pl zM7+j|n6^`Wx;9oo=md-CiBlrdO z(t&|@Ekavx7y(U-lO3E2=SbnsjRgj>(g!rY5hI= z^uVE%ua7=TW02|W31JIoMRH@U)9$*N{wa}Pk$OUX8UA(o6^Z+7|8~?gOnaw43BaRJ z>HWN+S?a~(8G#&VRdh;yX1PhWC!RC1$}KvxWaW<3!?lL@aCY9r3;5l!-008fZXVp+I1%1r(K$14khr?mDF`V1 zK)*NlP|Uugqtc4*LCFNqC=bu34^Y2P8iRB~{_ABIt)TK!v}N5{DRTnzsZO{J+An?s zf5pv7YF?Z@j$3V=$t^c!_+qS>veVZXcnXiob9%z&J!3a+=^Nq;*=40~O6P2UK{AZ^ zSU4Q^_iY~o4cohjeC`{bK1VQLW)4K}CYw0ah3%Vf04BUybweHo>qY5$_2RlKf2Mp% z%J)6))uXKJp$w~k4n?fIqWrPn7nqX&9*qVHexP%)T3;Hx*X&e>v;;rj!-<>keB6OW zA8%mF91A*bST{}{vdgb`ml?l1$b-peD%@mbiL|oFo&3I6c|toh=b=962xFdfTA(}z zPvB9w^kADhBcIAop0hO^%O$^Q{)Wb72bU524V_~b4NJB&VYAuW;`BHH%3T+voC=>U z+*mW`m90GH()nTXjN!d5Z*a%x8e5dY5AvK&b2nDg+sr>mZc-Z)o~47!SkP^Eq+#5k z>$*RwZ`S|y}>U+Z-w*YfR@~N=iYwbyX#>mE@YSL62K^F4bpZ44-Z^EJ1 zo;oq}?kY4m)J|i5+b%TcqqxwkX~$~68lQzm9RW7uU6Y>5W737su$K_}GxCb$FcTM; zs&hM!L;HYU4l6JFqP%@i0quQa>{k3X^Dpo0W*%gKc?;_CHE90~@8!!GFy3Dzl zUq}DjKz}JZcaW5MX5{>`;=d9PIX>Y0z>&Y_R*F0K?NJxR!^}Pn!xt6aw9f*{q44kk z{Apv%A-slcHjO)BUbAuJr+j%Z3~bf+>qGvm` z788xQ=Kmua87XHmet|wszD*wpy{UgvA7XGOoRc1W4w=~{>@mvWR}BbUA9%2;=Aom#5- zEcyY-WrO|aNcmp(9&!IhKzDGA$Vc&C8;9i~)<~U@CTo_KpS(jpGE&w&fSJD0;0by! zg%*e52{-XuQ>KWO2My0u{kb%2`cvW1@4}RhUybS+cgd(4tE#_|-He^-j||KYa3_~| z3Aj;TQF@5{XncK19f5}W zBv-n$@+xyefzD3OX=uNEZur&jF(!)6tZ>hz(C0?Y`jlrcg$8Bz=!kQ-^udk&Atrmh z{#m@I{2j@6l>Hxqk30`;Y0byX9T=P@-98??slELV{lk00b>UDZFE4i9;l1)RJYI?6 z-L4!nk}ikOi z3bD>~kJ?>kxIJj+1q~hXc9@al)Lx)nZ+s%T%FruyV(3+TMf7Uy&?|K^=r1#VgEHVq z+>IFPoAzF=LmB-|7!Rn>MY!+ZAsRUXsV zzFZt0lKcp5i1JtOOj zrhZ)OXzZXo47%;FwfQ5xVe+o}nj^`t??ul8(C|CZu;zWsrn)jY{F^Rqr47wujU_F?5eBx`&-S-yq zh~_2;qd6NHP`;x5t4x4YKKR0t?O>d%$R^My{cET6X z{4({IsD;+t_GM&rxy`U{V`UUPi_-(5Ub> z-RT_M6I3d?HT0%&12ELaa)ApR@!1ls?J7?h%$H;hnMd;aVf#Xq2jobvoPuNU{)VOL zR7iad@(S*ZEgbp|yGMjEr}BNoHah@b-8E5o`B1w^Utc|%{NU1tEkAhUEdf)v@G~P@ z2`_4YZx4{RU9Z%*Fmd@*$E+pcul*~+m1xY)+YHdBgR@uC=-|EDD70;8=>khj;?#Nceci#Rq(07(SG5?W<58g%9cA&MRB_f4vJ%()%s&5m4Vke&Aoj+c)rr z+Bf-T4#vP&yH59`tb=^lv{7(IehL`Z47OXsoBjlMrDfza%pMIWd|3TiKXO0*hxLz! z*U~p?{Ul9Z+32*JeJuf}-RubvzC;s4a|om-+R=O@dVB@AMK|&_dg)t)C+#}k0adzt zGihUf8%AN{Q9D<3Sbm1f?}lHtzq31B#rUm!tW)-2XUO7e`!+*2sw3T-(OQz>NVTOY z+1I=FbpLdBg_j+Cx$^~#-OPO1;q#>Q$x+?;pngVjrSB@cHv)IsId`McMzrrQdBar4 z)Xx%gXL4cbsAM$8{r2J`#7ibWJJspqj)Tx?4D8n zo5otb6Fj(QPrQV4h4hnwz>>mt;wFnZYsfhK+0w;YLt)Q#J#|HY-lu)y1$w908Os~U z9qr8dGyiW?vCrWw)}zRy3HC>F*R0}uXBIPsYZRrsHe{uFB{yU7X0k8+$lQ z`VZarE10T(aKIjyR59gBf?w`<-E&*%D*SxOFCbq>&HBgcWKVo!N0hVN&{|?UX+8-} zmzjL+-d#+-x?+zt7MuP3xQE_obZ8S_2>nj)?QoVwZk(Z4{yA%k;$Ho4LYLYP_$K%t zAuCBn6VLH@zxc<-S57ePvsd~+qmwg#+MMX>D>ki(uG<{la79~m&4!h$)~{)eZoX>u z>NT4;Uvky@^;cI!+1=nc=|L}9xlWyF}He-!tIqqxsVb6>n>Wvg3UlYOteY3?RF zM{qabkKjHv4Q~q$L#V~)aCaf}Nbbtfk=%t)&pj=p!_rN2Pphv5%hTM`>T8<73<3 zH}$n;`R2Bjo7zr`PHLTU^^h6q+HuxwXkC8E6|1k>9F=#}xaCAoJN?c(7q3~rX60t+ zZuQzVt3McBy6*Bd=Um0EJI7hne4(JUUA6hNNn6%ViOyLvf0%t?(}E>eZ2l0-HndqQzC+f0B{&$sqCCq-v(TGx6hEq>Z+)2^H5POUv@!ThCjqfHG9qvxM7E84W?@+)ZX zmv3CNY2C{8(K%PFU%!S3bLY;T7G1RIip$olZi{|s&8E%kuGm06=3l-NPu#^YuGkcv z-xQBdA~Q;C`Ftg7J~k^A`6JzjaT;Z4^P0Bks&yNp>(_i}&3dtzNv(zkCe=1ryX4A?+d@fwM;VQ7EVpuXZMKENQo_8C| zpys4=&TnX_I~Ckm#%WStx@NN>ZHG2cHL11Qy zJqNa3F#SVwoIPh>dinRiGRHaXmK6tEo|}`{asQU_UoUQ2^vCCaad7NeO}9S2F!b0f z$)>-a`P`%alkRW2?~W(0p0nk*O(T$8I|9j3$LIHF@Z-Tx^9zpnh2G;S{eS#B zxpmElP8Lm4A;x@J+saFqUq#zL-0pXL&cHy9<0ov1GdW!5WQ1fdb4G`vPL-4A_~vMG&%2sp6Ys%(2I~YNvre(H>I(U0*RAE7Q>XBGbTcjWx~_$9q)zFUnvi96@5el*?*HLC&cGaBw*vE2^F5_5jCs2Go@%~z<~ytIJly9Q zm}k^Y#(ZYoaeRHJ3Rf(U8uJvseq+us->g%Gy=-H4>YEj&Ug7=qi}+^LPvaY?SKfm4 zf)J|T$Tzb-i*J?*pIx8CoKr7M<{IC;dX=uMKEiiQ{Zzh1^-X*u^+kNkjmz=%*I|y< zs}?HEx3XTCoMgVSdSR)`xL4OJhbPpB_?}q*MZQza_ayVJF(FT`kK$fi@8>(!xJ)y7 zKBeB^sy=~xU41RzxPkvZQ^uJlho>9J=b11qri>TXFCgUm4V;VWW0;p2_!pbFA24B- z8~B$Rm$l}*&V*TSd@ncO%M6xQ8uKdiU2VRX)Qg5c2!mViY^tBfce8=eRscbV_!O&RYtaK2EVjr$jk`5qH;SA8!oUozhn#{CLYFJCskU#VY) z@4e>x)p}9O*Xqac{kr+~n(*H+-~TY*|EzDv_dfHzzy1=;-!$&uGT#Tx_uHl{Sq;K` zc7vgW215xA{kY^csK)af9KHo6%&3NP%wgkF*q|C8ZQLU!RFnpFfJ#W zZ*7C9uFiz7ZxEHvY7i#pH8k_hYAoUFB-ECD2|*4fRQtIJ(NexK7bZjlqZ5zuJuabK zjW^$DLiw*qB=}B9h~6e98u?ZxM9Gs9DZa6UQlFgI&9}F8m?pjD><6FyEm0I*SCsw@5YOU!?r|E;MmJpl{itV!mS+3H~@^ z_I+U1ARkU%@D}b{FL;*k^%peq{n!P9(0+mNdBX)!zBgWw;M-x`x0~-x7YLI#U!W9s znD56;_+8*8cNJGn*NxT;0%y1FIC z_k@;H_@3CJI-O$7C$*@iYFflIPj0E@TiYVeIn|h_wWy{}F>$9G_@}m1;8JJI^#&&m zEp@oeFloh2>hCk*XSP)0ep-v_knZrrS5jsz35W+x#}TwsXkZj zMVH#|s;AMV4!Y`Pbg5Te^%lBR;6$tP(4``-8iy`b>8dH{Qqx^E6J4swRSVFimbz*g zx>T#H)}u?cx$0VUsdiWGK$qI-s%~_tyIplJy3~EHdI(*r&sBTTrS`k(X>_TBu6h|= z>Qz_0g)SACVpSfxRK!)|(4{I}H3eO2x~pcQOEtM_0lL&uS1m)AYIW6mbg4F1U5hT& z?y4Q=QafGMjV^V!tL{aYy3bV)p-c6-YA?Ffepfw>E_KjVFQZGn>Z-TUr2;2em4_}B zan(3MeAsK#f&-=u#0^jYF5Jbk!7esp+no zi7wUTss-p$OI@`LU8>bp>(Qm!Ty-tFRJ*Ih3zAbaoR?6Grb)_v?_X>5?+f?dkp8|9 z3<%7x;FOWb;Ev+ z(>fPEhI(MaaDKD@70oUT{c2Ac@;{n+DD*MYzX}8U-FiCkmftBuZ9#dzs<-{lOPmle zlc%2JG5r_@j$z;!299Ci7zU1E;1~vuVc-}Bj$z;!299Ci7zU1E;1~v63?%*izE`-l zG2n}^w`5>|E!ECW=C7qRHyr++-&w{yk(B0tq-;3OF0adQw%{%$w=?FUP?BfuO9D(OW~i#*%R+){m(kd^WV!OF;$19v!3m0ww$k?>}T&A>DTh!kq1O} zl;3%qeFrw&3jCGKTJ*BR!W}=$3zx({g@0nI&A%7a=Ia7h(=EKE_%HQ5(OrL>tp6_j zyVCrpVD>vR&hz{e)BMis6^_%e)Y8jR^oP>ITX=u^pcg)T9_by1hsjrY{;lUzS^T%M zZrwpGct4x&h8w+bFK-|{!ew1aJ0MUiy71@yS~0LM>sBxPPW*+pZuC6#UFhB9W5H(V z2m5{KW!U$&S-c)Z5997!#rv&j0d(PeoU6-Aze<$fY51I%&UE}Mfz$FK;vYu$Cx68Y zxAtoAWKo<3=f!V&{*@o~bIycKfv#=>@ewWho!e7hxZ&;%KN{(-y7fCh7<9k)I@@}y zk`@os(N)eS*S-i{cxrL&t>`NEwXPkb`Pq7X@o_J`4*VZVt5@s4yWjI~y58>`bmK~M z>Eb!hzv^SWhkIE3Q(y4>H?~vHY5w;D!|z-HNQ=b1+x*Th+}duSouPN5M+yJXjnp4{ zs>9ZE)pozri~j-indp(5pkMs+cKA8*2t9n<=40o-!*}q175y#TU+LtnG3-lk0dLq> z+zMYrzxR`V=Wg5=?esfs=zZv0&}ZJpJ7(zKuM&gbiM{FNcMtwOxUKz^-`V2@LbrH% ziY(i5)!t6Jhw;a`g`WS;Zkw(LLS^zh6-AzZ)*ZHeFGE+owxVB)-jBWvedcGaJ4W-f z=`R`Y#c#s@Y0ndVCd%*BmwW!v&w(G@#`Rb_nU1~;BJI4-@`HQPA4-dF@v#0BFaFy5 zE#7y1)5iCLjx*_;aGDn`h5riA6W#hRp6B^TzjY)&HuW6OzYYJswD>k3p$k3#h6nu4 zEBIG^dpN(ee5ZP~7j7p0t<-zZgS2zP_n{}#;M#n>eYqFD={pwBUJ&d5{wn%i09w%40MR};{B}u zxqChTMF*kx!}9l+eV+e<-yew{?)cjT{>A$}|6PBue9Q|%Q=+})|HAY4 z+-Mu>dLeB5oH3sNB0qa~AOY`Z{m;S4mVZ5uBvc;e|B2&0|4uGj z3LKWd`zt*EotYV?UmgyBDMGBF&k_Ca9rUltV;_^3d31}P)2qGs%kZZ$4gReEzfbY}XBHd@{~xLG{HKf> zjvw1!O*qB#Z-WxUKyP;`vSW}kZIU*rQl~y~}mSXnrn!2IB=rXK-u8(9imR z_%sjhPW&T>;rHT%=f5k$KDVLhZv9+7$MavtVe54GDudsd-{kqfjDI(7`+URYFq@Cs zd0w~<&Z(MV|kD{I5OCf6BR@|DsYZ_<fE8g$${|w0vsavdvdq()Qt%o7OCon>{_YTu027uiCuPIpgf} zqO+Dnr`1e5wdT~RjxV{L@qEB3A_oEAIx>;h&xetb<4rbfQs#W2_u=gKWkF+(-yZ~( zXqiz8=??^TILsf&RFV8y!8W61o{W|e2(H^es|aMyFpdF46WP8h%@>*tlz9Fo>?LEgO0wl7jhfaUss|AZ7*>Cl^9V6Dx-HtV<1 zg1y0lWg9=&afa8oZgj3>k^BXplQ)U7} z$l=QraE$A_(J4p>&J^Rl3phyT!1>%DoGEU|wWCa~r}&a4YwJguY!I6gXX(wLMTE@iWY(2MWxR(e^-r*)ppK zQW3L$sBAA$EoEdO?K}Pr#+-e-h>g(1_T<#W}ZB1>= zy?W5xmbX|DYHba#&D)q}yDV>uY*Vct2Po&rx>P`#M%!_euluLK&YLff^IYh8-;wQn zOHpn4FA%(i;Cbl2mi#A_vmvW|cY4oTXn*1s@NVC!E{3vB(XLmFl5w*D0= ze7({xQjUWe4J@dHC(2>8b%@L1>vAZz4m0I&lN?H{!|8HpkV9G0z(~m9E;)>`4zuL& zemRV_4zqD^qK53|=xb%5xhy4o6A0dd$p9ShUrlyLkb%g-Fu`Yt>@tSPE+dWXGKP^| zy2vw~68I`ro(F*H>(0Jh4%6?A*cAs~_c_!@&h>Jv6Ef)xa*ESz8*-v)wv9O-PqS^x zIU&u~=436AHPJ*xZvm>WJNJMvey)h;A;`bOaw3fDc&`U7PcUZ2#$HlOx%V@4lpgu_0%!Z1-Egjrj-50E17?^NRAa1%QD1 zuA~W)4kJxR{bsW3=2-Mop^qxY!aamuZ$e*1=zNpZLa&Mz z%EPs_6&N|TgzSmCFSwG;lnZb>2*knw?vhr3YSZ$z^@+hN~_gw6!^f!WU7UA`Ol5oPuvF zsVRaH%s4n9!}fcDnRnuA`n{mx{HEUvUbKK<5%Imz;(MXX6w0|+WaX>|j!RtY$e@VJ zTXFp^v!wt3Bd&A?6!I01Qh~<_^(9G_+R|L9!MLS4!?to@y$BjLIMgQQR4 znZwClxmv1rf&9>wEY}yBT<`U{xh^!h_N-Sr`4x)%jPKfVmNO`%uUkXFoVUeFpK&9( zAYX7nZglc@DN?0>CY>rH1|sDXUIOi8SDaj5Xxfu!bseW z5S(B}86rVsNU(?u2^JA6SS%t~u^L!pNU(5NkfMQY2aemoYT(vtVBVI6PqRtlU)jJ` zk=C%jTsib6F}{P@oy3sQo5YY=BBUxWy(vY4L6#()Y@sn2O5*E;3Mfx7Q|3UZPUb9` zvqEBO!E6Q33u)^{FefUrlOt~#b45PZDqfgpsVVR~P!-JGi&uUn(R`WL;VEQo#L3q! zTS3HlxP9sQb-rxb3Z}7dWO3Ya09L@x$7Qt2Wg>SpIPTP3#(x6PU#T#`3-R=gQ@ip>Rt%ZeYV4ub zH5**7%zZ@gjax&2tX1pUxI0o2vNo;R?D&qC(aHXh3mj6v9&NwlA!i-_V|ZxZErwN3O~8IpKhfuTSlOroF1W)l4=27ZN4DbXvH zXd>oKEeZ?9iI+bN7K~*g7L#NX0oM}2x4B5jw*xcZc_y`U2vi_XlbS{sCbh3&&@Vq* zRJ<4L2Ymfmz#9$K)?r8*Z^wBdSHx(hi!+u-F(0VMCtzoe19nz9kYy&219mn#U}vKP zb~ZX-XQQET%|;VXO)!vUr=cGpv5eYDxMcKT41|@@jE|FKV6u$C^|<=i_>^QQ5Lomh z^vp{Xa`9(nd`-DuB3A}Ce4a#Q>%)4Qoz2e43M}OA3n%x(0(y>;a&oVedFhui2Aqs{ z1n#_kEE(SuxC=jmF)xs@n?S)5ZsBwCAH%&Yc#`Iya*vnu$ucrn`JfXtxew&7kh3vo z<#vzt%z3$g8FUX9EZ^b;r+$Q(5oc7O5xz4t%w@U#az9<>ak-}` zZllamCwv}2vwXqkc}n>kN@2c?PVR4@jNq9vCkwwJNDGu_dW+2pbdD?mpOaOJem;Rr zL5_FA+h;mXr!RQXPQf!MTP7p-LlN;Q!CZGl++8QimSm4V<26}$uF2vnB1u9ORN zRG=42QT}f!LsoF}FR|={A?0i8~mR_HG$DxUcR3ASv~=1ihN=mKDj2& zg2+vZljrLIz@o?(`36V%PNw`zBW1$RXkQ*#ZHW{rW5qtTjAfC{qKXm&pw%f>+KN$P zJ}O_yr6gJ+cMy9jUZvkDp>%=Zcl`2+JgNBK^_u{Ze^Um2;6EEK7KpqxWXXy=I%LU< zOj8E>Oq_7UFSI`FuSJVQ_RHr{<5L@%Da#&z7s*VI+@^GXY^ox?xg3HOPmoF*I zBpgIxLt%*h6C4b86z!PC#0Ws`Ba@uEoG17ZnDQUZ}b$#RKLHJwE=D)*>>V2*tarcn_5s84H{k+nkEb;j&OJ}XPoSVEBpW!dUm zNmlZlENP!6f}6wR_6DEwe+EF2|531~{ptgph&DwBpD`5`h+L=~{K|yNikz;>c-Aj= zS{Zpl*zY%$+Z-9IIM4Y%MEnJjg$nk(e<>*~jZBiy3w||&*2rS{95j~oksncS!CxCo zTcl7v|KtBMxw$s7P>H_ie-KN1t#?l+f zm(R<_@<3#qEWbCFROAVP{)2(G$H`LND@lWpG1jhBjXl%af9%;yo#S2!{>A?X?2&B( z^H*bbB3a7&-~8|3vBk*}myyxH7|%t1;6!xp+Q+>TJYbsPc(w50AN{J3@h1wz>wcwW zQ%!g=%A9gFKtpa+zT8Iz)C$YhCOpzyYn(6Onus{=`=!7gQY`I9 z4}$u^Se0K=u|AR^);4JYbte@%VbYIDO)50aSpu63I4NSe0@3|ANFdrrUiABK?8gM6 zV*=4Jf#{e(BzAX9AUY-xO`=~oCJ-GHh&UzmzY~bY>QG;m?12qC^_j3p~_lYD+s1T{u=RY$)|-{6~NnoH-Mhsz~^KLVWxL zbZ@cX{m{sQ3mmsZq(4B6*P)eE7H8%-h37zmDhgc_j!ykMNLnsLTG2)L;xH^;0l6hh zfNcU+QVXCZDo}C{fZ+sI1Gt6&HS9MDtOM{Rfz1HQ(b|&j02UIUIqV+3p{Qct1^t=ysBe0&e{79!{IFz2=XbIQiTVY~um;`BA>L8r6<9NoJiJwTOp zo>RIT&g=b<)-;}0u3Bok(y;)Ti9q4Dz?LxW-#hPwRLfVQjZCXOwIVGCzig;St zvte3TAt%ZaaW4p3)4qu}){15v8QlYp!ic}P$agBPMxEUI0$%%wUj+}Eii?ox-XErv zOhU@JEcJ_k(<$QjCQWmedL*PNB+cnec{EJPWy)@*tO@w)7V$?RrA-^~;U~DE(!4#n z$EnH&AmqpG=T<%j;rzHKyUy{LIm#IgEPSoH7G+<>XB#TZzja4{cdu2UEjXeAwHTs8 zGfc{83?#qF0t&~-C`1k2+NSu9!mFT^$vqq3O?3hg&Q5n&6f?851mGB?r(y}D;&LEc ziC`JEE#Ia4qZP4g@O9hqDvTq#4y|$_h-P^|B2qRS=+${+Mw{EGG6A56=WSl|62Om(7F_<9MSdi?S! z=>ar2HR=!e6bWK|_Yl@BT3Cpmh|j@BZmr=S)*dTi>gJ80-5v;1^oo z34S~4JDtsGeW%?zdKA9q<0Ey3pwRmMFC_hUtnc*Y53TP6jrEpL4x>pNLRv8C1FC*+x~?gV13?=Juet?vZ)x4v^U z80&k0C!@B$3*|wr?*mcJSl-$}hk<9weh8yeqS-7Ja>pShJ#`^v^U}JrM1+cNc zlc%-5vueq$?^{sBTHikaVy*9Fklgyt6(nnY&qe#K^_`YRYklX;NM?O!i46H-`!YsB zs4=C{p)YQIXHHVpX(oZ2l?v5NgGMQj~ zuR_r;42~OZXno&+hFR--SG2@f-w7wTzRSgh7`8iIk*Br3&mn`*`cBwd--%f3`*38y z`p%!Lksj7itnb%AA2rr@J_D@vofpbl-$`Yy@4THRx4utCd24;|3rW`c&S_w+??kNi zortx*-vT+-`u-4*pPMCt?#_{6e%47>pO8u6&%)g;=eO^ zXnlVNxUs$yw$}GOKwy36U523Wfc2f7%5fgzVtwZ{G}d=s5MzC(EG@OIDS?+wPCyxM zxcE#@SDb6jIVa4}94*QH@g3#xmcwBqNx!|^jL-yMPdPmH3juWsS)D=#cZfQL40EGSA;S}WKX-^ag$#F7xI@$_ zWOWKzokB)EEtx{rVm9@!mqqC^G49;jr>KsJN;8P+%45)QGGUY&L>=jMAXyB9*9h|~ zTdP&t+X0TcIg7|{7wJr-JZvxnA&ZY>@)szcdlJ6fGswCSx>pv1=4o!Bt3_Udu9W{g zSn?CBbDc`qZj{ptN?@oVodl2?5;p_$Z}72k4XMs|)L5_RAM@?3S9QLlb-tr@zN2-% zqjkQcb-tr<>lJOv`&+Nhjr_0o9rZtl`VmypqqKexC?3(2#t%YMisb-KX+qG6wIZceR8#l9rmg5~Jhd?oTTk3+Fwy{Kj; ztgu`AIFu=E4;xDdfX>vPur4^3>km_bWczSTcPEnRy+CuIcb!SDj|0h_an|{8NO%Oy zVgAZJ>--Dhl0b&u($jLzgx%~cu8i*hWnLz(@KPYzTx)cvQPe+?o?qG8b288i1y}&D z0o%e`p}S=v;Z6`UaUO^ofT+Y070>`S3GHH|C_p@MU9^&Bz?LO!|Ou88c`IB$!ptqS=5A?!IK*&*yX zBH4du&k-fdCL`%>y0c{n%5-$}q3$^f$z{(G;qPJ35ykv};bO~*ejj^|DCfxSIU=|J z6?=}@5RBx5>^ah2YaILL+y}SzJ!mu9##X_ZF&J7-)~}#|xE0iet^}Gb>zeK8H|Gw{ zd763c$%ET;^-^o@rBI7L0h%XvI2hpaUxVu8HfEIySVEU_-TGXlKj8-R;SlaWPj@??dY=++H`!|*iSIR!6ifoazI)9hgWg1%c)LXguO|2p zD9e!{Ox3$5KL${bUkQ87BLg@j#M>>B;O!O}`XuPWz2=c&bT^Q_=8@Bv0Sxz=2N|rt z*874|ZetX^FDN4@_QF8>>WSW`VXgORSnGWn7QHWMy$mJk?bZpu(K3A9j*rwCg2dY` zXp3+;+S@Hi*lQjn>@^QMatdg@FG$#H9wh8F4-)p82VF_8^}ZlsuX)g&=~nLxs^@XMseG?_I3+KQ>xbc zf-$R*uD#uYv1~ZK-N-8XB)<3wd8VsB0tvm{f@yFe(%x>tbb{L3Etnyk!6B~q1@9K% z?m=(2V24oB+b!5Bgw*?jguUiL!d~+rVXt}c!84Gdz1@O@z2?CuY`9)r4L<#G1br!c z&4aza0j#~Ye6aj$ux+%Hi?d%Fb@w?1C2 zwYOUkb;&?`y9J4R&4V=eX7q^mb_>#7hI!N8Zox5bHY%*W-GW9gXNLB63-;M-&Jr2& z#r9>)Mh%SX%E`zIz1@N}9&^OoEl6Bk4W9C@wp-RNGVawH{?Gs#?+-;pK{2^?W@ z8kBwq39`4GV@D=axYzu6gX1PjZ?|BSi$f1WZ?|B)dj|5w+byUTSbMt#iF?h1GhJS3 zT4dPnbVZ)p+bx*m-a-akTn*+5ti9cW8bP$TTd>bw^M_C%tl@F%g4euNaIg|@w;*w^ zd9YP3l=gND-ViG7?H0W0@ph`c-Gaou=D}MuyoBCv!Q0;1kfgocf_FSl1MTe=Y!^g( zy9Iw0M0>jh?|M(7Hrm@Q*x{`QqP^XM#J%Rhds0Swy9MtHq8C?#U4m$Dx8MUow6|OE zp&;5`7}&k$y!I3+9RhDR;*=^lyxoXzGdR87f<2zXa1(F0;8TIMw_EU;*AN--cH>=! zpzsLynsc0oc(dKXW|@ZK?G}9O@q&oATd>!oEG>1oDFJUcPCyxMxcE#@S9~@$=bSJ@ zlU6JQTflde!(%xBk%ut*^ma=ryag%R+s$tYtsy#Y93=Vu2%g0UaJu?EC^CJz+t<5q z5i{u(molELw4pJz@t1(b(3sj}4M1&ZObrc<+QOLHtQ8anu`s3{Pf%MJQxhzVsn)`{ z8B7pFXAN4T5Gf}Y+UF$5kH(gaL&@xFCw6TmfwP?0GNw4PE$IS4(m0y$@{pP{;X?S{ z&5H6)>2}5}%e@<^NZ{=?cOE7OU^2?>1MYxXD7Qy(9KNY3;ERMo?rmHbz9>p5c@riP z6!ObhG)x{p<4i-I{~T00_Y$GW573(p7wL1NC$MFO zODXmh;o~kr8}g=<6K9+9Ce8w(&dbfA3=J0`b+eX$_G>8r2J(3|N)~z~(b^~76*w*F z?&m7$G;?^HTl)Yw7D=_Bdq!t`z0RlYa-{ z_v==`8L*_`tFKD#$UR7 zcIjf$_fVYZUD|?PX2uEX3CUkIr{a9<^6RBu6!eQZZMah4RtbBj)ncT&gVcxkiTEdE z`cA98%pR=%E-4jAsceHrU{_;RJH)UXnh$tb5TCn&c#(l(1FN+NBWk=LS>ws$jq zeoDJZ6Crp;%7U&aS45gOQ>J&s*=193t9cQdjY?%n;aM5)f9MRga0$4ciWj$z?ohRK z$y|*4_KQIKCSFfV|3Ib%GSU7H+V<;_(E}MA*WG2tw(jCMotB)0Z2)c(iGB!{kV7N zaLU1@cUbZb1no2VXu~a}1T$bv3TOK|RIkILZivIC`_8Ljqup|8^8$8b=b0EAd#eyT zkwf#^U>Ke%U3MVteH88TI)KSt9jEJhj-a*p+5@c1qX7QU4ZunOCwB*MD}Xl$EC(>R z2Y^KY2K02CZe-kj53nbI_4pcqzx0zi-D8+z|3FIjV*!jk0a@8H>$cD7$sMAds{4A* zG}QV{G$?*SMz|Fny{i-_FMgxsgx@rO>GnBJr^-`xk>k*Y#3F4#;;5x)?*Yqpcs4A1 znB~xce4;{a)b~v)=7k zq;R-nLuyBNgj%|sTyjClY0)7WrJfj_IKN3V>cBK7^g#UWTS3#QM<-2ug(lWRE^(f9 zT8yn@WOwfYDVB866fcAn|29`UZ3!tpK5&&fjq0hn9E}?UI^o}Ee5c(ZMVpYK26X$} z6FQxPpt-E;2u+b}=5|^f(p+*7nma<8TMk0AHl*PV?cXk1cqz7rG&>GLQyAWlVz{#& zh)-^KM=I4cN&2QCZVGJqsR!ZGA*7jc5SmdT&GiSNSrpPdabTJgHi1S4*b3~lV#VG+ zFmb1v{+cEY51!P9(~WI~}AB{fJYQ?I3lS z3{)$a-GuLA;H|D8x9&dQs{Eyk=4uV6hfhhsXLfo#tY=qf`+N-GYu`DEP6feisehGmDo9(`cOxpok6YqbeT83s>No0p3i^Sc zqo7!Emhh84y~?;C)X%C)MT&fKt6Jld@zY>K-xz-QIU0vpRp6PaoWH{TKxby*j1o6?g-pn7P=!44Bc3z_MtlhVdIWK*tjDQ4&4zBa}zd88g~T3#vOsMaYrC* z+z|*HcLc)59f7cMM<8t65eR3--4VX0P1uxb+!2oKCT!shivUN1aYsnH30nxYI|4!D zj?f0M*@R6v*(Pk#8+U{>41^=U=Z6xym=LI2B2eB;2-E{_!e$xkjxZa@;cmhv1Gppb zhyL*Kjl6mjHq+6u2i}A&1jZfV5cmABjCDuYXA?GatUCfXN7_x;%(3nWJ@C{>z6qOT z)*XTKHTfoNl390zQIPW;Zoy9uS$ak~} zn{uo>!lyv~qfOZ426u$#=%g35`T$07}e;Urj0 zSe&o|l|*|c9<6wMOQv_y_hWlg#HyC7l5PodGgQq~pT4sD2^l$TnXm_InD$msyXF=m-$kf76YuXMAo~O;*=3ImD08(=*sXl=8n-wd?m42`1g=iHxRq`hx zn2le~=auMDssXR7=uxVGpy*MEUCdd}Q1a{X8-|j0ZMXtzZk#KOnfcJN9qhg;qrcc3=Roq6SQYZtw#kN2x~nm=t$Ts z?-SN~RM45Q)}w+hgtZ~;v!t(x&K8!DZLZ0c0=g!#W{jESG zm`yO9pjqA*&fvh&p!KNW-Nu+)dU;g9ccT8|3e=gn5@QNb?4T8|1ocoZ_s z@;>Rc9u<7ThMVR6OK>|d%lr2MHp}}j0XEC~I{};Jee$%+`>dK>-lqhuM`3yYBNVaA z`(FXE%ll+tm-ksl>rq(VzXph1-fxHY+vWXZP+_~g&zWJD_sIZy6n|JEL%!I)jPoEg zgX!qlF35^6?=#0N?+bzGQCP$uhBr>Tyidd~?-Q}h`z)jNC@k-{$KA&+?=wg1QCQx; z1!C;-K6A7lh2{OhC}WrRIVCRiuP^O!ECU+KLdHPygwbRtscb@DILv*C3Li)tPwi;PGmJ< zsdP0D-;HiS>P!2QGs#?+Rit52j<7gOl-`5{xjS;~$YjFuKIg|#sA+iTG0Xe!pkZ2% z!t(w=+vR;Cc6pzVPUunmxfSVQ z4P|-%wiJ|MD0wXJ^BJJ^C@k;uLfPegQrYEw-cIfEJ}avAC@k;K2BP&SEbsHcSG&B= zX<(Q4iP+_RB6fNIVaTz|`@aWbm-i`0>rq(VXBoS^PsA?o6S2$tMC|fD5xcxkZqTE6 z?I}_^1k3xxDOGS-yohfwc(}aJApT}~pRirtk6;{Pd7pO~g2E#VX2WqF;gdBUGa;Mc1)FESSAKELC+ro^bw1`N%TD^mpV5x9O%auodGoG>@aW22qLXRZ0l*j5JFX$ zR-I0yJjCAlA(*65#9M5})gyssS@d5(bIwJpB=f~l#Lz0iKEWLEYRC5`a___xFl~c# z9){Sv90N}g!O-8yq5o$=&}hLnp=@qL78CSKpgHHBgY9gB9ygKbBy_x>v(5yHStH1Z zNkFPm7x9FwISUw&_c_i^JDUhPPq4J}pxxwf3^emfU!|z6-r7l6*}tFgtKPE{xyny? zgr7DB@CL#sc&o;FPAhSa80cSGjdc2DxX1fT&IR0#{CfCZkY2V9!}<>xY|o|XiLe;H z4bPtLIWHsa1Dl56RQ}R0=Hz2tlf%#{+cMH~UI&R&KVGaciN}QLNfp<2>u3Csn-h=$Fk-y~M^Fx_yLBaa0 zOr!|&wuEB;n3%`;U$!@tyK|qMg_Nt7u0&hP3nzF^3@>|Svj7|m;FzOKC-5f1TM0;4 zu-QvO3Od{KHnSMtjL*wPTn=^YN#y5{)p`KWV5|-g3CTnP`IcqkDpAOceOQPP7u{5j zY~I9{>TGGADY^)G?120CDay{fHOzT>pPc!~SsCWg(;;5tdB_=sp~eg1rzhlu^3dK} z+1~A-p~T}8Y2pR*+~1dzs7Y_(;avY|iH^>?xO9q$*`V7RUSl#nKG zADVsJxG1FQyARERefX>b4f|ozJ~UbuF8PzlW@G1v*|rU^B#&HWzrm`N$k@j0^=3FLy)Y{3bJx?>U)xg-n1Dkj5bj4e!c*Dx4Ol*Ly3UQv4Y1>-QpYG8F2pKJ`s@FH+73|*zw~X zAObR89RH^*zAb^a;hx7U_5su^?hbToufUtHUVJ-`%WA(q4=5Mk&SOU2`LG!QnaW$| zG9s`BO(TQ5h`_mOI+2%wWQ#Zd3@U0L0?p|GjfO#uv-?o!k4aWG1?Y`LuU-W7G@!Yg zr+5xDJcnN8I+Vm=(-`#b&(i=l*%^h)PF+<)vWev>FPCn|4q`y0>8!^h$I>@G=EgBaiKO zYfqzK3Mv#qZ-GbIrO4%}8NHDQHCalxT8_jkbV9^u#qo%{7YuReBP(3~bHZ~3Zf({u zQTSn!Rij>2#>_BJCx%C?^6uC|MQ1(#QnkQe+eyQx;yH2 zs7Em$uNUrU9`oYF3J}o5J%egmn$u$1bS(Fjxm$o~Rke&@mS~)1oXS~3uED#3yJftW zg?e6gH)u5OFI*mzt&9JHxjBD^X!nsONYy+23F+G(xuY-(IdPZ!0khWkG8YF}2oZkuA^;TXsd# zNhngvzWoGwE1;>md)hK@J(8v&ujSJKZXv+4+vk=8RN$RvJg?F( zfwaNG>X{^Y6G-KBlxl%K=zxT7LV$mwP){ zq7b&g@)$_D+}l9bekam7W}M1dAY~0d4{M*$KW+!7)oNsOq@ZDVyJ7<>(b5qhcAr~^ zyvAf+dkX5?kt32xyLn|^=)}?3nXnAUF58jA;fOyOh{Q2RPQOf$Bqw)U3PqfJiJQkIodY;?!PO#x8V&9;M{2vSq-_(T%PoevocN7$bnhdrJ zlIt{`2f7CCE_Dj)b3W-ll+Bq=Ly0=`ks#`rGoko?EJV>`#>1mx_|7=KP0D10_ zw8-;h(jp+k7N1jn+m*&+U83zfw(n>&iGVtt? zR+M7sYOnRM$jYn9g$X$ty_mRODE_V06|Y8dR8?YBu`0Ye_swfI}-xjfl~S*;=7IoK1k@(X952^ z@lyrA0eIo~3Ana{h5j|-XU>GUA%b^-Hk4yscTY%@zLQ~kUW5c%2!&}4HnYuq_ z=rmdS$R9P!bIw5<*dZWtjeHoD%RLZv7Gw;Cpq=KB;jE9QabhND7qzyXS}ZlU*+^Gz_;E#-x{wWTrF7E_5d z@F@M(P>qIRW0|}sXtkfqM&Smqo&y2mwLd(~ybAOOSNo;*hrW^iFx7rtVI9|BOX`E+66r|TESwNF3nzrl z!UX4P0E-MH!VO@YmBT?K z&uqH^EHZLA`N^BoD1zoRb4oS7JU1ZSoMs*X<_0jbiZY}oKOxU_wF-zm&HNQ0;c4as z&1vSs85}qo%trd8as7qx&^OXQ3>oG$a~3rl>Dh3zk)As;%xUJg12(6bKLgmDW=^Hd zoMuj*_B3-gBDpWm4ivE)=^4G#o@P!4_B37PGv`W=JOFbgMC2JLC)q8T=Ph6DF0kBB|ZoMr67NqH3Gwc#e* zks{A{%&`k6)Q*#Hq(2#D>_+;TsI1-q7FjP0?7|6i>_+-iQO2HTPC0hrgpWuk>ux5I?;#_OaIg!DJAmPAq{Z;%tsC0D=inK7t zLh*>D@K`9GdSs4;nha1m-*6rj#hUY=Sb#63OTZ+PXBoMb%${_S=RA%{=++Lz?M5F5 zRmmIPzo5Ql(STja3`UpDk}#5$G@<_O-vw>h`MUaMbfSh-Z<96jBt^$_R5;~XLjg-@fW?S^PKyxQfo$9zTxB3## z$(w~Lc7?i}E%E)Y;6vl@EYg5Q7Lh~MT%ePQE+KjW(ELfWJ!d59{}t}QJYs4gPl1Ao z+{v#&&X17O7(AJC1-@moNB$<{Oht}4hH4?6VLOpF2WgrIijTS&;k}T+V19ANHON_o z9BM5WNX{_qB_U&oY&i<;ptp~qAC5ey{um6^_B;@n8e{Go4M4Dylc{#W#GXi^`Wu2gjdNmNR ziF!r2&dUi8-8wHP?@ElZxUzmSu(+~50(a)PvQFQOxUxP0d7`W{hEbiDQ=ONSwb6fy zyNk8a6WQNJ&k^%oN4?@ZoR1+Y!OucvJrB51)~`-b)_*6;I&0O|lz_5M1zkLXaMNWv zPST;W&N*QmBM&X=6`cm$9Nvp_=XlOq{JORDS}ZLO{Ym9AAc*6L&M%bLbob-NciUFLPEKO>nf}uYvA03`YESZvDMf9h&u56)C*4dF7!0F{CEfz zqpH_n7(p6Uy#j(_RP`sixqt)Z4f+*+#i;5HzKS4yDZC*}b)r4+^+$Z_@ymC76III_ z@DUQksOk-*C~Z{rhW-p-7*)#~_978R)$&e18(Dr5)reUq8X;|xN8WufGwLTjq8CC0O{6+(OU5t;^8Gxt?h z{S>27Rdpn+jjE~>VQo}Zoe68Bs_H^m8&y?T!rG{+x)Ih!Rn?tvrZK9jsy284iBVPc zC8&+6svlu(R8{?1=g_FC1`?rB6(6S;h@>IbsH#TJBR}b~Q3SP7RgI=pZB$ibu0Xmr zs;aSUIE|`g75y2$_z8KYtDAs?dYYQ{2Oy%Ssp$l@o~C99XK?6&B(0~ZcfZ0)4WnwQ z9YV>dT56{dQcqLw5!8B`djBNAT2E8E2x~n}eQ+~mXrrq7ko4N9sy<=EwNX`lIxd2~ zltZ)BUY>KLjjHNjs{w1Hs`}z3z}l#)z9vs?R8@}4s%fLDa$QQ$-snoXn@~g>RaL~@ z4n!MORn#Q|ZB$h;DWi?5D$T`uT4+>N={Tmt7**9V?&rwSMpf0w<;>7VRh1zOU{s}S zmdKDVwl8BSgk~@u9h;4;FshbX<1t5~YN;nYArPagddlmJTaPxXhKP=;6(ZWGs@6&w zZB$iHd&lF(qm8QS8OhN`RrRd55Ms1ZRXr~`+8bT1^E#l6Hma(Zy=qie8&%bMA=5@x zwLx;UQB`g9x}l6Vs;WN=nKr7bSEM=GsH#5q{)Oj~Hma&GJ&xW`Pg7rcy?}*!n)3XC z;1}v?D#dru@=#AxQGX=zL{Ix6nqc)bj!5ZfHY}l|1r0m;Dr7ZbsdPo_OQRZeKSxpm zIg`wF`6+2wlp`!ogVK*7LGF$mJ2IKzyx0SjpBOwes;W`$b7+`0s;cpBTeL)ss;XLG zZB$hgWu$1Msyfr?M_$ZseLIBUFkwHU{ozNS77Z+p=t!tz7%SndkU%oqbh$c zM}e@0$E{PZc~{^Ph%bfO;_(@vjjC#^Tqtc+Rc{ED_N7p7dc2)#UkbHNF0MAJs<*re zD6f4f)Z1Q9NYcI(>K%{MK>Jdt?Sg1u3iVe(v@eBv*ZUc2qkSpV4)1Ot+LuD@6gk?c zs@{__+Ni4D7exC~s9l0+JxzTeh}P58hk|H5O?@QXU{vL`r%34#MAafrse&V_7V%#j zoKdyZ9`AMF5>-omDzJ{Kr9Sg^Ap=phc$Xn4JP=ijoyu_@;>~ue%`y!|Pg5UzydYv! zReL?k(o#z?sHFt-G)_PnZn*eNPsd<^p2j(0hUPFy?v3v#hsR_9QX2lfk3qGF9Lsx3 z#9!XZcQWZ9s%HDV_eA_bCnAMzqH0c<(jO_#<*0{pHbTb?4N6~!3d&2psGy{DM1FXv zyLY$|KvA5|o?+O}aAh`J3{i64Y9sbp#4n$Onq;N1CiO~fO(Ms^`Jsv9Y)1Y0SJay| zikx-cbSflLFuM_bcg}nt3hwpp{cWn?xzI5 zo%?Cs2EP5=PmgRscV6H+)0R6M-C)^d~Nu5JTgd>xvv{B|9> z&a{Qm(J&1+X~cz=N!IXhM9y^o^d|N z>wZe4&a_3tQFxK-zX$cAL(u!!w5Hvtt330aAs^d;Sq#$V^5I&G@9%RW4|YS zI^mYF)};9%;iA}f!XpV6$37!Gif~EnHP&G?;nG+yrk_E$RqR^w8AG@%wuk9s375xS zA^kYQtz%b{W<24FSSe{H5N;FOM!1@AWvn~liG4p44+C9>J~D`8T@!a0nI*JD!W ztU^-hd;pIDIGw;B0W1WN`y|ATH#E-}nyrRr4}iP|HJ;N1$|M76)G*vc#BY!Z1=A^5 z4>`=43l0gnlbD1lm} zY;YNH>1~{?3(5-MrI&)7JsJktK;S<(oWlCo(jmw5co9gVo6w5G-`&K^5b^g``1%ls zWsoEDqlHuUF$o&Gmmw+QZw5tKclJfoFy&FCl;6*kdShLPj#j zRyN!?wqA!hWgJ`A0yd7Vj5Ti@TRkW;#<7(=tz#>zmfW$Gi?7zPwJ{Lu*h&V6;Mh7S z@r9xk6}B%FoEi3of;|#Cwz5Qqe6f8Qi_ie$r8XQ{p$#^&#$%4yU?We6C#j7Haz5&5 z9b1WL8!Q}Ki6nPyrNe1*$JXCKOmfH8b5O=QwsKY`cWh;jb!?rAGRYlV*&OTGS_U%v zLc!4+Un`#pEWTEL83^NR<&|jPHtX0*Z#}WWUJcgPv6UlI99!A2gpL+erhK~k9kQCR zRJytm-;Gw|@^B<2kTc0#m*+{tq8wpy8kGJBIdXU8*pbPEM=Iw>p}}#Z2_0L%M8m9O z>siP*j;)043x&)b^FkpP7h>4%bVZ(aKihp|KpSjiu1nbNXCq?wv+fMNp&(*kD2Uh> ziWeZqzEIHGXScCDODN;HFHdx}6DmZMg z#KoS<2Oe#(kv(2IhMU-6BcBSaZLkPZ*8&-^!SXIcPp9aTL6eW!~wVv3P5miPcT+H z3Es%Tpl*ZK5d5`64tf-gf^2-e(7a4W%A*$(w*f^P^1I?Se2Qd<2! z*|~pNII+vYE_(cZfW6os2{(TWu!_wl+~Nbk(O4^#Cb^ki%hyPt4tHn3r=3E>Jht#Ry4!flw|ES5vKlIfYT6@=R| zJvWv@xE<3AV?QC>o^VO*@08ntaCvMs(>t;$l}?mNy8udGx=xGR8{)ZKvdiKdXLQYn*1a1! zq&EAy($#0^i-MQXOn&MuDYy+k{M5U)(D;d_dalz7^-|8}*7(+X?H8bV^(gcaCI$cU z`{KHW#_utifRA7p1_X29p;-~EkIF`cfDWgABXpC~d%ovv$FEz#F;Q-&b#=Ri_FBAZirkjG0zU#|o@ z_clPg=CFHVfbN=AoKyJAzqgU%g8Or4rMf=(U2f z6E6aqTFqfv#eR5!sPt)H-aNhr8f!=5eibM?`3l|uh@Q+5p1ueqX%rr)65#a_wVd!I zN$CbSg`m?8kaF_d*gpIjSa8%03j45Q|Nmwm(iPfo%w|kkfUuURY}khz+0rh|xB@M$ zC!?|pyYxs1n1b+!OZP9|oZ3>dw(aAL`|+pp*v$$*WV{IePBV42d2L zdw)_qcQk`?+bP3=+&L0hgU<~dY!s+^yW$M zX1r~VMt9sAgtrhbUj?sux8Vgx-Ztyb(W^U0FB}^CoukKdWZXsWPG~Orw75k)EiU^) zBr*-Jxjbktheye{-G$7}#G@-$LO^zRNt_FPy}Qfln1>U{dJ2(~!^3LaRFBE-B9<6O zlst1mrJ=l8NKEsX4X`{8gTf?_k0(P;ePNh~&tq@gapb;kq37H~p|!{0 zJ*+_LIgZu52Krn9!g-8il{Nq=L~M`=Qor#nr=r2tEPf1>_o5D$d(JIT@Mw)MW;nrO zybMOOoRaD=qZlho^CYV^vef)YMK0D}czPwVWF}8)6Z8V2 zXxt6#*t?0~c*7egZIk4nSS_&~#1Jq=kl<<{<8f%*&2o)^m9aewt_a)nXbS3C&W4h=fepwnikmn^it$)}Y&3%g89|r-{9!SnWhQ3Bm zvGEs!bpe|36mRSg6FILM*Pm*()94yOL+ppdF6s!3Em;bzB|7ana({vd+W0(6y-NgF zU67(BK+rKSR3oP^5p;|oqkt4OUZmTyBW%k&q@9K|UP-Q)nX8Vow49Q~VMb!2lh5On z-1jN?N#sw$uUp%XZG_bKS<_1>74`X$G*1I@Dhk;z^<@GT!p&oq6#5AYwL$Ib%j9u~ zR-#P0&}1!zu>|ZIxa4;MFsGDpEH|TU7b&{|Wy`-t(zD1ckKyXP2cT^u0Pf(Dy`bY2 zL&X`3hL@)X#VU9zl-o~cZ^IvZ&R#HgYlEZkRwHw$pUm}Rt_6-UsOc(+q1!b!23UK% z^Y_=ciNUP$0IBk9)iPI^VK8)264JPPzO8e>&EyJ8{}xipq?| z9CCl_{vMZ5=ItFIFXs*EB>+xmj+xA#;4TEj{grz(7|2-pfMgs!9`Nc96k%c!6~9hj=a`jBr{*~=Hq)f?v+l_wlM$Hee!u1mHd*%;LDi?JiW$g z)3kN7id<<>c2Fz_X29SGIc#XXZ2+*6&yKFBmx21fB)!)kEVQNN@&@J-yd;;P4QkFy zpy|#ghD-30bmMKn*s1FUd!E>2^teVpA&RX*8asXkF`Ib?(DuA|8_bZ$SllRM@p@9u zLY79?l5!Ttn?~K!IEFX6oWa?!8Fl70E4do`iqJ4O;>|$y<(iE^rd+eLX;~_{C!z0g zr*%sCEMAUsV_B~DZ5Crl-PtVG8NmGjx}FH&RRV(mcsBqT1t62aj{tNd!1vJ$2+RiX z2LMh5k9~6s<&pgfM0_D*d&Cb+#}<0LV}A)!-Vik12{-C)*4ScTm6%q=!s9Js=;ji6 zgg2@M##@3$D+R>`(&$M*JIE2er5^9qBbkkRfX3Dmqw3H~REM`Uiq6$|bKQ7(c~VU6#^PGyT{aRRmRx}nL^nT5!d?(GAh8*`;=F9s%EyMh3_ z_E!YhwVMdAYriDGu08D*G{@<<1YW0{L?x@2nAyZwYfi;Q%^~wriAJ{@S!}#pdpkRQ z491quxC}hM=g?;|>7uP`oW@{BGc^!`D)WN{kH0r=svDq*qp>nCtV-l5#sx3{Z`A zBZg*ZY!Weax#v@-S_P~^kr zvsgrR!th|neJ$)hq$qUJ*CML^U8pMr`*K=mA7CA(lZVD{VlLz<#i8)NV3;BrZGJa1 zaobl)W0j|Okqmg+a?Nsum4egQ$V$D$hWH|?<~0nJPJgnKN)9VMyJefC&T z)M4$hr~>`L_gF~n58Wl|F!xyK3SWmFiFDACzSCHLojr8V!x#vWz66a+6k+2Mbrj}` zb%`QEBQ>8NjHwX%1~#~|3(mE6BO~!XVO*jJCUc28vU@C~kMOwQXfQ5OfpS8ZD0)}{ z{1z@zccEl5mnb&exI~>5ajZ)e!#^39sK)^tm#9|&8 zKw=*Qf-rC5ni3i6UZMqKH_RC?fm2M2$xqzw7WJ>{O0(xo;ow@FC$yKD6*5&*KF(SSL#s z&q5Wu6*Y5fBX|^Px1NQ(C(VYElnEtpAvwQ8gwaD5lIr+-;56ZPLLoVZa|F=rD%@)J zpmkYtY~@wta5mC&M*S3r2%!wTbpYKB2tGLyd>J=5dc5b)h3XT$Pbrf?@vPKk3F`vk z%bC0$d_?$i3NOCb2)~}06N#P#J=wj1GG_tJ9*eqM4pLp0T9aPD=nJe1y@F~`wy+!t ztdi3*!BxJSBN3{Zt@>_4H4A4< z!Xc1g)VHLenuYM+qrd$S`^RYykYh%!O^f@3K5s4Rt7_{DSx|uvP?|Mu6k)ht72#ta)4YQ64Lyi>)X5o2hFdtTUG&on)rK?pY|~D zPl>-T`QwPE9tHdZ$^V-8O=zS0q2#yt1*$)O0_=|;OMX}4a@5Ff!B+y$d58Rd9-FiR zi$qIsi6*1@*>5oM#u)pc<1eA=K8cK>%y>^{#31BaWMn@d$Fo+0I?uV$b6O#nn_cH~ zwqhISZHhw;Gs?fEAkuz_f9CS;;Amp5Z9Gd71pV~0(-h{N?*J}<>#M26= zqtTSNQPOzw{cxw?10<5+yK%yT6}Tcy`)52YZwlJQv`{D}Qwf?I<*BFHNN!1;)ODSr z@UWPpk!E8^V;QkH;UJh|v)jOJs)|kcY4@YZT%CPhX>Eqw3ZQ&X*z^X^3WfyD^wE!)^>_*VVm7 z#jW5}FRc~)h@5z1gEPvBH|+1jc#T>F^8H?;m~@D*QJfEnuTjMRhrC7&M^(Z|LkD|} z;xoWn!N1LG)MS*m8-s^ZCv2F9ryn8>aT?f-!9?uFU?O&7@c+VtF4?L7#n&ixMkXHY zFDyeX=*n0P$y_nd4`i z36w*l>7zj5s~|i&1CdzU#pE#xSdIj;F5xSfJ2*EJG9nlVp(Pw!T&a%cxg+p1f-ivF z*xX_#-;DaOPNP7N6x8WL@XKG7!3@^P9hxsS>cbkj!wRH6eOMoNc!3<0Bjv%g#hIB% z97Jx_;C88SYYx3gw{Q@--G++l77il6&1{lz9K@T3`i*X(@aPOgS~#37oC>TED&GjU zujM`9-vF)SX*YWREgI;Sqj_!x+z*jUC*=dQy~Q@RddecykCada(dq|nJ*TjqH$$AR z=M>iScSNs(FkMVN26T^bVQce%N=+|hP5S`T*J36gShyB@!`dFGK0`A>wve2zuA{NlGNR^I7>JNu>|M~XFkTn-oPNqJ?l13WveaENEOr(IIyexPVT@nW> zGH4rqeG?*S^u z|B8Z#ea1q3S%{pz9AKLAe}`HG8J7St`#P^CABMyU$_UEKLLjx*FAEyhk=!+`Be`o> zBDn{xm!l-32zAD9v>ab|;3IX0pxvlIZqW94SjJ?{C6HGp{jv~rkj|G#?m1y6YsQBS?*5+ZudB}DAL&OFppuek(I zdne&;t6gS-XM~$xa|xdH9)cL{G7~&6IeN_{Sm*H=KE37=yzFsSYL}T{y^!fOmtceB zXqTB_qemOCc9{wOEM$7kC3r=eqg`f#&pmqZ=$D1yOOK;BbeRdh^3DYoy37Qge-Q}7 zC_+JsUxb!#(@}(isJ{?-A9xs$3(y3+=E4yv3nXkzVgVNiPAa_TO9hppc%yND-H#qKkj3N|_a`&KNI*L#*-W`K{ zi6RtK3#_9E1&LuvgEL)TX-0BqyVDhU>L^0N9QRf-U=*QXuE08qP*5X?jv^GybI(Op z@Up<4RVWbFQ1*2`42zZ7*U4vqepv{%%7xNvF2NffsdN;f;7yOWQyoPp(C4FTmzm%# znm)sqh2U*(G9>Ajh2R~J(?Gv01ltACFAKq61<@}H!Mon?AxB3M3U+uKfaoYf!A==O z+GQqqPs(VQnc#gvw98DeOAzfc6MP_uc9{u26hyns1Rn`EL=ob(r%34#L=hrRse&Vl z5b?JR&L~2`9xsC7CTlLirvmFWm*6w65i+pm!n+JX;SsL6aGZyDv)#dFnT8TYDEQdp z1(7I1!CsHDv{WviNC`v{l5;S5tH8x)db;8)Y|c4hhUQ#JJ`dkf4v*^qNNLaUi{U4e zQg|y;TBylTM*NlyK{bwJ!S6@#EIxqK)l;Cz^iPB4Q18AgnOVVticZIsKCC@*^n&rK55=a4$h+hp&uV5^I zA3P3VGJq)n3TFa%0zk{lNP}WUg<#bWr3x1#<#p1m0Ps11p94sH0sxO*YzLtD9+Y?& zSD{3f6iTpv=`x5dZG5Ze{0+&vHqC%FmfAdEYBLmtr8YGLSeumqTCp}x8LLuWh(Zi? z3eI#@Dsj19Fbop-o(5(0kC|wmQoJseARPs1Zf!X(f5qJtr4+k-Gnlra&y-T^_LF{# z>|MM>O7TXz3V54wlKm1|-Q;)Rb>_La0;x(KXnz1cVUpvoxJln{@84)`)w5o>@DyzF_9%ll|k$-n&tmZrc~wkamhUtu-&g)!*eE+ikDj#O<`hz+OY~-TTB| zj@zN?#M2yXD5HvoY-+73FN6Ph^6w!0_YiNy`gIiiy$!%6f>bBL=WPW3Ys%{^^{@F8 z)~RF+cax##FI_yhqYT;Zir2tjddHl$i%H)@aR_hN1bTUoJwf%5{Oxl(o{u$)UTTn_ zE9Q3U48W}t_D;LStji!Z1!)ogo-E&Kx0l(26{F}z{1r&)+@=&M)zL){V6*4Ye8A(Q zU7n!K;z*Y)QkO)!G$WryDP8Vn`uvoxlO{swjFg(YJ*RUKY2Hk!yaR*22QGi}`e>xM z&OIxG=bp_N$&cTTnFJEcTtvO`)ey<={GF|C%~!{6cTKqF)mDpW%uesrf1@~9E=4`!yfX9Fw-Dd5X-!5+vz55tLAaX|z1P&} zOcaqC-A;gg`!s;=B$FEL0H&LL>o?X&ge-=Tatdh>Jqk}HY4$N&Lm`6*P{>pO-AN`w zmIKp5cB1sbM@bKk_b!-Q9Q^L^=u9JIwGr};5waIRPeUq#>c3$G4FZQl5Htm35a75Y zquY$2EHIHS8$^JunFye#Ar(OvTR}D7C`h`k7G#6K3f*?%(O}C*&(%iI3r5g~0J@V* zgrvV|TGMdVH?>BD6k_B~qLAUydyJ4WFp<`rLx8Qh8bEiFiIA06NdNe_$BD}axJlH4 zsD>i^Xnow!!yof^su6M#m`FQ*MS$(t zOd%u_A^)^O8vgQ|gvc1X19g#0KOy?65z_RnP)J__6fz1xPeUq#Eb(l$<3RDNF!wR5Ut?4Fwe*?VT z739|4r(W+bT{Ks#0X=+50zR{kC~|{^ei716a!zRYh_3m=KzVnaFKs|s-G<@14I`Z5 zLJ$^)SHu$G!i+&%UPIxk-2lG!oxXG}4`xe?`n{2fw`6=`_xDS|Lv~Cw6 zw6?@U$Va1RjQ<2-a|k(Ma|k)%Z+8eeOB(+P!b(h{k&cA5Ni@=lur`TCIuq6=(MT7< z+9Vq3N?4mjBi#sVlW3$n;Y?!^jZ|^FVBIdlSU&78kzH-jH^zSgnptQPjeMy4asp;W zUI$(JA}LwrWs74{J9!WR@u;&{fl2fQ+{Oroy9On&`u~t!gyF_G-dra^8S3Fu zaqNOwq}Rp^x$o{nXkpyQS4gT(?*O4itdLaK0Ly|&tCU$=fMjn&>fbQ^a>NkQn&tnA z^xQjsoplSp#Ro>LVt87Di-&NwaeUU&?eL^JS^v4g7k@1LzwDB zhu{l+wN3?nuHi zcO+q%JCd->9Z6W`jwCE|N4m2^5_Gy#DOqnVBxtr45;j{4S?6$>J2H@n!aH6ze#e1G z8e*5ZBO_ra54&s>K@%B*Qq9&v7|X(C?#Ng+oXgx~6~)AM_z8I;4kZwKSk7BO!ozY1 zn!|F0GdOTG7@t_4eyb155lS5yLI@9iYvJRNk!))r8*U;)%#ENg<-qdD-Uk7j$PgO= zo5&E1>|i28kf)6d!K&HF5P7J*-CFo}6tR&ZJUsPmWC${_ks(+n`PRZtXutJ|rJs=X ziRH{l<`c^j8S=&UWlTj4%$ijXWW^85VU9U0hZV4g<(!Us+O35|?AAge$+s4E#)!9( zA;`^cExa9KY-9-L*sX=bQO0g9ItWPYL@U2fQr-Ai}C1QPI ziCCZ5MY-9)`HZlacVVRrPo+70~u*^-I zQU!C!#IRa$GZ$c;Snx#bDW2`9G1grXb#Ka1u=)^P?na; z{k&2F%iNrRGTdq(iRp49H#xSsSaH$xrfhN1m;3H=sT4tELhZV>JgVyfOH^b!D3;P=@j^eIy7&LfXo zxp?7?m5XqKM)W;dxhU0WMAu-Fn&Siig~>#X=wznxA;gbdQ0@|;PjfndmtVYC{kxV0EiG&bNv?-X| z+ksw{jIz%fM%h+HG^gv3k5$zKzi)E}v)B|O#MsyC^mRuuZj@5|viIqieRwH6V ztwwa@V`bT0LL8Bzz9fs4#TsF>A8M?uko;y;U08C2#jhP0J%t3>L&>otlL;CT=f@WY zk84C5Fbb_k)D@2}qY)8Kt`SKfG>D0UB3+TE)rjVhL8uWCwi*!;s}T)HMz~ApU{)?Z z@ebF@m4_l$Bbp4vYD7miRu<)0jfj)VYD7e=MnuGFL`1AcL}Y)Bh<4HMI#w1t^}Dlj zv0E@E4je11g_nteu$Xk2zc%}Up2d{b8c`YVLj(T`lp2w^*k2Az>pT@K1)Zk~1^|yS%mI@RQQq*#Ol?IfZ?#dSk*$O$ybUmau;O*UUisZgEiY{m?4DA6kX` zq4_og4!V*9xd#Mbt+4ahgyerA%7w*3(NcDA3o{m@QiGzY2CbPxo= zYB~X6YC6N21}7tjAKBo3xci|w8jPk>7gj1k)1hi+H66~3WSS25l_i8P;+8(6>C}al zihSj9e8z1*e5;MyevZLYJZ}4;W4G9T7$C~pe!k6qXujG#!wVr7hbFuXCYkF(Q;}#o zZ|y^a$@C%;#Mbi}0GUjo?dN~Ue&}&%MQHoE9(@OmYUpQ#&^$S z$A5nTLhEc+=5^H?N4PsryYT^QU1K$2Us@ETwx%OPeD$ot?^3Jq)?zU45)Rx6P++@?Aun}9Q{%-IQP zJHxa&@wB4dNK1w2qP+kz0F*UDl~_{qXFJPxv6Eh62NWU`9{XtL7Lc@N4_(O~`WUsV z=z>gk2I@pD5PJ?1+`KB|ZeEp3GH?zHJ}Hm?KlZ)^POhR_|K9F2@KgtNFWPI3=kkB69@tl5F`R(BJe~U5fqeFke7$-@)Q(QAPOiTD9VoD zA7n>_|M#7$y0^M#GFeCfpPl^X_BplJsZ*y;o!W+*dmWRWJN##G;$Pxuclh-+IBSZ( z#4)b36~*$LM`na7;y<*C_&PlJFR?aK5f{}1-cvW8w7Ocp8M(_fCte%ZoOn%-v2ZI` z{F&DhRQtc^s!l&V4vcK#KJUhO29 zzuL*OFvbSh8PY|qc1p;0S36fAp3xi$n?3z^A&<89f3f0bwR3|Hd8b!9c~r@)c0K~w ztaiQz*sOLk&2Y7oMQeMt^G!qvS3Bbv&BOg)OrY)kUl*b1;cDkTsDHTH$txW~8z6f| zTQ)$(sFn}wSG^V`FvGxjct!ia=+ox@uftHzaQ_#PaQ_#Pw)cOHg{*6Pwew13)As%^ z-mDO=cCuHtz1m5iaJ7>oS=;-+Ses{tC&2Y8zVkV$h zI|+xYokYUbPVAwf4Uj)q!CjY7Y=A$2de){5kPQ{u02wOW|3z`y_WrLkkwLiHxfnTx z`@h%?!u?-F!u?-F!u?;jAfHfk`~{G#D8yaZ3FxOxY_?jINbkL1U|(6FG?ALl7`;@MLyTK?EhjnH2c5UAZGs; z^HRA^H!-mPiyctd4UJFtGLL<2FZKz;n$6;TB)&=#dHkRVYUf4( zKL)S_ks6>dei`36cm%Tnhi1>j_t5wToM`Vo?{--A5bP8y*xc9#XsVZJpD6O#5*dDq zwpSyHPzO3BDRns_^nj<^@;rR{T?(i1C{n+x04ybNBY-ah=zkl40rw&}Dd}_@1qub1 zT?>$I8zhNKi!@1ICrPeEEFsD3B*`1V2Fi0rZ;^Wa{s!Ik9)zEXzk#Brzhm6{jKx-L z-uTX1Fy%ok>FdbmaX7l~N||OO0V(Ag0xb7s00uLJ6m`fTB*LPCf=a!O6kKLB?Dh_h z!@tDDVf|1S{sps#n|G0t<71%;ocfJ3AIW;o`ArnEV)i@`!GDE=tGo}A|E^gV>9l*& z>{*Ue%<=5O$kDr-VC`Ij4|W9DQ9$+XCC`22@8$b5-1J_)Ed;6E#%Ix0?N0fB08;$q z?B%1iyN$hk_aIz&wzON^oDgcatT?q> zCY8JgAO7hy%e-Fz3AJ0O3`V;pn6KSVg_F^4d!w%??N&mztKE_C>imyA0(FwOb;gc1xsf?UsA& z+SYD=glyW@ZkHfVsNJ$xwyoXLC)94gfH-Yyx2#U6-S$ARP`f4fM%wKvV3Bsa9swim zwh!tTX}4#=4^4|PA4!LM`N)x?in3xBp#|*;wnKz1@Tz01GH(;URoB4vX#iGmI+=F) zDZ})H134@TgV@i)AvqO3=TAHX`#c#toSC$9v1(iGI9!~cj z=>*{sbZ2n}w%mgi!a(N!ysa*0&DE_aisK%f*V-7yZ8 zK;M2Mx+A-x(H(g($LNl{Sw-EkGTg*~?#P2!a_k5^NOw&9sXL0nRI}!`*VMaQ0o5n? z2E$zrl?98xP<^6vAj7y~-U#hRRG;Atb`mgs_LV5kf!vRXZJYzCrFYM>pu+Gkagr>d zd(Ib-hgNUy)9TG2t=@d=KqiLE|oLqAq$noPdWT z$HL8MpUK)GuRtr@jHYk9Jq&zl)(+9n+O+?0DbB1N7H&p^y^)(i{GY{mUsh=Uk;}8P zzl?m^w*Tw{LDsf{^!;rrNL;Q66(r96+g6b16DmlBo6!n4qb&k|hHHn#;Er(ZkVv?8 zNF-c4EZmF+`w#D9+kH2q@rl;p5-tMHhJ;;=-&QxHO@-1E-HdiRF?BN25ihHOQ8{7YQyd+cN?B?KjS6Q6xP|_+*d^W;7a7;p_k{&ai(M&JN)Ikiyvk zsK0Mu81YxQg|h?p6RG~ou@a23;qEFT;qEFT;qEFT;qEFT`MaxlHsJsG*@5`S;GtkV zYu}|`H-NMIL&$7_R?~uCx8)${Hp-e*#O>toQ6GZ!sE9{L#{3-!j|LQtL)d0wtLeu$ zKLXZuEVLRoL$UQb&~BnumGeQa*ye@ZUqv1#au1OQ$&PLRz2M$ccEb0>Q2-nwb-E9O z4}9vuwoM0vNaV(OImxjMiO9bHl+)!)$UT_F>NFwxJ@|E7`Xe=# zzdwOq*aa@-@6YldLyYC`KNx;D0aN84#`6DzsBG&WPBJv$RIRGc2veO)bXj}c1R!=6 z$e^lo1`5u@uqZOlIY^n$MAhEX!Fw0qjo|d=xmgCaYlo0d14-RLn)cBLS6Kqt#1rD!;UfjC6dk!2;n(vV1m#lx~sJY%B`6E zcg5)i4K)5!5W}yAv9fS_^#CfSW`BR-^eTmdxvRH3gr~95Z9qpf`}+&0S0P=pA41Z= zU?)n7vC$D}+eTM7y;?ZEs)um>B30q^D);X{4|(%{^Yp5%fqsh$V`s|N4Ai1Q_;p)8 zfNochBdeXM_PDdDy>+I#$*d+r$Lo&FDw)|Afb@{;Dw*9zqLN1?^SB0R z&(%ogDkRWrqtfVl8}(FFwF}_WrmDvHP6JgnH}zeL%J{rVaTJne>0=bOWYQ@D7kJKQ z6x4I?=mGJ$7@y}LM_Z5`ji$FkV~!;gdn38HdUPtLPH4uGK8<>@q<0Gjs+gM`jgefx zD(dZvRATF<(m&=;p+_uHk6_+%$_iP3(`WsVzQBp}?iX`1PyR+O2?+4Migp45XmF|HexMwe;#xxu zo^%q9W{c&f^aT*7>|$1-uP0e9TC~K#PH7{EQkJ3b2=OgTTkrx4o@>F^TksDfxMa~H zlt889lpev9CEp2Fz6y3qanFvGwA{?GLBAcLA&-5C^AUPoNBd`vHKC0EQFj4WNa< zPyqJ$oUqXivc_V07>1%q>e;2UZuzJ0ID3Pq38W`s}*v035@6wDC_4_rHU>b>in^3@wQp;M2Ja7(PBWiGiqs z%<3$W(eS;)F%IR9hS_^#&M46RuV->3DvXAO(a?;5N;DTn!@_77jfOLOatM&&(FhK= zWnaja>^kHAT}Ym7j*TDtuG4{I@n8UT92+~nj-2*^80^5Y@pk%iY0gjFH2ykrtCIOC(_W*D@HXxw~nGnagm+8lG&cU9R4jk+12ym?HPk>|H zegrtyttG&*?q&c^$3`UdG85t;yOn+%WXrfH(P`s-F(<>J0b;+ya5#^mbH~Mq(uqzo z_QI(H$KKP$Zv*_^ryqyTZ_tlJ=Mw-rUJb7e^y1K2TM91@ozn?$==>1@4xR52;LzE> z3;>7D3kh)O{1AZC@g*em5EJ6?IVb}^4xjrI;P5$@0Ef>z0Lbv!fkWp*bms7xDhI&f za|i&ZQ|A5{t~Y=x#T5I)c@3RAKLzJnICt0!PG{4}sm+uE=RkW2li)ym9RL|<0um$PTPv3OGE)n(n#5KFGq%JoS`Q&o#|FREA?S!Mq%a#U|n7_{rGx8}J*P zL8sZAjC%Dz&mlTT&}^}nSiXL>6Pqh&+Vz6(bb#-ng1RVXa$+w|G^cGv?9_@?%}(q< zXa`P_SB+rvR|0g>OOT-3ozA8PZp($JWHBaS${7?Y#sp4~VOiHHd67|ia_;Mtj$eee zu3n5#HhvK-0|G8YD4@$Vnyqr3%o~i<>rw>#N;S}@L$ne129{1>GQB042~0-7$sLf) z1STWs(?Bz6)X444WKM?&$z(#byfXsNWHJp*rr%zIA}K)+R49@XbVV1$UCFo&OsY>; zpvQ*jX)s=wVJ6&%RJj`>tNe#7?ZErd#N|ga*ueX7wx)c(fR__ILco_E2H2zmFzl-m z?ap5T{HuV?1Q!ap^+ABks+ibTxK@k;4<8F|seFgt{n_?T#XLBk89EM7j+el36FN@j zXi13e@Ou<8do_~D0>KUcPMOSh2C{Ou_X3AkG?qb%z#~&7#bcxg#bYHrUe1j%wl`vA z8-Amb3y_tS++p;r*nn7Fay^cfSQjA{Mbp?^&;Jrn?;+(mKiBgb@m#MxDRZp+?xbhc zY?PhJS{@J6!>PCgCAk|>D*Lfwd$VFyPl5PR=)FmWze?zA18CF(LH@uPUnBkY7NS<) zk7O)@zK!77EofO1G>Q0D##c9xJWZNBJ##(p5YHZHTozzLuIEGatXK@61-Tw>A11j8 z?88{=b3MDzv#L{T3JjqKda~!AEI)~1M1Ss6LfyGIlu#>sD+%=;9-MnAi4p4@#MxO1 zLS` zeFLbLT8ukxZ=gzQ5gk1UftA!^;By8GN~uNkU4>F=5&iNI#8py@ahDARs-zZCXE@?g zYO&_!BOtZbgPHb1*RD_^g$?9XuKr!jsRuAJ^cbK#njcXfP4rM*Lp;i_MlwgiG4nqp zet(u^G?I79?|}!Ulkylqk7LE-ad>Q@#|*HZ>XTQ%BYXc7YDia|X>XSL2}W?r@!#QT z`phuK7)YO2;gjhYqD3Qt#zJ%`(U(~u3A~o*))1{91+*nZUnjaIL{AtE;tWEL-+~m# zh+$C@`4`+XVp|k+3bfM9f*vM|cZrI*OMJ)g15_+tf^HxxMlV7C0u;4`sZr2DV@a>Y z$g(5)c1Fx!;_+>GWW)j{=!yFR6(g9SkBkEBoa}ig=j>P`u%}M6giq` zM3KjcMif~(F^3}e0d*?J{vqZ}L{$c}=_9JV4^N9KTQD=QsPco!KqIO=Pc)*+dqg9u z95MxHM3qfMBdQcnWhs_DjvJLwii1!JE$2y-jr+ zR$T&mTFE_6M~u>_GNV|1;63rl6XSnEXwP|hNhrp@1K#J_#xqaGoQ+6e;<=E-yQpCz zRWk(SDVpQq~bZ&1(~n4 zO-V&*o07^&l8RP1>Eg~RSL&ujn_I5LwjlE|khBdf(*ek;;wCip|00G`-uj73k$oJF zwlxQH@LRX2j7gE9se*l{ltl1&Lbr6}IeqXvEu)fZehc^%a=5 zhK@>C&o;ra>R%+m0P@AZ5WA|Z!JrKXAbdUtI2a>6XX>($1G*wS`}oACV$NF#f7^Ng zUVVH9>nnZS)WB_d8x`LL4q!CR0R&fpen6?95#0h*D`+1Ab!Th!Oc28Zl7!*`f~f-` z6x4K*s5?)qWfEtuR>}mKMLkM-_jtsq*npP312J-V;8r+p4IMQPyp+oWvk}|kfzyGu z;(<3Xba>9`%R?TRqj_M|(=c=-u=sL*D64l|+<#8g_2NF{2P&kdHg3zqsBoyRKMazD z>iS93+f>&Xq00qIY8 zDAjeMCm({iO6oK2twfdFC%SPa;wp7r;?5G3>N?R!6iRiS=(yR4tJHPIojwPsQrC&T z12k0EH_ioXHdMBuu5SSs)PsKc>U#M+gchwl(y?zsD%lOs=IGeWYAf8qy<7gIlA_9< zqu{ScQr`~s`2H;Wvy4){2_B-yi{?Lo9yN#3<7#;DS%8YgY~X>sUbXxlxXlERSubwP z_&@~CToj^55UmQ)6NnBD(TjkVKaNDsqgJkzK_>D;22(0B(c6hC6`AP2h$>}}X#G6& z*GL((6v3hJu@u1*h(?OwSwNlg_mF#v8gP{RHyJL<{aT_??spN5a^DKH?cASbz(@@Z z<^zp#uLFu132Y7L%vcj2GqpZJzys z#BfpW|4TH={ZXP(?k@suJNLZ0f53QA?j?sY_e+pF*R3;`a0D4BO$m?8jF3HsGF;V7 z2xo=4D>uwmhHHnJkWi9%-YL_yGB@~y}}IS!1U zUG<{!d=#nasV%AYEAebZSva$OBiHk3dR7do#&i(bS+O$5Gs!DoQL%dGdUmI0)ohR= znkuMI_Jw*CC>l_)ue=Q#MH8>BITYxbq zuyhl3h40JtoJY@!QHb@YT#sWU)=h}TV*fMO^GflohqaxawJ5zsjh~3;McR|^p3goY9jk0d%B~LCbm5ubsQF&au&PdvRksjosR(F226Y5OPi8UroXaG z)1rJIP;F6WOs^iOvM37*vxK%N3ktJ@wkQiqvxKoIzl7M@qI?`cZBgC;&?$ajBo}9F zreH02%GE4|F7Y)O6O|d_2SCRVRU(V% zIY7%HAbQV0l6L~B+<<2MDtxkHuW>-SrHT;F0jr!wJ!E z1J%RH7NEBgRc%A`eI^4A=*_IkPh+lqDsDncd=s9T!Gg2d4sS-Yy$T|;r)AC+cec_g za7PCl^!J$42|!lt>8e5V+wOXV^qd2Z4%_Zhq(WWbYDB&ok&n1A>S=BDF0~iA!DV^c z>s`mvKk8k#0oA>WF|FPuXw%*wRaRcA3Z3vRd5i(31`1akYUQN!)=F#`OmBDTsyKoPeK?vBN;r z$^<8;PQ?Kxjvg|EwLb@7aTupHoT3ur_F zen1+jrZdL{at;UNN@O$snYL7eqTchNTFdj$8E-+#zIO%+fV3wdU*Ed`NI;ha=8R6f z4{4aV6reZm8i2{J2$l329|D}JPs4dUK_>-;(eWk__K?bD_)Wx7et*oL0VZDpg&X`C zOfq#DKK?-r;iN_}$iW*KB=s!9_)Yi1w>b5c!GI5W0&pg^mEmW;hi^9ZB*V|DK^baO zyxGp5Jpyp2)Fi@l767hKl?(wq_ltmg;Hf4=DE23CSSwn#{6xo}NYF`r#LOmr9k7?$ zmx)g1Zi^&}{wRKZkDQ%I(_;z?otC{A0E+MChXpRClY9{TVz~O=75S*g6#5&I+K&3Y<nko~R-ztI!>)o$No*NWnVl z?an108SCm}@l_q<8KlMz@+itg9$oxj$gy4Vr*=bWDVQtslsW@BHVi3giQ!$2;>9vj zZ@zsNi{3tqMQ@+QWP7xhfW8-aH!ua&nF8;2APKt2iz)Hw#gusTVoLm0wu8qPQ{uOs zMvyP2#BV2$$%`rRJIIXkVoLl8R#je1iOY*A$;sWY*~RPdI*69yiz!~GXAr_keFbTF zoqq)|mih(Zy<7zGQhYJR>q23aO!37Oul{ccQHRy_k~Xiz(i4y7x%&#S~Ayn3Cd) zDV};UCB+w0JoREqiZ7;kqgj<b&w~^s5jC z-jBky>_ODhoz7HECwE&$Kn`ReYTW6pZ*24GjJ%6EofU@^%EL~*`{yglcPj5VyVq*s}gmaci&_70{3?NHbO0k%LPS@=2(uxF)M-sHX*CTgKf_jkz^&$!CMH1AD zB&ZijP%o08UL-+1OM?251obTm>RS@jw(j+ z*i6p;K42v_lXHF!Sc%Q#+`j@gVlz469polrGdYo<5}V0M9|BflGZ~7_@o=-mW^xJ( zEt0Drk}Q=^E3p}amlB>5k_DHLa2yF(99aVu-4>hEjW;WmmpWn}W4u{UX^g5-erOsnxS1|{z)GbCDf!BnuR_d5i5s7#z+_WZ_ zT6Y2vS!42?4~`F|Wd|dN{-JgqB)^5ccBj-V$RY5GXmSNPgt<&X4t?r!2t7MT4xQBv zU7RPqoF;f(iC?#6DujcQL*fC^Q$f))o1!PadCTdQoa)5pP!7g7-+KDCMA4B*Ch%tA zn=gt^hg&F$nt?duk(P&(&!H%~Pm7|rP^woE;FwF1<@T*E@udhGA217FSU`vI$6WBB zmKl`gC0v|Pw>~I4j4`}ifvnyAnej@*cUw4h3nq%A%;Gk$PBb46T~;B@iRH&Z37(`d zyb$VfW|aB};bZ$Rd^6^pC5aU6O^2cME1E`dn1G1^0EY{BK3zu$cr(F~0zOV~lz?jq zjuvns!7&29OmH89&OvA&&$%@sVl7gqUil1)(E}8If5(W}CgsfGB~3t1$>W*v$Q7Y! zM)!>sEAY;ztD1Owe2LV%^%%GW-j({QGgN?xV|F>t;9rvX5M%eU^8#z1ex>3SMVEnG~Rh?-pE3W(dbbC#qwc+ErV9z zT?el~_*6o&;1Uv!&zR_}fr|bW6CK`f8*f%BFLiil;VMb8p30jARfe>{8*02)gx-V@ zaTR{VpcQyCO(4?5O5-#HM97oistsD#0VE$9>BFqbXgExrL8Ho`w_?tt_;p*#z{MHS zg2WIAU8kHA%h+TFgHBYw>?mT4ZzR)HQZBZ6WyP8!o!B%LZkbcbEVWw1BT9kCr1NcE z$@9oARirX?OD&d?>-GWS41lZW`~hYmJ)az{dzANf%sCYS4sQ`wr*i&Djluc`8eY%b|$XV8~) z%LF$4asq5~0AX`QVkrvexaDh8@Hm)==Q>H6LJveBc@D9Qllkgc9B*{}1z%-)UBK)9 zLV`|uB;muQ#nZPjiA7(Bdon$T@Zx_WnT$msW|?;tkcyI5z%xmI?XQ8P z7vkeL6Lix1mjGTTDI*2)Ye@>3t@K~OycKWq;Wf1vC1mNMHIDz11S~vb>o^OuYe7-J z+9gGnsry%ZfMW7CWi=bNdZCXGy+PQ%@T$3^8l$M^-w~rv_6f$nOBVjZXG{vu*pi`> z@gpHrUyXroOF=1ZaV*0E2Zk*Y^lNan2rVex5#d636`rvLd%ZxB@l=c&Cw7_g1Gq5^>$Ri9dczDM7)O>Z|8c1^PEZRLapUot+m{b z7}FuWx?ggIbR-LrFzl@njC=aaq&L4yEhe1Z$z1Io^X@lIut?f08Au znEn^X!z=K9&H#G8^%7$H-ECt+K`v?fx1Ue&VI=S0fwcy;r0G8) zrFx96e_{%OhpQBv1d~^*n?VN-uClrrSho4VvdssUZ9cGU^MPfX4=me!(Crx{uWVw0 zWt$HM-wb!Px)}^%J!@rkGZ;#DwYnJ$qkFxqZU)2Yu2wgL5p-{m)y-fe;r_C^8H^%4 zNLDw4(X0wqH|dn79`HQ?dUZ1)do5&YnzWWQlhKl9(pu6?wqDXqSxcI!Xh}0=Eor6> zru{@sO;gsAX3AR9Oj%2sDQihHWi4r@tR>A<&XQ&-TGC8eOPVQbNi(JQT9_qG5<;e? zDYc}@xk0p~$pV+sNj_i?Ye|#Nt(G+D7%geuWyO>wO?pR5nqlflSe7*D9W7}RGx^Gr zCLOqtsg^7WA!0WaQ7>uIKU&h1=-0pr-m;{rZ1YLpvoQR za(%21Ruy((hRTU&d>m$T*pYy=lJUk%0Q;PH#>W$Md`>*$6A05dvl72BS>US$QsPSb zbD7^8a|Z*-{v&V3Fr@5ry~;a?aU7rfkGzA$T;p^9k=OJ?_!j%z^Wq(HC*X|FhVf?p z1>daC{YTy`uEE#(G$VMky8!Owb794sGZApT&;3W<+~t6KIG*f3@+M&CzLVrKzc-Pf z<8%L!Hwk<69na_fBX2S{N7G!xRhhu!@RrguR$6VmDJ**t193ZIT0SgynojaTto48c z@5}f~NS0qh!jaZ8ar~okObaA?vXzxA@P1|jv4(khAdMG|H!H2Y?c4*nN*1F-Z$gN; zAAX8yzr{KfKncVPldLp|IwjCA4**bl3s}qTZy3vMxd4u3FbBK+nIT8l4EfbRkIh)l zYF~*E6;6iy>aY726XV8A&pG5IeATjiW}vbPtOvi6%0IeX7>4}%NzEwQmaD8OUJ@C_ zzi}3_@V#^KHN)g{s8wtldvfp=!8J6l#BaqX@hb6V;!g4aM2~HXZv~tZ_f6tnbYD4$ zGLa?_Qvt6qW_@qND}C8&Q1>$BsK~G$>SR(6Z|# zOfy=6C3*^>pwc+*{5c4fxmP@wIpy>#eoyW)4aNX31OF@~UXG1|a$j$9wM)oBPu3r+*Fx)LF-xB?Z}ofaIu;lT6s z)W)a8@??43Uy2fW1D4_It0v>b##dtLM*x*QEZ+DCV6lhA#}iccu=oVR9!&Dz$8Yds zRHW7)%ON5`$>Wcsvy-@y8qt1V1DH%)j4*!VVE7g%?jk(tOt@!+Df}sH@T`+!PzEU? zj?|f=e;Gmt`#b@MwC0+_n2O?>OBgV{3PIvW@hkvR--oc2wM20s!^AIuU#Y}tmN;dH zBaSo2QwzUkpOG1X6A?9%K$*#mc@u%&Jn?#uS&GK zT*`Nt!d8`UVuXbx8tk=B?JQ>5pQs<74x3?Z6%ufV@?4T1Z-PS|{c3-~SYy~ietbQc z*kPyeoV!4CEkqte2r}kx-dDGwU;aJhu>B>X9Z=G>wyn428kzA1@{4tHNizQx!1156 zpPSs>mS7zYfDGIKH`denZ;$2e{Kc@mAL{aci1JPa>1LpEyQjQfVNwA}@D3=iwoDc# z6&QO9lM0B^&umh`PM$NVpuU>Ej}l}02QlY8{MwU>g-T`6lZu6$5rmV9g~uq7BKv=i z$eC0uQqfjIn_G)0Rn?qZ)Tp_|SpWl%tLrt<+@dCLZozAwYMmN2x40aL^B6v!({xHG zw(j0riP?$&R4a6Su0q-N$oQ|$KNu|_@BD*O2og(CM5PeOQ3!t=SMSuyvERtiS5}Vw z@dVY%u|I(@k3w*&mJ}@@7~22=EX&1#9Hwwm)B=M2Wd4z&77#RYv@1?g3kW7%h^QG+ z0RycC6fr1+q%k64MDUnmf?7agpFhK)_(9NZR3>>Cb^Fxe7~PUwwFi8}V8DwBdnt~T z$tAe#3zmR=hvU1H?)9mg5IK1y;U1|22p`L28dBE~K927FQ%4a#p75a5YlK%b%&=6S zL4Z$q2Jono!w9btIO&u!Y}pz3ya7*KT!eEl2`7l^3VR@f?E?CbgeTm*rqc zs&OL%C!NG}q?a21A;QX;5_b$&iPaLkJA>0wiRvB{MRlz+C2kY9KdU8p_mBaA)e^ir zlY8~l61+Q$8}rl>ygQq_@YE8#JLg8gY6;$*%Wd3d3ErK6t1+Ak>BV1l1C}I|&zH z=p}ep??FT>!Oc2T;!a_qi>OudDTcIsSl2Y2|ICSjv z5n~>)1s=;Jqt0*9VG0ZF#~&XScWS6R2D8}nYN#~^3vNclQo$+MR1s>9N3?Vk>t8dF z;2{j)^z|tP{%|V3Y7+W$RG0c=E%vG|L+n$2fZUY+xPwNM_)?@B8*l`_ZS6LStBJ~& z;BV|F-v_MiCj^cCgfQ(VYw?TC9{6glGjSteZ9n-118MuoekicEpD>QGpNNRl_LG|t z#Mn=M3E0?AUIlFICp7pO`$=EG#(r`zU}Ha74LGu&tVV2YKOt!BCp=?k>?fx(2&zGQ zPh0jAmc599uE$?mJ}h^dPVzx4T22Cw(;*4T@=HiK-fA3QFpg<~7#-duSl~Tq0*P%m zHxGFK!+5jOst9)O<#3gJX-87tgbFPWoyN`V@i``)UqKxt;MjE%c`_^{i9GpbV0h+)!V@aWw}$3t*`l zeG-RlVCP})ODsGQU&rU@lUPjH^Evt?mf!{xEy7%`v`Xr7gJjmzhlUU6#!+efDi4)ESJgSVNPhyS0NhiawSPNpOlvP?u$zii87u-(#eM8L8o4F=w_! zN)0%6*IXc#fJA5I6f?T5~7*?xK<$aZW$3}S3QOi^q4z6ASSa4eH)NU+}pO6yCo-vvtR zOR(Pss~Kikg8eQy;bFj|irMdiH3BD{5=xI!DkW3{aS@I5JD(jcIFSl$rauHrVj>6m zc!mc(VzX$0iIqt<{4HP)Pc7fHW` zxW$Y+9}U6mtNJp=(Gx#{JJN7lu*()b4FzzI=70@*RyA`tUgfZ|h9q=XvkJ(s7IPJ+ zW(AWtNja^q+k~VZh4y^hc zICPxMbbrIDH#u>+aKUYvD1v~+`;98zN-5q$s(6c4S+?p#q{>erZZV7TjME7(qd6|G z{lI)Lu6Glkj1+~4Z>ThyD;v?PR47{0JG1-w(gK~SL{`FLb*7G!JX$#SRCsw# z3w8!;7yY@NkHvJ>NfLQU8oZ{WEU$&v?{7<5vHSx6wbnv0?x8#zp

    SSg_e@eLYNS0D3mB9^ z)PSMP1c6f2XeQ7DMncR4NlLqf8ZeSdQKM+_l1fn%GeJ@*YILtpDn*TOkEBx6#7vM> ziW=SfCzYZ`cu-O)YGNixDn*S#Sq&HoVKc)m8f&hVgU2gX$mw-zG+|D$&5LXCa^Eqmd~9=5hd~$+oa_n%Dxjwm3VDK{X$J=%ZTUaRJqqn4M|B*l-E zAm37g{2V37TH#JhAO_X;W>mu>O!KJY8z`fL1f zb|k0d1MvLTqA-y*2Qrd22U6D73T~+?_Y0TIK0D-+ilItXxd>@CBC&~J zrajLk>dF+^(cnj_@-9wggGf~lELAzMROP@@l>AzJ}^eoCd%WvTD4UxKm0Nj&G734Q1lKNxAn2^}WO5KSrRk;TgjB zBX}7%M0g7bI+=YDL@B1GiEtGovQ--k8VQ1D%E{nvBTGX4m)e*+o)x10%N*Sair3ax zs4WuAAA@wo3??rlOlBUv1*)InOw)v`zma8@^oV3HZU;?k}Cjs&aiXBYF(zQ)xRh% zdsP0!YVG3Q4sC)p2Uy!VXLlD%)yL$C+MojcH4ixsThwzdhzj|lE@U$b`4(!h-%Yz- zNWE0Bl7x_HN?aIQFBOmt%u)eiE){U;H&N`#0;pOE*pE(nC7_WL9vb2Q7r%NfA$dFC zIG6Ce@fQNryBc#%)Y>3h#BLftES^E7)9j{k5yxrSx3oaYQ`{AN=Qj032vVR4DS~9) zZ}D65JH(DP5WE*&SBlpe2w2K>qgVq$^jYcuu?D6FcFDYk-`aFsmYHIs$~l0uo4+sK zpiJO#1*C!*R;o4bZvqUwbLgPfxS6BFqm!QVy^Z*)PBLLe?X_+ogL{TL|+aCC=& z7*5<9!5Dr8UB;L|Zp#9=2J7iKmLQ6Vpyc2LKVVxBIMs>%Lze(a^(8orpe{nkuZBff z06+HA`*jgkvIuJ!A*TqZ(PfMYq>FG49os3w`Se#sIEbJs!UZUX=UfyO;YRqe2o1$? zYiZwZkHAP}&97Iq8mIPG&??#fb zhj=h5R5@jq9jmZa6-TYb$(XSmOgL!vWypP%h-)sFxq!ydrAE+mDywll(!U(PZVL~5 ztMsR;6q{B08e5+wG44n3)eL?>)qD8C@KX!Ha{ zMe%@SQB>5}`VOlZX{jpyYRxMbu+>W+LXG2J69)JjaL*Zi9==M>U5;4nPh(4!4cPf_ zP->6&C%rHe84CRN`qM8_#-um+3DBYFcIte?*zZ)|@u|1Jy;?0D%q#qCKdvd;Vdh*9`q-_xQE@2QdSwX`eg~T49qRHD$~l~l3F#R zS_pO{uRn`O9o7Ko;&l8eYUPc71TM}M$OpsP`S_~l{sa8j-2IUd=P`fW-OVj0)Cw1G zqA5Rb7jJS_5m?v81<|!}fpu+M(E8fAgmrCPBDyv%VO<-Sd)ww<$SQMd%4ERi)|BOd z&8;bC0XDa$d>^p6HHGs=b8E_90h=vbiRjw6gmrCP!n!stx^0t2FYDU4#Q*tg!BRI+8wBlKwK1E`LKDO=`u=eXhb*mv0^L7EYWrc+jHh(`HXvG;!37MRTV$EgC#x;o9R@G@rC&&GLnG z+keTi%hxPfG<*5l=0!_k=$vxUoci&z>W2&*GJN3h!H&Cun}ZDu19E(EL!diGMlew?-En#d9DpLnUgW41m+hoW(MYkE zi903xvQe5o1fd@V>b5LLeM=d@6)h9`R_PyyvEB5bSB81)JO!`HFx!l)*X1|R`cZDs zibvols#PUJor+KyX7HVI1L_dXaLuwt>kz$44OP_~s@(lp7C(O0N^t5~2;e!dU?QRi ztS{(h=za^b+5XHUz7z?>1{}z5TifeAs?cu33Gnyhvyr3U2+*U3gwtu_x?vK^@e}-c zPT#MG<+w_x)+OlsHShe*cZya z1O|=C%KV-1;w;H+c@A+n3d9;o6dwFK%85<527WY5RPfAf>?js=W4ST~;(P%Zzf!K! zI*R1OSRtEDR50}ON{$r~RfT_o*@pdPxQ_}`evtI-cb#;DM;j6 zOvyD0v$%CsBOV>qh+9WB;<BNB?LjOh%)D7#H&nvRn@#Of2{UgF`>_&c-rTbR8)4>6xCkl9C4b&Tg6f2UH%WxF z2s1A{VZhPa5@y~M7FuM%0|1KU(@L0K4lgCrBqR$iA>sI#iOw3R=(aF>#dxz)d8s3I z3a*kQ>#4li35^itDFE?a5PB0r#3lIA!fc8OM4DJ>XkkXNSNcBt$q3TMFrVA{hs6~wtbLR1jv;4!7emi8Y26-=!~l#LgT=cr*A z(QSDa9G{UhU?TICHtLSj9A3T#`%OnHjj*|5I^!>xS_Ss5jD&iYLmm#v!hTSxt_t}( zkV@))zS8|3M~;l7GktHjnwO(ZQcpZcZ6VYH7lrz zg{(_oQvkOmDSMy#p2Z-Wn^Q7`^_?o%`XbD_2V$k3!&#AB61}&=Rx1;)=j?Y;Shv@8 z-EPtriqr0pO!omz+Pe`&Pm#A-H}Ci{$RY(&$A|LkuOEfQ$zT`^?@sVDeBIzigop)4 zjYg1Q1bn^V5tPLbE(II}6XBi+<^xU!FC%;^*a+XE;B3T72SxZ62i@^437$fj(%=Au zDGR=gSef7ke9MClaIXmd0Jt)^0dcdzPvKG(tVPIbC!uxsLGX{yU5R3O4@YcTO6 z_zgUG;bnKYx@lWjl$pfjfzl@pTgm@x@WhbMX^DxIf%CRzs=6FAitmn_7X5 zf3ha{J?vm9YJn%6)9!3{P}-dnf76|vcIOg4)E$HxE=M~2i%Ya#n_AdjbYzn-1)7|(@tSCa&XF|?6iMLY#XVKM3PQ~XT{K-9s;gj7( z$@q+S;F>-O%Bv!nlRlmB?C-)Ew=aLhQaMdV7=JY3WO{iq;4y@Y)90fe{yu~==~D=gC7eya z$GH0vu1!Bf_i={p>fZ>LmM&Vl!tr1I0SJ>x4?u75Ut{>%^pR|bw~EnJozfIC z{yRtcpk?|Art{tKNl*Vk&Vj#ZzDv*X20GEK521& zJC{Dci8Uh5*lY}AhyQSly|OX;0!y$}f=$dWE(Y>j@tKdV5@YjwuxBYWx!g#>&t*yLsrZpw4ihcp?CB$U>*E2q$F)e4vUgRLO+9pNJsLH zNE(bEQ<2Aq21iNXWt|tgzeUA5#89nbf2q4KYLbMJaZY{J8)TbO}5D#(z?- z`8AgT_k{n>UIt9sOwD#+K7WZF17v!31}f+OH8u@7&Cd3u&$BUhgJs#7^m$H@RoMs7 zrvCGSG-u1{^Fr*qsMe|38Lak;u^WM$mHj0XcuAP3CHs9g`O6aL^6c$Q;1xlx&VGYF ze-q@UYzci{739`zCnB#2a!>XTO#1JV+Woj&1f*n^LXbLzGgrYA3je9WYn+a+`)|qx zz&mppFp0Y7E(0d{!4rq0j%D6-e3N94wE%P@cR3V-Ho1se3Y1K!F#ij``rGyeD5Kg# z&|$i6f$xKGzX^Zc+}W`W_|Ady(*03*JRUkiYJIO7^!rkr(yQkK=(qd}ihIsQCj3iO zIMt94o^$Ot@l_h$Ul8PpQf!OYI!+c#IA+qms2=d+hzR{m^jJ?lPUGp@3YD_wsfSTL z^)RZZ9)rg01%(?b)RD;WBp|H3u)lgNseGhKRqhh`5bf?*C0#BMPA|}@AuX_R8s=Lbwl zE;@GlT1r;G*Q;>;4u8R7=Ox7PoOLZ!vW`N`;=2)tyUAWc!nCeEdGBsEOz9Uc%%0NU zBEQ(M`1g>NzeQlGqrsXXPHY4rCqd()JIs^^+YA`Y9Y#;AL#H<2*Xy8HX~!3xFV4+r z<5Uc5Bwl;P2TB6g;pYVLWXELBWTh`IZqL%nbiA}!wvQgT~r#;(=KB zrTj2X3>LtmVL52Jt(gC%Vixyun0N_dBvpZ!Kxr7mkMhzn%5+}-v`Ple=)5#qt`pKI zj(tm!fntw>Mo^vo>c)Z}aVnSYpyVEcpqsI*0& z;;1I1K}lz4ur$cL^n7Gc8u_YvmZ^FgQc3+8Z;w~J&3dNndWQ8U8|@6=M2Q$))cjGB zMsrp`m~4v-d$`t>Zq%1@s%pxq`%F=8lcv#Vb_+-K>s^;aq>|jeX4R5STBiC_#*S_> z)&wed-D#C1cSTpQ|K4Dj&!}UxO{vyBo|K}r(tVngvQQ&I!Wtui9D4=_EWL6k43|slDYN(yD7Vr=52~ngdShg()R9q6=8)BaG+I^VvNhS3)xPj?O_-=p zS8PTx9exxNty3bdLs&ow)KOPSkWQKwf^?3eLnUQqOteco{7@fp5gWSeSI=}Yrd&Ls zZZINtI~SXLiZE&)iUWDfLJ63D zO2G6t0%m~X!@<&323l;mxADNrJV2)$0?Tm*n{jMNRE+)VD4K@m^CBJ*w3st*DzP&H zNy(1DNQXp7D{CCmv`Sjy64c-n!qR`J3K|r?X3U3>C+VpF8s8ldpV1sBcj8kJpPcpW zn)qZBb5>a&HgW6jV0x5pwQg)G_9d(7C?^eyhV2<~axaU)an6n zM5~BO`u59VaEw9Q8*vLPqv^LNM%>n%LP2b?!48hNW@4iyiWze?yYSr6&hWDtEmiG1A)-BMruxVfwTqO!}&TTI1`N z&q)1q7-?J5#8%pE+gl`B3i`X4N_K4kYNz?~rHR(c%rJ!JG_nRvlcU2=s~jt{gC2%c zz*H6k8HElhsd1(E4sk*>f8FLx&S*@5n%wM+;$-y@RL8cVp@(d5oU@tK%(DwKOJfk< zHO>rGBg(q2H6}5cadgvDVQ`SJo^Ya!hiXRBL-VT4J2;&nrwU?tPY`P=A!?DC*3iP7 zE8MKHR@?vjMp;mo?iXc36tfMJC(!|s>?1nRLcwf%>)J?}26-?*Osg~+nS4Y^(05poR@KU}1~uFu*i8&jV$pr15$vO)emUCemt%}G>%LF^ylSkm*zBt& zSmV^ZYCkitY7_v~8Bf4*CLlFEhuS}sG8P@Rx-n5@st1Wl+A`HT++;Hkn-bMrNsp=d z(qmeV!*(>3_Lg)C8p^I~C}ESgo@C??EQT#0y(pl zh8#9PC+U;pP~eNt#9){!$m?xE-qkis=UC0VY3ZtUk2dsIi*L3y`wcnL5-wVp<5fSK z^EeAO2|}Q89&D*PRAe;@K_AK50S2V39cT;Q(6U7K&9yBl!JfmnxppP6X|7#qTZ(d| z5Zh|9t(g=Q>j1I|h*baYkAtqwgf+cbHEyO6H zWE<1&G4l~NmFQ?+8t%8AaW0b0lEkRu49IH~${cPWXphy@Q z?~;UxqF;yZ%o!@S>ABSPp=cXab;$Ag{cl2!!*&#%!pi6#UKwpIrmY1t_6=j;hnThp z42_z;3A7WMa)(smZL(5>W`CV_AFDf()B2i8)^?0_D93;t9@~!4FHExb43FiSfvuUA z)@u!CY2jysJURn9=6Kb+6YYkXwwV~DX-W_I4FlLz$b+@BO|Q2Y2)*`OT$cV zv@EiCBA;VaLxWeWk=r(o=5M(vXnI=Z-i-@@diZB2hK5ydYXfxHR&(UZ;3yTfo57CO z)?S4|OYZ)b42Nlv+)A@H#xac0N;A;eir#K1j1;!rjY$@BNws9RE!&6cw`$Le*u{)~ zZ)wZgKqfxcP=cu?6a%Bs_scDFj-J|I&oZ=fp*PxSb=TOuHQVkTu`QW)P=r#&9UP%b z#WkC65PKV&Z`$MEJk~GRME1buzZ_05Oso}+u92`Y3a&Ne*w#F-!j37p_D(A>Os=i> z6U=zH>V(r9?QprZIhK{l-++axT6N1?M@*XqN^G~r=1{dt*&gS%H#yTqHAyB^YCC$s z1Vv}ztg@zaTd7#>7D6F&$CS1P2fIhv>z{kNh}$b$q2uqFc}M$3{OG)j8qzH5y}eOD z=EW)m*K5g%GU#!~QHb%KSl)zQoo7q}H>v|u;6nxUDUuaR=+0(%*UPtDlg(MXjnqzGW(m8&?R>*OBg*bYOrXVWSS54%1*gmPi~x(piBFcd^bHzT27 zov{ofJvhvm<6q!0B}{UUe{E-?o~M1-NW;-|hDv7M&YJv?mX!Z~}RUVI)_?JZ?V zZ}iNcOY3>2o;;h$iAHl3K{I-oo~;9I>#*O>s0xMd{%NQR(K<1$8R)Xn4l+SZ>kHDI z%D#1^S9WveY5v&EsT6*khoa8jU|0k;H|42RR!H+cj+DxhiWYY92%({c{`Bv zR8Q<_2z3*1L;l(1C>in!g_h>a-Zn!vSPZFkSu%FtR+C;F+fy7a*MoqQRf3xN>^%#} zZEw>r?CrbV-ME>m9l5mQ20$oQT=Rm4Ay% ztIX60ecBRRv>0t`ru2!`=R(*15A50nMdUMWbW4@klU=*e%Aa*BGk-m~u;xaT=dHIZ zTsWbYQwGk@!PJ%phD)&&?Co0-oipjmllh=>4Rxs|+fMqT+ z)o9Yb*fSLc#g0JBl@oZeO0i*0hRshX_Ss0mlCGFQ|YD@6x0KkI0&YV9OzVjw_go9?`{ z-0p?{@ni;ZG_#QE)wxu!F;nDPMRg%JUYs>l?`WvrDLT;J*(`qUWm=+(X$f;)C7coM zdP_t%gj=`mr_&|r;mQ6QcX@tll{Sn7tq$aJf5U3*Q?BO;<&;P(z@*#Gp2~DRfek7swH-wa?(@H%8QgQ zubEl8m(A6&s6`JbgHsw`X5EM zJShf#GB^K&5vU}!%U++DZ2!R`tlA8wHD)mVcj~O4ld*GqB(oJ@vpR%z&Ch<&)PsUO zXri-id(g}s1@gKnI2))1vJ?^5XHUsjhIdro1Im-MgU3(dX z8mcuP)t$}k-$z#LBWC};G+a{Ym8qyS3}Vhy>KK?5D{Dves7$0cs1>2o?Uz1p-mgwo z`et5jF1xi2jmgj)Aa@sWnxhp!&WtQ|ooG6GIs_`G%p3~&gfjS~h0P08=x)i4Q zA<r#0xYvBo?aGFD20!hrQ2WV@KGDQ6M;HXbdo?LoZW(D@V zPe2~ZBU{?odQ#L8l(?`YsU;M6h~8?dq>*LYeAUn9_D^EJ+a>1C?frXLT;@`STAD?u z-61a97)>a`@-1_z9E8IJdo0I8tKF?T29%KE8#LO#wLIJz zsb#C6g-5)iy~R8Gh*wUpwYR`PWJG6t^in+p@%2|r6j_pojaFhE;1Zn~3tH?8=4nC%fhvdfCjjMk*qoWPqDZCT(d_ox zJ5P00O|dhQ*pMw1H89>`WCNSkJ2a;v6wS%H{-|z5Mss-3G9~0G_&GA64fWolYK2W2 za*sC2i@u?#S7pd33r$R0JqcN3x1GvTPv5c7XG*RHiMm~VGgM}7c>c2W$tRiCnE9Tz zWVWY4|0l{d9tf&7!mY+`6biT6U1N5`!MiYxjd(s3YFyn5-_b0w#3c@;l`5{ud%6}L z<@O>^MXnI7G;%TxFJ(^lD9)5&JPoS1b{52cvtkozEYN0Pr2ce@(_ z8Ai@o;AYhAaqed{#>i+EHcwe@^JG->*ux1PRz~wgI|d-j@@9l)$AIp!kS#`1kPOw} zQA>Mn)fDZKX-|sgTsqLYphYNmHkT+ml$t@LOblP}$V42d-ayZJ95-YJO5OaKaV}Z1 zxr}A8vPwh`nA@fvX=}CvL`$yNf5G^U)3J5m&`EZ(dEYdp_S>mF8lT73X=7B;RioX) z;=}w&ouQjGB`1ZgwX&VI=x9wM6s~rMPvk0KEpa%LC6=mQM$sZxl#ZdSWK?;jdl&?t1fy&iwIYxy6j~K!RyCHli8_F zEp4-I*iyDlbOk%mV$MyJ4`Z@W+eZ}%p_?k z{WCLZOBc2OP~62I>~Gm!TndPy!XzzKQCUSly5h?I#Ff>e?CNSs!aqg*eZS|vH}f)? zq)Ed{cb7umyg&EPx#ymH&bjBFd#-yx%*>a|E@2P3h6`McoLc$y5_cz%+5JiT$=xq- zo*BtX;&dqvO&^R#Xy{IZ2I=Vz8eLP9L7Ms?7Jr%(=nIi_p2K9od^9mncPBx2I>Zcd zkRND+sw^C4`F_#-Y3AwG^5VpQCP>XweQv^IW0gt*RW$e>Ie2$4>++%D;zsVfChv3I z{ZiBp?c(R|NlzXTB+%)D#cQb>&!pG9AD7b=+Q$xAXS&nsfne98F?{iuG3-X|s3$(o zLYJ*oG4_wExg%*!1=AvD2n?z@r)0qPgOXh%{d6U}8#7wdh+DU}R|?&RkwO}9SqVr% zE|SU(1sRk1AyOcVI4)gHa?uoNW7^;jhGL)xX*CQ9h$WH)gTrG2INp397##lFibj}NPMa}o4?3SsKh~m z6#lP5@nDhaa>ilSRIQnq1Qgd{dkSwriIT*5WTWHnF_Z3HNp~60R91X(mFbv*} zO|W^V11@rg;Kh!2!zKB$M;L~AE2=XZz<7rmRR1K#^_&pRF-YQ|aNRpET8kmnO}m4N zaZLdUBiy}@seX+Oblw4%hpPCxczGi zg#?j#dgaZbBcKOH6SR+$_Z)*^9qs|^b~K>Gc8e2lVa5q#);VkpJ4ihP%idrc+?lPo z=5;@fdYcy(5+<7K64#jW#ZfJw_?=v)Jp7I@)< z`Fq}0G995XFDWS`_94tHFDQqsHoHf9jzj1J9b8H>mls|9!SU}avO-iSc80*>g`~94 z_LddK35ZKsGHWV?wA=uJ6Z7B}J;%XTR$NP-94&=D7Vd^QEX?kODfwA5d}687x+IZa zTRYcb4Q_!^tZjK%y-t=1dIsVvZ0SU&fkj(o!{){`Jt_5WTRRlXIj)E< zX!Fct9Z%xI+ekE4AY$L3vDfH)p+Yt?+hHSv9FYoG9Ah9m{2RnqB%YM(t8>Vr;4y z{`kv$5#0a#u0m=VF$6@R22;RG@&*rW(3p^??m^a5=nigt0n`&otgf_UHLs$y8iDP|ytgc`8-~%i`_zhEd<1Sh(OCzQe5A zWO{Y4$5R~$sPp}Sfayghye~KL{^O>nx;m(4;C(=)J^ny25Uj%O9KSlxUoA-oq=f%0 zR^x&NW#vn&LaW2g(e`j?V>F%!)y3P&$_PoUrQSHr_pS^$gInO=|>FH>+z+0)=IoF=U!+fd%CUV zJ$rh3_TqMreLG;zo$fp!gQ?n5k}vC1m!+6yPtaOvtwNS`Pj%2*Va~nSS^*e)GN=YW zw^$EYSM~ICcS|noD9d08UOz9sU{4RrybIy$Mm$C{? zWXm$Z1;I+9vADsT?icXGcIr18id-w-gjRNU2h>juYnhs4&h-Erc3yWOPO;DGLT)*e z_P#BPsxm>d6hq`7D9bdSI|I5O4PB3t?!(DMYp9{MJ{iwbzC*G$xyz>E^;xb55ysRk}ajZ*^E*=>Z`P>!>d+H|Cu4 zeOA)CzxZ40{{Ddsa$+1TlJAFQq4%= zt|=?uQof$vOh7ti7vMCFe?NmxIW59R&y1SGv6g&yIQX}*`(MF`2BxD|-sFMdk zpZv2rUX)*R$#kou-@0#@|GHkZo=x|rgC_#}_6Dr0`>T3-`UlXP1J-Bvqz8@%(t)0! zx+IlOr>m`w7p$ZpJnQS}0qaul$OiiRGykb3SqUp?&bcrn0^v&OgMWLS`ykd93)Qv9 zB3q&m3@r_@Eu-lX4iNWRpMJj^}chaLeIO*1&nMy5JH+Y7UR$Mck6?xnK;bM;zej~;WcnNWTD65#W% zl*dZ$0Rkxsp9LnTTbf*vpwWIiW!x)Jb(KvZ+GBA$#){1kvsA?vcWm*QjZUh z_%(fIMTwp8g_`5KZ_A}`UwD1{_Qqs1ISw63XKy6opTS5ezsxjdQni8b=m|gvS)L|m zTAmIJh%8C-U9yK=iw@_*3fDt_IxQUj52)NUK0FK_p;6+0Xt`xu>g(rUvEcHurdSFOc_AOQ%E*y@at!hkzQA$ zx>9PgA6jrgb@`zN2dY$uUv0|w6VS@pS{e)S|j-*10*iujI^Qva~iZ(86IzY7M)z?-A%jK?Oe%I0~Lsu@D-&Q}rDb|wgn$PbjmdMwr zo*!*$C_^_aSV)DR>h}1m9HB*a`J!Bnt68V7!!Vk|O--%!ns$K7NVGvu8SwH3JzL04 zK%hpoN24vAeBjp3*l2h;iYA!1za2#O14Lr$KhK$X9p$U1^RHe&Hq&^czY8e%b?EQ* zGw5)q@9q9R!Os2E`5skj&VvG*Ql*?`d3^fXy{}r$=ETPI$rOy2nLbXtW?CzFt>7gX z>|PfL*5cMwNq;6CtWwj#ouH^qNoByD@PX4tv*O~Hx&xKLieS~cUfPyGRq5TCOjp3_*<`%sp|Cs=sYi4E5JIB9Sc;we5}V>9SpABw|;*m z1aMVNe~q=G3 zoy2uETXZR(FH8B<4c4;V!E|Lh0Pz3C=u`i`!&+7aw5XSjRGN)Kx{2zRoh-zs-k(*^ z-i(Q3pJ|<}2m=0IHHpO$gTZ4!9PZB{a_~D9@6;Di9FTy=OVrOiETlxO5iq9l^C5i3 zyUFS^GK-$VcfYzBv(j$$&mQZjdSFLNP45dT0e7mrL-KQ_tiv6e04Alo40|Dzj=yuiF2Z9X-cB*r%lj+^n%Adls2whA=!GwP>-oA*$TF2KFrx#A+7l3DJ zcf-=xl4!8?H7#e+DLFZd`cZO4fQnUjACf0XU|^|axc-o38Me!B`~o5nM*dyk)$qjLPr=JX6rdYtx6bU|)}qf<_+ zYrDpJLq}(OED_bC-8JxYqQX|scCr6|YIu&VOI3mOuM6~aW9EjXksyRLv@~B@Zp1Pl z5bJ6E$;Rw&F82j^6gBC{5!9BRMw;A-Bnx?;(!g=ea0j zb*xpBQ&86`)nw~vC8ix8@x#*370M%1$d*43J}^Ej$aod9vvWi>hwB?-E&oG|EgcPR z)z2*guvXg;PP$fmK|r=x07K8IhPZc4!%=`%2SGc|;tWzyT8Nbf&gp~1MMpy{8jUuz z4KF;91G!=NLK57Ie4(tteRDX`sP9-lHBY=Gjjvn`8sBGm)GTwECtVp(|JTDgC8wRT zGWjqcu(XOF7z2E_s2N$zI@O0$SbhKM4nOURUxi}&UEH?$)nk~Zzs0{+3a}EsK;^Lj zY^W#Hr0km7M=C3@Sg*Q~WQ`v)V!wKNhd+Qd3p1lUkZ!fzX>~~X*Q#5P;&=S?2Ur__ zzr%k_?ZKOuc4XClkAH(Y#Wx@I(7d43v29rMSuOQtHNfghRBSEtsUOH(mJNA@tAVC^ zx(oILb*Bf5#XGQMjAf(V%I9BN&w02+oCu@3h0?(WJ!Wu1?7ut?o6mRV>YhUfnJ&C4!`mU+>Vrw|laI4eBRA`=@{u$zUbq$F{tPZooBj-IkzU zD8MTIa+SIXb^!IG2`Q}99b=LSzCOu}HH7n-1M3Ic%z+ydOGQ-yEH0$Yg%=#R6VtTd zB({#)Y3H8LWr*suIv19SOYk?tFLo5Ca1CFsY{_LY3sz9>R}XCUtMB>z_)V#2yfBpz z5C;jD=tkQ(xIsT%LJVy7t6BaHR$PVq2%OG=jv~S4vQN_;a--yv{uYeE%EDA?s$cN5 zUmmWMv|d0~07@us6MqL^>9^?<3V+%REu9->Q}owFdLY2OZ5sL*NM{lc7iV} zo#3TqN#hp1chskSHAn>`bga5E1^&Qdu?+UCIqw4Y&@^=;_=X_vTmbEJXmBdAXkmGQ zgVCnTP7t5I&EUqT{s3fwXeJ@^Pnd28W<*Scj zs*HtS$>#?(;bs!MwM3KjR5tZoLIcSy{K~Qqzm?~_O!np<%%b%qF!4w)t5hs0+%31ZroBO~%$#=eeb4uNcH>Nc?+_b(3{-Wg5J-!vfdAKHn=>;?8G z`PG-bsX$FeO-jKcuO7H53;yX>_uu5Nl$-l*@(0rD_uFOcdDL#mc>?w1wv>7YfmP}^ zo>W>r=*3DW@;>a%noBR(Srr^mkL&~ysxNF$J)&;)!p2=b1CP(%l&Y{N*L^;9%$u!x z#9F=^b89XT++ z$NWs|7q^>e*byRNN(zGkBLc7WDBB&jstM)^)>7L=prI>{Az6%c{X=Ql%_3~1D;b=kq&?-zy*R10AHWTsqgx6 zPkqPj0C0NSUDEkCr7&v^Rt-pj>KqZPGM}aAvCb|rh0knemkF$^@Pd zboWA71uDDK!3}$MVNQ4~ldd-BOj8%6tj^=s^2*?@Zb1eLhOo_~D|;(4)#kkOf-={E zb8^+%>UCAS13ONrDYgh_O32G4rI_oS)3?`paLuD@tj?WS+z(jyNfRCkWK6i?&YNca z9L}`Qr)8ltr8+3W;gZHcpgBq!A!rTxsn>_ii z=ciPwwM;-`<)XQnQ0=U%`k#LVK6e>ak_D)N^(vAA48dJky_bZ3UoagARP4!Qg8h#K z_N?pPS+%bp313=MBluDmX)a6cv1f0FX~Bcj{ZJtAt4dPp8!%>+^zF2g!Bgt5xpx0^ z4;;pKW676w@9(jd48%$u^i(G>NT zl(lkgwVIX+SgYZvp*pdqu%kNg2sAFt*aRa|nNKFp;uB)}pf}0XLVJ;z@TS1vg&*4P zbkOQ}z8W;y3-2@_AB~2QAPXw`^K7qrM@n7Ow_DYwUa;c9icAf(+`j#4zip3sP^Efx zeLi#E#XXhCc@m1^ZU~|uVXpC8+gb(XXD{JLg%9lLgJND8s3rks)K_7`fsx5tW_4gf z)2AX?^syl7FcfFpz`0v003!8-&F@pYZ|>`b|6gTKzdZ_=Zijlw=-WMj`JTVWibE%R zx0Tq3IS|UfEQ`VL(wZuJETDnr+^No(_=)KsqBi`kI1EC3umf3tuK|9~8Lw|JmC5@T27AHA{ zx&ts#3ZE9*QzSO!+o0Zr%@Nkoy&Eb~(gqTuj3H;M&VCqlf~aL1d{{uhFcCKhm8#>I z_g$RTPSI)>EMAfoV&y#Wq`03I@(t`Wnt{OvT?wC6ICD9k5Y~-o#~u^ZowI;sZn555 z^(EvpQK30aPpBeH_ZibOy{iAb3hSzKWm0tmv=7txxACohiv4_itDmFLSGmh$T;8K+ zsUF!7Yl&b9LpwW<(RrrmoXA!n^}>l>`mpCmM@Rx+G(9sM)8Zb! z{61d7UpnDeQ(yuT6Pv%LGQEx~6d)>f2du0Af!j{VNc&$KjP-`5jPPn5xAeT!UqN{pBA$eK25QHzPhA^Z2XGd765IaR7A^_j`79 zuj|fKS0H(>IZJUKATvW%r**jJ zYxLX=Ea8a+%K1mMd>hs;RF}uGJDlWuxB_3G7G<4xMiTGtHgjpbd_Hb^F0hv8Fgc6w zzK$X147XDvRZfh1xQ%;$cvg;uKaI#H?1$C7 zFY#ByofyTH`l~aTdca@!7&K;khYN(nhiHX+mtS3{^`*B_ruf9@elgz+@xg^mi(AVo zf+wm2YxcnS0Rta4IqVK}XE2du)i8oAqjZ|$U%Q7wZXz|!NirLOJydmACuMx`(`0^v z6D_V&MQfBLg}kGzZ)aLW)Cv(XC&x|m|1;eI#x2!OFFEsz=0n^&id!k16?t@=h;~>e zAV3DKyu0H+Ki8c?~q zCab<}FJXU?Ztd1pNU6>zeR-r&#DFPBCN_aSkR* zE8w24rdf&Z0oA)RmF5tJ7O38n?bm>0cV^Z6tSoHXbEh=y{3#42XntHysZ95zyZdYU z6_$~!)&?tsda6Gyt3uYY-s+xSDt@L~N`TFP`Zr8wvE8Ugq|N0bHOA?p_J)!++-9j! zjiAnO(+%U((KzRrvjaopZCGB%TGGI7D_N=4eK;?&+fVUQxlgr%`W+4JIp#QF=q%QX z-JCijnVwyEWEIOJi!CPIQU>MzAXu(xTvLqkj!Ta|J-)^-$j?ka$!)LGHzNS59X1^+ zEl`y6NWwjaN^aYj!wc~`*4&nqI2PcG#wPrk#s*x+;pApR0q3Srw7tEhRTG%8SH?TH z9FL=HneF~Ja5Fozp8hW;;GCz}*k@%L?^9<^JW?XcS2 z#}(ELUpRA(xx0||!HJ%k&YXVQN0oV; zVj_HX(8ByAKWEv_ceh)}$DJ0-5jd2yDHnc}__U;-t zYdWp+=D1J}*5l%du)X8e;cZ1M1^Y+^SUZ@6<%2AuAaFLK9pJd4s-U)<WlDs=)qncro?*7K=lq;Jc3odU$yu3 zVtuJHEwlMOAT`r?!%=%UM{Vq^t8XkadCe)|6i(1I%2VjTZ$WQB55ia&%$y4L(rz;; zrDmtF&8~DU7LKrNR*MDg_1ymsFN##Hb$?)u+L98M(fvkGz|1madwzagq9@w6YLV?8 zmW4erH;tZnFQ~o`9xB?;4P(e$dcJQzmS?J9$TH_lRg?P=bf9vVIKrl%uTdYwJp4lJ zd<75ILjo>^<534RRR1Fls2CE>tsM-W0ThkY6Kxsv#8oiwy;)BS{k}bEEIryQbSdeQ z{{H^|9Ml<^QyWw>mU!6{X>sq^0GT)r#S9RR+kAI=x$%a3#|YsuPLDpvCqroJwe|1F z??%XR3ix`EXFt&m`usP;bKx3m*IjA@uHM$YRpy)$ zfa1V?VjoKRCCuyHl~vCF2xgeBQuTf{Q%fVDXYMUX_EBognap08U#fQ3I;pF=1A%JQ z06)mmR80VqjSd)&_WTs{J!-;zoLff&Iz}AIE8UOx-!vg2v+(o9{_<4!x@!2T`zzOB zTcI>Y*5y>?UNC7IVr#SoiqAbiqMnRZvf)ZHr)BRsOyq8oudVlz@|@6GUcxKF(z z9=~ueo{D^)e#F~wsNu-RQ1gDTXGUOs_ih-<_EuQSU#fJz4)E(|phQLy+Tvn3e#2*4 z{J*Q5wCc^-VC9al1Tr;&wN+T@-{!BXl75qcU_HS43jCD{JeQ6KGN2U@3!+XykG;e? z*Z}dv+yEy?@rJ` zI8%JpT4}wnR&DLGZgN(fALGYoF(>V=s6^3CXZFw$)CIkm6 zxYK-L1JJ-elF^bMH!!ybtzSt#EZk#`@Qv{*L~lfVC>}g&G}4hf{k6hn&qC29ITIT0 zb7~l-BhEBazb>+5P1*N22?6p?FScl=m2_|zqhJ;hhC+(S5vyNHL>=QDv^^k zKwO5U$}3X?&~dEo>`fhf2>XE$r4H_6sdegIDfL@}(hiQ6J;$L-yuw8#xYcAbm6aLz z-C$$d-*{3mJRr6&7I*gSOzp)!8oHho~$Cz>V>;}f85+< zyes6RK=rtntItXXCh`h`Y3$a1$HY2VwmA~dUJ$oF4H9@K{6w;t^iciA%pq47{6_6R-KCt<}gRtq2Qlk(g6b+T6F+UihH1c zec35@+5f?}y%2?VxSZc&l4%;fxQ@$uLHDx%>LJYzX|Hd#z2b87WMElrn{3!sI04A> z=y7bi1!lNkw))pfpT4$|NZD4ih`$bvZFo~_l>|uPZAGgwYRt|ta6$|~- zteCvcQ7Jkl239`aMA3~sq6XV`pV@bUo>M-k86L-FMt=RZm4TNkprHi%pNF#vxJD4Z z0ZX_G6*I+)b2H{ycOm~CAKVk)l+wGf9Zs@@Ul7WITGgW-ZMX0b7sUc4qn7W$sgt24 z5v>3+ird#EW`%lHA|!NU56-JM`BmEM59~WWTmXwY#;*{AfO>RiUp^RxIxKOk*t zvb(Hfa3k`ohmjzlzB$>Srf0T-vF_Dv@c8TW+aU|iw>Kn+j)ncidiS-*-hJ)i?&ZR8 z6|4j)b)3*)qg5o;wOCA(q|A_y?7+(HWjYUujHXlq~2wnmcpM;{o zYFEFy2Toep&yA%rD{*`+_B&O=%%Q`esCU{;{A=0j4eW?`(J=}<40+(h+EAr^{c2fu z{kmXfMK!naLn@_JTFC7Wll+g=a2AoHGiu>EGW#vbY!ArncObKFo6NA69BH7jf88)Q zdDKHm?2&!~o|)YsvU8FCt|S`wI5Hhadq|AA0U`5ZA!O1pGJ-_Eh30-~Cp|?$(H+J0LClHz^1&u@d!NP{@8cG1uTs5RQT8ival%HNQVccLjA3JSVz-W!c({r? z)O0)!HXnELBPjK1lomvhP}gFj1>OMh&Tu4ZH+G1>gm?;exDWL=J210LS0elZHp%}H zd$sHt{RBIJ1p?Cg)Ym*#B9pd0hsq{k@0?&pN2&!8A+W$v`A`@bLCBSX5$L(6Fnlm^ z{5IQ{n#hg$shg2&qWVt>Js@ES@N6Llg}m+(t~61o5ynHm`VnAIzoyBxQhkDv3GUw6 zhe(Eg{A${JegcaA-45^z+Wug%yAk-j6Hy?rU7ryL#Qgd)HoB>Qm#zHjF`$6~14y{P z!*2fHBW8-KOJQB>c#ryumz)0F;R6!pLT%4G@DRj7!Jhh~e0Ros>OUe=mnYwty1aft zH#>9AA+LccZjh5Nb?wG4fIQv}3f4{@;Ndi=XoE+}w+9yTDcC|8*vIXMAb)%RXx1l@ zhtw7sU{GwdG6AiIX)v7mV_UJU&#NtzP>Qx*gw3|2HK6W$zKFW99+q=Y`VHvJG`=}L zYST`4<8mN7J9YSR&&^Li|3?G|2J1W%BcE$doNU2~UlFLqwu$5f`}qn)_Qd+zj;g_d z8_$+5KRs^7Kest6$qI+kHMPc_E*}$%Dc0ro6n^%X(B%uUB#SknwFO;%w~X>PjP1o; zz=X`kX>3mr`!CGIwmuIQ3Dxv$5G$Hw$%uGFPAp0`dGbWDPs2RSxCkZ}V9XbOgT2!- zzg#S`|BZM$uVXx&1{s@YV7CPSEwT`&U6Zu$GKK%rhe0RbHn5l`8nn26Vlt6Psl|R3 zvl0Ug%mL4Vo&W-+HCu~5!^1ZC{c$6JQ&4l?|i@yT!je|$#Bvy$14 zUxu7sPGKh(R&P7cVVTHRoK!$&4(f!2;m3-mf=I ziS{QvN0wnH_$rTMeu&AROozR276Ns_%&|-#U5H?!U#9JW`}3V;-@CG?=%mNPaBd#4 zoCIFfJKO=qWBk|$66B_>%3NG16;C}9=!drqJdlNar}$-0=F1{~#s$nrrC$l<+EH=%nJs+*^z@4q!Z?(|0Ak7ui0 zEFMlIww_7nyZSjd#jy3wyV&Pf6xTQ3m}0;!<@G!m4!f=5(Wr9-z?jDS2wgs)yS(VE zQlAy`kFWbMKP%bq_@&C}B^Q};IPx0X5jOV9ATQeEZxOX~oQz%T$8YGJMNXU?O_z7# zc>C7ox}h62>>&?xP|kV+c!vL*h5K2M z7mesn(DT>=dYN_{L~xmBX6-Ej=>H5Lyv?8UcV5Yl7s@;#%TpR;I`DQ;33rU>Hp8Y3 zKGv3pmgje&5B|mvxou>Sz4*G=p2OP@5(>1bwPg$c&<xo|1st|_Vzn0kXXbWSkSZ} zf_j?~55f#59L%Lq=6;|}Jp~kAni6lVPVhL>s2L-TLCbDP%x`GJna`0(q~UT+Ly^{G zU6XyD7Nbbc8ksi#dt?m7E9boWx<-Hqw&XxD?;Ec-o^d+)Ze<@9V@8X2` z-6`I#z-br!L16S5Nc{I6>+kV%j0-)=`!#sBD(}~&n8)S%&pasu@BRXz8u5e3inuRxX-ha{`p600n%KNWyPEg)Yn(*PsN-&GdwFwe#8~38tNdl4>3@)RA;9ay* z&g0$t30s@g@qau5=n{nWoQ}di<3U#CeNZn&og*fN5@wk}Q2rK6S@`)E0sNy4 z$Jr^$`xK8uP~IO&rOykVUXd$fbt>26wJ7Dz=`FxOIo`*VQn z<7yn@5a4>1_$Ob@Qv7m3mADNc<=@fk8AQR7dT{eQjr5f)>N=+Vb6!Hcgn4OY6Y(QJ zA2id*avOa?l=l-F?f2-P&kBGK;(_pdkx-l706cki<8i;IAJ<#y2(7#y6Eu7rIA_Y= zBcLdIB_sW@*UX9|#q}xQ^J%uHj-(%x);49WWis?WBT|3!84o?2Rh}Dq_IL!thRi4& zhcAE63~d`ZPRGw%E+!C0W(Z85Bsd=@MEg2+NJ0m@pdmE zF}_L2`^`-C4sE-~X*QA*7{u|oyc9`DpQw9fpTh*!g`*+_!=yZ9lKwuI#ZPC!Gt77Dqp|3 zkimXy1ZV46NQlY9ynBmp7p|p(uebPQmzsWfx6gVOUl!?oAxnhpr$~Lwwm<+Xleh1b z`wtNMKl8)Yp4k=)d`p=AYVDj>!79tMO!XeY@rQNESLjNYYZv{Mph={t#)dKcT!GVs z&XcbAP|EM?k!@ao2QoE{>N6(R=#7yETh%Be&u9Lhkmp4KM7>5#D=y}HrS>aPJ6*Y! zuEphj@@g5eu$&j^#|hb#r0}eTQMY=nEDn8RoRDsb4hw1HkA{I%s7_A0m=B2dtbLx1 zc#qFdKqsV9zTJ9hnY;bUg&gEdEk9q!%b)R5&&vnccVWNYq56|?Hl=C!rj$Q@+NQii zX!IT2IxyeoN3)=?2Bl>+?v~-R$0yWZPS`6!i*&T}v$3_(X(KjKblfv%g3ZaM(J{fL zKb8i&86X)VnBdCkW`&Ch0&K4@!ww8Xu9Fvx$OY*yH!3bzkPwFit+3j2ypTcyst1Q3 zBnUW(KK)DB=oG{Uz$;ND&ehECJfa$Yo_t@*`?s0pp9jOraW`+W;^ zEb=OcA?YyX`e`D*U4U5Tdojhv|QkKF%xqm+`sM~r-~U**5$gmkayIclwQ3U zyJa_kcfbdB107+%f}W+YXc3QzR`v~1K7Xh`{F_!je&nKb1`XVP8d?`wv?MohA6_;OOaM zzMH6}?b4Od|5AAmYV04DDsQ9eh-8;j4|$(7aXB@)UphMI)uMxzOJA&Gfi-dwDOy7v z^j@ul9(8okT^M``83Jnv#D|!?o|gzO4ZI|@8k!Km?-Moj3tA0@sws*j<^=3rTPyub z0rjugE#RiM8v1cjLl0^-^sqGWh^>Zx%G0N32PxfD9ASsTZZ)w2O70MK^wBLG7cJ80 z8y$W0h)A0cpRtHC8;xXIErYDHS71H2;%BY=*4c`D`vS3)0oH>HMcVhxXwey{8 zMNj@Kfh%<8a5c4t6Y*HY31l@AWKR&XIi43$yB<#z=;VS$|P)z<*4#zIo@QpQQ{lmZQx5{L8}w(VxTA{$|_a`X$+G^2j0V! zW-M^t=I_dI&}@g%@V?CmmKbenXxkDFHNg{c^P(#kEZW=>YmG0CM&g_6VlA7uv?Mn- z&hJ`!W$4N!^P90*t@HB5I>mfsME?4wWF)$o-jYTb2h{4wi!x>7qvKJ7X(1n-1!YK2 zJ~lPMbx{u|K1QM)`Gm|fr;kz0MVH~2h6WPR5F!w@YESF#t9P8zei7C3gpxnI5! zkDARmCkIHF5n&O7-jnjY=?nq|?&;SNO^9F9_)#$|J9vGK(O0aSa%d%Lbo3ktg}|um z)(%5AGMa(QJeu)9d)AYufXpWh4>mpvW2%yNuN$e|IY5QVT)i#;vId6;0FLn?A=gQ` z4&5i|5au9q{S%;7sNV&6j$Fl40*D6SZd!E^4YJM0`(s0$4>o2;LC-b~8_$nG!#EO0 zA2_Pn^&m}qFKRy84`b9 zY)A|q3Jzn)y|uYqYnblY=pvE~1b0MZz)e7)ERMzjg8em)Mx3|s(?`M1I;>?cSr73) zM5~&KF?79j_&NVQI$S&vM(l4l62>%&a#F!Y-820o^f(qSms$637)~e*m~$?Ev>WWd zJ0K$VE_8-zBykvRn~W6X&v zHbl|NL0_oQ6%%Rn4ACPH>$o})H)zZ)xm-fbmTvYybUr|43FkV!w&rtbYqo;%ID2I{ zZB2AFI>{H4bo=>U=Bu7ZaB@v4wKHqgfF7d%AVc)yqZ*?+{k=FOp+iXVHv;W_qk>i#%4);-U^i%+)5~^KKmu~sCcdyOU2Mx^h6kc(QD0^N+s$flHavEq;lm*(r z+nL%!%^L@Gy z-rSebyst>}F4xTq`U8k1GY3Z}TC01|s&}HP9yxvDTx;3DzT?3!3%T|oRFQo%V0|`o z+`4JtxZ0FbWpH(%nEs43|KLc?|F7udWV|UFwF7-Ph(J?w!qRT`6R)7zix{R*$dg_= zrm^jRIj5A(c&{g`e3iX29IKSGgrND4#4K?_=F5eQvReA~qSN>7u;Xt*Sp?RCXnUNN z1c%2yszrVnBM|zaXGlaj}1Sb z;JyPt4=ZUstB3Zx0i0`AX94#c9$i3*7WczJ zA>WCznXdw6mm?r84l*sZR@%QXFjMUD(Mk$)*(>P!^{CM`SfMTy2BSomO^*dcR$M|j zg5CZ)7-hxKki${PrMTyb5}$20yZ!e-(N{rLpX;?gi~Twoobl@Q9JfCA5=L{PKmFww zjy;M1pfC3xv!1oO)QvdaXp;X?P+I5lW4%F+aPjy+8Vn}yE#40%}X^ta@?;d<>rv5zm81EnxWg} z45n(Lv(^MUe-1)^7NM`#_w@EyD+aoIGS;*G*tDpUIPutj94CtdnL2Qz2df<`)zy9K zE!jQT#0V!!&?ipy5(V;W2#hQQyBR?|K(KRDJ^eiyt=2aQ!e9NK55dV6{1zTzb7x=%)BlR~py=o?O5^V;)_BJxkW-3A9@(PkNP9w< zKS4>!O(F{#IS2s-f~NohPEH6GHuA_sG!iov>wdIrnjg(-&jvF+&{E#zv=|>Q|B zI2?K4#cl-OeNWFoKawmH$xxBnvo|Pw?G6-a8Wp2zxz^(9lJTt}*W|*@jnILF@JS00 zBI?e02wrdf%=$$J>_BnBMd1ht{?HvxDXiyta06ECQcjPon6>k0Yw$Z;%n+D)lL5>-Jq(!6Qr6`ZAfz5TZUiEry;$C~Pcm~L_$ zUn}jIU#vY&3pB!7L-mQ)_P8ccBC7Em)cigV*IE^g-b(iSqH_jhjEte+$l!t>AGzS5 zKz#Zxjt$$zbEilb5A+J4zm)QJj)Z~1J)3`$9?d=4Q<&IrY^y1{C0xJNW@R?Ba)z!w zRyPx}<&c&L%|A&@CG)MLVAM*5{ZQ+sqvNh3KT>N_7_N2$I*QM0uNjVm)55?l`q4ww&EXg|YNrtd&r=51Q z!OcR6u=bC)o4%|BP3L;zv*~WMV2da962?_8*m}`uJYehj3bN^S_TVoQ z9e`H2Axf7+%dfHNB;WVByTSb4Whsoc0!fird$iu>^6m+)gCC50+mt%8a2qsfupew& zqPfgx}2-I?m?4F+$~^K{K&){Y9&JZv3dhdUOE zIK6f0_G0A?Zm~n9xwl1!oU=%73x(FUD3^;yrWZS{)_&}V!M5k7X`q*31qqxZUa?kF z9>TY8I)AKtgVy^Qa?`|#Wqd)gJOwe+fo|)8bb7t@*`8Z4%RCNR>yqz?G_hJIWG>kf z;Pw0V?L}a~<$JX>(oO_d7Q>W-8Xy{Lf7XOoCt1reR+*C*HKuj})Ke=Adc6`Q**%>`UJ!dLrD56;u@ zV^U}bioS<$e`;dT;KXnFS8ErD3H*9V^`LI~}Oy-1yil8?5It&?B*{P2>670yMq9hGo5D23jf~Kh#HTsFy=l z2CWq^j_}wS6OO#+;}_@naIb)}?ybqFwk(c^(+&EbH0aZ>RfD!f6K86P%l83$Yv>gF zo>J+R0i3y%vF?YoTrrSl1MNemI4mzjFHJ+w+$@dqkFHUg@4J+!{M^JvK^$_MF8~DZ zLSS_qq1{c7Gu6wBqd2Q`vc3DOMBDtL0C3aj0LT%Hh*S+u3xbd*>-9h_7_!4rN^WS! z^IG$FJs5^qoPs=DmVRO1Eo!lKbc61AwC7iBPmjmbZ#G`Mr&~{ao)O3&F9vyTi)ntN zX-l*dOS?l3EqpC@C68wNZa5L`60Bh|jh*3Q7FW3m$10`Hr)9hx)@Igg9d~e+okWRPx-32oRSXPfrrNOFe3)wo@SV^M%<{ znSsEX4M7$2AFsfvuIKu@1?4l*X{Pa=H^$oQ7`87Iax=;^9&d$XtoA}s!8Z(UG0k#U z6*Rk`dUKz8li%tJsCCw|W7hKDR@SVoUK!MaJQY!?@&bqIWjscg7tkNs*>e{G<|Uq2IK|82l*a%j(16y1;4XSTV@yH1KjNRq z@N9p}luL}+%!q#nOw-te-|~{u@;f}`Q)YS1l~XS%f4aQH$fbF1gfw%c6vJ4loN{JX z-08W)L`hZ`3i^pI%5c)%j&wXEtGr}xE}fd?$)$ACJqf!}M&QbqXGU_#a^-EBU>dh- z_;cl%O+(Y2M7rw>)3K#CfKI*TJ{i)0Z&t26^~_NC$}Q7~6qZ*$w7h$e?g?q{?0k7g zhnAO^Y#K`o)6E>3?&vwDF%2M=m$=G1H8frCRMXfc>E`6?z23|LSV#|SKg(T)%UprO z()p&&QdYir!8_AM&eJhT%S-b8qfX=#I^~rkNYG~mPt$U6ms!wA3_cuW|R6=^{L*yz&c7qpP4@oATi1%IlqB8vCTYDS3Ds^A*pu z;VZuo{e}A7?JvaXY^04q;n;qWX#{nd_83c`KpA3EFVj4ZG)97a`_)2r_P}A}aE`(M3%WD`95 zmS1KXh$Ay7pIJK?4yJnu>1fm{FUix>#(XkkHoh_4b4a&R(pff*km&L>!ZdT=>hw*% zEVF>;Fx{<4cSOp{x7{Skxp*DZ&7NZ#2MW`*<Ue6(&;uhux-x4R)`-yZ-S5T zN#x1k-g$0(n`tCm&rjny;(A{Bb}c`Om3I%yyB*(4?eu&;>Uws|wUtQo6uzIp_bC?f zKCkhRMTzuD^$G876OQ-}Pdqs3z+|=EoJ@55u>7CPe^CBp`S;8Jsr-B8--XyKKc^TB z^ClX`6ALtNEc{NM5XAR^GK@LgOJA$aKcNhDzRg((xhLPImEY-Yl5d6O@c9VJxgKc& zy5Nq_jd*4`h3QGdkKy|+d_RGE$kg%!s`j9Hr^n2ob?){s-O5FI9J+DFa)_&q_`Xrf znSyeH2hHG}o{a_NxbqR7a^$-Q`EF+!_;Y_R$8!YVS1vY$# z!{$Nnot{JLzyz}w1-Z%Fm}{rEZZwU}xF@|H0MT5Jgn9&21<$V*JR8Zu>AiS%#x%>H<$89Hp?Rp|o^wEV_&&#O!(nqH!9Oqo^cpE> zf|Gtz2jGRiplKVU8EKz(SOQ)iP-GKB`!j<2W}=aeTQRoKz}k|9jpUjKCObS-ld3S7 z;_TDxEiu0Py?^@tlRx<9A3pt)ijsu~CLf%1$T~dni0`%upYVQC-GQ2kM|V3(CkOCy z&JNRf4ENk>%un?hNx6!ZQaj%$^E4v(q%T<33no)pCq% z%8iURwDKoH4@@_Am!@}?!{;S$@9oOHtqB z_`VO{d(oe@CAFaOO&t7(%|pt3!aepkm((7ZaL{{59WL7UguN6pt30K3tYSD>g70(F za4Zs9oC2~sXdY0|EP)rdym7dV(Ky`f66iZRTNbKq78NCO1(ajYETgNAy(AJ2~D-e_AF& zpW_YwIqiE4X}es{%Wl)Q2!40dKg(`9591Wy@4`J~mXuA})MZm;(Rai*(>8v>G#%`Zj%##74D97((;UF z;sAZ9>&YuNL+as~&#b2qW&xvjm*9DGDdB&by5SKFbgdOSTB4dalDeELm!}ubLYedIa(QxLFYd>x zFEjr+~a|~ay!2B7_;kfFZw6vEnwLr z%{Q3uA>`v(SQ~Y|QO#2b|F4jbr#L-^`wFos!Sczz(Zi0_>N|WV{BC$TCg)v%`NzYi zaZ2*%PiWxQ-;vQN~Al2G8nbG zOZfJ@#={fynm6@u&X?g(4S+_+n_1>_D3kG>Y0D{xK7WVFIk&@9G_O)CM_=YVl(`H8 zn}L##f_Ww|-*h-KRIXkCH-sO!$5T1-Z%2Lxczq7}%S)D)-!`G*h_B+n~X0N)u8^XXx}mtF*To;HnvBHs!35`1S&#+k6El$R{2 z_=FcggY!E!CT(9D5$qvwiH?PG85NLriC{_T<^xcUFvq*oQvr-VUWn1+ZTl|DV2rX| zMPTRnJB9Cz6vnn={Mov@*@e;_HZcUY*+@J0V({``Yu((@i_E-C9g>H5-;6vAc@@EZ zVLuR-?fA|pPqnyr+4x=7N6p)q&U?xhrfjC<7X7G?#Ty}1It+zIxttkC8 zAs;utpANT77yK>e#sa&GexAx#P>3hO^#t;9hxby+m!}`s(wNW-(`_GRx`&X??khb8 zr_OROw-jyX#0ZU(azfO17Wn&HcTjljZAmE&CLO z*E<{dK)%NXZ~1)YJ$Za1iNSQ`f57}*?B26&noJZay(SMI`Z0H>7|%| zVq+$juh0fpKJUnD=RxG-CdDodW3E2aMSz5h=`8H?yH(QV*YMpw3x)KJrWi+&kNX4l z{A-?r|G5tS3-hq9XOM?G_BMIgmVDpB@eQcci-CptSl7(UFyFy$wF=zl`4lNm&|Q>{ zg2=-iZl@dHO!pYl-7RJ3VH!!cu`NfDkNeoJmwfs0E#4}+DMjHriG19qHr9Nz-iq;r zJzkurx%3k%5O!_F^_q>y$Gu@14|)FO9O zG^dazDCIaZpQC>GzIqvTa}$>yPxJD$`rUl%3h9DZ6KA)5B6w+x~ z?vgEBHXfm`4j?nP0*ys?V119{!c8$F(cWx3T`$r-A@JvT4>c1(mQ&Wg^PDl`@=-d? zmA0l(A%1Y$hhbo~uRU2QlXH`>~x z9kJGA+~Cnzv*p6D&0AWck=ck1Y^-j@Zo$^3>%vXRsDT~U2v zddmrmT$dVkjOrE!08z9SQTOxrjjip8*@GI}@_}{)K@LV+wj>&jn$@Ao#j8Ub5I)an z;njXm93+=Y;~Sd7*mf?vxv^>1sB3L)vaPJ97a@YePdETacXgNF|pq4oQP~VO=af2NP~$^?3wH54Nxi zu*tV#iRURQnX?A41F&6b#sv*$Orw9aqr zoR5QiaE}`tW|4b|`z}0eX=`muESIC?X3yU;dw$JA&_V-ZMc?3HU1LvBz357*kslp` zLXgN#gPl{~+8)Jrrri5R5?S3f$@+TswyOt2q~ll%XxKF@jE-0&+8Sy!!pTG{a9p3{ zws`qP(28)Av6inu0TLQ8Vsz=)4t5HVi2nWo?92l^h>pO>4@MOG^rQ^C_)a^0V-&z8 z>^zmE|9nlM2K%8i7D2fi5u2(enGgg^UxnJD?V)(IzO^M{R8`hih9rMyYkLGlmu!h( zTwmSVwYs&bHQ8<;YImq9+7WFsn!_#0aFd1x&_&yfYgShh%mfB}ReA85tu1TX+j)nd z)flnOv9=hxAsTMi@kovOWP3YyQrZoY&mf3S{S~~Wp*7TuKF}Epz8JL?A9N~P+um$f zL0(|AT=RidwdhzWu&zBu8b{+AVq4GwRRH2@dAA|DW$=?Gi);QYBuLn>W?g8bkttuYFpzmZeX*Kruk2<5q4>vWGDs2xhq<=)>XQI8Q;cXw$uSg&sY^xPh#ryauU&KPn$R^HH(a}VqoE(xG`A(T+V{1sZAL>o27uABJ{e6$ ztCP*>0dO4qdv&zaxRD=#d~lg|`*k_!9$a3RR~gqOwn+(2!HBwHG;bvoxnygTO-&=7 z)~HcbCi{NNu(e?^Sw(Xz<8G3mWs{T<3gKlub~$d6_8pRRh7qkk!gqM!*KzF}t4qe3 zBJ&ZKc0n%6>H<5?eP=$_y#|yaSP3jr8w5z|Ut(N)O{H+{A}mmNoMwFXEf}5tzh*I5 zp8eM#P+Si1fmSIw&P_-EFP1bz`sEt#RccK0)qgc6#|41paO%t?CTKt);UCV zlsjC@bWCQ9OsNl|M4A(h17*!o{lE&1PC!Ojp%86}FBVWjTggd6Ezz!ojm2j0N?<#lVotwRk+5|Y9?jZmXLUBIp+ z5(aUH;sTenF%()`8C)Z{CS|jRP<+rUJxByHCUbQF!&^eAfx|d*PjnP?upOKlFo<|; zNw)D_S7!w9;;?n(&|AdZWg9ZhJ1MB!VI6Hd`UZuA=a2?TLN2zn$Q z8d_vSHp+^S$z2G0-ZAaUaEy;K^BI6#zn((lSPQi;N$`%bg z#`u*>!n)HyJt2+&^p9Xp7oxMq;t>KPEzfszJD3WPkN4d1&ju1Xm!xMoajczxfRx`W}!)P>waSThu zXqHwm4ZDgREB$r@Vhz`~l3biVml9-lkS|0CpfNJ0#)JqJ8$=*vrx-IEA64BtkF6T082=UBz0UjT=I_ zphpT7JBj>ah{ec9;|(2JVa8-OL3u0C#Ze#%(=%DX7?&sc8j7PBX2kb10h_bP(TiFc zeq|gt9K{epKv|+a5)YCOO2PNyoiR|U5x*hUChQD_$*;}1SvBU?TVf!N z5Q5uS8unv3+cti7(A;}KZG_=)26N$$fwi0PTheA!|Xr=bxq+GNJP{Z3b)k5q9k}_XEcL6fM+0Uti|gt<&bHLH7}Iw zB9z$7P6SjZ zI%>n~t3{>ZXO2wZF|h~~*V5YFJT|~FzbPXr0d7QL3*DH6DGp-*(_JAmwgr_$7S|c= zH0*@l8=|TSOKu&6O>-NcFo<+=BNlCo8};FK>Va2NNhW+~iPj$*V+-qqbVE(?##jTS zmHpV(+IfYjpAAqu9SL6tnGY+L)C$cU1_9W0nvDeNgyz}OI<{t_`!&sx0|@Z}w75fs z&`?-KXiHOT9ki!db6c`Ksx^>?&TtdV%T!4uM;k}f4WV#7FafQwu_;CUBGlXd7Y) zQ0gQGYJe@(d7sZ&N3c23Mrq9jHQE5WF~L_YgIY0qD$u2u=^xMl@Y#$-1We0v7$pi4 zum#0oW21Q(Be^!5XbkEO!V9}Oq9){_mEO>x<P13F39p1Wdqq2{LJqUJev;RY)?)n?<>Ai+Pts z38P1s7V)<92D(@cXYyh(kU9iZzK=n8;D>W!rogS3k6>wuhXEn5g;yYpX89(dEdj8A zM+3{YLWQCEPp56S+uSU9P(0FwdEy5CLLIGRY=<+`gt~}!I5SP!K*oZNTq$i^iH-Jp zD5P;{rA~PS59;8;Gb)t)8>OPau{Vzv)iTj(Py!~Ziz$V$e2WzCi#9+do7!aBH>SqQ{1J0L z0W;pIl|qU%7$4XhFg&zrNk=Mbu7{+Hx4|IJTOb!Q2)deK^CK3}a?3*U^KhaU{9Knjsv141n26oMbx_B+KAnM!6Yt z#T*>W4bBMb{TQ;NYshEqRW_7h-vOU`^@=!_RV<&`?k{D_Ds%(Ej6P^{M0 zhE|oAhbpT#uGvt%Di}g|cua4pzb%w2+J+>x^m5v;>I0$0T--Ct*UHi{%7E>wB|0KL zY3`ce&{n1^1X@>x8lb)<>riq7mLza@Io|=3sOZ}2f~v0O7hE(PgFqEXbI@J0rmB(< zVjUC9q_8STX_YnAp>pw^5A$d`r7Hg zJX8*ja^ttiIB@z+H=hGG6umLhuy|CREh!}rCRn4)BPp2&@UE_^Wk=E;7{Uaq;LX-i z!GN`&1`cB=iKB)ArX}>X4aC|Ft5%1u*>Fv03D!Dc>!O7XkjQHi;^m=wjdmyDMx=y0 z6^xcnGUVpV*pN50+YWs>e`?t(Q*z&cwAwI=TJrQZ-6idjSaLWiCl8K zoNaLAV#?h6d=+&gRDo}-0;8CNWHbb&liE1mSVPu>c~-P53|0*h9_xz0onurb*=cj# zRZ}H}iNk|P4=kd%l&cYlJeL|}!7zc<(`a+7J&YxL=}EibCYQ!@y29v*7?I^9a!h!w z$uk-V(G`y^Hh5%$ILUEhs~s8}B6Fy7Rjl-O+GtZbKwQ%r%G&*H#>M!$mY>ZKG_} zhU4L^=?&xI-XG+Zhr4r0UQ$fWtd$v!&Diu@&V;tPHQR7HY{PcheOWBqunpU&YyCkW z1R@{;RzL(Sfe2Uu6%YX-5CN+;LZc!CO4R*+&Uw%KzLYc*r|nk2(f2&(InQ~{bDr}& z@AJOzy}S%qpJshaA04==&zIO|8TAUC$I)+1{_07&i}K55svb5zvf{0;6^t_`&8lMV z@0z2dqE69$bj?w7$F=y-z*Ej34C7(cM@7vEliWecCfe~A`A;aMzu`{3KAYo;Vm!5| zw5BuvSg*H=cGDL~x|zm$v4#`oQzCoh?7u5OPx)_A%X*vLFzvolNm)6 zKX<0j6HxSz66>7-51WgfvF)of9#Y#MGuDvnO`ftwV=J#JRu79 zo|yr&IQ6=rbTz7Yv`y2Mcl0qA3!>fNzV*%(y;_ucKC3mS&r!&@c5poiwcZN9 ztNXFeD_-&e8`-E%wExJV`?jh6xqtqsE~I4EQ1(x)tFw=5VEbdbgB3!O`R0!&e205x zz5QS)1`5BX%g*~uW&6SQkE-^iRccR}XD}DFYyQyFKnm$ke>pCI5?UxIFv@XBg zS%CNvJNsy(_j2M#?OdVIfc7oDPkrm;71XWzPJPlb`%yr%EGvbrI(5XG_~`@3QWMtT zi67W|((VaI(*d&qy)sgJ^GlU~@aFI?fYE;{^+c zv-at44^QDIl}>b1%wBo<20ZPcqNR~kpIPx*L!Z3Y7cGCZNLGJI-^H{r zp6uDe_vX_9d@}hzdcN&pYNb9}dtzdIOfsDh^NKI$k4gF`Y`Ay&W{kEKeW6I70Zl(z z{N&0YVV}-dvTeM=w^9F+AZ0oG>MO4fzvgYez*Asyn1DUo<=Awe^yXD1g>4z;mXb(c z&3eXqd@{yNkFTltp&b7qs{HYgKXKylrPri-kMR*dc=mG&mj51^9%JfWj&Hhf2c!=X z>6Am2zOlqnL6v%qBbOeBPnBo2PLgVf4E$y7uauBmC9eL=ySFuSaw$bXa6v&Kj4#qSUy!6j}8z=56_ieO6bWgjEl2> zP5qDIQ>BWP^^O4VBe`VkwAirrvyPsG^r`h21YahLv-g4_W3 zYyD-Sn85M3qznF`J1P;jq8eUe`xo_U64g(%<_A-h5&(a1SZN4gQ_{Rmt!S}JwTuXK z&1z^C2TJGXO!Zs>y8TMn|0tgs;*LoD1J{ksVovQ<6V-uTkw*v4b+cuL?wUxJfRC_* z%a~d>&FZEATbe!Kng|Wh4OAl(m|wN(b4jk62cO^X*by-h!S5Jb2lyoqysl*vg=F6p zYQXOsTL<`6kCVDce(Yz=E^yQjI|ZEncTEZ`8#@K8i`)X-wpG*se%wPXV?4Rtdf4^Q z=BDeI4tw<^w^t8)-Xcua}>bn*5CRQNxC7}bM~I#$k{6z)4q+X8BWZ}i7OVb$`byF&XnFNy?FfT z1b$WYLTRad2EjEI?uxXN09|rAt6NiHqbS%`VZSK2sge9IHkxtVKWjdZUl4rN*)74I zD6^RlZJhCJ*&xP&>!M68AK*9xylw-`_PPu5lu^iOU`=$o^o@1`b5Z^&0fz)j&H{gC z>>EUX&;8vO;J$1C2gcSI#hkfbyDC_87FaX(jZFcAQWwC#bZI`S8WUEtQN029+|}~A ztK~zh7P3yV3Bk;jinWS4b!U{mvg^>v+O(grQsTF4< zAww(A$_jzlu>n;964V^{X6e&75VILABT=Iq*;;fuW;JwTYNH&1yQ9HBuvk<9*4vBe z*gyZ%$)Q~DaL4F$Tcm9{+Mbp21q)0aAk$wcy>zwo^5@PbCNmI0|*LrFRw* z81Lc|UEHxQDi>vb!n&yCUDWaUruz-ho9uSd9eotWy&yB5j1zq_(=R%$*FFi%fZ46) z@t9!M5onD9@KH<O+5=J=qqr8nhg@ z6e{vu?14HVam6x=%Vu|yAuyYg_G^c^VEgDK8;xHJvjtsNmNd-L6jvVn)Rht|>&{~3 zp0h^+tVscbqmU9(g!`RlAfW>*L)XMF*uur5V&5gElqpb+VnwoE$r7CS! ztW6bI6+N#Tn4kZ2cD7hgm7gzNcw5W)nu-V3c4k6Achnw&b z2l((AKAeURulHr|mi1i8d(KXF-Riwpbf)y((sxRqN#OfL${2tMM^zZa!6A!c6aUH< zh9>U$EH%SB9T#x!*cCccbCQOeVv9ygW^@+lGqw`rKUJE|?a$`+XJhbEz$md43%qG; z1t2#xh^sFi<S& zLBm;KS@dG*?b5H8dcUEGp|~RI%tVWlZb@=mbgI;NAn@L^+xi3bQ}E5ZtO8}x>C)S$ z5*Y8lik&+mUGIQX?24>0%x#ljig_R~pVANb$qRtr2|Rg+ zgF>e%)B)G66m{#_kUFH$B|2UDU@n1i?Qx(dF`!UH1hlvA;epH_s!l*T`0adpI@N14IBZcIODb#>fV<&+Pk?X?odyYC3goiZy zmWk>Bwdm%vh2(}Q)PQ4SE4?o(ZX$){oPZyJR6>N&J5oZU5HvK}t_3XrdzNl%ZI~4+ ze1EMC!|y;U5!c#bEN@w-WlK7zN|yoD-PvfUil>{6(X8+DG@AkL{2gyLmcVtJy%`|v zjU{mFUIE|}9gSscF?VVl>mxEGr`Ovs6C#ZqSP41IzR9aVI{fwG@YiklJL=9X!R(t0 z6=3w|Q#nji^a9`OQ5H`M4Utw9FembGh*=P29#B{kNfB5RnIg=l z$t%Ea$YJ(HnE?v7L^1#zh|B=YJyE7e;h{*u0y=&vRfIV!(wKmQkuV_Uo+vkC(5_3Cyv}fgam4W`KTU zYruf$bm?k4fw|~%VEEs+9)at|&H}rym=x&w0_-fXZu2~|DVVpA&j1S|ou5x7Fg*&p z1_1OK`v!2!f;R))G4>5$u4=l#jeg=k z)bQEi&m|Ob`9;k_D(aB;U3R5Y=P`y`soR>=1o!XR5NgcZV`rJHKAV&`^7(n=#xLl+ zEmD2~<-co=039L?sVjjQb~#WBIn12Pfpuf2do;B}KFdJE*hyeQWCmf@T@Gx89A?ku zz_GEj{TJ1zNar!2A<|#b?n+=bTn=o99OlsF!`hpVZJVA2u2{x37X>@U&I0?!P69VX z<{`|H%Ym{I)8sI{maLP&uCcT0uPU)c+{tR!-?a)Qui?)?d--NZ@ySe>EZ6G4) z-Vv0CZD9R^d1Gf61RE*K25IYI7VxDpIjkk%OJjCjESnR?1Rb^-CIMd~(?G9DYpjuB zV`qUSV<&G2*v}N)TiT57iezj{+3f3SHu9Ogid-A{yf#e{cgf$;vyyHl7+Xn{LyrSf zVGp{XqWS@RJDS7&V6;fAPO`rz8T2^`x3@e=s% zAm<;dzz*SBoC*3w)&Ziu-Vg)XICzsPE?idl%l zuCkEJv^pg1$T_j3(zd75j)0W1rsNgZ#WSVT{e7oP3H(J%u*v+w*W|+2X}hPw2-7X{ z@xk?q)Ca&m>qF8$%6=M#Bj3oXn<8=*WM9`aMqty}3a}-5zVv?S<-eJbf48h=YMqVJ zQfumJmN!%6%pH-e0QZco0QW_y6_V(I)l88-qybMh1%5=V0AzurkF`zs0db1Gf%%k_ zy@3f6%hE6F>LM2CGPVkwv+Kj){mW^WF*?h*P@=NCw z6UU56H|9$$(=;MdxL4aS4V$+LFlX!(uq-ka7>++`$^qwA$k|WQ)6QxehB=fHz$Rmj z*caADE^{rzs#kDy_EjqtX=F;TKrx!*B^zzrX{L^$WwbRC1ul zE~(Oo@e{&SWY*f}eWOw)k|^uG9kDB2^zDdUiCu_PRVpl4Iy4sr>?)Fy87<#jxSwGk zk(51zy+LfA8BH>SwJm9$8O>O*l9D7WSFt36XFxO0fF_I7Pq@uI1Dg4?H}h$4GQATb z8Dk2WKd~%J)}L6`-dSgvDvni>&MA6?Q*0i{W*)m{#IB^+aV20?#x1nsziAtOL(#MX zVJ#_CR)8s6-0Hxh7LCbaRzy1N16&YIPQo)|-Z<^}$=x8m&)&BC>``0wH6XnJZMSh@ z+x^*Y?}+Kr{L18Zn?r23JGb3te$$?}+l8LDv$J>fe7f}F4{ak#yI8g^j=YQIHV5f7 z<&C;nZj(SRFHc7Orl(8CeXHQ9Eg~%Q&ME+QT4C0dDg>-kZLS&H@$Rj_- z%7e%xtBG8)0)xEev0iSIT5K*>6Uk+T*kG&>xn_mPHY>2r9Eq&JM#D~G1$L2i>sW5H zbsR+v+1L`98cBE%1+wve6xcqFqQIWfZ6|IGj=f=6*=hzjelJCV8>y`*z<5&E06%4` zd8D;9kHlVm`MJ_>igXJGEc?7(D?XRGR(vdVElZGfsc(2oAZ@u{Y`I@-xu3P)MR?oj@A0+a5t zGF{2G)|ECvdf>rZX%{xpmCRv=%Bt@y{j=<~>xUgk`b966KG7@GPK$xwwcIL|s})tm1-*O_aPZh(=Z9$;p{g<-@Yf zllhm{ZTtTHxb_~>&>bB_J6!7Rap zYrgyK*akZ|=oPW6p%j~%@#&rhA6puH>}c@pY)r1!%1*YsQ4yOPJl->x@Uff0$7Ti} zdl`IeW$4B_O@F?q;icO>@Yz=rg7156iP3 zmU(!*B8oEiY9~R$aQoiZW@lDT?xrc=m=UN5*`ju#2rIYdBhm4w=FIPn6jX z*aM;*Yk=5s)A3yC<>YD_H$FQ_jJN|KIT-)E2ziVh{TLTKW8$s?p7C(|2!BDyEe3X$ zbOzu#GIn@`%uNP-j1PWIOJq|7&m&n*leCR7V>6zZB9C#SA7h4(@tSW+ZniP>W89pI z)@|I{KZN9u4srlltmO3b5iy}%#D>G3L>#tF2ZmUo1KtzqRsi5`-*h-Y5kqvqQIVb= z101JJ2ZozEbU^Y;b^s3RrUOIF(TUj0A8z|ggDwWkB8h=Pku_yXjzu&DJ2WL)PC$C2 zuVaM@Ocy%fMUm_P>HYt5n_Z7-LK)#a$G>BZV z3X-0Lpdu1Ofg?Z24a6Xi2;@ZJNx^cPe3?siK%N@VR2wYmL(@njiQ^iIn0=S5f{*Ny z%ExWNEHp*4@Vr4MQ^`8C;4l+MBlt*6Im~+Ggo&8Vm~$mONWNMO;`&PkyY!QraU3mv zoQys5;~#9a&-`Tf&-R}x{p3$1T*T5lg_ZW;pjNb|URGDyOek|{1!j(0J1a0n%#Iht zFgsT0R|pBK*N91X>y`Gf!bRAuhFyqYS>cWtE?8FR6T=#{0=N0Z$O;6CAX$Ns5DqJF zfnwNJh{e00)x`-*uc;F@YGTmAQ@b-udH`6sd~dWjv}QI%njU~V7Ma>;?`qNbT6!rt z$`+7#U4_z{kfV1C*KD;=>TvX;)0-OBfk;*XMrl?LHJ}F~$pMCC@;Nc-HF%@FsNt-L zlmP%EG^J%7Y*$2T4EV!(x%yCrP93+Tv}3mpJ1R&C;M`@6VfMUm^e)T|k$M1b8e0MU zp}&llbRq2)nNgS?k&MR0pFNbb)2Qogv|%_zVN-C+P-i--*@`S)uMklYK z{7QYgyH5|{o^@bA@5%FmUx_{#Vrule41zldqvlkmRC zT9@@&rOV#4C^DmB{AG+x!Aywc`j&uqFm4KN$16IOI$a`FDE4sQvqWjIMGOvF;~l}S z$hw0$bUEp_eZ}zg;yXhZ!(=V^?rZcmNCWB8`s)_C18}_JG6&9yxsX-C`K3<1z_4;<0C-^REbvfdgNNzR zMNoqWF4%=pgU=s+Hr6Ct7kS_J#T}ZKChDwSZuE=H_lB5>D8RHtOoCub-hr}ReAPQ|OWv@8MiHkcnOc)MH9x7dbY!mi@1IWc}o zmwp_vrL-maw#co*?TF05yJGHnp(}yu(k7=SK%dBzV1``|jD#F!&gDQm@y|up+pd^vB5wlc=MOc3`F)X^aFPBKku`ZNro%4Ojkuqd z%Ah1eA~ys#EV2eL^CD>hiy?X!K<76B=n6Y3*5CyT;pvcUmH-ClH6i(?X3AT*)MP}vyTw2 zXzO3Mt3V}xCzyRYmp5Q6B)t-j1HITd+KJtjqqofGnRt*UX}>0i*%BL1IK}dOlewaq zfVmRObTL4>~k98Vh8|Fd~Au2v4$6$dMa^HDCu)#?jzwQ~3n`h~b! zebEmdsp|j;;F1QuT70s;T6})KT6|=_T6|>w)N4uNX12mND}-ZSNMmD#qDuqr$8sCS zXS%u|c1a{xiN-^b+AX(XcvC7lQ5p1Zm)kHkk>tEEP2E{;qLBW zNd&ndNh6(0ila%VeXTg{YsG0_D^B~GO?w*8HH#-9R6N(((X$uw>CHcp%#1RXJSB@L z!N=TjuNzYYAAZiM&Adp<4ZvmX&S61p1XwInKO~YaKoBL(=eikgN}5k_GrC%q?VDzQ zJ~uj_TbjQogADmpX+F0!AI;Be7HgJ}vp`FvQ{HIOsf-%3teORejjaH_E6?)adsw7{ z7`L}vHpLdrVoMvzzj-%-n-|FifcFnxK`&h*FTuI>`LLc=WF4IF`=Z-<_-+C>;-&xf z-2|@TrT^{S1g`0&KYKTUqkl!4&aZmsY6Q0=(xWV3MWjzho=RZcsTxiVisY2~gd+ed zsW~y|7I_KIBa&Smhtz2vk*5dVYkkiH6UH_H@422wIQv5Y+$)cY1qHg{z0Ca~{oI}LqQZE#EN`gUzp{=iz_GED0Np7ifKFqxGY{SL zo2UjXc`dLi@;Jk_#;|ar*`Vpxfn{TxKs2i-##yswS@43fb-;~fBd23GR?8K$?_i*O z)7sX6a}6A60uv(l5UynvCz|bBvpV3-E*G2Cle}4$cUPsmY0aj99g)6F+XaqT2UB^Y zY{m~&+cl0~W5a@FXEy{}B6XgC*G*jC7u*s(uP>qvelCF>Fw2<_XxtVRu50`r zhtBM0Oq_e#C* zl|D1nn;^J7b%CamICyLBJI<_z$MsupeFLw3AaCO6~ONsTLT`u6ezdM2rz%y zbb+rKTLVgSCIwC#TLbRRn-n-Swgx=>$F7@tiIe-HGo|{6<*^UT@Ti~_+Lb*=k4f zSWRS)6(W7C5cy+;2vELrR_0tcegrSH9z zJQQ=}a=nOfKbGEtOV8>hV~x-^BDw33yNR3H>FGXiWJ~)DZ>~N{z{r zTD{870ngC2HjJ;N%7oY}BCVJ6nx;XYF<`{lDljTKQ|jn@OOHa~TUJ$Jwr^V1`|_tZ zfxjnW7%FRER?^I$aY?ozYFMnm3nHy%fW;vx%VN=47DR_go~;X3l>nb8{YExy%IhZk zZYp~&p-g5J^JwD=A4LFgKWCGNU)5qnOGl=N%O4O$DU-p zT0=Ag=S82;(J-cn@`|Vus6`*K2%xw1Km~`*gTMiZ0L`uNOTvO^$42h-Lf>{BQ-EWn&fzSEA$HKmrMC(c*n$*UTq7DA{|)P1vgSwk?^zg`J`JH zB`#XhxGA_Tk}F&7bGn>d6zQ_SA?v=evjB&@vi`o&PbG2OQ}XGfWKYMgE8_ri zUY7~E#?^wegxD^DA1P+zTxEOpmafkUr>w`KGupkc@-k37DI*UebL31kGk8^aN8_z3o)M)Vi9%T#V?M1GE{ z#E7^g&8g#c_W{5MMP`-A#4gKgM|Ib4T~?y^(2A~Z=^XTjB8?nK_f~zsPWvh;t^0!^ zFg?GoT6(9O>uUR^HrMpfzS@S_x4EkVJ(?X&uf9qqhK*Mj#=`!)+UAVFPHRdq+on_l z&TI50hxww10%&MfO%BttnXUl$Lk@H3a^NWBFf6%r=PhZb%nl64Y2^{XhG}vbwn)Yg zE^6bm3K+HwjRxTIZE_eE7Y#sW-6~)%h!kOf6fijq3y=oj!>EX<;hLN7DyzlaZ8h5= z5b?m|Lg)Mm70?dk++uQBJ?z&yvUAK$7>vr+-v0c1; zY-hVG-P~2^xRROW2p?yZ^d!GwC;67PM*U?!PVo($UBq%e-*dJQtqnWG3~7AIE`VW6 zn=?y#_qoxA>9Qr%;Hc0|d^DE1_=tP1i>`lRevjmB$mqIa2Tt8%Xs||B#VVWrf z#Ba$x3NwW$Y_;PYrhT1}aeJ*b4=_m1T8!qN4Q!?`0Nt>s|G4~}*KM7)bs$Z<>si`K zJ|u_c%&A%CZo(#PmbvS+jd7NZk!zfEd6vNnplkA+43(JK>b5DGWwy9al9buTuGTSpQ%}PGd2XIf^;jm z-p*%mmKpR3%3e>#!xZgHJWEM;f!*RRu$w(gVcdn8+qG0?J~X|%?U)$t*Ni6J1oAK6 zloZnr5mvwfk^X!K&v>|Gm{_(53Owj}JstUrIEDis(>x^4Ya&)F$aX_L*sw#*B>Cmj zH5q3*-chL!){j_R?huLP(C%|F$z062lzb=Ir9i&l6%}^}tfl|1=t8_FI#X(XSk||Q zI7~ejMVY;0M7b7aLfS8iax8)n-+Ai5fbBd@;OC630K@L)W*cTiBy&JBqMHDlo5^9wVGROcwR^0@_?Fj<6gGLj|J6z5^lY@*j>Dbo#L>?5*)sgXWe+a6 zc$plARW1Ek+}^Kbeo1Qr>9v2ea68+K>EG3KUK1&20q&1vtuQO0$Q{q0*oC3WO~I!` zx|T&PkLLZAhJNWmX~g;=B}pf;03O`36qw@JekI*9L0*4p{{ehP>%g*0f$tex0S;XX zJT!I+@Ohrh=ef>2cbJ!x08VR=aYLit`Xo6N;Tz~j^(o+<$izZ6Qh1Qvl!wFu<{UBD zvbmoGJPoD*E^BCj+jd=>1ejUb063SR0di@RQvk~raRfKETV!mz+crs)z;7E{0f?y# z#N`cTF7dvICfCH?5+MfJqNNS6wh)7(B8dTiaqc$%>q}nXCY;N`>>LfQ2YFKVoKA+e1y> zU-&!%oHBGViNl5>%vr-{cjnpCIK$NW8>boIoJj2e5B$us0>3AcVc_?StpGk9GfYR9 zh$SNMU2dj0Gx==HFdH7>nP*3MCXeb&zNyW`(MO$-@~C+r=G z=dnW^GnfOGSJcM~hbXV8?xxlIv57q9C>H&b0(V5AAM3kA8z!5lzK3vYGQbHzSEThe4DWTc@x|+%)1~+G zH#?GZc^I`l>@v_awgR+7))CBAmjk{gF2{~%9mSSs1t#RK$OsM%5$!H76B9pTS{gL2hU{s`O1Zu`!2K*{j0n%qP>ung8t+at*kr{;XM~KsauWGwj zyB{hrz1kM#3ovKw3@|UUzYYn*0an`!+bpZ!harYtRnrTwlbRf6Q>42mz<1Zn_r)HX zv;sUZ_AkP#S8hyLX(q#{b-s(x-TRrb> zGViUP_clpyE$gigcyHOY^SayBOzuoeyoz*!N$G=l37T?}9YahQh>3+Gk8Z>r6FJk6$B_#iSzh|}AqICfRAX>28LQ&~`( zMRyNaHFgZxbLoM=jb?w(|E@$2ME2qb=CO#A4T!G45lWp!aMmWW23#<<2@HxnN8m=h z0;n2W18So5<8R{L@Cu-1Y&OummpZ*fGyQg)O5Us<&9Ik$l(|O=|MvaE-35_W3h*ss z>%gMOM+moK6*XWztB7gel;pQv0oZv;0ZGSOzSaci--ew6hD6>=7`yjZ)SZ(-Cb`(& zW%-*PY$DTrUZ_$0SU*s>X}0rUcg$sfH|sBCTJm9=W*=)Cg>H?!_%1$|eIc_QvVz|u zYWB8B69!xtos1s}bEZ%SwvC+vLO0K`1xbI)6e_^3u}uJ7U4CLj6c0>P1Ji2?)rcm5)Ht#9hIS-}yr=r5sp+hPExizQ(k3}Z~eEyy1N^gqP2G}=t3b-wDUAV^A z(aE~Xo7HJ{RitSJP^4KtFIObpHibznJ$ANRdIM&35*ReL3XF*O1wCG}MhsTe=$bWb zV&m9ZY`9}tv^P}aomEi$*QQwMOU)LN;I3*OdM##tN>r%D>NiYO18U!(b`p5g*c#9j zd4%9vUIEPgx~Yfrxv@IN8m8C;!a_aCE!1-h*{D|3Y}lHOQTxUM_Q#rnHD`ehQHQ>% zx=`x9ppU7m^w29G3!3KA7=U-VUN}h?*F<^_U&fF%9S6>f^pTzHGuf-sDw{JEoY{Bw zKrmvBD)_bU?18|ek*z+O_IR5m!M3sWYf`*!ZL+TxtgD|R^QeyThpvcoeXa=LT&J8n z^Wy*Y>7~+VG;n%n@cKg<^v>YL*3yCV=QYxQ+p_)8>{o$DB3=H=^mWhrsseP2n0|ZB zRuy0h&gl1g_*F-GT=FVlRb+;Q4^p1)8zP-efe8^R;mV%+J}_4rz+H1?X`x%K2SmKH z75Jzc`A{0sJ{sv$k7woYIgx(OXCZ;{ylHHRWoTOH4+IPc?W~9DV%9^A$sl%xjU?}3 zd>}JAo*S)VG>uh9ZncipWsyePf!RGXTLtJrTSxZs;9ZRxXSx27ShxruL+9B!A@Fwk z=(2cb7dc2=(2w{aj{uQ_w?rBdaA@od@I#jZ=f8=<3@|G4r484xiW+ci>Pv?KYpbJBHm0*1QFT-7&NKhEFXWfwn&@dO~G9g>yJJO`^@aroM1^rtigva1|Fwk(0iT&x@>S2 zfZpi_ykO!f;G(fL;Hpc3Wf8rAx zj6OyBmcHZz-z(A)fGEbuZi~MoI-{SpMh%`J>Kh}#kqB#`=fphFVIIF{$jGI#5V6PQ z;;0^|{*j0tzQ?zX#QP}cfo>b+dxkyY)iHx*q*#iaV3PXOvo23ej7NQv@Oo$_^U!2R zIzrHGZ7QpR8^%rpj9T~AG#?TvCcvYz71WjWrJAyrtep0sM%r`U` z3V&pE<97x3jjaGj#$IMfXGK|y2^Cs4&ML5I>}BA(vD3g^V`qUwV=q4xJT`W^=i7RX zDU#Q~ys?*e1-D%a+;wS$Z##2t7LVM4*=KhZZOSsyX=W+_-RcWi?WCls@t|iHJ2Kb9 z&|tdD=4b<;H|7!iSr^mmA2`d1PFok*9*-#xibcYQKaFCSDNfUUS)}2Ir}L_5TWtln zZtP`XPo!k;A{>8e;tGHb=3mfbYz6R@mHo=oc`4FfFZzk7x0%iJEPJ;*YgNUIdUlD=i9uQZEs zPqM`sev%xyqnE?A;@Tfo>sumy-X*FFAANbCnt?X8HDJQnY2Zzl0#{uMY>Pa4aJyEK zy=Kmh)iLJHW{S<~N!~1r-g)T`nx*E5z&ox3Es;eJW=`bMgPV`4h#ppkMT#DPC5s-6 zdy+*j{3J{RS@q>`tr)#-Rj-N^vZyXZZ&x)pthNU9E=6$Q`bCAq5xUn+w+@7EJ;`;m zh@ktgOm_@8G`0ym6cPWi1dgV3wO;bYQWI@rW3tkVcRU!fi+WX z0%4(^r<*`lWAIi^c<~Iyr)E+Q8ot(iZ+g z+On8OqpDjJ>BNNEpE+BWW{0S7Q%RR|CaM8_zY$@9>lYPL3f&FUtpf)lLRe4WTsKQ8 zbZa8r5(3^u<+0dq8P%m}F@d2Md6RdRWfTS$%s>rT6xkyRm>rh`yC#3ToxtpwyaMcp z9Oj0}tG5KVjjiD^{cChUk4VF>1X zzh8R;DUiQ*%X zdIkE;(s*ukEQ}V?V^E3(PrXeAIA_hPG=IW>+R&G7fdR&FMqY4QgFkBx>i~ABx~i(& zW*yTPMfwW)sRU+7Bx`wJSxVyDx=25AUrt~)z0i@s?0TUyfw?Wx4K6Nu6ska3ra!5_ zI`EEex=Ww=Y&k*DC*o&vU6@eBU4AB4B6%y-1PM-OQVb+>l6X9_&%ES5E);##A1TAf zYa)H(tTTbZIlfUScrtA6b3J@k6ZaJt)FkgWiw$n9T5S!u{T&pu6C<@NR$B+QMV?G> z!=_sYu8F(??uJ(Y*gKhim|PZVe*oz8bm{Bu1O}_0P!9Ad4M;}H4@J@idStASsuTxA zpH#d(dq3NiAQ(ESN3kI~Rr(6BX6$(G=-5q3eBfV+MRHZ$xtBEnNA$D=@a7d@!z@*E zOBIHUHQxFO+-Md}j4qj_I6Eg2F%EbftH8YI#G*j3A}TBjimRe5{cTYo z!Ou);i{hpvw?&Fw%mQw?C(2`(9@Q(U_3c+N?p6bMGC1yLJ>0Z)fP3_+b&z+JMSz|k zS#1sI`!0%;z<`Jpt|f3kZxuD*sZ(Jia30fXPe*(qRDKjNmn0*IFRUkak-p}91EoEaI*Hz0{IqQIT zM4Cx}hMM7al3Qrx7P4DbEVz?RU_hiJ|EUCKSfo=atvqJgoY0D3X%TfNFb5(nBEUO- zXEA&H!AKD53KD+bR`I@xsz6yi=;>qj`1-MA?o>5*Im`bgY5#_~Rsr0#?0$1uqH9(= z30xQbNVA2RJJzlS?5yDMBye5i*#mdOD`F%xyKBwrzXR`lQ;7|vS`!CUsxYwfE$q%9<*$w_Dgh8^jzr|5|FTT=!@w3 z!Nt$MXhEWVNc4ACRr1b$AGIaZdO)Capn%E0i2>Q9*{>osrjQlUkbR&;RNTGW7h z&fXV1boP2HiqHi&yc*aRnY|q`*G1X`6L2poU~Y&C_6AfOHc=HA6=`EF(apSzuLu^M zT@tK1yC%5pEO19eyZCok<-f4%8qob8#}X;maHvnC&*zVAz{57kt0iCN) znnIyr3U%P|_c7H1&^=xH_I#E!x#}3Id)6wuR<%_0p0YFwkWDwyar?s?UkEHC_TKfv{yrN5!$CCBhgUB*@{KyLsZt7^AexySz~ zJU&(Whc!tXq8CfwE`7`X%2q;=E>h9^vP|)MF4EuP$~s$84?EV`R{-K9v*8Mz_K0+8 z1I~)<@B-t`G%kq6i&LdH!WrcgPAr*p3ZQdIiHrA;oowmBEmbdyT{Ok&j=V{N8@U1KZ24VMBK*4hOgxiq(5 z$9}H}AM{vDT%Yw;0ledESI;{hqvKUG)}-TG&gMgC(nXh98Ux%?Gp1%ywW+fY#rm+b zEq6Gpoy;vx;?Q}sI60JA%vRxq+O$OJK>yg;LjfHWw=Na&ULs&n^t|4!fBw@6ES~5O za-AAe8U9ElP2gB$8zl^5;_sD74Hn;0D-pM_QXDd&(%}+d^!kX5d6&*gQPYDnod+kI zee4g4^oZ-%p37E3NDHqBh}8v=+y`i*ERIf?kZlvyfYyI%o&i@yo{@0tUQukeX3grr zoYhVO(X5{2%}(_8+tzFhI5f5exc%&b6-GC#wz4VkHkDnmH$?1m;R%{vU4JC@ta);2 zRp2JG2SO97y)M!VU|>QT&+F9icRrWE;;c=T%HeogUF@k}YTAu~d6DhXFy8#FF8+u{ zG%q}oy`v5vTi-Qmm#nFtkip<;;lU4M+%wfGz;MqfKfa^w4*r(N9+?Xy=cf_awb9QVt&qFe&z-;E}UoHhNZ6sKrttwix%e z^}IG4CY_)mmqL+@sDHLQ3GFCHcN0Yty~n>JoxcP&B=_XCjv0o=?g;2wEJ zbdQlCGg1fUjhzA(jI97G#@4ZW$C^(8ZYf*ASaQWNV8axrb6>{77j0EI;+DpMyQW(Q zo-n#5OPEl+uM21=_T$^o`>H9nP{d;KgCpx%b+%JnmuO$48^tWTdztK9LfwH#PgU*; z4n@!F^s2v~3j4@wI@HfO>$VD95T$=)6?VvK>cfJDvDpg6;sY~Lqut=iTDtBvQ4{Dl z_6-2#)1_}MCNNiA9<$1TJOufjyF?f}UHXk~8_oO+<1tc*w9w)(giaSd0 zr;=FH9lD`#o#;H*DMn;Kt+mzw!k~}%bVURBP<F>XGR<8zzKBqs-i(t^}0lGR- zk6^^=vzft_6%*Bf2O_7@Q`x zJBi`h6)6U2H4Fk@ttNtq;E&954LG-sJJZ0B$Pb%vqh3*Lwr|bqzy+(F1fp3z$(v=d z>5xK~>DGX5(I@N`*=N3AVtZA2SX9J9_>5AHttMJ#>cb)5OkdoYNzd&U_hiszg!8_ZD)a9k)7mU|8xSs?^V$dYu>Oz!xgKo0Ba&1 zrRg3KHnXEL{56pr1TdLu7R`>DMis!c=6?}fcQJq+#NeKb0Y-n%MHe z)PNf>Zk@26X=y)HZ=$ zkuONNVXpvQH?{_hid+Zo4X*&^jBQ58c`tQ(iDvq^b=IsN%}%CP-g2ziQZLl9mSe@1 z`3tp9Dd2e_`R@MzGFZmGZXMTvo5oH82OtWBN~rXN>SXv`Lr(8VU+NjwNs&x2a;>#w>0RRjD%! zaGbS(^MFnj13H!v&}$;K0Enaa0e4ohPz69#y#mhXdJKrjjU{l8MQQ<*6&P;;*Dq29 zz>vKn#=M@6PPer!Il3+qOA_>%Ym<0MzmhVGht2~3Mx>9>TuJD1%{rN6syykkW5Kd| z@Q6)E#O%VNZz<)uHXWgfD!RRBeN6*+VA+NbWR1=-kv!3aAl&pS0I#eGjQf;57+%SI zYU0Bq8+8*n61`CRj=oBz?=|DkP-TzInZZ4PXmL-&f?F8$ZWvim9&A6ipLL4 zr3#QD))eNf4Q3i(DrE(@Au<)1nW=kV1MZ2mVcG)pAl69mO-r*HurK1vK}&k3P9%>-w7{Kv z7O5IQwD{}5Qqy`a%bgDMtOgLYu)&^;i&2iqL^;+>(Yw3Z6xT;nmvm)$(i@+*I(^Su z-*x)BD3bDU+O62=QEYT}MOHDVoO~=8v~kVmc`=JoMj-`4?bAM+&-H9>G|S%wSz0rr z6<|YjM)&LNC-4OJh0=G+zQL%_X}wnHs>`~n06n5;20tOnnlZpvMVTOmnF+$LOb|ov zR*&aJiURPeNVggb*{h;?#eCIrvci@;V5-^u#9=lgHntmH%LqR2qrmlZB4syF6X}xG ziNhB}@F31hCEP}g$BU^-IQt;$uDY(ScC5Q9uq)DQ{tnP*u-cV*QDp`<&7o>{>IKiC z#rh0ZnZX|Op&GH2LbxL~yb&ADbjq2F7MU@?ckQJ`JKNBqHX>Go@2q3_&MFmB=!Qrt zI6&`(n}TjxJ8On#fB}&`vW21RhIrOpIq-wRy{ zjC)YUgR(r3Qg;I5?quW4-I>bW(d{MfFmPSMitfDc?yQ+R?{_3H?oK6l=Y4l)&)j*x zD}ix$D!5ZJci!(#VBDQ7<=7cnGlhViRTurDf(VV9rZofH7FncVIIXJCk;Ji8N83C@ z>_EC}-Yc>&Y;mT4SFYVRmlaN!$6nCS#*4vmS;AdTpB7G-J}=~-&dYt9%6x~MP*18RO=q@Vy7&6;8gQ}aSc0y7acFm!Cu zjy*!n>1WhBRp4Pb#!IOyiSxXa@LtIDfA0x}9#g0T7mRHJgCcb630%!9fH0EZwC25x6?@6=@pFao zqC)X(yQqbuW z=u36$3EX+F0K!N<{=Ap5VlVkfbA|DuLO!O4|6jO)W3QT@HDE||a!yegG=(}43i&|( zQU#hUn}r&%EAlSjx)NJbK##~P;QG8GmS1k9UNllqawGMkk$RFF$&CW#ha&eIu5*h4wSaDsSHOMBDr!J)RuRL?t=Dtw(?#p`B)2|Y zv|dkg>-D1be2Q}GV^}{BY4ZlccYW1UB@C$|w>K8{Bn?-?DQ&Sm=~xPvvzE+wY-V$d z*|~g4wQC|RmoT@Iiny_%${Wwq_>pO5KktmD*G^LV*lM#!9K`fz%>NqDFY?&Ko%f39 zGuN#bb?Zs4o8?TdJ66;kE9hqLE$L>_y8=4?8?Ko85eAr%e;y9Ot%$4&W-qIP`#q7e z61X8UEts|IVIYQ)cUO<@lzGL@>Pd8_iegvwB=4#oT}h|dQJz0}M`L+M^Tm$F3LVWC zI~pr=G?sTXU+gH~^YV_y>FBzTf42>T!>3E%-)-lfkB318X}68ZTOws6@W}Kfhw0l! zUIljlI}7S=8|Iei1sb2xH~T0Yi1ZN-;IYUI!}R>N83xuxCWqM;r3N|@3Ogbh0B(y+ z5$3)q>xsf6kraWBom3H~N0eEl&?k~2aPhxS6=6n1nZ~dh} ze@Lyt)I^yz3KJrA3(SjbATYma@+z<^%Gz94;Xq`HF!x3B02uzAR1PyQ(p&%o*HSsm zph$9{6>^v*lUINnA&0qR@+#2(Kc{wJ&ROA`q)`B)Cf8iUOo%eqDYQgV1m;CP zTrmrxOp(HpNQ%I+$P`z^tVaQ6(+ctdW=EtJz)h3O2be>XSAd~^ky?VOi4-`XCCYlA zQ(<1@zKK~BNfB5vwhG)4nF`FGxEy#a%50T>prMIO5oSxI=mR%G4s%}Rf@?q~bymZ`rl!`9L#(scq@F?I^LU<mjg$ZyG@|S()BAq zugJ7v&bb`eHMV*5Kgp@zHLvFdE5=Td+Q*iv&0fv)1Do{bpeDoTthp!lrbxGU`ei#Y zB@1eESip2B2-gKnhO!9os5b!*^j81^TDY(tD=zGJ`eL~rcWWRW=4CaOn#p4wSt0Mm zCiJm&SOtzmFX{o~`l;cw!=Fni(xX29)=ounO`2Da+cq0j;GVHf;DJa(>`GvcT@Lj8 zx42ye&WeLr$vhP%kJGSmI3avp7Q#zR|A4bh{h_hVi@VwcL^2F)iB6YRyAqg=|Iy??!`SIf z!EKiUE#;1$+yk4&Hi3N+{*zUtluSPoFoj~tsxGAy8l=?^Q@&q0bWS9Dz(o-%q}zsx z=gF{hA{hb5v~=y01CmB6Fz}e#^ICojB7O{%Pe#TXjB@0Bl%pMs*;jC3**3d0^(@;m zzp|FV`Ap1o>4MlR@(Q>)kq)51g0Yob0xqhq1IJ}lrNegO# zAEe%?8SE9gRXCs8nFyd3F?)y4^30%Sd06D?3*uI@(lv2=SqY8>t@g35z0r|`B#W2e z*ooxIfq=~M3b;N?kQq{lElN6h+RSi4@m!f1Ri4a?Qm@3ZRp~Fo_xI@!&%=8r&9GnH z5y=w3MZ?>~=;ZBjC10osY=(gePj^I`*Ww;_K)C3J@ z=LA=rB_3>ZQs8*A>g;ua$7AZDSaMDZWRS;WD&p~IOPh9@Mc}XkqO-)cHjJM{% zw%|^3_VyFWWPT!WSHc@8H&5NSEDxOq{GNHa(C_fZW{zU!NG;^X%xVb(=nN5f_ z80A=F#Ij$p`5GZ9AYMt1eF+Ize5}s3;4pm z!PS!~MjTVEmT?h59J4;C>0|@cH71Uwe@!3g`0;Z;+5NNqr%FHh6A4jVw#&v8=LLc( z<@}H^l^+tO@`KwHsmWDEx?EEjx>&aF4QILZF)T?L4nq+eevxY2{OAq=Xo?hp@&hFj zev-uDuT-{`3w|P=-pnTcC3D^HYYI0rb_e65n9fHrosVKVAH{S&ifKkMAi@o9T6{#) z`G}?;O3J8oM9K#@y&?$%)CA=}wjl!Oo^kThl7i)GeQ;Wl+6qD-h-Ztqv=|42pEBHs@G-t5L}+yAcH#7FcM)r>BSrh4FT)-8P{)$0I0>cICvi2$q}$d~b1Gq;bYXIX{y9V%vEl7oSUd*?m zz|NOM;f9z$iULn^O12u+rYzu5fwYRdk+NCVWZ zJyBc`c?ph~>a#AuVpb8Cv}h~`ciOZ?#R|{R&@ZXy8r$un22Z%y@=;*!;G_PIcA2{( zcZn6cC-M>;>s1Z{H?xW-8=FtGMdgHpVO~Q0B73fpJBY?Z5l*3SC@MNw(>B!*xl3f* zZIPGY$UQj-+{r4!!Dwtg(H4~x4i+@F+Xao>K{Vz?IE4Z^UUcw4b$2occ`R~8HBA0`} zL{O5cDYPZr+5+!kh z2_jJ(HPHs+R(C6n5|p4M>cknGL_9r7PaVzZJ?ZIR@@y&6v#kyytq>>iP7D}gKr9A{ z!3^HP8w_}eVFdUym>KV`nK8SDHH=}+XT*+YaKKE=V!%W8_pQ3;o~vg$$+CO9OI3AF zojO%@>ioHXB>SVKLC|tq5jsPh(oLOZ3S(y!a=Vf3?3DO5fp^A6)GTlgifu`Lf_A1A zq3h7tx~456o9xI)oPIGQ5sgA(JuwOaw!f@_?aG@y0yn8!RBy^baRQKmp#5n@FfcSW zn`n#3CIf?nUVDUkk=gbv6j_5Ggq3L4?oWRVDWQCY)Tj_(Q*i?m)e37muCT)1nqeL_u35M8=k^twAtbwOx{Mj^QP=0_J1f5SSf=P%|s;A=hMKUaQiyTLw zY*(Ch&Iok40sXv@1E8}4|8^)SMfS7{fLwMkD;qzSL3Yh#2SF}7l$DL&yU^3C|E1Xg z>N9c-R1&xiP}5!!%%RzJ>tX;@wAvCVG}FK1l{PD7n|W`8Ae7R@R^|+41>z@%yVT^( z0_`%Of{|qq-Ki8*@zT&M-K>;)G9x$Iz8HfAubZ<%ZX)bK?{tPE-v zxL&AMuLxs9v+LGu0Q6-e^B@Fiwz-Q96Bq=!>_ApFW+i%EU??jPvl8{)W=jE7G_nk; z2;5$%npXsSY4$!V8URtd*+@!0YXSvO*M*=gXh2}m1O`AsAi5bf3}!V%-%hI8iokv+ z5#o+9e0Q$ib_w)_!{RDLt1z$Cdsu&VO$}dajm1Pw z)Fjt2iK9(#k?94eGGCPhWzri|i42M;O|COian99%&I{y9!z#o@XBt-_E;-Y*3US4m z=2eJm&g@);xFOI21G;Hs3A7=wKfD0pPU=?$FsI#|)aND;Nw^wQC-JHx(BcnTGO`Rh zCh(Mj%Ka)bWzcpb3!qjn1+{x=@H*-pK<~0Z!2<=o1FKPQDe4`FdP`An`W0$X8vZ}q z8;rz&du~}{>P_jv+H$N--}tSl-6?CE2f3Ot1e+`pW6i0?v7nI{by;lz^c_YHfVu@9 z>>x|YM@^sr>ijhVI1bt;a9OA|uXwQ80L{9rb_^7n4Xnmysb#UZL7Megvl1vY)31n& z^WI9Kx08}RBj7jzZnAb|B&$v?3mp?60d@sx6pyRX34szC)M1S(v3(`Be=5^HJ>;P7 zx2#bCbV;x|j8R}9D-c(vC@`26h$|C1?|2uWwtr<40raGi1E3CpcMR2O6$MbQk*U8? z*#OEyvw>Bp?=aZ`5Y5mR?Yu7Wb`vOpyzc_dyo+>9(A#2y10WYnSEH}Tg)q9vKGXfD}oI)`?pp!0HStt$CR|Jn_f_tk!4V?!1Y2EydvnOS(6nFfZl9m9)#e7UFMLO*_MNumV?=r(XH5Wh?Z?;Q5h7vAIc~h3QE{ElM4+El2?mWFzvMTf%YfG z;65)7tzdu5iVC1}MwUPu0*@Bdm#v}zYW|H-@t~4{sAN2=WMDNa8OSP$i%_&=5GB{F z!!b~>WH6&-Feu5qa76>15`oaUB0%#d*-SAQ`&Ar_UsCmTfkKI-*QQbJk?^cFD1c50 zJSd@g6d1?~M8BfIU{)XweH0i%;Dq&728G^Ya?>jtRQQXE(A|%~`(IRqE*bBCaS{4> zrXG4FQxCnO6If^uy=J_(hrXJrhrXGqhrW}khi=#&?SpQ5H0p=mX}s%)ZpqX`w`J;~ z7c=$vAFiJhu_HJ5`G%p-H$bOx*zv?F^iP2d>+`bR{PzBLH7M@{K|aQzK2N>>t@6~z)AeQJv@AyVY@p);ZXOr( zht!}6i`JbmYLX&R*Ss|NsM6q`NIcmi%SD1N8(G2r7PJ48rX2dFK+10k-ElH_rM6*U zMPhfKK+pF;B_qpKA+jyA!;p+5oBoN3Xz@@qb10fQgqb9kWOoT+pZ=v;?7#?-(UzfT z%TTmsN*&onuN47zkwRpa)_l-OBga9_7P7IBW|A$bgsjqyO<43)N*+~Znlwba3(@XE zNE`{^0y(2*pbjI)LCtc5zvuF44I`d;q{r~?UZyI#SP1i9YD4NsEvp+I%f{e%P|HYo z_{Zd)T?E2i9ssQi^ygY|nc1S>q6O_T=StDJf#}>obgqPROaL{*qctN-w}hAmIxuw! zT{m(Ht;89!n`q8X|^=TidHi!587j7KZvX$DH_7cm_9l_uYSI0UFJa-jU2xsbj`>-2)p!mvGtGW zd`c+@cgrh5Ze=OzE=7OSz}}PKb~gglXyo`#p%x>@RBzX1{dDD}5LhkKQF$dQFL{ex zu16}4o0{x`OtK{la+6}kl-1fCSD^G?{wbHjNT`skZ?&8#&%8 zw8zK-s6!A(60XN9L2hL!>MmiWo0fJ{kl?7b$%86Jj?W1#895g0Aq1|xG%eDVSEBMt zR9*^g8Wo&o3(#O(wbI8ep|)B7peHkJ!G89%?OsLrE^QyE37T2+ef1px`6^@^7|Ees zszZpe6&c#>PX()SjjBe$YF2Pg!WtORr;M~?25Y)jF3>(B^C0gh_3NbM&wF#wx{>{$ zD_%;MUDmuGi`V;lfxqq*@0nk_Siu=u`{ zK_j(y1&`}*_L3P#bFW{8_ZGIpkRDn#vn|}%ff#}G!8mQnHVs|20qP!0y0DyM3r!2c zeyV~;Y$M1vMWeI@qaKwO{gY&LO|ygz8pJ-L+HCslru){QKHu=H_t z!)URy!C&`kkatvy9i@w4MYV3xhV8ZoD#E7GSWEcNdR>HlZ@0))ki=5Nx~*SRga%%%nz@JPW@Cp^xtLbp;JFq z4}Hu0@b=KDpQ?wxm#K$t4SuQ~y4`qh51qzA^&tlOO^syy^Pg|%|9rzS@jl+-tDkS! zCA}@ykJpEGog(mgNAADw{$;hnU)S$^RxTUo`ncA|u|96Z>6-Q9e$>aMJ`VMM2Rk}M z)aU(amF>vsF@>I#VAs}p@Abrl8@-U%(CIuVXP=ZvUOe|Lw@hBV;u!t(yv5Ho^UHZ? z&lm46=8Nki4wp0X(07b?dB&*2#>wTOy92NOx0*MeU*10Em$xUb_4CN{&E;d9oeyy) zFSt9>E(0R0y3G!wu2Loy!BA<4iAuw;iwvGi0H^Pn>>vmqxsw;VY~(ly&r})`LmGlF z(h$-^rC7g9vp}*S9FRcpLjqxnWX@iA^cyV4dkPmP{3SGc|T1S~w9c zw8I1TNH&@^5p5ZYwoJ$byRd}}))5?Z(#R61$%0&I6>2jwkFjL4WI;!bEP;+2nFo=+ z5&)5@dR_qHWUYIh=wo3;C2Lk%0O6-(K_sCxfEvx45~$f7W0=^XBnu)C(i`JZ3MnZK zVHKBbNIaF2e?DTRIARr|)MbNLlJ9nP>8HoT9?^I_sszD3ABdh;@tnzE<_{y z$UB5EJd`0<>SZ}Y<9xQ=6&XO8<{Bt@+7cr$9vvKyu8ap)c$&jNYEn)MF?!0P>p~c* z(x|_Jevj~2)L)7ED=~m$F^ejpt9>GTvJW!aDAuYFhax?M^T=jVpzwyaDWpc_UOKyJcB>?Aetwrc;{ z1oNQ#MwT1ZhqpB6O&+x0$Z}E0o2MRQ z)VEu09%H;VwXs9mj+@5pVo!2}#a>y*)YV!ZXJz_WXP=rbTk`^KZd*TvD3}h=qJ)@Z znJicnOE@)k;!0$*sMY!#r(0h!()n^h^4B)2;kMN8Zu3lwtJXFTx+d6Z|G-SoceU+4 zlH=#MHGbuF`p1RRMK%q@?NrSG+H$65C~6!)Bg>fr5u2wsvu$eQ>%b&!TyQc@&dJy& zof)+07wDI~Ks49Co#1H|l%xfyYLwY&0StMo)DEXF?5 zm@2&4Xu8Q$H8sB>(2R|33enEgxQi0nFv0ZiP+Spv(~8naA$8x1hCmqhxE=*#>|s4+ z!9u^;9||}7*=l0aVKK2cU4$oF9n7cSTh>XM^z`d#=bc$kHNH874w6l89e*lFhGI0a58b;^HK)L9RMUwD!nj33Le6 zoFqmq@>8X_KjP$~&^0G-3SqniWU5`!?ozb76zwiy_mbIN!tRpUUBd2rPJ+lLdu0mY z{*>_Nia<9`_;bri&~1VK(7P6?$6mJz(_>zhK=_;1MdwR6f5yc~o$F3+2(_E@B@nSl z8^aX6j$~SN5=5L*F_;anBd6vpQYC_R+{qI{9>3B}ksDrmOQ_pISptzhsY;j;uPX_8 z#7iD;wo%z7(DnoJq$v~piX}}s2ER=3=PdYTg1_M;i1mPfRr;B>RmdhAi!!UiekU2B z+fK$oDKjYN1iF#CAhhlzXnK9ikA}oD^7yQ#KaCrAD1%Y(q=&| zGYtEjWEke01QGe9Dde)(92dIm~TNJ6RR-kt>IhYZlRFBlkRKV;{L9BR6d$S7hYwImyV?1UiB+ za<`lWFp$lORScni9va$oREdLiFki>=`pF!c>$z?9*jT^8{o zll9O!dBohKMfR#YxP8qTy2mT9hEW$1Y9JcWj2W?Hj2$GDRU-!oXQLTAhzkWLiR?Ki@#cn;ao!9P?~*w- z$T9JfleoIed>jPfvYw!Uy1X>*K7(;=1{s?Mi^pJ-5aCfXP@k6)tQ$@eteqC6L9(AD z*O434CwN?+{XO%<3%L)py>S)3AZX0NAJ)PER}%Qgfp1)8TAUL|0qBw-s{sD8AS!?( zbAkfW$44YR-j|dwzKRVqA`FH8bQ8$WFa2>uh8dA18-`(qp=41Wj#)iSlnF0?lS_zf zVg`YmETF@L*^_-Z26UK!%1GLUfYOeL?)PFMdfiDP>R}uP^$H$U$ez)^950f1Y0-(H z8YWa1q7Wo8kr3gyZRGGBA&*RYpNA&Y=$8UkuL{!$TBh1Hr=K?jI!O_^Rtrr18IK&5 zK#x$-Nsvcw2t;fo5GURcNy!mOr7Y&2lw%;Djzb_;aS5OAL+dz7c?OG-a(Sp^JqDH13aF}p z)?gpPbfsoZSg|22gH8$b&$2D8LaYh&nF#2Lk>zT-UJSBqoU;KhpBLg;xK5h4g;+^M z#s#IHEZ8Yf>7tOYEd!uqUJ5!Tc#R(YJoP%k6K`D1z49wx`O5da?n7EKd0u(fBnNgX zEj(EUdqnzVD}a^->Hu_9fWKgtEfteaHw0}w+0p~XPijTp4e_md(zux0{X%Z{huW-A zeXk;UQ}Fsk`mnXhiXO(sRb*}pzUcwE1@yTPsk_HgvfL@uaIR^euENu{=@j zc+SyI3m7XY%cx{e2(1~J2l@I?UKdGvYR3p!`t>fTfyKvjl^i;1DN+QHJbJVY+AuO7bI4BF zB#)*CXqS;ia_Fd&Ad)AeKjhL&(=MNSV)deAE(;!0Vb6CerC_cKWMj;(V$7~$kA{_8 zl5Lo1utk!|mXKWEm`K$pmqbEdz4ieS<(2A5sdh`Ad`Kzs zJ?2y~=G1u1sUoT6IW;;l)i;fyW|Pm=FdHNO-OPnFWHLwEMNNBKYVrQZ_#+{vEk`w-d}K1OJuTt^fUQJ zEO7noAIpLU{m#T2R-w*%MT^4GF7OJdPJ#La^#~rzE$ekfOY15OA$@7`gu@D%sM7*9 zU~gykmnd9~Wre|wE8PjI|6+ztsNw=<&2EMd#X1D9EH2I|KzblKAg&@@4OrxDX7UzLv z$$Bi1cDJpY0)g>dOUHG8Dp(Hccq~C9tt6qSLk(%1DdKrRt7T3qbyDJM0!1-2?2&2H zHm3{Z1IeJpb6x0)lUT;+suaucT_mT{`%ZGA>Jc>Pru_}8P`)@8I8?2q6;PK1YRoFQ zY?c+`mR(>s-f!btV9!13BqyjdP6m^?+ex;=P{du2o1P{>SXXvvIncIcE65#lDP8VE zRnT%&4y~C}*?l6^?vwG!vLA}BsmPBjX^5#>6od!Q(4CLK`&V+%%)w9(eKk`Ly^*Ph zz9@%7d+3|S`-53%LL2I#f5v#PhrXrwg?j7@m)q%IXKk`m4{oEKUcbps)nn&hn4Mk^ zo!Y7TXlL^ESJqC|M?1ZKlbxy$Hga6XHkRsOqi%&ThfPsryEJzSkN{5!RLpZhj1YNK z=$?}#$&h8iutJiX7D(W{kU!)cX6Ej(+#EhCblk}+iXc&xY%pw}2)Ce0EL?vT{k^8Y z3fd>wkvp2xd1*EFS7lN2{;ILRYV5BX`%Ay_=%qh_J{V!J6}sXih|UlO-|=FC(P6@?3y ztwNlZWCG}#Akzlc^Q9C+REqggA{eZmYECdb03`y@W&tS00F+{?lw_z~>-u?7Kmfr$ zq(him66h{?O%|LHAaPUJ2W%+Ljv=gJq$C_iX((oE`oeN0?O=)ycsioj+8}1fj@)M~ z`I(p(1*(euP0=lZ2vYRlX9+VE`=7!LYzR`(o~bx-r=n?7Av&Q!2vOi=v0ZG37X(TM z5MgV`&1*_QUG)kK_Z20-CenS#Uy?chyG19xDLj#A(Cq}xJ?2G1QnZj1qozT2uoZ!1 zL5u|Fej(RC7-M9Qy?sR~f=<}}G#SHFjNvFUmln;eNg}gu#31pMxr%^sMuR@V#Rxi_U z-DD6)b#Z!q;PgDueb3UXr$3-7ogI*AmgQPJ+%0GJ+SxUi8|VLZ0~cVyMd! zuN;G1jX^HQAeUp1s|5Lig|JMJJ(}eh&CKdvj?t_V%@zw`HAa&~-L8`S^^L{m<4FxT zBNt|YCL|WHL{Lm;3=(w6dx_A+9(6%+=mR=T1&M_Iu8Z(&9gi!-c(h^)W0q_X#&4z} z8^0&g7l`p_+7xlZG~EFP%S1-Qrr1z1LZ#7`sbEX!%Ae1K<-s{R%-I;_K}|;Xw+ZbL zY|nlA#Z?I3a3)q1HtxnWw?RC7^6e7pj#psIIf0JDAY%5Ieg*FSr}fW>5xk1o*$-M2 z5I-<4KvFrF6@hesh;>@WG;v?k<8~<>++y$*wS@Pgg?J2f)yRDCU)wRxyT`>|8Skq= zk=(doz7#?00(%ezanZ{ALAb2-1;n}iaUH0hdE%4yU>*LJpwY^{PY>4N?h1CO1U?R~ zEiW}2+#<-wIX|MXRV|A7IU(*+?H}G@&@Whd5p>nae$aIz^Pn37GZ})L0mZRdVQUrW zPl1186@szQID(2s7D01fS`%6{atyTWr67hz>Oc&OG@KXmwJQ(0Wu?WCd?iKQC!RgC zV@w#E82WN+LkMP>VBQuys)bIE*JG4yeelrON^s8tRt7bje|c7d9w+;R&Kg;cF)9;_ zdtM64x$&ST!S>uIUtEPCPGTNeh48?XLF8-5RpI~*J}%G_9pXVTaUm#4Y&;<45Rg-f zz(s-mjR*aP2{fs>Be(ex!yT2~7f1_;m0EXs#9+moFPs))t&~8}JuRV{3Fbk*1r`vx zp*T}J$S7Vszc{PTaBi7XzsA}XCXvs?nU84>B7}-Mvbu^3VFh*9aducFs zzpTSbIS6{u$f1)$f99knL++SBn+u3ViooCl#zTWi44o-5L5fb4q7$X)L@7Eki4!a> z$tHK-$P!NMGIJ-}gxsG(^rsZ3{$%iHpR6vL<^4xxDvPP^@37V_9LB;%)8CI-T~1!P<)c+0^mt&2WS1)tNpU~f_OepH}^ zW=`n1k%J%}Tx&c*zu=`k>X>Cf2lu!dq%k}vq2DyY{3W3cBZokDycE=888;L)rAuO; zYFU$Z=;7+o+&8Z-i#{fhVIVB>U%g+@whXuCm7oUGT8>)F(Y~|;1g{Br?nMXCHw9YI zFfv()7?NahiRx@c@x?1${FcchY$T5bpApk1kZrXXf%oU;Xb+J%31b z2*PWUAgDFJ86H}Pcs!(a2+c!UhbTRybqLr)szdBlZL!0#ZPBz4#)q^H5q*eh+2D3s zAnlzGf%MPxvsn{MLbw|3_vf=26JnA8SeliJ{I zQX4!DsuHioYFtvkliJ{W-QQEdP+#qaLFdg-{eh(?Rw4Z`Gz@Ch-uGzEY9LFtD-MGQ zUQ!bRn6UWjK^%@j9L9?gfet93s<~^5Aw9gAGsrL_$$2PI8kf}Jun#6RVMKYsxAlq6 zPX*~i2c5gHz`GoxpFIMV2E`(S(rGDu@K6yP(3yb)i)*SxO_ivrlGXHeA1Xoz=~hoS zO9CA&K=hc_(eI`+L+Cw~2sSLsn;#TtKVA{yEu8MELA*m&)`EQWi?PT4(4%C7CeHbP zXKnJJcFT(~P^Xc3kOyuop2jjq`LU=p9|LEHc0Y}!n>0gkR=_`h&Yll_K_DkUSl_6} zXgyDGsDQibl_0mE6fMY{!%NY_d^E<+;hqnf6L%^2jnZbhwj;M}#z423$M%=q2*BgX zU*O?ymBIITHOLp7>@893n70^qomLm_La8xrJ{65E#Wqu+O`impt)D!IeqOFw%XYhH zKVNV6FdSU#%SV(-(}MbP%9xp<+|MAdw_A1QWhf8&Njh$~>dPr(L_>MdlQq2{dpR?5 za)7P~l#SCuOHP9D>Q#ECgI6pZuRoOA&3n!I+qXSW3KgFpu+LFid5YhUO(T=WZ|aCa+hl=axO;TGB9Ut_I&l z23KthjOvTQ6;^>{oHp%}`%=Z(T{4HAzzaHBY2ar!J~u?Ue#?jJOyAj$?wo! zWN5o}G`4<5z;=G$#=R8xxKfB9M~I?8Pb@-Fs|Ly!sC3CVF9$E0uj!p!i^O{bS|xjh zShz)&gm_FZa!zQ;$z`FVPU@#!J^D#I2_lcMNaUBD)K9zU2Rl?dBDCn_ve0oSPYA6z zd0ObYllp1b9{oi54lSCzVqO-_%b38^*N$2TBPkcMt6e|$33ljBDwdZ-S2FxD(dY>EXGO1P zc#(Fs=x0$NPf9{ZouoC^s1zk@PNL(2lc>7nzC^(`;vH<% zzsN?|&Im+yC?eegB*65nVmkEorFu7sLFQj_+b@;=)?t{4W4-1eNO}~MPy5FsqA!@b z;VVKXrBYI$bwQ9~)&6;bsz7uas-WpzRXk7j)pz*5NXA5^>d&rT{d9ZmV-N4t{#M6j z>(qYb(lw1mO=D5hSfVJ`gC>Dq^)ZG$=Gi!?SD6WPHb-*{X-Qn?*=Fb-xsJ;5V5Cev+z!Vy_xDz9J(zwM>WsixC%|^bVD*NR5P-8 zS%};~Yse8)u>s;Z<>!Sj3i!t7lhOozNgz#43af{f^~o+mJ0i$@3IfZ*Q1{JAh*w6E zxP@4SM9EaQ%Gq`S2SNVk9r3v($cVsZ!$fQ{)m!UOe6sMkq`pk%QhU@^B8=>?0Fu9O+gCUXV>#_c6qnV2XZ2a#)ULM)S9>w0FeIweR4`Ebkv@gGs8j)_@>~y}b+3$tzGam;*1p%V~_NWXl ziaaCGkr=I4odn$wAPn|k4DvXGdqR@xkdg}eia-b6YeLtpYTo7$^bN0Skco`~-Gdwx zI$`7>2;=D@Ix)DeB3~TSBRb(YBglNU0n0I>?n@D()hozI!q&T;sa{hx?&A>?mKfAK zo)IT!$xt02PszD+=5WngLi>$oIVT@*n&;~JwTRUifO4~nTLmvs72on7dEM%9u>^x( zJo}{n9{N^+$(SIo?P79y(hpz}u_lx;(+0?_23yS2Npnq2eS%KL3Hm&$SZa094l_X{ zna>g_61SP7B0(O3VGskVQu5swx5>mjJDPe|ACB|$!$H4)!V$apFg?+YS3r68d>C|7 zptJ|=v<~eLrtcEz-)BJ))$O@MFWTQr>~Se(s9X49EWGcfpmxPZ`52@1VdmvIF9oq` z6Ho9J!K1mS4(T(Hn<6&^Y764MNKyky#8nfg^oK( zg1|nPfS9xL{?kJ1M&>UH;efUu5Pqt==h0u2UH94tDe)Vv_rk*nArwuHWF{N~RN!lKJzIIObS*Z%vZ7i;8qP<>Zw8Z_nQ zKZx~1?^rG>L9SY^6mANkHxnQ}PdMsrK#VM3H%N$OOeDYihKfv^JIdz~OX75qzn!Ld zC0NrTiIPbbKotRB-7IMd2_o$(^NI0~Ls3DBP6-~dvxJpWG$PoM`(7PHUcU-GZ6XCw zRq#@;TGHc#-K!K_vifw<`WIRw$xn z#wd#1@$p2+Ug-9k?lgjoIi~Y)^LrIG=vEWqkY^Vn4OTQ3ijZQEGY%u=-Ax3kpgSW) z^0-v0D;AB0h7@&~`ZNX<5eL27$x7Ar7>7xgby>^_>aUo^~=*k3q%uyrtw33ODT`51~S zVyl8zYB*@U7>%QNN$`fvRW*~)9N~8y%{YWmyE_s5-rUFCvTuD*HuQ_~8-i`Qx21C@ zmc4I_viPYIa_6kAo|~k4IybdhQ+cD#FK=smK`DGXX_2{wyN`e^Na@0dZQN;wn|0{> zjqrZ6Pkp#5BEro$bSjs*879a_1^RTL?GfEh3PketSCg%eW4%J_PF@u9bLQA>ku{~a zWLbaOWT;3U(cNTyrI|YsL)c=f= zXN7jkU-ib*KU@+i2keU8l%hAK=#Bjz_Of|Xir$p)X3@MU;Z3u-Py+1|Y|p*$qWxnx z?qvxtH(UfSbLK>evtrT7=x_;#eM>2UE(=T@-glXwC0yoKR7caQ&@m@Z37vNmbWvap zA^gBrYEdZsQMtXtxo80@T@q@ssFVm$hm)N`$DKSObk<4GIe}@0@Nkwqm?Wnso8}_- zzDEUGY>2EMV9PPGW%7g_Rsu1yWg>guOPk0O3v#(rsNy8?Zm?jMiM5BgOo%Ug=~ba? zP7>{X3Y@wi#1$u}g*?5=MEjhV5@0^-lq~7hX`w6=>!Opydf7>0z2@Xuq5Dp90&ldK zmWlKpC%c4r)GfVa<%*MJ<+_u^|GJYKLJf+cWEqN+POb^@h+CzE{DPC~LLU8ch<>w( zb_@CQAVwf_8y^Rxp8=T@aDo+Tw0QS3Ad61M0qJKzG~UQEAni^vAk$7VAorb&1Jcic zT(ME;XF#qSX@6v(U_tI@KztPX8HLkc$|&4&l2PDvsg4CG-U&3xxi(mlFC5P7?YXP7-?3SD8!b=Zx$JEebfKf=_!f!@vs;nZ__|I0?Ef zKp5i z4JTtN3}XxTZQ7?gh1`~51|54;%AntKvQ1-p+=h3U;bnG7;G$5Ejngm#$*`%kTP`p+ zL^2BQP5Lx5dNYVOBj(LuMacgq)nIgN5XUO!nEiszoRJwH2l27h9J4<;T6Ge4FFScn z=&qB|^Fcgcv{4vb7UF22r!TBZ9p67xE0M92TjXLoA-`5ErobZ%_ckx7gO&|qK z9VUuM&^{gfSAWgn;6yfs2{ZQr+I>O z_RsVzD!dyOVmrmPTiERAM(DKS5H^+;os~eW_bTOHan4IY$+`n#0!S9b1duF{j7i&8odZbD*Qo}J)B?3mYcz7Y?X;}h!{OnCV(bADHuB#=UH(nB8 zGnj>h$0T46X6d>}0*VOOSCtaTV>}EZ&h8czY0YC%ArJmAh{SanC{_w|f-eb_v>*n- zh2n77pNV8hkdH$%%m^_&E)TUPkRlK}uvb8_{L>ojqnU04M>UdbHkxJ7X@Q;{F0DeG z5oq>;t{GXbrEAO}OAXJTbn03cy6Gg3k=Qs?8uyO^3DF`@>5|a0k;9YfjR)465ubGMNP%GgzgHq{6jYSGbsAzC-WD3 zkh~{&@GtfRQU7B+$TT!;^%r~Q<6rC{i+csKV!u$)$(uqx=gT1OOm(&b<&r)oJQ=R=}=R+1M5UtfrJDqTIj z%d9PY2_$pogvf7^ahWNw%#i6SWwC7*h}UgDMoWRKmMZ>l?t-6$C57}vXiM3)3cxSBqwElLCDk5?oT`&$4SRU6UdW}zI67-92|%_ z*dKCmQ;b9AeRXGJ#`TkN6-%f>%(#9s?v$10W5)H9aZQ$Sg_v>aT}Pubr%h1*n|txx zl#ly+{+b5qrj40xG;QuQVIT&|H6~q?=8(u9p+13L%;>MbK#h0>i02dhd4(7F!#_;A zD*~5T)RJUO!nOLQze`QGt9T=tY6Zuv74S zSOKv=(B{j19$ULT;Gji8kYd7I^{RMQ$g{foqu4xWg%yE^73z{e{e^@MnmXl5kH7_? z_M3BgP*ISM6uHl6hn6hD%K|AS>lyt}#SvkT4Vfap zh_dGg9=hbCyeM=$Q*~SP9j^-E=1$IU3DVJCQPC+6JLs~J1<)-c`$6{v+xhEH%Zl$Y zk1^=Hkp-5FZVP2Uh)3O$Ze3*#5D~53!GbWf%9Q2AwM^1*i|zxRo-X&R3r1N|b=x8* zyRa>WameVZqz%iVbDnacM0-sr+9xG6&J?YrcBN8$QE^6~B|bFVC8EcQ20=U}Zpa<} zeB)|S6pt#j)y@emJE@;`@yH2T846;$C|5wV^Ms2U3TRj|nF46p$n=FIHte&a{@A8J zw&@RTSimJ`7v1&=)Gdgvz1v`fD$q79Xwu!6UOc=>ujBQ%K2%^=yMA^H&`M{^0{$v; z$6j7ih)J(jQSua2F|xcObkRxBbt4O)8%CxfZxKry5*@XnVc@SNO#(00t`7Yi5ooAr za^6Y(w2RsvfrcAI2Y3NSTCRh9sLP;>UaFsVh4wV+Q3=7cUvqnPgWiF+t-==t%6Jfl zWUEnrTEK5IeTV*>+Y@%IzMzuK6loQUL9!9~i%#mNT?D^Ru*uXkBM|upt1W;qM|U2e zML~nU4=dOglPA0abSkZYS`%o*KpusXD7$v*Clc9QY8Agvpcd#q=_Clr$8wLqzCm85 zDbcDzA}EtUeFC(B=e!t%CV2-!i-h&lu2%i*5YPzREqFY)oO}G$4K<<<-zU)6)B30Y zQSgcvgHZYsBH<$-&My4hI&`S~8liI)x|J8vBiLmBrqSuN+5(7SalKGJ(dB zVYMoPPf>OtD?6|nWz&T|2xOYaqEw0wmc0|uIU~!Ub%7fKRoI0gWl+h;0%+PxgLx=B zE>IqWf`)-rsQ=&QI@L6w79m4g|TgISe>8I^-sm4j)(qm@Hhl|vbo zLs^wW8I?m>l|!k@opQ*Xnm_hPS~@3J&zRSF0&vmE8$xK&R0~cL#?w|*02RM+yXODr z439Wn0N+Z=A8a;2vrbj(t78z-9>>rw^EvW)$+nqn1++)7E%zzIyrR4h2)60) z558%|c@XC4Go)b61*zRGAEkodPaSHbzK$i=bC}P6)!)ETSk_5*!VM!Y2h+1$PAZ1mU_bf;UqI z!3f4DS4s34!CAriO!>;UY|EWZ;G%H28s4%kSNzs(xx0I|<#v9X{1dDQt_f}kn)YtX zwF|lgbAoW8<4T5yzWZdXhi>a|C<%uPdOCsSO!-yOHw1g0QeT2_A=4usC}hf^&t!P$ zo~L8GlcG-xjy=0AcTy0pW<4L?Dd>97w%mxIs{8F-&uz;szE|@4rwu#5Yg?`)X#Jr2 z6D$i(3mQMPE!QmwSG}H%q}d+m^c{xG$)Fw{lCcA-J5E&HciH8-j3kO0FW9MqW@8EDD+=e^$^X z**-yYL9`%T#26kZB+xt%>!EiFb_rSpZGv_|r=UmBFsMER;X=NW;h`%vxH66BGoMOgTD`11JYh3c__)^nLhw`6TETR0ZLJJ|j3MxRfbB zn<=|b`GWiwgzHL84<`vV$Z!LA=v%mi(M zX~CrvniGO6f@^|sp|kcGhf~79`ez+39@~}!+W&ip>%zd!f9lYreu4ep?{HH60@wiD zQ9nT8ha5^jthGjP_VW&XClxcnr3AWvMENMVEC|AGTD7Yusc`EAJSttK6xsyMxmbq3FPq397G0e7uZv4sUC-g`H6=C3J0^L8Qm_ya8pqEg7gc*weO!RCk6Y}W+cJ!@>vkBmb3C-a7=C1 zGVPA5?1bQ?;FRF1;Fcgmrb35Zo&SHeWygP32yzOWPd5j-%+3U z=~p)G%-F@4v?#7XX96eA%O=6u1g8IG)N@?)ieT+m^Gv&~&UyO3lwG4k; z^d0yMnlpk{L5Cn*XH<7vP+yLYu8dq!bOrubm8XJm9m|xpX3A;XE*|L4lyCf+>=AVQ zE9I{sT$Htl-y@(dT(n(N9dIduY&+<+j6C$+3=dsckNTj`XL#toUw3H!jVK4*lfbD= zIgdCrjP`95uZ+UgD}pok9o04HsNSMF-mZj;^LMw-(YJKSzayxsF5A9Gbv)_5s`fVp zO{(9SX}_kr>jLWNgF5;M7cUT3Xe-_HQBoa!gbSN^%Rt}g?hy24>UEQ3zqHdT+%D)4 zTohauToG&tZVT$$Z6(9YmvHf@y*B* ze@_rD;@TwNcd1QlrX6Li0^SCc)Gk~d;->}lb4C#273xErsiV&@UZEZvTGan#0d28` zzS{*^yBVhq+6x%3dxBQQuT8KbSQDHUtP3s)f}YUNRx-r@p5VS9Tp_j{vZG5td`p6g z02{Lx_l7NkRsnJC6NHO89tQ3abO^YI91)=7ilAHm^#}?(R42G8*bszkS_I?NqS!Xb z*K2~if)?3|uV)4LnoI0LC$Bch;h>wG7!i=S=LCGxaz$`Wz=v@y0^UmT(P(%FIVbwK z;Fe%fFG6k#ZVB!PdK&fcRB&1lF6J0-*+&w)nq)(dfPO22^pfrPaYuS{(iJY|MUQ~@ zFxVR|e7_-}O}BvDAqK(sYtn&j;R-S#MjcO<5E)XU3O&X3kzzJu=}MQQ5lSn98UN7i+|M8F4|djxotaIcqa{RIMvtRNV=| zDZ!fHj38WF)m>0Mdov#q@L|V>;FjQyAY5NnM*TMnS_RC7cEKJ2dr5kUewYk-X4bdhOR#rYcHS4%WEb|e$tH4**e+%KYQzu0lH$c)60Ut}QxudWi{0TO z1{(s}FqY&1u?R8PYCR9@NlQ>7+%BjH$cbe^xX3liPYW&zt_f}k!nIRGpI}jNDikKT zN0|JjeYkFm;B3K~L*9j}MX@;{I4M{Yglmt8ed2k(&$%~T;XOhvr)TvE91{kP3&M3@ z^wmcqAKJC5oVLiGOyG<#K$~#ge$DnA?{?9_yNYm8#(UgwLG#`J?@{hD~<*(v-;?$O*A>gs<>{H`}` zPrl84H21r8^?y*u|ED_sP96WZb^Mz%0l>cPb^Ocf_?>k;^DkVqf1-}(zVqqU?RFM< zL=o&3&wGz`HR8?S`^3LHQ{P|Lex!~c7jN%7l&ZA%L5`0Gz^&$X%(#{bX7 zU&!z*LHERWCH0T_6g88u=aqH*>+1M7)$w{InO?MitN5DY&&*bb88 z7y39Ch6}zvA0|}Kv%&ikBK}9jpLt`<551P#yoZ?ElAg@@xg?|3c3h)v1klUHvD;U(m2lyY)&JL6|EqQNobT?cemd#z_v-3te^X8dd;g@a{&pSz_jNq&FaK3+ z{}QbO;ljSx)$vb=uRZ5GEAxMEUH#kY`1jWF^mqSnVt@I%`m*@mztc1A#NPwruXpN; zoMvBdj?~GYtmA)~_J6N;DauR6=iiI(6F!sZ`-?jHS7gqZ_`A1`|6mPTk7~O@q08W zFR2Cg_tw?-*YVg_ZI1T+6Vj{ogC{@>N{ ze^AH&=Q{qb_@d5#q`@n5J94kpk&o|Fc8PzL;_-U%yp!d=3;tWh^G^17!gq@2-9;hc z-zk2%b-UeHyi!`9Re6Kv(^69ZA?l_7V!{uJKg#)2`vm=uis#)&DXE_p-}`F4KTG%{ z;x`_R^`E4@<{wwH#`{Ob7gZnZ`#JG9|B(9w*}rXj?ia*YwCUbdfB3`w>Dd;&FQi`l z74hNxi@rY+->yx#lGOL89pCkIC;Wd=J?~;pCH!BB=Y8i$!v8n%e8ZsWYWg45L4|i> z=%K$?i02!E5Feh!@h!x3BLClsKdtz+CH&jO^X`8nY5y+qy*q4jo4yZ<=i7-eenaq| z=KjX}8x`N(toK_<`;Um9{ttRDO1tCy$_rpSF@9)q)Q~&$oyM8;4=O2o{qV=&Ok^d9% z#b>tbcO+c@m&BJe9zv#%-^6Kn^>%w+NPHjFEehXG>`VM@62G!t7@v2G@4caSb;v7T|44kezakz(;`vr{f~?19jnKCd+rP3Z|zpTB=zg!`_!*e*8Keg@qF7B`nxHfZ_h%!{)>3V zj|=-A)h*hMCz5=Yo>z$P(|#KIf35hdt=sL{B=h%8;@g*Fd^*LC$e$C^M|__Xzb7+( zgW}J%>78*>KPGN@#aa26t#)SC)uz0>H=}zQV#Pf~LdV}}(v*KH& zKlJ|#;`tV?kjQ@#Uh}b(@V_bko$8MW(BBpD)lC2Yhj`xk-bv*DM0}5e8`gvS;`wGO z#B;lDY1=dYev|n9|FhnSEAPmMHt~Gl!h1a8^A_Q~!mLTcx?X=I> z^8xCAOyh&R+84!V*P}7<-7nY2qDlKB;xFkXL}Sw5vUuM6E++l`Q}MimZcF4(ia(?A zZ5Pk@o)*vdJq=0we?k49C+mgmy&(SWnfP54&o@1#MBl#^&v#j2z4<-ycV4#Lp8sI~ zE%A4?eqTuB|3>`Tmufvs^7kFZ4 z#dl~uaDN`n4T$Hv(qbY%CVr336Z;bWhvaKPUcO@>k)od|45n z-CzDk@fFoKCiOp{rE34*>U$yD%imv7J>MLyCH!xQ56@eefB#;5-(SZ4?DxcH*ONaI z&o@*($#~on&-WK2J6*s2-e|5n6Q5U!@6r4>e`SA*_}_o^jQT-=}|8ntsSJ#}#?-tK9GS+|eJtMv= z6OZS__h#nP`YXjNAND8hi>lwBk)MM9{vT^^3P~IcKzRe|4aX$ zRsH$Di}`sHdEM0QO6)r${>C@O`hP8+@8#|#^}i$jp7ex#{4?>FzcH>qe=k0pKd;my zvF!M?i64=D?Xs8i(>ulUP2K)Pf3Nsm_v3u6h(EJ)yZycv_RosH)~IzRk^h8vzQrjd z{(qKwJ=0uD_#Y5|UHbYG{ujh&*Qbl(+m&CT{hx^E8&{s=(%+ZF_h|nO^Yd@S-}_yC zm!t{B{M)91<~z_3zee$V54f20_iFLS-=g`agkn8!6>r~$CiQO>-}OgouX7jr-YK4M z(t6zK8xkCqA41E8>@4ultFl{^!K=P2r71{}<}yf2)rFUGdrbgFg_zr1{*H zwEw@v@6`BL68^8mpM9PDP5Ntim7e$Mc~?&SE4MY|UM{{fGd`~q&pU$<&o_$a+qY7p z?=9jhTHnI>yi5CIUnYK?s_)6@dx!W=^&jTLhs5)Zw~j0ppXbG2eyN@hB>F4j`3^aZ z_hIo}(l2qFKc5gE&-1!(63;if!QUSi&$rgWzJDfuMD5YX{QH9VTUuX>Nq@g4{){Ha zl_Wm@Mtsz-`zi5!`>bWu?7by^L@y!x68^sU?0)+@b^F(L@qAlXN%S{~5AQpeKW`LY zdL*8YzEk{uokvb5`t#!XCN->Q9~Qqa6YmA_wZ}F7iT-2ado%XFNdw6{pRPpTDb>GQ z?ImvU_*wCMKYl5x|0VH!`+Psq_iN&(b^fkVuYCV4@!9$Jzljg=dX+}$ruciA{qxJ> z&+gQ>mWlpG*>hg&NoT^pM*RBAbe>7_VYhhRCx!ZU@h7!^_aydoiRat%^@M*;Jikj4 z^zWzsm2rRgZt>as#bNQqO#H^g^Udh4#GV7<+cmzO3IBcKmoxGw#dnJj`M4(jtmM}c z`Ck&x?^?7Z{J#;;_l;qFy()hFwaNXx)`ve7-?yQ7vpy-l|4}^OtDj5Se@XnJ`V0Ac zU;K1tzU+97+W&fdKJlm8e;e-TU1HMy<*MKH&B=LD{e83ekuS&f>QRjk-+L}6^6yhU zzjvf=EZ@IH{Qb;$eusFzv2P9W7ti6Dcy)Hc(rVHul}u`oj$p*(LakgwW@e#WtGxhKU6`1# zk(-|=S1355%$+?jW)y@Bu*{emAAed@xl*Z2J|jYT;>5(qDwEVqO*pP5_`sp63oINO zb7FF4u`;7v zv838OO+?+JX8{^q;h_uI#FJj7@Z6S%mmd< zPn73ICk|Fjacw4TdT60KF~I<1K}b{Rg%=h^EuEu(^O~CgjZTbb(|gD2cP7 zbX4o6msiX42S%06n)xm{c4*;+(QIrho=?;dz=;JMNFFT7W4OKvn- z49)BXRtGh(O$W@vOO193-#%Py@__cPsp_E#T(vzkY*@*zko(YkM%mDZ*rak=?Iy|x z56$I9-)+K)z3k6$Q!W zv~sLEJ65iaCa18unpR;yMw2w5?O2V#KH2I4l&8eS+jGVW8$phV)J%?GI1Hw za30an3{%mlFH2K(Mc80mk)$RF&sVUAWme9lu6Cn(utHEmDJeIZNhW2N8YNl8%xR- z^T{-D{!X&{ppJc#WSp4{c0;l-J$uAMrfE1iJIaEHPg~ABmJSczmfOn&cR=dkJuDRa z-``)*O5uyP)@zO3+^o%X)9}D)!Pn67>cs6yL z)U7H+LF?y)MI#ADc6Rzk%HBCQKReEd9e5yQ$^5t3Ii@3I&bA^loaKz&qq;CXGg%8$ zS?3rRIf%@Hc^nRn5)MZX+p+02Okp+#jgW?}w;O zR|DHkIk1_NHdQl6Q`-0*!7639*ft=3<8B9pgZ)GA>U-*0C8M8nm6jagWOUd8J~(ki zdRSO}U=%fu3yOi(xx+Y-^ubDAo2_YeW+8uAjBK)m80q|jJDw_;lT>x)fabJsCpiw% zW#&oJ4{LRKVSHvrhb!(c-4t%atW7M&N?=7vXgn~$4g4@#zz z-RnqdWLOvvRg$D{M&elskcZ{i81-hcU0&TT~Agsbkme}~#rggTRo~>5Gi5bI3-!rQP@Sq*9 zlNcRXmTVJir;cdKnJS(kft zf1=eooDDp-to%Oa@kSNB$ulET!yc67xam`cJv$Ljri3Juhd)WQHs8uxp#)}?W-n+) zEPOOM2ppNI$aTEoepCVWql^?ku!C`LCRRf@nM`uLc?XZ95a(fqEIKVX8tAIG34wPs3-k74fy}DDa z<@V0oR2H`TzuaD}Zn?b=oY41<&mK6y=xuf!q62Ntdv#OO;mGx$L9{^_I^xzw!EEDAh>DK)86E` zsYvJ{uWyo^9*pa~HkaNJH1l>OUV+}Nq9DiH6W$8*1wyzQ z5@N4#kmIdUuiiFY{{~9@3UZxdDMbZu8(ZG;NbWMP43aCzb&E$%@Bf;4OTY2-Be^w< zNv!W?^b#k{~_L#jf z4H`qgSL@{ZUjA6F>l=fVk13p93NyCpb*=cA{iaGN2o`{2RP|=<&H7A1ueNwQgpc&g z@bJ-IR^PGrJeJ$9H$&kH`uF_4#bJU8uWVC-(=E(}!*873BW1PVPTSF4Q3$ z=)ca;ly5vl?xkuF+93C*k_)kg4|PnzKvVvoA0qdrI=L@BMDEEtxxbX0eF%wiU7@e< zsFVBKhseFRPHuZ?Ykm1TxhBbNKHK%eoBA#3&;Ha;mD0yk4-((41^&HnfAYD?ll`?rlao(A_uO+& z=k=gy{>k3wp6bwza^bmA-cb&|zi;%ZXS)}wm2~xIy?^J+9&c^!PWA(1#Ip0W9;m!k z`-Aq$+GtnD*gJNQjlJ!e$!DH=`fX1?^KALqu4kVef7{!3Kk4nC%qr-%ht{cb>7$@k zH#IZk6YtplRL2M2+R^*2_jY!5zUSNDx4U&tr{;I;e$ZI9IUQVe12Iw2_B6S>RgVDY z$F+gJV|T~mdy^}*U~{M4(}%`vA8s{be$);`@7Vp;-K{ez^Yrf4gHmS?5`#b}8=pON zu=bAKPY3-bnDvQ*)@e7MF?jo-jwNvB`TX1CaMo9i>ICq1!B*vohS~9%x7YOEqE0C- zqr{f&{aCY5E$eaNk@@o6+{FAtHEkigM2;Mu(Lq7CfR=DNeawu%eJew<&F7|Hc(AD+ z@KxXbfI><&JPS1qhklk1j!%rvR5Y%;TMtZ58Tsv^J?JWbrgL{IO43mv1)h4_Qyrbp zc1TXyzMun?Rs+`Z=wrK^fhcfEg4U<)3$p3Hf+1}F8iYQ zKb{_c{Pol4Uq5l5adsq_>ND3vyGg03_k)Pe+X8mzqKOYom1Ry6)DWtkip$#s~yS@`B=4oE)mnS(gk zTbeaQ?t#x3_AkH#fL)Sk8oZ^+%TQjQc?_aAwFtR+M3mQO9>YoSrgR`Tk(l)Q%;b3T zRwXNGhe(vHMo7Rz!Jy<`GupTlGF_HIL58o1I8ZdVN;p{LM}zqP#xy=$yXU ziNvJWXC|Rs@Qvz7MEQZwBV>i0yrtR0^d9(}jo;R$F(UWCXAG*G-qIpN$HO8dqe#<=^Xv%K8T<=R?$Yf##NrUe_Y#&N;Fy3SA0QOr-@fN!bA95{MY|O2oCs{9Rbt|pevE0 z$M^vZAe4Fly@DW4&{qR8ECQ1CCK!t9Z-`=c``!j@-f?oznZIiQ$ZlW>MV0Dc#9cAivqALQUB||J_4MXhxpx0 zQRKhK0Ko@77(i^J{{<*Sn2`U?Mj=DV zNh9(bC#Sy@YSNo5YwolGK${*kxo&{@Z={pGw9OBqMg=9HXGdsB@)g_ccSahpmA5!v zwesRcnObCISvC+D4b+gD-%P8gb@c|SCT(16re*dAEmX=1b9$xs^r1E~`aR2NH%>mC zo*W;4K0fBh`s^rST)N=nZn^c&gur?V5yepd{x@9U3x8nwhAslf{_X!F`4lXWbRqCF zR7O3!(IY9e262XkSpFeScwx{R(j*&6al_I^m+LVz$Q<8pHctay+VzrDK|UB3VP?*E+sbfyEi@C=}>h7RXGA_jTa_zn=Nr1Y38 zVaE-TsUR%%?)<05*`MCOZ(RDp%#K)>z(UQF#>uar8vpBZ5do$-yAd{K_6u535v=(J zAS}5ET*#h+umJ!{XaRmT|99j4d)Dsh@hNorbMxe5^W>Mm9UuSg_!sW322D+;1RJ~I z-!}lkXfbud24L2sPCRlaq;JHP*i?IHH>19lVtqtT;|wX1^M3*YV-rD|H4VUMeQ<)a zBYYh_fc4#ZvP{m7$Q5)CfR8{OOwR9Z024hsVn5L>3ZZlkj170#FWjITx-bpwr6>B6 z_T^6KE6@x4jjqBJp%KG6?>t2U@B@DjrYEUDM}sOHgqxlLNUX5-g#%#XI{O^`uS|9P z&7~-A>tBtQZe40!qw}o@)m`oPljF<5mG;WyrwG_$2#V02Mn|c{0Bk|IWe#+`eKpkD zdXYi+r4n>=A75$+TAeRetJ4Rta?tx?`a8b&kX!WcPhOe)xziUR z+bmI}pi&wq$FIDuw$djI`@Z8m*y9(|opI#f`93;2ASX$vfh5UtA~w}J!zp765pxmj zDQXY`Jeg&5uLeVaNj-jtt`jSmyN<8MkWR=RMvoDsE$%UR9ouQhG;TZLIB@TfS=s=r z4UT@Gc51WH#r7(^&d{J5cHsO3I3SI{f#Z$<9COlOesKc~WN3kWbL^ZqiEW#=>vGJP zvum^5$n4si-j`ngw#V_~Dyw#dSqlwPLpC=%xG#ZSo3d5&M2Y!~QkG*hMo^a1UX2`# z0e^3g9m;Pw>cdwlyF_M(TbfV)+lnJ;5|1$Z&Iu>#GTLu2+^C)2>DxxQz=6xPcfFv zPai}g@M#y*mo{>eJVM#h>03H~D<^OjLna)_cp~w%h+miI$&3R9kHw_YX`Dy*CLHF1 zyw`CLhAKr?;z#Dz8>5e3X%!35C4pR(KUpR2V$ZS|ERYpgkUOQXrkkS zS}62iRAkWUj*TZ6Y8GkA2cF<23pNopW539}EmzW|S627}2N4Cn=PyDX4wng2~iP??&)DVRI(rVVRi{up5}1l>epb51HiMIdJgmzzJF}aPDA=I4~Tzb<0!(AC;7$3uV%R z&TqMZ1JQsS^JRADPdA|Fb9Hr`gH9;Cg?(7p8^FVyM`kb`vIt6#FQyAmrowGkz~%DM6>IW zQA9}8qON(dAz_SzWKzc3l);s7-dPJyV)UF%qy`^DmLUZtPSG5T3bwq!lT6J|c6IH3 zamkz`ba>KB2YfkiOyd49_SnkYkFJt9OK{2+=qIG9E}d0EaO8ahWCgGM0#vmnjA*WQ&GDHY zc+-&WE@s)^BIkb4XOw%+C82;~B`)hO;-5@3$}roMdrl*LWV)1l&Qdsc9(q^qIXV#%PW&ih*z`9KVR*mZtb9B&&yiwA+GLT<7sP?h6&Cnt<H-eHxv>$Ah{>9hS3VhXzU5BzV6MVD{7Gq#dx46-(*th!p~uvRdH zE0HVj>XVQ}07)iPk@fHIA%{4%!fJBKRBNHF^=5S?AGyax)2c`<+vnOBIUorta8{s5mNX$H_KPC9>_KKb?%+ zOqm-99f5GEFcnxzLSgcggSl|iL`cReRkg|75ZnXZo46VG;cyoe4M(J;;~`VS2R&*- zR6ev)6Vj%x=R61Y{MChoL;(&t#qMk|8$kFPw#tW)y*dhPFSN%9eJd>p<%xAFI9beL zXPeDw;%);sa_U5#>!GQGPzcIB3l?)IARR8ej^jN^>L(iAm^EAY|o z%;ve_6*ia z2z9U<_yF4#HKPs!Rg3wCELdU55V?r)iiP!oYW9x6Z^UJvq2$n%#6<^?#a;*-a}aR5 z2wXrosL67BcBaz{6pwMRsHY%Qbs-Mfg0H8FS>!;*&dW0*rI0d~Ek1Ta&EbnemDDDX z=8RWl#>}=5=3LDg_p!w)&8+dGI}aTMJ)O!SNq~|Hr*ZKu3UZhPxnvfyVr6wt+S;&V zEPrJ6OdH#_-~k-t!|8|B>o_HG2nte8g2 z!beYB_FFe*3TQZgdllYr{^{3;9sX*x^}cmWTt*QJ1})(I^fBd;tU@nbQLZK(V3>UV z_1e$>__>mwpO|V39ays_xC%MTsx{2k=eo5Q*#z71P`V7MLfbj2= zlyU|7tU#X;9J0Xdsuq&>665P2G4d(sEf$?f5EpVM@ypihf<99xat7zcM!}TD+8BP6 zs##SvtN6@?OucXMx?EMW%Ad}OYAdN(Ez`=TA$L`?dai0#(XwUk!)tgG*%-O1Sw$dX zT;!R>$#;P}C~FOezp+)&l5(%mSmme|@iNajftmf0!nESz`lT1C#+LR&jRLv@auM~uaRn6+=iBB>aQq`>PE_NYxo>a|h zH4Z?}ZmXztPk}UNoG()iZ(%{HnpII*4zh@a1v#Q(Sz2Z)JZxZP#ipod+L)kPQq(p2 z&K32ds%8~%$Ie&If$W-906xp6&qXjx2$KW~y}a+LXmx-24@}g`@J=e203nmSJ2;gY zoz9GXjZ}8W;X!aAfrw6^2Xe@e#E|N^P3J0jk z3D<2W90%?k!LO!-;07ssk_DnnWreKXm(B6EDjcs4UuJjy6ltmpP{64vIzYXPkK4Kg zTQ_r#!Uo)?%9ZHOB&+8n1_b*hx4M(n^)SbTi&7M-TtfcLs$&;dI$m3!x`;XlG3-hM zs-lf;=6a*vu^d{01hU%o7J2@(wavRZnCD1j`S-Ec^}Y&b&-xp+Jot z0;=ZV4<`O1TJCz|b0L-Ut(HeG6f;zVzwS_ z&vT|5xI)VL#~d%A}3djHnvg6@u!Xf zXzGXadP&j_wO5I7xc~v8+HTsEFPv# zgTQAu8(j>j-H2rZJQ6rRMUPH{9#P~>8c>)J!CPVD-VdM!@@m7yCryu?X5;;P+*8~J z1yfUi)gi#7GbafxrG4UU=cT#=tY%r_t320T&QW&92Ae&wi-rJ&8sA-S^4mLdsn&^- z&JWnP0>FO-TTSgf68o=kzDZt|DA?+qz%XnZTcLhb3}#g*n*pq;C6-a)I09~JtwogI z!sY~GS}YQb*jkIlB*D5h^~c{+8vq+55OG5%S(0qQlv$H7eDTJQf$#Z?P=~WU(`V_z zDZ5R33cA5=LQrW8W+s4K;fZe!k&65aensG`wRO!j_2HJb z780ODNL2#JIu&!ImL)w*P8}SVJE@0lmsD4PB+3Dc!lKHdx`Zjo$VnTnkPgi;&|r>!k56W9-JWzw;OXG0xxgu zFdO1NNtXLeE>)nOlyi3~PpV39Rq3sseK&;-UgAr$lr^%wwu>V$oFMQ6R{Z7%DSPoB zooqPa-vu^^WYHlph;Z))VMGd>4e#20ch#uVRblDsoW`wFTO)AA=1bhf)m@8{gi2*~Mk^{Si+{qpjLv+-AdJARfGYbXqfmH9 ztWaicw6d>nGvU$4Zj%m66dgHd)pmKUb0pt<};8BPyayw5m=0 z?}y1(m&Rq^Y6CUbYrnsuEY<4tTVG5pUQNhSUQ0$LIqJsLft`AzVSl}1s3ZZsK2qA< zetQFDT}jP0x*qE0b-%M-KYaS|YZXjj(tA*0Fw!maTd#Gvi&zKy zwcXa+n2hymx{_;I8(2s^ALb-{wJyMA_;Q#Ze|^{=kCv|0(R)`L2%%p;RZ)muTbDyn z!`7fbY^}G5PAW>nh1UAAAqgKV>8*Xm;&W40f-IcsdCFglaZ)7}0m#zLIq5?sV=$N2 z&@heOm8G|@4pgIELNu)d3i+UEF!WCQ*!o(7*poD1I&32Hx$COLx@slXZfHR_|HP(bV)@6XO zx~yd6B#V+QxH6mCp-QaP;Tw>fLn3udMak6YTktAgXWNxnhfwk-MDHq*kq4Lp!ur8< zQXE&kMiYzG5r>mf5EVNUpN!3A7@1pES|>EBva~(cJE!FdOsZ5cSV9y*;uNVP4`ahOm3vjKSt?wXmfG-@K#NR)uHsf) zEU3y}*Cu6Ylrh$YuvQ$ou+jWlwA|9^t}bCp zKOTsF+!EdlL=oC&mKB>U1TKd9UZIm$>n5R6F4XqssFr$_|^T3G*qGP8TG47 zgFSr0lZ7^3UT+8r3}jF`Ky5m_%M)>wJzpdAN3Zcmox$P^Q>M zM5oGY4w%fLu3>u6Bm#_rg@1RT3a!^F2*Mz)L8!n?@+8)rPis1ZDM$^zu5JCos}nRx zB9}nml2Yj!3SNG>)?4l(z4<1lv8RL5)Y|P~zC3&V3O@aXk0DgnM5rH)2&bp3gJEEp z+Q{hliV5DcKmDWC)<)Vt&h7(92)-Y(z z&2T;cIR@T9H4IdJR7VfIa6>;)!mdKk>EJwbttahztcTIR{r4 z-OpamJqtr}4tqY?XVGQ+EVhY<*6^k`GP*II1v`%jy|&~D{C0cTA3)6{jEhMj710~~ zRs0wdkRUp;oq#2c9$#{ozB$mfQND|z&R@rm;?KCaxxCbefGh$__xzatN4m-1$FFtu zE-Jen(Rup-Mj`sB%;oq|;yswiss;cV#BktJU>l7eGxYX~CkbhY*Q^A~<^1Fr#ZT~v zWN&dVT-*%Jku}nWS30l||9t8We&aUVPSQp07WYD`A=(9bK6MAbf!Lb@fDNGTR3Dj) zH3fI#H_UI{i#}my@)i(J#~s?s-^DK`a8QljzPuHK6~%3j9L;--xr!0{Vxm`^C0GwuHk85O%Gs&SS=u}hib#S!k7K#DFafmxO>8(RV;sn2J3 zY&N69lOp4-E_6PaRqMmh)ESZ9gJ9=`UzjQrWKDi)e(h~s>XS`=TOv(pjn?v%J|@vC zlGC?+1rsfQl9Pd7wtxv&5HMj#4qdCYFidvGOQqe@IZIT!1j!+BZK;AKRj?H2ZB|iW zZdb5`PA*-tOISE@ihfCngLPHOQn`|)UC2(x<1z{=64fxor^wSv=uHb+DMLwB4Km47 zCueb*w{G<4dINj>-HB3Y4Er^SWwM+qQBoyJ_~4}#aj*U3gUsw7tw$S9 zw4A1vS^AI$R)3I+-&IR$s1l{v)Kw))3+L=ejM5@gpyz|(3R;J4c1Sh+wulK}dsSA6 z68Ji=SgeD3hhcr4G9}dcid68G4yP~^oHQnIIP)iFp}sSQ=StZa4BwI)8SFN+4Q_5@qp%=DMJWy;!=5ph+XIL(!*a4rHauJ729 zVLbtFXQd~;(jOI71{Xoiz`d{X z#EVx2)7AuzpTHeP#INwhSNFcPGmd)CIL<;Bc=cz9<{;DR=7W)Po+W)us!hSCtaHc_ zLs>IBjGTZa6jA197whVcPwaUVj{KB*9Q(5-oYUhnw%u^(bDUodv$TUDHDL0-Qg(*_F*#o$s@ywq%(tP3AtUF^Vh!oHVDPu%Z1lQ~t0zA+F+ zWME2oY$rQ!`@^Pw{&X^OGp7)V&B+QUPRBiO@1{xAdtZrw@r znBqOL1Vph?qH41Dmv~jQ|Ez!l)Raig4RB^Q7#Z@2T zz{;C!zD-r(Swe)|+HSO=JtRB4CQ&p5&V!4h!G;GeA*?3TeempQdJ*l{43}vZG3p@d z{{TVUno$Rpc8mFjELh>i6uF4;ip6(ws@>I6D zfd7~XlW9P0!G2t}Irp(ecD9kequYj-{bbQCnI&butG8H@WtlspA#PBxrJ3Jq&U_8} zS?W_nyS=2bGN17b_CVnLv2f%a*_djw%r_xw(4PFNw184c30$MweAkj`Tlq;s24aK? z&$nF5L3eSae8~r`VQB$J^GymzXy(lP;N=2A8_`4@2zLbTcEC z*uV2|0{DIm7#m+9kAzc*Ymp~_x07GwE>1zfuQo%tjen9mcXsGd2l4#VFDJ*JKYs#D zHU27Xe{Or+10ni}?!c#{sacnXfEA$1Lx7PZ5H%_ffdm_5J4#Fu%SeQ!I;xnRs`3yd zTj5e?Gz|T*3u&{}!OGae%FE@C#MG%g1P6iDN{weqoG5H6s62!cIb13a;nnKnR2~9$ z?wLBOh^L>`0V)rnszP7oAt1kz%+4+r5o=S1<*)J(SV%(ZYLYueDh~lR>)DW2R2`{2 z1eQ-h7E*=xbyOZgtez@7ODODJU>{L-cuk@c%y#Nk9>Nh036p^PVc1714*}Jtaa*g* zuvK{o6Ixg<2JDe2KU5xqfd49H4sM@^!0#JYAQ2(^Irw*KXLaHRq=xu>^%gQ{=3G() zZB4j)Jokf$ZX4r$T;Z3LuErN`vEwdCljT0C3i%P)apJe>xef76rwxv+%S{{Kq;Q}z zd*xtf#ykCV!N$Ld6>zva|13uVSoMrh(Lkg8+dHVbpHHW7Xm{hg>rL42cNr|eLKfQn7XglFJaPOS3VK?9?l!vL)Ak8-$U7RKXZ=xd*A+Z%637nszN2fuPbDT*7 z43-Gq3LE!+04>ljb8*$+*l9N2zsEhrZP1UtHrj2yXSHt3QNL^H9qpnIXX_O{#F5fY zaTlDaedh-@!WrPVsJ1HkRmzPnzD-E;_o!Q&=0BZhkQa*4I}5 z@Mo!>)5A%N;x0xN?V?LvZ(nH0(Cgm}TQzVlF0@`7$G{GM3B{z;$elF@LtSf^jSy9F z1-1LPJ&TZa_$&v})k|xroB9Y@L(FP0&6=1FY4VVi$Gf!8XoDWHup?bYhhz>6f3YnMe&(otCGnsuT60ek6UYA4xx# zC#j+`?^Eq4`lWUheXbovzt)Q)W`~#7wN(@j%o495QCrv#)t#v=EQl%+h3)b7%?PTN zzO_09lL~*Xs+k8N@Vb!%j&0pY0>`#)Btam&ZX`h{yd+5#m3I&euNOfO46heK5Dl*v zK@bkF5kbrjuOCh2?S?AKIx^)|g#maEgR;&Sn6iRqUS$P1LU06f3R>coB}Z$GjIVkNL2uSiV!%`(7^eCWh5_*l zuBbzh6$I$N%zRbZD8IZY3fp}Ma|KBF0G3*2uByHJ3qpgyi`I`c4TJBMp{vTvYj6qY zD%Fq?k-ZDdSZ2Day}}S-TB|dxCuInNw4RjR4vkU4eEUOCWCZfNE(SfJrl4(DS@#6_ zC3>mlRAr5Yj@vP=ZUzviso{FMyeJ%@sVx8D8n@yHBOQX+!=#xbeNaDTg-L_u1>o8B zBaWJdwKRG0#BFgF;~}g&IDi8k2s6}rR|SQCWu+vLbOVQ;)`h0_K&uxHDq<4?f29Rn1WKZ1qxJE*HU~uFgnz9H0;;lcuBOPuw_I5$gJW< zdle_^#x#0Y*5yUl=o+mHqiqZ=WWE(M*sDkwGSJCctyb5#v@VUJAQe?4N`tc};m{ta z+p|o4pux$`@W;2}#~Jo2c@FZm(S|()Br(j9)*BVvF>a0i3~_o6$FXtJfNR(pfqbu@ z8=PCh-}p#xK&Xnp{6T#ARDAhFeEB$i8NTnBH!~WiagT^yab%aif%gtlcTucfc$4hB zWN$%Ve6?%i=VR0wxyo?Mx&FyMVzv=HWa!gSE>rd+&BECaK4LS!bZ4rg9sg+K^LE**0871d^*L0N6!gwprgMj{l~l%C*KU8 z%2#mHvBF=0=|iEX>^GzjR&S8A(s7@tDwmHfX5YlU<-R_9Vs--_O9m8vknK=GV<{j% zLJ--(&z@%AI6a2{=rHhzBRs))wgvR!(SXlDsT|pl!1qWtME2Hli3LLz4;T4f`fg?+ zLUr@fwF~#y@=Y%oSnzOgWf;)kbfmgIC|S+WJ?crCXO;*XVp}{Hv?|-Z(k_pSUA5Z)npiI|1-{d?i0W)%c^4 z8Iye{UVrei@E%-;vK8?#k$bse!fm1)3}J)#Q%I~(c%M`@iRY76J*{|8{GqtdRG4c; z6JmO}yshlJc-(omU<>bPL-%g#od48lG|ryvsXIBR=W*e`;quwh+z#xS6FC8T>MZ`%@Zjoi@2G+w42`c~ zxfImzgVCR_2$j~Qu8nSnx+qBRht!usYg?w4^ zJTlzqJGiCQ(h-^j;WFJ3ek7sY3EW2^cZV;Xx z>O{rm<^t9l3@S(>Fil}JK}_&N0axg$!QA)|%|VF7Lw#}_k2TS&R<{oatqirc(FdM~ z4$NT-4Bs9c>y7j#y@Q7o5l)0I?H4}}R`ZpGL}aQF^gGK-_;EB(@Tnh3XY|*H)UQ+W zD>E8K52nlHDW)%y)*XeTj~=@THSwFVP7RzI43oB|0sHC-DjKS$@Ei(KW7^{xt`1oU(NVyr?%c z?*$E1_VelNCd)qCCLSqXz#xG{Ie?0#|I~*Nz|%Y0H=enpf`O1h)Q2L$zFhuLr+j8L$wFT6If2dm)wBqJj7BGIQQe{pML>A zV)SQT+vL}setBtetIUFc%MA#zc&(upgwJqmZs{}p+FSY%zXq2+#IHs45GUa|Lj{d8 zcnffJbt1Fy(CNPqIz|g*4!@|#c#*L+M@H)lR!o%Zk_(izk_(i3k_$|z#%)j*=^Ddf ze@GN7{R}W|*y;2fyCe@%?no|B?no|B?#L2CryY$=@+@T>?ucl#QsI1PaB1-eA&Ef3 zDZD@c7cBYAoGHTdQ(hy3kutirZim_c7QJ-^;jKa699e%yesvTGvQd5}N}>GSU)?yF!_T zyF$5zyF%H8yF&SeGBtb&87yZ1VBNy4_XJPyBW3FPtHRcsH(=%wPYCk?m& zmRBlT!7iqQ?C{`H24-(?XAyzaAWf%E;Eu1Rj&zN$rb5|C1ANQ`8cjqK(f&*cz%9Ip zj&l)F+!XqH;x@n^WM#zHkqAWhGndm&7W<%75IJrl<&CpP(~&-WH8H7>&V_GIE+8?Q zx`3o;>H-p?sS8Mk!UcgbOO0`S8)i5a2*wvh_7iFg9!`Z4Sqtgd6?rflr-G}TfTsj< zS15(t6-pv^h0@4fp+sUnMVF01=Np{IN=O%3KkSoJp|vV6=k8@08Q8u2GnHNt&$;|F z#1vpi;14Po@!wdUHKZO!D_aG?zHJEwU|jxr{%D8J*k5=Mi42{oGmaYna=rN?YJB*g z2HdCP@GpN6p^Fekhl@nq)91(~G;pa4xZ%Zo;y-)o8{ydYCcfu1vTfRvi5=PTlxJZr7<)| zSTz;X&{7Z0khWBjf@HBVvNu(XD%i(5IldfRX|FPCjkEA2biI4uieBc?n0_ zgZ&LW7TD4NLjz(D5Vt{bWaML_D42L)I==UCc7(fUJ1Yz490Ei5zbcGMLP35=k^!`X zhjXk`EEkF0dwUFfMr;Jp%?$={;yk%y=hB|J(--k*nNi@1#*1u+R$;WZ-0a{`ck z9p}LwznJcfABQ{NpE|aeXBW1d?J4jMW}}G{xKGaHoZewS@jVd75XEHMckCD8KDxED8~#0dK-Aj%_hi81H!0hrMxcNQY zd30}%ADx*E1Kfk5N|E(X`^j!jZSSF(Tc?aZeu-9$w7m48v$X+PH@z=CD0GrcU49jo zp0_1(1{qnDJ8}-5(b*CHi(H(z0nrQR z2J-99j*<)HfoFFTJ)VPmOa34i15lr&Df}0@2staXtFxn6d0|^6{v}pr7WNmJLc>4m zt%QvFXpN7015>JrQ(c<-B?=O?SK?>ZVPOE@JSz= z7w&@r@uvqT5Ri8ANjyzeF!t#z-FR-afyqI+R!0nau?WHlzb7F1wm)o}Vya8e=oShr zw_c~u_DhH<=-NNqW#y$u2<8MlCVRn_I!J6N3qCoo@Tcm6a* zt5}$Rt}rQq3+~N?s<{6BJ-9C^ity~M7pjsel&LQ6Fp1&F@7l0&7^JA+~@@+ zkZfCoO(SCm2;^#&sYd#s?_ptj0h{0xJ8+$_@3B3VLy!60A^Py{{u@)4;4Pqyj)=^x z^JqW0ewwKdS6#xs&eR>d5fw*eEj-!At3*@h(VtF6Zf3_stTif31(uSq3Hjt;E=-%M zhs%C$2#$m9P27xQamW;1PVMk9rSlG%8a^~q8=~^Tmzt0^bv@@fu;;HXBqR#(nf=3^ zEoK7;#Dw=Sgao)zV0)pB^Apm7P@Y()=CF9Pac9KcqWr`cCz^Mt-oE!!Fiv z;dLDEAxeu#@yw;tWGfaEo2TQbH4z{vzqA9Jjoz4Mf>EzUJU9co6*;oGr+^WdVGfZ;(P0K~Zo8wP-LQJd96sOvAH2iVA{8Fdh-TFeih1tS+R zUa=SkP|e;E1f^OpY}w2fnW@|6tBZAaRFMKnZ~162u>kQpVf zDP|_fNEK9e7-!lr;lT#DBCBk5F%6LjD^tM75G@tjV5?06nYA?I6Qzn%dTWDBImu#U z7pf~ol2XOXORzVq+m$#)N);Nj38Jsu+~&Ypdc@rHWH}OR3@t2@2&E@6rol zo{bFGQ2~PpEer(=LSY>XSVA>KIN27nJeJfv3S9bq6e{J5G5SB zRmt|^)u!$~H+8oYjzlIc5st+(o;Q|`Y^M`O>Wu7pQr{Bo=KQ=-DNjk9Q*nos^=qkE5xVe z|8%%at+ZtFKp#I)zTei{%gE#Bl{?}fE6r7HgD~@uA4CbIu52;M$Q8}YD0R{rOZ_8@pn5TAoNK2}TBD8+ znI(~pn=Cb=(Ti^!Y_rll9jI&f1ZB1y#BtNj^k+vrJp_);P- z@`nLsHDwaasaXhrH)pnGP5fkO(}h%gZRf3g98=1>uvs5Ae-f)wqJqdAlbx~2|GIOA z#W7u|_-~}w3&r;F%NF>(aWXGZRQ~YGxVdsNIpeY@0G+kx9TP<+*%p^5MPjoqm0pzz z>9M%?YI~;&LNO+X3+k;s?}QF#MPx=+X_s_wcR&;qt}>?^I-wt@e}1+F9u)A-V3OgQtahx%j@)GDk^9&EP*H`@vekQQK@!s)s5GNr)k- zkHTM&{3N$Ab@BU9C&hb)J1nj9}R%c=-A1X6^_g58}YmQ`_!oe z;F+a96yDt5XNJ!uzY?9TyDwh-?!1)zN_caBpE+~qE(xe0ccRqX^G)pe!{^TvZ@cd% zE$r{JJ=YIUEzp-xSYB$hlLq$p(Y`l+gevD5K8N~rO=&8uFi0R1s*G$qhyot#W{0Z7 zJsn&A91afg!P&JC%dQ!JTthCTBHt9yfgg{x{@w@~gU${B%y%U#ZAuDhY@ftHl`=Z> zeKi0;<}lbLyv3So<{n=+D{ITFz^KC!5*Ftm;gUB46kM~Ya1!n&2*^W6c*O10URCn~ zf(!QQ88$!?ZLgMFmXL~xG+pm|`YfA&bg~H`|1Joh9tN>0+E1J_?8IiLRWPe6s6d_F z9hYsbj`nX5R3PfclNwl{ZEldy&uj}AESj;3*bM2^-`=GyA!0tfL$|-X-XwDyUr#{w zPn*a13Q1-aHiV|<6;oUDJ83FqZn_{5qSwj*%%{wOZOhCuY=qYcdfWa}bo|6!0q3x>edR#l8gh zt%Wj~Kym_zp(Ran(vK;T$VBQ7Vq;QaH`vY9F|g{2j!fJumBh3`WTd);DVfO08J2%m zMVUyEV7RX>Bo)K))SBB9%k`I7lou|fd!8L7IiDo<_v}uh$Mb^t4f&%n!->7W?$>R< zki$hSycK7~71I3G+8DMVw*&=p2oiiUaV98x!T0XnhecpVuI~Y=FTjQS!AskDa>t^g zx!lUg7XuXTvgdnW{V9CF)G;GJupjo-@gM+i$G)37+mM?LsL;bxwJ9C^`B{KY&q z96+Feo>akjHAyk&wwIy=+W<3wQD|Pc4+i+q4=6(mhXIHjCBEQiu^~W0_Qk6_b}&gd zo*Sii^j7h#j@a{J0rob2PeAc)f7mv~RMW37PBu+Bmcp9TXZt0@6m;z$_}|pLE}V>hDWsH`z3+fJ3pwvYaFGJ-0B6u*kKMj0yTWUw?B zYT;6=MIsqkOimp*rU|oQ+Acj@{)1Dv+y~v8xEUwnkSV&H+Tml0-42-=J_=GBqVjQ; znvgbiJ?A;F=dUg#B#OS7{llFtW&=R_hCSw?V^2nb?S(cD4W$L);mP$hakqgRId!7W z_0ZHoLbCfo%4UATHH<8BZN3oG+}c_C_)? znP7tsf^OuTdE2?B+iuig`RQaiS zLd*(WHaUU=K44>rCMY%LC_^c1pfu+Y4*a-#frCN_Y(&GwyGH;-fA0qkI2Yt6u)-pD zyqMYndn8Vr3Y(2&50o`(dlU4Y8=yByITSu*1iBdN=1phR@bA$BqSnaCB6%d!Bx!)| z{Gi+~oMx3Wow$9yGP|{+%F`whV=U#cgvq>0$!{TJ)N7l)t|2}|-yb%Qm>*;a8zY39tCiX~wx<6SUkOoCL&c#rvz``)7VJG5a- zG^1b@ZD2fY4pD({za#)u;ARv&1qh&}StR0fzSbSVwggA^a8Gnn@DvEz9>)d_H^W4s zQVZ+m6@V0m{AjE=qjHsm#OB*3M|>!Fin;_cD&wU~^zRfrMJ9WGg939UIrk_zY28p< z-B;A5QScO#1soAoCe08Qg&_$-xf<>J@_hITftL6{;|LW!T=7{HCwl|$1!zPKMF#@ zQ^WxPrWzzOq)ho4Crv1L3I$KmJb1+j?xupLSdBF%+fJ1j;aBh!0C8BjYKlyNxQ0}w zs!;G0)3Dm}qu?ocP({Z3GBe*;n=)Ki1y3Px;L2zxIw@iaC{aBrcnXqV1*w;UnJZIg zzc1CL;3=H*GAnD<{776_4ADWsQ>;4fEJ^>`m%vl-6o;Eis^BS>K~F1qiUK)NG7Vk@ zPk~a@iGMF!8%v!h1y8|_AKkFRZC?smr9BB8561d3{roMATfF;2k|Vf}EtK`7;3)te zqZ~wnf~TO$9Rooqc#1#0f8S8>6dN2SkcOu~b*BoPf+f?uGp*v&G~GLkn9w=jOPX{8FBBA^Mj%5>+fVde!h2~s7~y_Vn<|BUPhYXMkW z93}-3I2J7+NI#KA`a-*^b2!BAw|B`?$jrpxUu`EG2kxB%FkFogvNEB9i-3JK8(lwB z(A5CU)t?YL7ey=sbTEihM(|dM5y5geVa)~s?K*?ZN&djfGY_!8&bjj{TU~n`_|2iN zwXL>37+rJ6nbMHUr;nVR%x1(F>R@yYSYy}yPCMTTpDJCpkK3U!(sxP)>0wu7Orj9Z z51j$WxB#SJAJ?JOsNS8YJ8fFFqQ zSy+RdO^CY6qYtbDXn9=R85AtgXj7~~99l{5K2th)E?OD0+ba!dU-M#dfA0isXU@)e z5bdE;DpC?^Ycld?T)CM*R2aj*j~=1E8D;3LoeW+ER)&huof+PI5w#uxH*yT7wh6GA z_KYWHMLj*8AZ}f5Mi^ZVd}@mPrGiOA&I23*^W^mB=#=2p@?TF_uWuQ>kv`N~=*(d2 z*66kSx2f}4Ddp);h%CWM!MFpSq2Kb84?TRMYGKE)R2wsgr_Wf`*Y;WtEf)POE@ ziuaQ<022~s%yeg$aHjX`kj3VIYyE^#hYw%4V=@k3x{s&dFTtnac?u3q=PShM`v3Wu z{8w0#lXbqGAa9(7FW@5G`xdrjTAhCDi#60n`q@$H2DS#-6+3u12lA3f&;{gt9mSR) z{u%#b6}@0~`JOp<#{8(PR|TgjlYBEZw}ZjazG|I*&oT#kDO9!v7jl}8 zhU{a02ukP%LLVOn-m4u+xXwVBtwhITOQvQt5!m^|CMqA~Bi8= z3_F8tjetakE9rXupMWeAlKfE%OJvEb@S{Ysupk-2*@|C0|2e(Jl3Ba( zeK@lk&gfuPOdRr~UlRMI$()fpyy3CglnLCN(sLFQmy#U`U7fU{&3I8{X}>OEc-%2H z+#D22%))kf9Iypy+yIV#fpE(?w#d$ok_%*+kh6M3=eFRcN}Se$K?a!u!#}KAE3gDI zqcues*H|-eE)nrCOD(CDC^i(LsX{c3l>igj0xdvq6;}gW@G2ITSiY1MmI%%iw4EGr zNhDpl1FrZT?r;jHV>0ncZ_sNs@c=SA<&E4{IbdYmyAO*1VzR#1bi>Q39X_V!Nl>DY z-I{G=H_X@f!&j&8p}U^%ef3e`dFq&vAJ`B4MlLf_())%-nXxNQ;~;$wx`517nQVSk zb5T5n%eQ1muF$@J${a}V3=5|?F+fYpR3@jn9fZ=R^_IANr+gGUA*~8d1Eoyi@})R5 zA9`k7%D76V_GfKM;nX+@xqfDN;$jTToc>3Rq^dd@3Xd-rZxM^lN@xUy$9Lf`yonvS zj>6+pczj^9OO>lKRU({kJaxxzL=O!v3$C1UK@uabv^3hn=g(T&3XiYK{HO5v6dvD- zG!*bGsNpYD=(%A&u2K^hN8#~tkzVGPmeEd>-}qd)gc&8OCxyo+swH4EmkKmWuq$Lr zk#cx^ly#~aB0+T$9moOj#xE&}xSz13#C3%TxwYL$!SSt1!AlY`_9gEW9N*!_PZb=W zg5#^05>{}0NzrW?GnZ&wv6U;+Si&Vbh4k@3P&0C8v<8f3bIG%l_N95TkEZKUtc3$u}kgkji!bJx!rNfhr7y@Ks6gri(3q`wPeWVJfFHZ*!y zmfpV7t)YHpn1Er#4L1e-;Nv0Ll>2Osf394DA`7Day8X6s`3-g0zZnf~Mmz4Zr42?m zLjVVfJx+=Aw6&22I8SX6QX-#MUUt0NeQTtfBkMx%wXUHfG*3ICWC)N|fD~eMEx-)9 z+0l4m78`vV2%7KpdvG<*Q!6`SmqY#U`4JM7(U$GtS~CIVE8hjbQ@l;vuqg74%UFBp z!$(77H;n`Mk+su#1*}kgv=i3c2xt?8`wn3?AE5bdcz-#_ri6yER~RDaH~2U7q3`c( z@B4ck2Fml&RzeSvL3_jH09Y90``BtRpgv@QhC)$53&04VTJ1rfJUA_T4w!l*YOoW; zP(egKPEX5QX-LiG(IwV_J^@VtIPBiP08tPOJbZ%2_B>hy4w|4HP7N7;ej7{r%)~Kj zo{R)Q0+2Xi%6J=_=u#TYj1}w3{nHhhkkaXKU`9qS^VF=YCueAw*aj*{&pwt8gJl6- z$WKw;TcZXj3-`o(JgX9A_Bk`)Ar+sPj{a5ea7t`5KHJB5}3D%Hsh&1XcLU!gTR*f z&lj%=f%CLA8BF$Zk$kbevRAZEiVb2t+MxyNlpmTBON`7Sk2kW35Z`!Y)>(2qG5&(? zW|g)}D@2S-vBB2RLcUmFCsc%#2>{rFNVo2gOt+q82=$6kMosQ|EFWa1Vx(c7ob`5U zCY+TB%!hl*txW4E(7)=l5RcI36LwR;!`l^s6#=eQ)fn$ zm?Nqti)vS@6xNy@S>=j1u~6O&-30*+|Y>Ys^v7B^-e0O&V~zp&R@Z=Oe` zAl|XCq|k2oZ&Wu>NLb>R<<$zr&8)JPngNCAa51qZIY@4);Q>w)WN!$ z-|Jz0*F)Z>ccyYZ##)dvD=kaXru4f=NQBA{u9zY8=VQokbf&a0p{YT{)b|p#j7uT@ zLTe-9<~ZAjS=T)coqAYdpNI~Lg1kNF&u|8L%z`MdVNNgMTLpQWTCn*58C!L`@M&3_ zT$HS|Q(I@tJE3i;AaBo82Nownr3%(GEry~>tbczGKq9I2g+uEzI9auM;XW8(_dPg) zK*d#9xhcq71$mnoaXMo4iv=7WvJ5chwm)pAj;5~GiiP7f!pQa_Ov8oqXg|4rkXo{b zs}dFDEj#>f;hd-3nriVN_WvQj`^mvvNDqU5l@N?fi%cQjD#Y6riD+QyQ@dX-(<#K; z_{>ibdu(hgx)qrQg&hLI%P6qD5S^P1iaDi+K}rfNU`0l`f-aIgn`z7k;+>P2%Pt2B4v>`0Kelt;Nu zAX#J3BffXpAg}9SMOpcP1yOyEi~!4|f-94S8(Dxcng!3ou)SvpGHV3Mp2@2$PZYxF ze&|dZcQ23`N+G&jylVhe8uxyHazs%IY2=OU;l25B^<7~PAU0uSIj6M(< zO~nWIwS|&PUC%-Q;j)A@l1QjEfs9Uz$fe+dQwPN0ch{Tz_HI*fLG+3O7aRwE^DTi3 zp70iKHve=}G)9FkI0N?_FP==o?lSz!S3?(+G2I9NP>3$b$1cnAl0p|G_9`~Go!Ol; znK+a1xv9_v*&53y!E< z-<(JH=J?Tp%3^oi1I()wSu5~7S#>|Z&Q==s`%lSId*s}%Gn=oRG?6fAd4WOJ}_%j2BM5Y z7*q&@D9z}VG=)MK%p~4Q2iSDk8*TE}2uO5C$`|#e{R530g6MuMh^QCB%}s=!CJKL~gQ$ zLzW8rMP=#^qQwefkZgEYfAu`LAz-OOsoMh_QTSlOkxEcnRTH~OAq;wM1VDoE@pMcD zD}=$<5sM08P$3NNKf0|D2C?y~4n{2yGuy(aI&_3VyjKN-7J?}YaI$3v zg5&}giG->86@kyQ3s-!LIm23VON>W<5v>AwWcW3S_8?vlt%!g)Y_23?3z$dLHbMyd z?cL0syVm@9;#uB1baff%Bf6I^nLt@pu-vv2jsy432>}%sDlQ(T4n@9g zHoE>~0YBp<4vz%RPXLqK2%J9_4#YSn4G0HB@K%TsbaS}6&BpupxTj3|=`y@L1j*Ry z=-SZeU0HhjO1Fmkm0^zbA*VNG;BK|L_M3x%$Zdok*P8->Oj1c>q%ms!@jgXdCXu(|$^j-S0LV;kP>e<+ z*puAr%)}Nj+1OG5kO({o8QYbaA%<{b4FAs0Q9fsjTGTmjt}>J;0LTKYMMUpTrLqEm zOdmvEnT3Lb5By?JAGb>vIuGE!nYh{mPsLy({O2qDM}`0Ba5j9xt%M`_jI7xDS;4GK z#c@>=`+}SWe+TVk?^@11kK-U;zSBXzfW9cBt^<(w?~t z*k)62Pmmw7k*%~sgp*qgj)Ad4aRguH9d(=sd;DU$vn{2=Dt~+jZp@6#iqp#1#G`309V=ShAWX3wTJ&FgjjKiSt9~J(i!hhTuwwBDLs_-AVJ(QI9R;?He-t(I}_LGAF zx$6QcqwpUyIo=YuPNsNJ_>XC@JvO#7Lz=8j8J5@7^_=Ixp1-<~kZ3R~{Ku89P8p>| zSxy}0k~wt>|54#T;>wu4N3j@Wq8ZtdlQx&cA%j$Ae8to-BWC1g4u;(iDH0_@;1GmF z!yEgv+94z|>JlWG&4$`oHZ2mhvC7O9NOQ*d zGJX6l=1k!~K2i??gB>dTM;=j9;XhXXDC1muMHu>H7o5^bsq|mT+rC=}rsaf{I)Spf zgefg~AX@TO@gD^h5%S<4F$mSR_>Zg+q)rt8Bm$i5_S?qgH-tFZzZnf~MmxrwY-xkh z%~0o3cqu9e?;>QghO7^}uK6D;-2x}nNL5}Mr@;*g5 zpdAGOnMn@X2tQ*VGot_?ad2>GnAiv+OBUmiFjOi!3+yMp$F@iIF+;3oQvpC0fKS}V z#9$}vD`FpBI*Y;Xcw>5HM#3ieXR@TS0)SKikgOt77Ei@!BkR|M2!vxJy-2>5$zUvy z85wllv!CRiJr$L{gfDPX#=URhU71#=-}+(=wGsG`sT;TjcEt`J&T;7&e*vDz)ZOQB zB6ys^C~*0q$=BS|KmwyA-n)v2hLA7RM>0mH2r+QZ%0|Rc?y58`uD1~>m7kXhurwU& zV%<63_|bZHkt0zL$`k;kbDjxkCALIGqym6^V~NUh94>>L+TKIc1nHM5$fd%r>Qp`2 zp#_xjL>;2wRsfJ|l`9qRMYStca9rWXW`Po140dmiofxd``#X9p7`CJ=uu9Px4R5}HLyMCOcf#_9hbMil!`-wA*&16W(I%!Aj&~ zVSz~#vd)eKX}o7gv6YYWwc=mY<_}Mp$!~*l@;xh@0yso%jQ+yFjF(e8eB|w%0Mfmia*#lxE#jkCK9Z1Y{F7R41RYTU zK-x{P1l;H)wrsrS?6#%5byeK2q=|uDSqM*{L z#+4}7)99AB2q|Y2*j{Mk@ zFD!O3g` zNVID%DOw>>8>`G*fxE~!U#1$~V$Ku*qym6Ujer7xJm~rrNI_EokOHw=-TgnMB?Z$} zMJ)*%wUdR*=g$?Yg@D3z3)M%Y(nyp1UO3gEU`2!!07%dE!&3`#uutD11M<7;O@4bv z4l1xR_tF)A=;=9}Bl_Dr?lGK9jH&?JPB;$SJ12xv2B;T&n6es%W}};}Wk3}VKcOmz z!1-eVW#Z1H0kMDx-U=J{egG}d@&^}hl#d-&{E*GBC4g`f=Wg-PeDuR8$@k#KoH{hf z?rHopvL71mr18t~-#&c&e?f`Gbbr>z*yM?-z>x$MN7Oet}MORHnd*8V?K0I zy8WT9eX+E5dnjoC$MNy;$K%hZGCFDvZY*sGh>Sg>Z=PC12>r#?Jaix>3>WHUHJsr> zQZGgufFJ);0LsY6G|SEkA_F>DhAN5X1^*|DmhPaVclF)~l9BrYMtgT}htWF;onh=x z#B56eK!26dOG|5A>(<4$0aW8yUCsQG=e6S>pXH5Vn{?PQdioNk_;4zZuDBbk)4zgt zv&%?^*r61OIsEkaBY$pnSti$iZ|MWXaI3`6>G81?@pIYH%xg<)we*e-Ksf!Og@zGw zxw4}tL)M8qTf!Q0I=nHj+nQzG3We^@<&eYrFh@5R`5BVJ4W_04 zJ{oH9f3}c~-erGhof(~uex-FRqi2qWH!UnV;;whr>wk0y2H)jQIx_a7K(gKJP=U~{ z#0uo&@vomGaG=pMMmraJh}*D&+NsIXyXji}OUu00+WlLE?rxd-Xh+(q-!iPP`p^`b zdNPC$QVV3v@{8pd*{-0}kGGPf;6LBH4~xJ?z%b3W9oa2={OEjjAsK0QvA8#8^J%{C zz@BZxb?Hv8+a1%L;ci2WXzWb#J#ICc>Hh8@wc>mK{vQ0z2yA3;{mXRNl zZy100woT*0t`MCW8vI+#e(zDObG}0+pSN?m5J7yefH3zSGAyHso$qn$Imvc3TL@&o z)LvXrFAk~?$NDEv()RI^>;U2gwPwn;Q>k2!7*gr|J&vJ5dS#wIhA*@=)6=P&jFI+! zk8;A_hwgt1*1>iPj-!osCGKV0zy{ZkyFZd>S7MU3HMJ2*PmVY71+t>(*$>eyuxREL z$!9ymDFgYMG#U@i?cA)YKHmgdoHfX$bfK>D#j)#9g6XD$HE}~5>TE4Und|+tCRBxw z{__ohYTZrU@X?u=bO+^isLLm$Y&T_yIKv~zjP;^y9ynt+bd2fLd9bH||Duh@P8jOU zx~<3jk*&%>>*96DkuE|AJ=mKr&S|Th z%)fIVbZ_D^44H%1VT1v#DZu|ba7I9PvuR@Mpt|#9hwiv0<_4=pvF=?kHX!q3qm^_Z zQxv{<{v3{+up(4WIE9if3sB-t!1_}-lzrjCOX3PFA!VKX5}EfrRkhw?#JKp2$s|6>|ZoQ#(al4u~4w^I^W)G1ud zQTFeL6F7L3#53<+&%cr#pFwyMPhbQBxWqU$Py5qP(n*?5{bvhr;DTiYnUn-?@6dyv z@k~LYHF(6WM`!%qsf%mkjK`pCXXLOkwA$*Tb7{yL3=8nLUTcLh*Hl^{p7CPWhh0WL z*le;Yt8ba07vz|1Bs;24*agaF8G+!~h#tl+)eSab;SRxzC&ve0(Ve`K=3)c2^}3qP z7uF(lI)Emz%PHE2;fF|h)m=wf=EQ7PBSP#&(&U*e7Q<;Kw05r0qA4{mUq_n9Tg>|R1~`3)96xmkvyc$Ju&O%2 zlb8~eYJJ$0fD#>bF1jvGj3BA7{IU^FN;M-|SXl3jf;|Z5I2Uz8B2r0!n=Ot#Pyw3X zXzzf9_VDRkO~G0MSBnk=Zh>c29`{=9m=GGBF`*QDkbQI6e&o0Cir;xGgch46mK0Od>Q^i+d1zm?~P-H*}tO_7i@8 zMRRCCB}eWLYZA`y&@t9l_~_n87StN?1eMsMB!kc7Jr@2CXfq;;i{^b0F>#+@!>xpE^G?uRR9Em;biJ+89{|3LMN_2dB)+FG$6rV*NM7&1kjb5hRVj1 zABD<!>AOXdoJE{RDJ?(|CymP=+kIQFBD&lbob ztE`W}S|_K~+@>Y7^!SXExaU4h3NImcKSy$x(FCgNsJJ_1GH~@u@ogEeOc4Z`0G2Vk z6XYnuW0)utB9rz*Dvq~)@z6R5+(6+30V)J`O5hAK7o5OC2SQjgd(N}o0SayxG*Ga> zg#bVerfiN$+Yxx#3J#Pe!I#pM-FyN#y$9|LWC}}JO|^7CwZlgYh*h`gT0_|(Pa0BD zwOLkqVh(v~BKP4jn!Z?LIHG9kOhhZ|7$As|ls{lmSb)l!Pv*&+m`p}x%_sBpO-v@E zvgVWd;Y~~?qq0tu$y)?9RF)$0>N}6o;(bWrQL=8bP+ikglG5w zyl@Na znU(}tO+?E2N%CAjJhdJi@3cv_U{N|}h%okryQr^BE#*p7pllYIy=p=X#g!30qzMD0 z8acqV-9qQI^GmJ7{_rc$6Qc~yPWo_Cm@M+~0-(lMrV5e^;xsC>yC( z;0!JiB4u?5O`i~2no?+{l9UpeN0LWy?g&4xhqvx1IrsPDM|WNudXRfr8)A^3RRdzs zoFL=&)}2I;{Gt(BPba?$#T>QhiaJso8-k59IPw@B_--Mz(sQld9_prP-5TxD_1RJC z9!_dwSKyTH^L$Jrc>~>t7tW60tYN^8hP*EPGyc^;h|<5kySEFB(7_GRvizIE!-Q5u zfq^SEE+}aTKfKi;{IKN^8c7QB8x)Co^JHtum>bYHB_IU@x~-hd4d|N^mx2M^ zR%+%3^i2s*!GIQ*p~#dX;_@1{p$Ew>be+`@Z$D;tZVPK6ZW`X)KytLR`UENCiBE>< z+VcVOr}=2Y`hervpZ?KmYa{I+XEuZ*02KEQ<#*WR{l2u1szm2RdPp8U0Ole>~-eO z-P{{|pQx9l&(G!hM7>z^=ZR-|xfw%ECLR15caWC!bJrJ%cib>eBoefY+DckprbCvr z{M~uUeJW}qY4D=hr-skD_D~B+d#z&aL8)7=LDWRjV7pj@FyQm)6!;zeAM;CjWs z?z2{I8Vvg_7)@l9Bnt|eHc5Y{oP^@u!tX$%2X0nyHhyA(Y`9Ug zEJA!-(j+}B3u8~w_BTJ4+lG_cvFm>N=Eri|NK!JEAKv^}77rq&tGS@f2aC^JPs zdb=YkV9Korc8pG^x(u8@77$x{;bZq=n5>^sxE=nhLe#p|>9@XELv5rdE~7YTJ)V9~ z9@)^VoH%eGk8=rUo=;zT5O3qhX8pcKSNr|s_;PTiRbimo`d6c+TbEkb=zL2Cf{oR> zL`cX9bpp?x8o?j1_`aJj02ntjATN_25;41+{9Fb4jUGf=hc|9Hi^BEZ>7Ufg#5bLp@eQ zEgot(7Zb(zQFXwE0)#4b$Bq`T3shD>vnm90q;)!tlZMv*s`XlW8)V~Uzj54vtNmNk zYW2GVqhs{0tp4a)A5z_G83Xi6@0<1a%K<#x(mNfiqkq*q)`bSPjMnS*M;aEdZNtw8KIbc3o?Un@^t#vaT>b;S5s||aoPc(4YbU@$H>HwYSYTJNM zftRnfVY}5Ipmwe`(>nQ#M%#k%9id@Em!NBI%E*q9Gye;wggeNBt`u@jM#^v_0O2Q3t|_#eM_pWjNA+if`GL@m4wv zWBL;=G~hh6#`=SiHPTzxJ>%~;*|EKV$-FdL2F#WDMZe89VT{N+YvT_AGX{8gbPZ$G z8x8x2vk_9pAIO(z-VQ@8#DMhy#wkq!$S#uK{kU9pcF_D!^u zzQi5V#);WBB(1a0Bop7(2G)O!G+^@~Oy~80aV^TmvhXPNfP=sO-MThSBhzjh2II1w zyQ-VU6}*Hb$$xU$V!9zrUCLi{l%=M_!&f3rw<>Kf0mL!S1uZZYmhHXAH3=qqt-Q&KR_f; zAL)}R93#8#u3IoPT01LDuZ=6vEdw2v9GE0@rHqhpWAu{myY6>DXro!xzV?kalC-e! zkwl^L+629qyhJ|+F2ZxS!~fsjmo>MIWa+;5ui!ZPVQ$2jwq%#PIdsx;IeWhJ+4Zymw@6>O8%XO7vOD7uiC&Gu&EwkxEX52=-bCN&SU7G9K|y*g zPKu9Ce2UK>pPi2eDua_t5*ic!Nt{(kF;FmLbAvlWmNK^jRL%fIK1mnqHnHI04bYZp z512zNF!I~wW!krGreI_Jq>|FcccB=NB=3T^GySe}{vJZJ(Uwrt1E8l%q8Pc*@KuO> zundRb#+rMh0Z5?H8v&69Ez-=B8$jb-_v1pO+w0m;*IPoH>EUEL1)s#y z(2B?%vAP}?=1`0Wgt)QA_n`IyUx{b7hV(DjiJ|>8*=Tkq0R0-V55cw-%870cTNW4` zp=$TiinHcEy{Ia_vA3IDuHZ8{Jt8ZD4vUjS$fkw;5d$rFDv&@-YZeC{{3^A9*vt?W zV37@yOwM($4SguwIDIs)l3H#qNxrG4HVcSeJcg80Ug)d ziRBVE#Tr73NlateEiwin&S3-w`@?@ERu6c<-Rkj^6+aE32+KW{?=Z0sWlVn0;kWyc z(4@c0uf^l+>vkjl^>AP{ph%`!3gX2;MnR8+knG44XTr<5#6LND6@N&cc8YQ)7EP1n z3^Qzy$-}Tqg2i8ChE_gnB3lH~9mkldn{h~)dQtB|!TrXVP=u7k(F&U*OK^*)$juVl zXz@$P<3hG3h*3O|sd<5=*1B-P;Bo-Wozy8s(KUce<&ea@ zZ_q-%-N4In2_H~gclwQKZ|8CKjnB`2jQ{q&VqNx`yQbaxQfu50^$5lUz#{5gL>K2C zG;jp+Q{gi8zdW2t(e;4r=krRthJ(!gVadH?%-npv7 z^dWl&f`^a7ut>V1NUrj)Llr*!&W2>7@uLIS9cbVDy><`MyAHR&@TL!7NV#U#KJgj{ zM=hO}?dvA~Cii9M4Ej)~)#)(@S?BEINA)8qOW}`^pME+!SAP0u@Z4FYL!QX+Ewbc- zPjGiS

    3tNgp_NllKdYf#xGP6X)!W8c;{NX2dHFc_v};^2dP&{Eq?P4JiNvi4RN; zF-4f^!8q0-*#R-{D<4CB1A{}cZ4 zf4JTgASEA)DG&Ia6ndyfZSE7|g9*igOaZK1>fH33)PyAJf^%J2FrmncXpd7Y4p=+vDDL4`AII$m_xuvlB`Gu*H}usKuCwD1@>8haIAn6_s%!bu?qO_s z#5sdsS$zvz%(p}Zt_`W#rE3WCv=P6Jokg%bQYhft!d{bOjv2J-I7DaCp_Q`^s3b)m z<2cK;-B63M`mi?0P*Jylyb}3Wh426iw1v>JW36xeV=w#pgX7EDgC z?Eur+t1vIveTOukvz9^x0Coc1oB+k;CG3J5qFZ)(A({Aftvw=!$cE-a2_{>E%ljIx z2q>ar(U50wd7sOtZSpKMrSK=mdoX3rBg_bw7ZUQh;gtohqi_wu?-&QNu>rvvn5G~3 zRgUpn2UZg~wxI$7VCK~)O@u@#<24M($PKIT7~DYmGTo5_8hJ!aRgXWz)CX|^KzA>Y zo+Lc6jZP0HCd)vD5VPvsh882k#Q-Sne(5!P;8;@ zw8{A=_aB#vy(Y6qu?T6W)Zw^b1p&FJqPOGfNf{#0WCWhLR}W(sWYpBQ7(8~we3acH zLeAcIetO@mU4H!eW8)uR%Ci>-Z2`a5fDYVj?Y0~LD9;8cFzmS(4H$OZci8N8pkJhK zg@x$Ndv}%Wc#9;A=dV1Wu0OdAu~@=AqIfxL#72=9Zs@$+jZ)WtjEz#mozoG?M%(qY z&dHATa)?Neo3nJ=fCnOmXE0_tT{K~tm3`oaAhYT>TE$!0cQ$wz|fxPG8xa+C3#43uu`~)2I!KMxA(ba@RDc2M~z@H zMlt}8{JL2Fh|*YyjP>Pm=8hMN4k58IK>v-)&_6sg{);3%PEV4$_;2#l@n|goF2XxP zxZ2BzK$AeFB{@AWV2tN6Xp}R!@O+!fpnVqXNq%H(=y8c3_|8%}^Uo{=iv7jEoO&xR z7d~5y$IbfUUHAom;0Kk4{|qIO|G~dp0T1|4>@WHfmW!C`;$0xHXy%LHlVIqq_Kp-_ zyb#Z;7GPuFUrs!b*0e!cb{ROBP^%;svJ(q6^bi5}7GoOO1{$JF9#+f6a^ttA_pToS zZnB(Lc}b?KzkHlP$#7>?cHq^4i{>(aXRj!jEJ1GK&Jq6Zp=KGU5pE;mQjSfkM>>0y|`a4Ad^3Sx{?^QV!Lt9N=fV9Elvacw1!Tk>vEcaGS|OWX>rFE_tZB0u3@l(zXuMM^rxjvO+tIh`>mPB;ued zCn%$Zw=N+2IKfm~RHeDTS@~=BUdrL|*d1immR0VLXFtYIK7*k%{*(P1Imwr6m%nCh zEre}A`d8${LTe~f6J-*qyf}$Ag+5B5+fVb`D>}|6Trn8VC1Y6u@@Q*g&8LedDJ<8G3p)0X`io(W->-7K!EpOV! z6-`k5Fc$|)d`bk!x3RY_s1ND(t!ZQpEBD?*g~64DW+!t{lMS!zcorq1mn)tmbiK{` z9v<9R1|6JBo5zAESYl#A&mSDkZq8jmE#FCT>^7bzGrBe?t6%pAnT0#>j}jlAxuQ+M zKdJ2KbRr$V^VtQ%Bq;MXut)AQte~ys#Fup-;rM1Oukrk|wWd zr_Sxu+T}4!d#&MP$`aHe3$B6$|6cQ_h4%2lc9@xNhcjl+jVmH;K5+mvJ0Gy%3VuN0 zVYRD$+=S}6tB(v>bQ#1vGZwG~4^wu5vEqw&yUd+)3i=rduQ&>dDk$9-QJHUxUu)0_0m}_=h3VC!u%rToRqOMP7CW;uAb@? z{3Sy1Jios35HA&m?1|4jypD%scPU;kXd-s;PWhHU=2MAj_7rEKPS~#usR6-{{9#5G z!Dz`2XA!);9s91Z%Rirg`t>h_n!P6V8R2F{6<2WxyQ{ zR!zK+iTxkX{+Z1M&fkALBJh+K9dEIkyA7iKY6kde!^w`Tc}IVq!v>Fu@v_TiF33R# z&J3XCAYs$ZJWxNO0>W$ptddo>RxZ4+WPQwwkB{)L}W|kov{yqF=(xw zca9%EJ&zbr8XNB`10qA@p5#B?@2}Tyi<(dN>U!P5^YL&a6WPx5l+$l}p_OR82$0@g z9pQY!3%c9o$6q?KUHHLuy4JNG=qpY`G=c5h`NFvgWVTkea=-;oTpqBu-$v^z;@3eksG-kJ|s+*4^}98m(QFN%$yrT zOyMDihLn3wB>r28i3_(NcM(uO5^IPIx#LDacRp=I^&xS)VR&I~d_p4hFg2Dd9PDMp zD#l~aa<`xy5o}w77*>DCs0CJ}Vzqf(gE>rGl;d8#n!11StE%u$QAEO1DFIe(FH*!p zsaLd5nLZW0k>ubc%Li&maX&ziW$#K!irGrRuftUs9(if%qv-_CTm8`)M=LHCsQRYa zaibX^Rzp8pvqYiDu!2~?xT+S_*&!AdtD*V#mbLnW50|1}TIi6Cj%L*LjCu{Cqg;v0 zj2R0d2xg|z*w1u5MZUU-d(a^LD3lsdaibwsv@(oQq|I6l{;szC9|z^)uCV_ z$43Pfii=NG36rB%lW!_Id+=j-Grx6LpW%y+e?@LfFz z-_3y?hDq?e*bdFG2RVlMM@^WUdb+{;)i`#?7Y;)&{*`7}wr6LsHKxMB(=)cg@SzY4 z;vwn*M7Wq}slZJsR-YA$`5znCUrUXhf9WqbtBLy;(A7(#l^!4%vNi4|>(#NBYN}a@ zI|_IVFdMC`qQN`=uN_xX|F0q~rT=-cTtd0nxO{czQ%yJ2KX*v+?4-npthy zbb=CUhrCeW_$WV-qyzFFe$krWCWB9!UxI}dTZjms45c)`2t}IDl#QBNR-u?kgd3A* zfJ<>GDkU(9{c6M^{1z!KD_khM)2TZ}0wR*(@4WjBdLW`fVD$klESfGgu!x)!kI%p< zgn=5#))nbf{eevS>c*pasLf!?u*pQI{~-r|ioYv4XF?+KijlRM06NPb7h3=P)T=c> zwp_&Re&OB=hD(ty&6{qmV>Q&R(cqSo7O58372lJhJvDTP;~W7L8&yRjC(C38`XxS8 zQGC}*w6m3(053%l;&6CSoR{q$#c~ATbf;C7yzaee7ZU54E0ML-&D~1q4%euYzovE} zteDhP2(sSYU21ce?X0uRQsI`th$dN`F;#_)NCw5@zt0_9iN(6fm%~|)mG+TBliQwX zTylvBP5IS!f*WkCmYHj>m#gu8G%Vrep4VRKS<+}O$#r|2^+mf` zP#W|Ja(+(5*Q3ClDx>LS(0L2W*MbX0M)J7R!Di8R7x(KZi^Kyd&b)|+GxQFL<5>ioHg!nCLa-%fmG~l_O`=or)#MZYulriV=o^PDv#Im)CRR zvW|lIKL@knZyv4^$R_?d_*kJho?qTb;Bb3pTE(RK^>aD*sZbE%KC;ucGID-j5PvPehS#;_d2lzC%q^OG37Kr=_ zVr;f#tmcNKYiPt2>-nPgP*MV-3np-)v~wbXQynoiQ2uebS_>(`W{hPxs%>Z@Au*d@@2AjR% zPyOyKroX(QoJ(ihqeyM_5iIx1o3l^VGp^WP{MXyJmEnV15n*olx$?M#boMK_@>=@t zv~v4Y8CQ5ShYGD?yqLI^yXC5~esKQ=Re9}AHnZ_cJ`$LEezg*YrO83Ziz&V5t>{gd zg18FxD^RyF#h_)6+QZ87jvh!G?d{uiW3pj&F;YZPFA$5HenZZM&+|IWz%&peTDW_( z?$WqYrt1jdn^1!=X7ojl=jCaC;@&a>#mhCAcCX}CW+YoaPL@-*^(R_g6MKuC(N36F z2IBW0zzwI3<^0xLu+Zl5thShTHZ!nUYP1`H?&V^jv8G;#HI<=QD68Kkxp%sm&!3!$ z=a5;EVK3~if|6FeLa)}XDwa&&gdTChH5$^PND=y}^ys=yiv! z?$Bv9tzmDVoYn>RSgYQec!tAnQ+Ecnj$>OLIi>CNy6qe1(rUMkGpzv42GEzi

    k- zDB9t^ps~UV{oY`h9AC%IeHmE}ZCkzFYkYNvH~o~Ys*Q$#F1TOV7ZR&$4a1{JKb2pR zF5^T=gW4SFnHvZx=ReM7T3vfs>o!`B)l5#%?RBxY2Sb8koM!8?1|tV(haIJjbXwh7 zz1>QgRmbL?2tNj`TGMHc23Gfr^KD=aTTZ9fOhLyc7>d)V4Rq|LjmfZm4Tk-_e)80G z?4ND@8?fNOtbQ6Ng8$)QtYA}I*V?0${gRCu51xb$h0rXyO9z3#3#wU*yJfXm8$x0UcH3!MDFTByJo{mPfRrh}w7Te_3S<*=9}l=-rmNO($D8GCaG_aMnR<>ur~8EBnCD<02g z%OBRlenNuEyu(6V;nahry>3lCM1*p4lsAM+TB8DWrAH~aCAyFfHt~!6NSgD73=!wn zxctO^lCdYrDj2z#gfG4I_<;L5c5*%_gfAgj? zVlb^upMW4jaOGS7xq2Q^7tT=tkO-={JoiJW>1I6Z!?ab`|MJL!(_GWLyGvk`W?;m| zAC<@jb8+-SmGl)Bp>%DCMp6dsSn%SPjcx>s2lo9RCO|JCI=jJr4(DOpS#oH3Rv+9y z6$w|2xt#(J-9>+3(XqirVD6Da>Iy$+E zny|`>fpG$LhWwz-4W;F?{D?TBZ9kth1HBk@r@%TW*)>u}$y+EGpHJLE#X-&TDd*6% zv2uU~PEMSmx0pQ%5S zDPWo`AD^BX6m1L|=VL!GM+o?Iom>VYP3kCak5ilug{4tOULnpbNVRm%8+S4hHr=!k z91~`^)~9+RoN3$CFei%f36%Y+YNpF17pDdIRiN$#=VvQfoF5Dr!6IqN#FLsWq?Z>b8k%hRa^%eT79c*tjq5^sP2sN%n?U zt$|poWA*8k?v3;7WgibWTDYugx2{`lr(VN7dac{-4QoS-?rJTYUCq){Y%C07kNR|@ zk0F{i4TS$Y_LX%x#Bb~(9uGH1oxW4EJGjTGJ9TSlJD0tI)9-z24e&+_*NJ$DU;8@Y z+IyI~*1oYV+o7BN%T{eT0+`{WeZ*(Bi1gC(zCtsy}>vg!2O zY=c|PFSvzkwQDz+{j%R@d*5yiTbKt+ZnSIw*K`KG5e9bpSalyKLURQ-Yt05iKAgsA zFrbK&Z?!>}=A@0Y5QH+Ibu`+5lfG?QSPEXgstuZrUZ2LHyR);OX}8&REo~cpg{hSz zz3264X}0``enOjUj@&Ix0?SN0l9Er>R`qF5w7cd!7j8gY`=#^0aP|%fPFnI z^}00}0uvj(0Y9er6ATN2>5?Oey$;cg4bVn#j6giG!eOryY$<~8bGz%dZw7wA0vj>6RA}m83GS0K* z{0qCr7z0A2`m~>zy8A^gZqT!dA$<)d7J~zma8_b;xlF!a&0632*RTdOAK;OzzQkH~ zQ_6O!3k<&g)w!~5D>iNun{nApeA}|EFL;SK$-fnL#n;ko;m8qdLg+leUnJQf=X=cJ%sd=Mgd!p_dciL%7vYCT^m?6FsZEZ zFKb=EjYDk#S;47d>$|K01Ctac*lMfMi$9N5O2j4V8??YA5`)Gbk_+dE1~y6?3+7~j zKBJo^K!aN0{MNXtb-~Hy%i<@y)^OURA;l6A8bdfhnn705$;KWXQRD|AK_DZA?dXc-NWn7%SG$MBB%c)^2+E~BxB`F4eDF)# z8aA$o{{To8K0c=uI98nQt{T{yS~Jc}udFYSE&Ucqjsh$>r3{I=NqG7Cu6k`SZ93K3 zb=~#!Sl^c}p+KnhBpzyl7QkiY zN_t$CU@w6Vpp^VO4KKjkn99MP8&Q-PBuNi^1Ocss5mS@cLX(g6ub_S5AR`aio!WqC zPH2DuD13YV#dV?R(iuy)aiUkFaP#=y14}UjlQ+?KP>6fb>koQRm28|8AD#FVpFci3 z9}QFnn?Mp86aGn@RY);VFk^FrJ42QZ2crh5oB@b@k}lM3V!^{3pe@rLFo#%Rm3k;4>wR>sB zS#zIWR2ARY+s!Ul@R^(*krhFQ#YrM$)589UffhU!h$*HuivtgSmD)gTW(qs+w;Cjw zoa07OD>))E(eHhbpxZe8Y&X*{YAez;cunJb-Ab!$JcEwn*Pf!D~Rr^>$*p z#7(h=&|(tPST+KiN%)fy80-)Kkyt(80e7p%PgeXigd!~WRKCN+K9n)}J%``!Lqe1O zD!&$wv#;BY_}9aM)qogPn-!a=Mw)!l&{#d^mgR;m~ti-op>xd z%&%s+N1CkCD&ImLleJ!;Xf{~GJ&nPpg`138kwp!nsgBrxvO{d<2ni*O1bpmUzEl@q9bn>N1%=-o{ z}2pSf$=tuM944N;F^OaLsR&P8-_?m+`b zAU_Ds6AA)33`jHxuTiVX1^`!N^xX@XPhdUM_~K{Ua^YaIY#Yu~c%g9SV7`RHBpXTh z5RM-j7rp>w3c%Szt{!|6VhyG;|147}xK+l#i};JkiAAU$%*uo~IgErakiTLLS+efs!O{Yc7E_+#X!pU%#epZ*yPXj&c*P;lBrIP3c)v$_Al@4Q-jD+Dh4{ea5L1Mi z(8aN`B(s?Jm5-sm0%t^uoMxEJA0EPo7*5#l*+VQHNc5F$JFyn0^&9Dk3VPC09Y~)o zPYrsIdYuk9j6fy`Gx?32@~ol=cAH~`J*q?7b3hqVw){$K!hXSt(Q5blWTjyBztS2K z-_kj;jRKEg>M{eCP#TXm;9>}!R9yLmUJMrxxh{#epwABLmmpVa?rT8?;x%$n33Iw% zgQ<(TgI}3z3`uO1dQX6q zd?=uR8 zdoXMquy)u{+{3Rvj@u3H`6Z-FQfM%4=&L1MXU8Grr%=DKK62`+Yx&adVQhKCIfGwW zePlY4*9)sqfonr*cIg^|JZ;2pV`mX8j}!{{wm6%j{bOE*;Af&U>Cnnq2ULcHAadAR-6jrh`*AQt?9{rXGca65&K(qAZW*fMqNz9bY1p}jGlMt< zxuD@KAwf<$3WHw$8VG3a`X%w6^%Hm+N3Z+`>LX%0dCxGXfU?5)8fc<6PK6#B)Vg+~ z1=Drld;kuT%!qycDf}8cM3+9-j36%I>c&F&cjPVy62^~L{!IS9n$zw=KgH<$73K^Q z9_)lKO+2K!>ie*N$Wb#A_lNL(x8?j`_wrAx(PvA64JTx)oUv`e;SP6ZeL*~+{D5kA#Z~23+iI%N)Qpwod4W~VheSrP0l~L|F~4_HJLq% zMMyiP4#x#c>*Jz|-j1s$WeQjj_v-tEEUY|KPen8HH7g3}uv&U*=t63k?%7YN(DRUt zUGv@wM{8`4LP-N=d)ig`p`jeOf=82)1XrS?34z&uH06foe&ac)_vWySOBO_>Qci)O zAB2>l*_$^hGc|d>gX&FFihWg~+au<^Bsl0Hh>ztmvn*y6rtK$|8oZa8ob}8Ai&Sp6 z?cR?kPqsH#Vcp~W1f$-Szw=$#Jt`iO$6eixQJ0ZYM}B#O7My3YJ2Wf7=OB3T_gB*! zI-bVuqV(Hpfe7uVCW*CZ1-Rtt$wsA8ng#*Ex$&fViRod}ayh0FQOc%E=BCFZMN!pO zR>Tyi__)5aCl4-TdtpXoWox{sgimFaB?B!P1zQ}fQTJ=N_pPfLx+LlCeQp`dL_m02 zd^`Xw7U|5mhnfQ^i)RZ&mmgUMPrOz%>jX?3iPdcd3VJJaQb&=D&$*R!k!ZwpYw;GV z>c)oJTHmd55$A+{zz0S3Hh+d52(N|{#tOVu5*6Mi%f+2{zY%3hJfHR4Q%ZwKUJ=bE zd>0!xUd?U$Jjj$;uAZ9icWzkFo z?u`Fr|3=XCwBlYX<@x?{;-M+rl;u1}pvp1-Bqfp!qM5H8pv)v#Z2;WBP3n9u_xApz16_fZc3~J(;y#-^L z_2p(U9j`psry3X$ggq?-)rq(S-vofI|6(E$HV1VHS@V;nrgNSw6!{SAD^8^ zXyklo@I(FN`!2Y|;(ma-a6@WrJ7(V3C?8BW2=?)#h=V>lTaNbZZ0ujr;UFAGiRYoJm5!JY|yAutTs1xN!(^f zf;x)EFCpYV5x^9TmlDL3Y-o?mQ+Kh~+$mFw!HP}%;63{83}GbNW>-cmv;@X0bj>aX zD>N}#47^u+YRp=GUs4LcPaJwUVxa}F#pbLaH)<|*0m_JlR&e{YcDZcZ=KwyYY=Jsr z$`UT#F*gUrF0&3z!6y*|%P-nxEHnG`x?`CUdG~swF8rs(a7<48*W0(1QuWYopjHnRo9H%B4m&^r*{x1tP5U-s7KKuH z#hBz28fIWUDZ4$YZHv_LT39BdX;b3?HXD*oF+L4&59oa~^EprWriYH!eN zC<{ULK9X~&S0(#`!*a?;nR|wyT`jvNH;jsB_tHT5sru<$O*P&Zo**rp7Xn3^O&pnZ z?3#@_S*T!*O72-LVYL9mr>WPvUo)rCKsS7r1}c7Kw6{IeK{tFBb}CuZe9{IC${(Uq zK=*43VM56d)U17xjKe~cpx9xb*VajyN*|`7>RsmHe9!?9>Vu+&3!P4qV6>b*%5k&m z7_5Lpatr17p1Osqq^K*?8zg7a0S$^AQEl;-Qy*B=JHT$&u2Whyzj>qKXi%I3 z`7LoK_ES{{vqZJDK?*b0fl(-E+ejJuT#q=x=$9$G@(*pJ_mf+$ZRy`qu=4EVVPba9 z^3`5{D|^)aV>%HpMW|1Z6zNLNaxk8yA3C3T`2+phlX&?9S*bhonIiC#7dkneJb|63 zT)Y1I)`bIw8q2Q%N_9Sdtg^(|ICq;rIr16y`;G!TeI~w7c~dPH(~SqC_3-J`^}{2U z+{N(>0TE+iJ_=Z!`Et6M?S7Ui;D+-v)NwIcRkMXxbXL^I95__|F}Vq>#0E2p_3n8H z^Dz|Yf`A`GtKMm9&8qfJb00x9-f8~5_2mF{g#Uh6Yw*|tUbSMn+@S5C>R{u%BC3w% zI&X`#Sx;Uak|QQrgJWBntRZx;BFm4dHYHmBWufhl>3t_=j0I0;9ij#C_*agY1S_*;h><_W`^o* z%LVnM7YWJyG?Ia<0;L2G48YIwN&MES zJfJLp6cn7Qv$izLpR+;`F0%HJ`2h;yA?P-%UB=3y

    +qL0=3YK?!P5bC8a$jY@`a zm8*n~f>ml|m18K9+SX1o9~a|=DLm>%FLnrAR2=sVWUfsUdZi_j$4{(=X*&~EnQ#!3 z9_dKMD`&lbzdL2Y=A04mmKQq^@e1yIz&{!QobdSpgl2*DX zyQq@ecI7VBn|M0h4Pj@|+aum#+QJ=}+yeG}fj#iO|3pAfM~YAXID7x|&!5uOJ$~+L z%wQ1{LmO_3VDVxSED|XZp4T&ja0_L-;QCXIhG$-WGGdeS$?as6Ql7RfrmHl^Ur&{5 z85nMgTrW}Q1{ougM|ovT8^n$PHeiQgWVLlb}z?sFILhl zhl$8zOW`6K4vsTbS!s!f!lz!0Y(KXQUh*sjzBGarXq8_V2p8ZAlz=HbwfZuP+Qdpy zh%G74PETtAa2as5qb8Ze#Z>h<#Kz}7VG3f7OtO#qtH*CuCS`%;4O82(q? zrs43^CRI}m5pZugrjc)$%qAn_j;eDVfUAsIGXAnJv*gT4TS9U$+}7kG)6jv%+qt;F znoixR|D%djDbvtF*fvpr-r-#&Ohbovs{a}KpRn+B8hpaHWg0qsPV+#9TFrB))$!;( zaaOz4eKIW_xaWnN*V4PPx00%r_6{yCP+ko@v@QmFcXTK(bf%$0!n3LQuyoRyY3N`Y zI=HhcuRv9O@dD8_blBQmCSr<&O5EO3{{VA8uEZU=mxBN?-Ehzd2CEEZnmM?irJzc= zWY`4+4OUGdBLHqe03xTJ-4;eKH`|`vgy)TvLhW< zF$2Z)bJ*ch>{Of~8S0-1_{{7BZo+g~He#_*)&tB&cKLH)Lx=x3be*kKQG!8LEa;Om zY<^G~2>~n%TM~EU1xqxK|Kr@c&R!8zC?1fQJglluW2S^rC5uF31rxQ9R$=I&Oef~2 zTz}anqUh}VHa0jzX^X@Hc5Q3`=vUMI_CF1NvPX8=`5!BNb2HEjPyU~=le6*pJuOmq zohKzh`72WC~l&{)q#`Q8r+E#h#QGFrynOA=A=PCd~q! zUWx;dk>|q5+M7X`%ud-b|MBybqb*Dt6*Lm^nRwC)r9e}-Vp281?6>2+xN@3qwCmI; zbCxNbw?M7|@X7BehZq!9Rh6<6%>A5zPyfh(yY4|Pzjznw=G{?0VwUKjs;8 z=kK`=Y+F4mw*APqLGnRQQ-X80J*xR6Tf_*3!2coDA5qVjn|RKnw~Q-6l}E(j{PG5G zkZy5Ny8bV9Yv?rEt=hoqesNk<)LwSdP*5!;Z(-jS3N#-Y0$7(fG+}Qv?2k~?z4Zl! z^;?7EOxdXQhoeDC9b(n*wl6%n)poT^&~t_@d+5~BqUQ?DAn2fuvro-|b=~SZHd;1Z z*V>~aZ5YF`;Y~kf_-?O@Z-XfGeUAh!dVWpr&`+qNL;q=*;0isHtZs4&Fq?xHPh*2B zl7s77IC@wdXK-uqt8>(Ih5gnV9tAb0Yaa<}bFgZUm3a>I8o~I$RQ@S=bb~`ghqlx1JRubD!HdVkB#x;z`f@lf-M!w66RQ;ayu!v z3qiVD(ikKBO;$JARz4gsq28@`_XMDzUcG#PCgaETX64cW9r0-R_N!NN+D|4hE>tkW z0v`z%l;B^Yi(??1aznC;R1DLFX)aD=h;}2pfrBngObOZ%o>P#HEH49LsKRu*>ae;_ z##{Zd`@ytZ*R8g~J&WPlDb9t-l56sOsmGFDVNaDU<$=KW=FR00y>#l-OXr(M5=VvQ z<)IiswDKT`U-Y>!El53xXq2Mhz!9aW7*d@;oWu$%afKzFC{oO)gTas*kP@eouCT;v zY#z51smOeG9^mm>uRQf=_nXMn9@R@^ z+~2)PqzI=eXSu+*jq3qxBE$0D(~GG(JACL`sEF3G0J-& zrb#)kx(uTGCt_(9iC*Ez5&0K>QR{jJ(&Z3UuMZ(&J1=Gw_Cy8 z7_$*77b5U3CDt(*E+xt{8UbeN__F))^4IZ=-q{>pQa4#>MhO*=0oA%EeFb%VyR{*L z$VzMziS##9#`kligIK7|Jcl|RH-f|=nfkr2#K&@A0#&Is?+~_uso(qgXU(i%qlv_e z+fHjprgnY5cXx-XC=vQ%_c`zbK2+=8y|q}o_bvhinW;}cVkz}CLnxJXv1IsaWkSdapX5PeG3wC5bj#Ij}ZD&Ri6C_mP#7fnRv0_e9jr{h= z^?UhB)o5F=O>r_BpX_?STamQDqRv&%F51X-opK{ z8b8)ID}NoWT6tQaG_t;f>-X*>oWwyNov%lM`(_IG3a>f8;8HUgVfaWrVsEuVlCKWU z$rSK)_bT9fB85qoyfyWE4<{Rq%zWjV^1Y^fuVm!hpCyIti8w=vs`s7>VpF^~=|7da zKv`9JR;SPu?`0lu$uCNw__2ebQp5$T>F;BHoQN@)$lR2Rm18i512iah@pEA9UVhgU z2^|T;lyc*i(rR{zYw?2B>14stjM?++W_?JTKOAw7z$uwN;Dm)hgX9TQLv&o7jTiUT zL;(ls|I&QvcmP=>Vl{%^ynEe$mlrU@qhAP*7A1(4)$SCD?=2i&^D`&~1cz6#0_&93 z&%;c)LB-hO0p$g06rNhY#33t0-3&0QtfOJc- z-{h6PG!B#-gmge+wL-qMx~&0%l6zf;7!Bd{;toX@kju~5;k!VeVIPA}f)6K^0F#Do z(y*Vi8G*E;Od59N#!?Z1WxKFU@{r=$<)%@SIAtjmxcHEtNJMA}`_hrni`F2D22$LJ zNU#j)=2H!XK>C95IcXZO*@_fVVeFFe zmwml1oH^~)ST3!nLonPPOcVa2HC}W4+4y_V*GhDB5Z^_eD@-J@Rt_($u@%^*cfXjs zi?xud+p>E*?tHm=YPwX5Dk9r5urls_s9fwkWimwcL=$h%zLhp;aC4mmH*PX;@4*QDTncc(kt*yzMQlY*O~UhKox#i3}wV~bk@CIvSw2uS+zObV_< zz*D)rD7^jo@_sZb?Is0RG>Ryf9&1u?U#|NUwc<99FF)@LQJiQnwVP8PP|J5x6pY5x zWaj$ibzrPb3a&}PMU%RL>0P?BU@I4tOQuHGh-imM5KGbYvXscsV7RS;P8aVGP_mg(n!^SEOXM^_32;|3 zNHm&LCVq;BJ5_ih8UKwyW1&w?*PpDsTi36QE6Az1pScx2OSRHr^WwD?@``Z7g-2HI z-_{RqW##^{L9UKFtw5n#<1N2(w_ITau|SXAHcZ@VwTRFbTx_>ihSq!(?9w zvbJB}*v_bH4RPTY$Dz5ZL+`u%LCbCpo!)5JAEj-QhV8ezwZ47TOBr3q77eY&SDR?S zv0I2>i|-L~Hgym)C5B>;oc^e7w~n)0g;GNqLp@?@C>#HfGbbqM43a0IWoRZJkU~q5 z`)}`IWDPN-CQW#NKU$fQD_Q{0%uP%>(JTSn(( zxuNhA+xt(Xt1)g{6~!=3!sY6Or-b0n`_rBF-IceDB-JLpOahA19>rqsY3zS%9eWTrLe07jp4{bl5 zRv$h(Ufq9YX?F4v`huBBfqBS22A_nH`eVGFJWQAO?5x#|NtI8k0d~3#*(PC`megk~ zM1^2GZ@(P1+sEO9Rh*Gm8kW7k41&E&sa@ zO%=GM9!VA)Waw5^Q@1oH!SW#;;e>Qp?JE_aRObAlj2tZK{x7Pkrj%qTi+*(PE|5&P zcH8d#c=BX>bMHYjjb zRe*|RGf8AuwB5aPB$GVE6m~gE1hlY6b(|SvBm?lsuZ!i6l$L9(3~POv)hZxv8HX5| zR9;VykO6RIuE$|Kbgz=iB$TET^^xB6RP zc(YqtT*cxN33qIjNNTF8Etnx|GE4;)R zCgdycCJ_|>i70tTsU_4!;oNJu_q9qV$vt&w^TH8jN(p6E{V0P~A`VHp6&8j`9?rh_ z$_YG5gw;*xjQ?c+MhG_Pj+3li5{ORqLXN40=u9c0^$M}8inb+>PH16T`K3l}{?=Qw zTZpIm?Q#~u#;D_7g7dRx)~^$kl?S70TH`XYcXx+?;s`|SmUTW}KUC}9y#>$my}L>| zG0hO_q%&SE$saB|V}uDrtF9=l)07fomHf+EDmAXf-BPI}7JK&>6Nsji&{k#?F+ZNy zsSroohvjTa)=Y%Qrb~?yLxBamtC@>Bzf2+XBhb8Djm+~Q<64WUH;&$Dykv+jXJh~2 z)try&UU@Y@C7n301T<4hC`D7=hph{BSXOe;)~+KiolGeqQ%VRq6qM#KQ0{pnLrf_l z3hIVQCXb;sr?Nx;@Q8a}11K83AEuPh%T;YnDWL`2kSPj=DJ8^trqq@=zmT7zx|2>n z;}3T>t5a!bcS_t*)M$7msywS$^L$nPw4T$-Tf1~Zrj$^*q_GK-O5x@b^L5^09-u+7 zASoVFq%3x)C@FD|9SO!MSjh(|Fo{0#!Eh;+e3E5^7$2LC*W-PrKl_w2zcON>6&zGa zDRl+mh=mp~dGH>6cjit|pdcs>0#4wq@Rhe*e6|*k8~hf2!DS}jd1&*ABTz>yv;?+i z`U2&G;Zi2Qc*mU8)E$H+$OfN8w5_-LLq^K6pH`d4wd1=BSX^%^(E?7)7JG^P>f&ym zFv3{e`;L*9$vUG&w3P!dM_Jl#)i1I67w$tzBCRE}*Bf5Kf~O-Vo0B>%V-}7Pf}s;U z(F1AVPF(n_R#}k?EDyyxRoKD-f;CQ~LDYhTFmiwglBVWp4UJ%f9ov%!H+Dg)fE#vr z4A^y*&UZzOJ512veI8qu98OVIB!+i;-7?2y8f`e`%p?aXlQtaSp|akc6k_0fh9;I@ zRx?c%V`FVqgVdUqh$mTa#gEiHQV4%}v+$Pwxq~$5^D61e&YVR~z5Czw_}=s zDqvsm-oEtm@7`ki%NzdN8~P%uKhZbng0)&_O~BrP>h;T;yqQw#oQzip%0tdJ^jCzZ zFJa<8afX?8p(E;8MKqH<7kiPKAW0sWUj1cTNs!yI?+UF<1z<}Uo`C56pQw27@$9`D zi8w3^XjAuYj0zMXlU4ytI-&(k36d`lIMmMhay<8BgLPB-0JT!Mm6UT~bxdkv?1k6O z{Twne6jma(BfbY4x?rC}L}c2+XNA>?H{wswtKd&!pnyqTybHe+kHp_hFiiGN{3(ZIinHo)YWu#1CnDJI-JT=VNFk{1vkIalEZz{lm1NNw&1uqwrK|xN7{bRlF$%3wXpKU9 zWQ7*|=$Y87|3g1_`D}c2!*I7U+fa->6zGWtJQL>&X@k6*EmMVS7La>dbhA%3iwhhW14C_ z6ohzi@{@@_D1U9clg1xJIMWH4ueb0KUc+jf%Zip^uAIKa;p8+dqKwtG%$d)y=Pm5n zyT8V{po5v-<=m{4jA&`U}SB~ z_oq_Y_=lE#|47Md#(iPZCn&SwFJ1U7!c+>@a8P(zA>Ej9rPH!o-s4O(;t06nZdt;S zt*NRb9+TmIFbtQq4WK4#C$iv8K{Hd(>|_PaB3z{)!&P}tc{r{t&C{rLP_+y?uISnU zx3P;hFN=G}Uv5?t_gw_!>eO2>{;8I0aDsQ(PnnI7icT3a=;Yj~s6zcL8zaK1<60?TXQ)GHWPcM+3(lD+dSrJ|q zl0y;XDL_L>nFs7XKr%(-V3mlS&$@6rh;HG&exR zD}qkW-*HdVWTr}!$!s~{pEJ!GWrz^(!?6sazf44DXi*TyC+CHPK~YyKQ1CF8AE0y^ zO;tmj=2m?8c{d!-8QdVfL3+_SHVsP(uyux%ny4TmwzQ#t+NcKa4c-g9kD$ki5k6vd zXhZn4Q4PWygck@OL5~w7yc9CQZ3Yt`JjGt|4IQfKqz-RLz>q*p*O7QqlnOYaYSwnR zx8L&}m=n&*Uu&QPDbo@|8yYY)5Yu~#-Wr4mdI0_tivRQ;n$GX<{&zjTcQ)hInm+A@ z^Wi9=BPTkX!Sff0=locUx^l?(!Y;3qSHWsPa)1|wc>E#$oDeJDg?&i%Aa`s$gWU$Z zm($J6{Tw33Dbbs^575H4!=VgP8>Hq)U9=WF5KxaQLbckpV6z;^;IhGGj?2Z$ZfnD2 z)Wz0<$YLCW#|DpuqEV#6u{JcO3dCCQSPoL9=Cq^{5ZE&08 zwu&03vCuOF8n2-xGRh&n`Y9-Tf z$OB3AgCWrq)krlYx}8Le#s(ioo}s6dXZ)qv%ITS_tXXuvi5wq1*GN`pNhM@sV3ym! z}1|84DR1oR_RWpvmF#-_=pE8%Ce`+L=lz9x1`~`fhdlN}vvrIuv4>)UzNTgYE|1Bj_F=$a8RYo@k&IBzUkcKMH!Tck=^KRir4vI5 zu}2g_*6@Z73>~O+5XY0Eq;N6RAS0Zy7s(FD_6P|s+9Lf}AEPFO(2x9?KO38Vo8aIXGe)1>FBBqpC4LKQdawltV zx#%xZ#fG5+LYhUwSdA3O$6w8)`7rkWCGzNx0ZT3qjoMQAi;e-B5y|gltMUNsR6m zLI_hok3tFI@P-r&DP)tvY4S|B&_YW7&{2pYWgbHvhB~sTLq(Y9x2)M}M62^7x@MEp z7$Px5vV}+rw?#j)dsdM&Mg6GU<=*G}ZHr=El z&hwK`BxCHDf+lSuLokM5vI!=FG0#sa@tiVFEIXz)7L_ttlNpLJ6q8LcajYpyG)2=M zA7`6;Z^3ZTTlnkoV&XQI8>G5mfan*n;x88Cx%*im;){3e8~TYI;$%6mLYYJ(?W((2 zd+R6G`uT1=as8^*nz?g)+n9}gKWq(t;csrYzsoajkmM#FrWvQ6|2P{zbrWk+f1n3N zR`Tbw>U%VLbyq&}c>wXny8!r$;IGO>6za2oX6^$|JMg{5@2A^_&6>Kr$KtWGx1}*# zPJXXgi^t9S;$7ype5z8Ld2Rc`9HLIC_hsOsj{Z^hMbmOfZWO0@jo50>_jk-B@Ch{cB*wbyza=MuTW3%LX+R`#nWgqdr zbyIi(ZRs{dF6%U#AsvKBdo0aPFx>_WgU;+El9$5!aj;JyA4PFqIPxW zw)~~d>ax+zj`HVOIEp}+a`Rg5i8%_dJsswJ3{SM3I>$K5&o}X z?Mr1;8w_)BW*@~RTczXvez_x$-m)|V)wg<;|L%`hY zur!;}^_G6cvMT-661Ot`GS5`hyC)%YS-BI}!-d1?Hf2Y79kQ1}u+$SVn7_Kz&Za3M zCTFto*UPy>mvpNQtIX$=-1pF6E5>D0uwo(QHFN0fjf-;V=#BE}NN|g(*;~AAJa~^4 z;iLKQL9J6+t}26G=j2>l2=|5i!(p+*4lWJWt0kn!2|3o5?XsJ+LAWUh7Iq^36h$Vr zB1}m%Ao~d_^t@s^KQdPA6kD zpos;Kr^&un63)-4KUjTH>)vGBTY}RBF#%=Vq%Z{FXdARG$fi`=!hJbM+ly>u3H0Yu zZAYjoA8kuM{3Fwo!?fj6?FV;g9l94Q_i?#eUyGQmGZ + 2000c: 00bffd16 blt zero,r2,20004 <_gp+0xfffe3198> * Jump to the _start entry point in the .text section if reset code * is allowed or if optimizing for RTL simulation. */ @@ -811,13 +822,13 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_irq[i].handler(alt_irq[i].context); 2013c: 008000f4 movhi r2,3 - 20140: 109a2104 addi r2,r2,26756 + 20140: 109c6e04 addi r2,r2,29112 20144: e0fffd17 ldw r3,-12(fp) 20148: 180690fa slli r3,r3,3 2014c: 10c5883a add r2,r2,r3 20150: 10c00017 ldw r3,0(r2) 20154: 008000f4 movhi r2,3 - 20158: 109a2104 addi r2,r2,26756 + 20158: 109c6e04 addi r2,r2,29112 2015c: e13ffd17 ldw r4,-12(fp) 20160: 200890fa slli r4,r4,3 20164: 1105883a add r2,r2,r4 @@ -853,14 +864,14 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) 201a0: e0bffd15 stw r2,-12(fp) } while (1); - 201a4: 003fe106 br 2012c <_gp+0xfffe3bf4> + 201a4: 003fe106 br 2012c <_gp+0xfffe32c0> active = alt_irq_pending (); 201a8: e0bffb15 stw r2,-20(fp) } while (active); 201ac: e0bffb17 ldw r2,-20(fp) - 201b0: 103fdb1e bne r2,zero,20120 <_gp+0xfffe3be8> + 201b0: 103fdb1e bne r2,zero,20120 <_gp+0xfffe32b4> /* * Notify the operating system that interrupt processing is complete. @@ -970,7 +981,7 @@ Disassembly of section .text: 2024c: 10bff804 addi r2,r2,-32 #endif bgt r2, zero, 0b - 20250: 00bffd16 blt zero,r2,20248 <_gp+0xfffe3d10> + 20250: 00bffd16 blt zero,r2,20248 <_gp+0xfffe33dc> /* * Now that the caches are initialized, set up the stack pointer and global pointer. @@ -983,7 +994,7 @@ Disassembly of section .text: movhi gp, %hi(_gp) 2025c: 068000f4 movhi gp,3 ori gp, gp, %lo(_gp) - 20260: d6b14e14 ori gp,gp,50488 + 20260: d6b39b14 ori gp,gp,52844 */ #ifndef ALT_SIM_OPTIMIZE /* Log that the BSS is about to be cleared. */ @@ -992,12 +1003,12 @@ Disassembly of section .text: movhi r2, %hi(__bss_start) 20264: 008000f4 movhi r2,3 ori r2, r2, %lo(__bss_start) - 20268: 109a0914 ori r2,r2,26660 + 20268: 109c5614 ori r2,r2,29016 movhi r3, %hi(__bss_end) 2026c: 00c000f4 movhi r3,3 ori r3, r3, %lo(__bss_end) - 20270: 18da6114 ori r3,r3,27012 + 20270: 18dcae14 ori r3,r3,29368 beq r2, r3, 1f 20274: 10c00326 beq r2,r3,20284 <_start+0x40> @@ -1008,28 +1019,28 @@ Disassembly of section .text: addi r2, r2, 4 2027c: 10800104 addi r2,r2,4 bltu r2, r3, 0b - 20280: 10fffd36 bltu r2,r3,20278 <_gp+0xfffe3d40> + 20280: 10fffd36 bltu r2,r3,20278 <_gp+0xfffe340c> * section aren't defined until alt_load() has been called). */ mov et, zero #endif call alt_load - 20284: 002f35c0 call 2f35c + 20284: 002fc800 call 2fc80 /* Log that alt_main is about to be called. */ ALT_LOG_PUTS(alt_log_msg_alt_main) /* Call the C entry point. It should never return. */ call alt_main - 20288: 002f5040 call 2f504 + 20288: 002fe280 call 2fe28 0002028c : /* Wait in infinite loop in case alt_main does return. */ alt_after_alt_main: br alt_after_alt_main - 2028c: 003fff06 br 2028c <_gp+0xfffe3d54> + 2028c: 003fff06 br 2028c <_gp+0xfffe3420> 00020290 : #define SLEEP_TIME 250 //us @@ -1163,7 +1174,7 @@ bool oc_i2c_init_ex(alt_32 i2c_base, alt_32 ref_clk, alt_32 i2c_clk) 203c8: 10800164 muli r2,r2,5 203cc: 100b883a mov r5,r2 203d0: e13ffe17 ldw r4,-8(fp) - 203d4: 00224e40 call 224e4 <__divsi3> + 203d4: 00229280 call 22928 <__divsi3> 203d8: 10bfffc4 addi r2,r2,-1 203dc: e0bffa15 stw r2,-24(fp) prescale_low = prescale & 0xFF; @@ -1258,8 +1269,8 @@ bool oc_i2c_init_ex(alt_32 i2c_base, alt_32 ref_clk, alt_32 i2c_clk) else printf("\nI2C core is not enabled successfully! \r\n"); 204b0: 010000f4 movhi r4,3 - 204b4: 21068704 addi r4,r4,6684 - 204b8: 00231400 call 23140 + 204b4: 2108d004 addi r4,r4,9024 + 204b8: 002367c0 call 2367c return bSuccess; 204bc: e0bff817 ldw r2,-32(fp) @@ -1315,8 +1326,8 @@ bool oc_i2c_uninit(alt_32 i2c_base) else printf("\I2C core is failed to disable! \r\n"); 20534: 010000f4 movhi r4,3 - 20538: 21069204 addi r4,r4,6728 - 2053c: 00231400 call 23140 + 20538: 2108db04 addi r4,r4,9068 + 2053c: 002367c0 call 2367c return bSuccess; 20540: e0bffc17 ldw r2,-16(fp) @@ -1347,7 +1358,7 @@ bool ACK_single_check(alt_32 i2c_base) usleep(ACK_SLEEP_TIME); 20574: 01003e84 movi r4,250 - 20578: 002f9cc0 call 2f9cc + 20578: 00302f00 call 302f0 read_data =IORD(i2c_base, 4); 2057c: e0bfff17 ldw r2,-4(fp) 20580: 10800404 addi r2,r2,16 @@ -1364,7 +1375,7 @@ bool ACK_single_check(alt_32 i2c_base) 205a0: 10000a26 beq r2,zero,205cc usleep(ACK_SLEEP_TIME); 205a4: 01003e84 movi r4,250 - 205a8: 002f9cc0 call 2f9cc + 205a8: 00302f00 call 302f0 read_data =IORD(i2c_base, 4); 205ac: e0bfff17 ldw r2,-4(fp) 205b0: 10800404 addi r2,r2,16 @@ -1418,7 +1429,7 @@ bool ACK_check(alt_32 i2c_base){ 2061c: 10c00044 addi r3,r2,1 20620: e0fffe15 stw r3,-8(fp) 20624: 10800290 cmplti r2,r2,10 - 20628: 103ff61e bne r2,zero,20604 <_gp+0xfffe40cc> + 20628: 103ff61e bne r2,zero,20604 <_gp+0xfffe3798> bSuccess = ACK_single_check(i2c_base); } @@ -1458,8 +1469,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 20680: 1000051e bne r2,zero,20698 printf("OC_I2C_Write error[0]\r\n"); 20684: 010000f4 movhi r4,3 - 20688: 21069b04 addi r4,r4,6764 - 2068c: 00231400 call 23140 + 20688: 2108e404 addi r4,r4,9104 + 2068c: 002367c0 call 2367c return FALSE; 20690: 0005883a mov r2,zero 20694: 00006606 br 20830 @@ -1473,8 +1484,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 206a8: 1000051e bne r2,zero,206c0 printf("OC_I2C_Write error[1]\r\n"); 206ac: 010000f4 movhi r4,3 - 206b0: 2106a104 addi r4,r4,6788 - 206b4: 00231400 call 23140 + 206b0: 2108ea04 addi r4,r4,9128 + 206b4: 002367c0 call 2367c return FALSE; 206b8: 0005883a mov r2,zero 206bc: 00005c06 br 20830 @@ -1487,8 +1498,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 206c8: 1000051e bne r2,zero,206e0 printf("OC_I2C_Write error[2]\r\n"); 206cc: 010000f4 movhi r4,3 - 206d0: 2106a704 addi r4,r4,6812 - 206d4: 00231400 call 23140 + 206d0: 2108f004 addi r4,r4,9152 + 206d4: 002367c0 call 2367c return FALSE; 206d8: 0005883a mov r2,zero 206dc: 00005406 br 20830 @@ -1505,8 +1516,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 206f4: 1000051e bne r2,zero,2070c printf("OC_I2C_Write error[3]\r\n"); 206f8: 010000f4 movhi r4,3 - 206fc: 2106ad04 addi r4,r4,6836 - 20700: 00231400 call 23140 + 206fc: 2108f604 addi r4,r4,9176 + 20700: 002367c0 call 2367c return FALSE; 20704: 0005883a mov r2,zero 20708: 00004906 br 20830 @@ -1521,8 +1532,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 2071c: 1000051e bne r2,zero,20734 printf("OC_I2C_Write error[4]\r\n"); 20720: 010000f4 movhi r4,3 - 20724: 2106b304 addi r4,r4,6860 - 20728: 00231400 call 23140 + 20724: 2108fc04 addi r4,r4,9200 + 20728: 002367c0 call 2367c return FALSE; 2072c: 0005883a mov r2,zero 20730: 00003f06 br 20830 @@ -1535,8 +1546,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 2073c: 1000051e bne r2,zero,20754 printf("OC_I2C_Write error[5]\r\n"); 20740: 010000f4 movhi r4,3 - 20744: 2106b904 addi r4,r4,6884 - 20748: 00231400 call 23140 + 20744: 21090204 addi r4,r4,9224 + 20748: 002367c0 call 2367c return FALSE; 2074c: 0005883a mov r2,zero 20750: 00003706 br 20830 @@ -1563,8 +1574,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 20788: 1000051e bne r2,zero,207a0 printf("OC_I2C_Write error[6]\r\n"); 2078c: 010000f4 movhi r4,3 - 20790: 2106bf04 addi r4,r4,6908 - 20794: 00231400 call 23140 + 20790: 21090804 addi r4,r4,9248 + 20794: 002367c0 call 2367c return FALSE; 20798: 0005883a mov r2,zero 2079c: 00002406 br 20830 @@ -1579,8 +1590,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 207b0: 1000051e bne r2,zero,207c8 printf("OC_I2C_Write error[7]\r\n"); 207b4: 010000f4 movhi r4,3 - 207b8: 2106c504 addi r4,r4,6932 - 207bc: 00231400 call 23140 + 207b8: 21090e04 addi r4,r4,9272 + 207bc: 002367c0 call 2367c return FALSE; 207c0: 0005883a mov r2,zero 207c4: 00001a06 br 20830 @@ -1593,8 +1604,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 207d0: 1000051e bne r2,zero,207e8 printf("OC_I2C_Write error[8]\r\n"); 207d4: 010000f4 movhi r4,3 - 207d8: 2106cb04 addi r4,r4,6956 - 207dc: 00231400 call 23140 + 207d8: 21091404 addi r4,r4,9296 + 207dc: 002367c0 call 2367c return FALSE; 207e0: 0005883a mov r2,zero 207e4: 00001206 br 20830 @@ -1608,7 +1619,7 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 207ec: 10bfffc4 addi r2,r2,-1 207f0: e0bffb15 stw r2,-20(fp) 207f4: e0bffb17 ldw r2,-20(fp) - 207f8: 103fda0e bge r2,zero,20764 <_gp+0xfffe422c> + 207f8: 103fda0e bge r2,zero,20764 <_gp+0xfffe38f8> //wait TIP bit go to 0 to end Tx if(!ACK_check( i2c_base)) return false; @@ -1622,8 +1633,8 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt 2080c: 1000051e bne r2,zero,20824 printf("OC_I2C_Write error[9]\r\n"); 20810: 010000f4 movhi r4,3 - 20814: 2106d104 addi r4,r4,6980 - 20818: 00231400 call 23140 + 20814: 21091a04 addi r4,r4,9320 + 20818: 002367c0 call 2367c return FALSE; 2081c: 0005883a mov r2,zero 20820: 00000306 br 20830 @@ -1632,7 +1643,7 @@ bool OC_I2C_Write(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt //OS_msleep(1); usleep(SLEEP_TIME); 20824: 01003e84 movi r4,250 - 20828: 002f9cc0 call 2f9cc + 20828: 00302f00 call 302f0 // printf("\n receive ACK-data! \n"); @@ -1662,7 +1673,7 @@ bool ACK_judge_for_read(alt_32 i2c_base) // OS_msleep( SLEEP_TIME ); usleep(ACK_SLEEP_TIME); 20858: 01003e84 movi r4,250 - 2085c: 002f9cc0 call 2f9cc + 2085c: 00302f00 call 302f0 // while(this_data & 0x02) // { // this_data = Read32_Data ( hPCIe, i2c_base, 4); @@ -1734,8 +1745,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20900: 1000051e bne r2,zero,20918 printf("OC_I2C_Read error[2]\r\n"); 20904: 010000f4 movhi r4,3 - 20908: 2106d704 addi r4,r4,7004 - 2090c: 00231400 call 23140 + 20908: 21092004 addi r4,r4,9344 + 2090c: 002367c0 call 2367c return FALSE; 20910: 0005883a mov r2,zero 20914: 00005206 br 20a60 @@ -1761,8 +1772,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20948: 1000051e bne r2,zero,20960 printf("OC_I2C_Read error[5]\r\n"); 2094c: 010000f4 movhi r4,3 - 20950: 2106dd04 addi r4,r4,7028 - 20954: 00231400 call 23140 + 20950: 21092604 addi r4,r4,9368 + 20954: 002367c0 call 2367c return FALSE; 20958: 0005883a mov r2,zero 2095c: 00004006 br 20a60 @@ -1795,8 +1806,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20998: 1000051e bne r2,zero,209b0 printf("OC_I2C_Read error[8]\r\n"); 2099c: 010000f4 movhi r4,3 - 209a0: 2106e304 addi r4,r4,7052 - 209a4: 00231400 call 23140 + 209a0: 21092c04 addi r4,r4,9392 + 209a4: 002367c0 call 2367c return FALSE; 209a8: 0005883a mov r2,zero 209ac: 00002c06 br 20a60 @@ -1826,8 +1837,8 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 209ec: 1000051e bne r2,zero,20a04 printf("OC_I2C_Read error[10]\r\n"); 209f0: 010000f4 movhi r4,3 - 209f4: 2106e904 addi r4,r4,7076 - 209f8: 00231400 call 23140 + 209f4: 21093204 addi r4,r4,9416 + 209f8: 002367c0 call 2367c return FALSE; 209fc: 0005883a mov r2,zero 20a00: 00001706 br 20a60 @@ -1856,7 +1867,7 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ 20a30: e0bffa15 stw r2,-24(fp) 20a34: e0fffa17 ldw r3,-24(fp) 20a38: e0800217 ldw r2,8(fp) - 20a3c: 18bfde16 blt r3,r2,209b8 <_gp+0xfffe4480> + 20a3c: 18bfde16 blt r3,r2,209b8 <_gp+0xfffe3b4c> Data32=IORD( i2c_base, 3); *(pData8+i) = Data32 & 0xff; @@ -1873,7 +1884,7 @@ bool OC_I2C_Read(alt_32 i2c_base,alt_u8 device_address,alt_u8 sub_address, alt_ //OS_msleep(1); usleep(SLEEP_TIME); 20a54: 01003e84 movi r4,250 - 20a58: 002f9cc0 call 2f9cc + 20a58: 00302f00 call 302f0 // printf(" Read [%02X] = %02Xh\r\n", sub_address, data); @@ -1927,8 +1938,8 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 20ad0: 1000051e bne r2,zero,20ae8 printf("OC_I2C_Read error[8]\r\n"); 20ad4: 010000f4 movhi r4,3 - 20ad8: 2106e304 addi r4,r4,7052 - 20adc: 00231400 call 23140 + 20ad8: 21092c04 addi r4,r4,9392 + 20adc: 002367c0 call 2367c return FALSE; 20ae0: 0005883a mov r2,zero 20ae4: 00002c06 br 20b98 @@ -1958,8 +1969,8 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 20b24: 1000051e bne r2,zero,20b3c printf("OC_I2C_Read error[10]\r\n"); 20b28: 010000f4 movhi r4,3 - 20b2c: 2106e904 addi r4,r4,7076 - 20b30: 00231400 call 23140 + 20b2c: 21093204 addi r4,r4,9416 + 20b30: 002367c0 call 2367c return FALSE; 20b34: 0005883a mov r2,zero 20b38: 00001706 br 20b98 @@ -1988,7 +1999,7 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 20b68: e0bffa15 stw r2,-24(fp) 20b6c: e0fffa17 ldw r3,-24(fp) 20b70: e0bfff17 ldw r2,-4(fp) - 20b74: 18bfde16 blt r3,r2,20af0 <_gp+0xfffe45b8> + 20b74: 18bfde16 blt r3,r2,20af0 <_gp+0xfffe3c84> Data32=IORD( i2c_base, 3); *(pData8+i) = Data32 & 0xff; @@ -2005,7 +2016,7 @@ bool OC_I2C_Read_Continue(alt_32 i2c_base,alt_u8 device_address, alt_u8 *pData8 //OS_msleep(1); usleep(SLEEP_TIME); 20b8c: 01003e84 movi r4,250 - 20b90: 002f9cc0 call 2f9cc + 20b90: 00302f00 call 302f0 // printf(" Read [%02X] = %02Xh\r\n", sub_address, data); @@ -2078,8 +2089,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20c2c: 1000051e bne r2,zero,20c44 printf("OC_I2CL_Write error[0]\r\n"); 20c30: 010000f4 movhi r4,3 - 20c34: 2106ef04 addi r4,r4,7100 - 20c38: 00231400 call 23140 + 20c34: 21093804 addi r4,r4,9440 + 20c38: 002367c0 call 2367c return FALSE; 20c3c: 0005883a mov r2,zero 20c40: 00005906 br 20da8 @@ -2117,8 +2128,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20c88: 1000051e bne r2,zero,20ca0 printf("OC_I2CL_Write error[1]\r\n"); 20c8c: 010000f4 movhi r4,3 - 20c90: 2106f504 addi r4,r4,7124 - 20c94: 00231400 call 23140 + 20c90: 21093e04 addi r4,r4,9464 + 20c94: 002367c0 call 2367c return FALSE; 20c98: 0005883a mov r2,zero 20c9c: 00004206 br 20da8 @@ -2151,8 +2162,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20cd8: 1000051e bne r2,zero,20cf0 printf("OC_I2CL_Write error[2]\r\n"); 20cdc: 010000f4 movhi r4,3 - 20ce0: 2106fb04 addi r4,r4,7148 - 20ce4: 00231400 call 23140 + 20ce0: 21094404 addi r4,r4,9488 + 20ce4: 002367c0 call 2367c return FALSE; 20ce8: 0005883a mov r2,zero 20cec: 00002e06 br 20da8 @@ -2199,8 +2210,8 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20d54: 1000051e bne r2,zero,20d6c printf("OC_I2CL_Write error[3]\r\n"); 20d58: 010000f4 movhi r4,3 - 20d5c: 21070104 addi r4,r4,7172 - 20d60: 00231400 call 23140 + 20d5c: 21094a04 addi r4,r4,9512 + 20d60: 002367c0 call 2367c return FALSE; 20d64: 0005883a mov r2,zero 20d68: 00000f06 br 20da8 @@ -2214,7 +2225,7 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al 20d70: 10bfffc4 addi r2,r2,-1 20d74: e0bffa15 stw r2,-24(fp) 20d78: e0bffa17 ldw r2,-24(fp) - 20d7c: 103fe00e bge r2,zero,20d00 <_gp+0xfffe47c8> + 20d7c: 103fe00e bge r2,zero,20d00 <_gp+0xfffe3e94> //wait TIP bit go to 0 to end Tx if(!ACK_check( i2c_base)) return FALSE; @@ -2233,7 +2244,7 @@ bool OC_I2CL_Write(alt_32 i2c_base,alt_u8 device_address,alt_u16 sub_address,al //OS_msleep(1); usleep(SLEEP_TIME); 20d9c: 01003e84 movi r4,250 - 20da0: 002f9cc0 call 2f9cc + 20da0: 00302f00 call 302f0 // printf("\n receive ACK-data! \n"); return TRUE; @@ -2306,8 +2317,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20e3c: 1000051e bne r2,zero,20e54 printf("OC_I2CL_Read error[0]\r\n"); 20e40: 010000f4 movhi r4,3 - 20e44: 21070704 addi r4,r4,7196 - 20e48: 00231400 call 23140 + 20e44: 21095004 addi r4,r4,9536 + 20e48: 002367c0 call 2367c return FALSE; 20e4c: 0005883a mov r2,zero 20e50: 00007506 br 21028 @@ -2345,8 +2356,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20e98: 1000051e bne r2,zero,20eb0 printf("OC_I2CL_Read error[1]\r\n"); 20e9c: 010000f4 movhi r4,3 - 20ea0: 21070d04 addi r4,r4,7220 - 20ea4: 00231400 call 23140 + 20ea0: 21095604 addi r4,r4,9560 + 20ea4: 002367c0 call 2367c return FALSE; 20ea8: 0005883a mov r2,zero 20eac: 00005e06 br 21028 @@ -2382,8 +2393,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20ef4: 1000051e bne r2,zero,20f0c printf("OC_I2CL_Read error[2]\r\n"); 20ef8: 010000f4 movhi r4,3 - 20efc: 21071304 addi r4,r4,7244 - 20f00: 00231400 call 23140 + 20efc: 21095c04 addi r4,r4,9584 + 20f00: 002367c0 call 2367c return FALSE; 20f04: 0005883a mov r2,zero 20f08: 00004706 br 21028 @@ -2423,8 +2434,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20f58: 1000051e bne r2,zero,20f70 printf("OC_I2CL_Read error[3]\r\n"); 20f5c: 010000f4 movhi r4,3 - 20f60: 21071904 addi r4,r4,7268 - 20f64: 00231400 call 23140 + 20f60: 21096204 addi r4,r4,9608 + 20f64: 002367c0 call 2367c return FALSE; 20f68: 0005883a mov r2,zero 20f6c: 00002e06 br 21028 @@ -2457,8 +2468,8 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20fac: 1000051e bne r2,zero,20fc4 printf("OC_I2CL_Read error[4]\r\n"); 20fb0: 010000f4 movhi r4,3 - 20fb4: 21071f04 addi r4,r4,7292 - 20fb8: 00231400 call 23140 + 20fb4: 21096804 addi r4,r4,9632 + 20fb8: 002367c0 call 2367c return FALSE; 20fbc: 0005883a mov r2,zero 20fc0: 00001906 br 21028 @@ -2487,7 +2498,7 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a 20ff0: e0bff915 stw r2,-28(fp) 20ff4: e0fff917 ldw r3,-28(fp) 20ff8: e0800217 ldw r2,8(fp) - 20ffc: 18bfde16 blt r3,r2,20f78 <_gp+0xfffe4a40> + 20ffc: 18bfde16 blt r3,r2,20f78 <_gp+0xfffe410c> DataLow = Data32 & 0xff; pData16 = (DataHigh << 8) | DataLow; @@ -2506,7 +2517,7 @@ bool OC_I2CL_Read(alt_32 i2c_base,alt_u8 device_address, alt_u16 sub_address, a //OS_msleep(1); usleep(SLEEP_TIME); 2101c: 01003e84 movi r4,250 - 21020: 002f9cc0 call 2f9cc + 21020: 00302f00 call 302f0 return TRUE; 21024: 00800044 movi r2,1 @@ -2595,7 +2606,7 @@ void Focus_Init(void){ //////////// focus at initial time usleep(100); 2111c: 01001904 movi r4,100 - 21120: 002f9cc0 call 2f9cc + 21120: 00302f00 call 302f0 IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 1); 21124: 00c00044 movi r3,1 21128: 00800134 movhi r2,4 @@ -2603,7 +2614,7 @@ void Focus_Init(void){ 21130: 10c00035 stwio r3,0(r2) usleep(2); 21134: 01000084 movi r4,2 - 21138: 002f9cc0 call 2f9cc + 21138: 00302f00 call 302f0 IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 0); 2113c: 0007883a mov r3,zero 21140: 00800134 movhi r2,4 @@ -2714,8 +2725,8 @@ alt_u16 Focus_Window(int x,int y){ 21294: 180d883a mov r6,r3 21298: 100b883a mov r5,r2 2129c: 010000f4 movhi r4,3 - 212a0: 21072504 addi r4,r4,7316 - 212a4: 00230240 call 23024 + 212a0: 21096e04 addi r4,r4,9656 + 212a4: 00235600 call 23560 IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_FOCUS_X_START, x_start);//x_start 212a8: e0fffc0b ldhu r3,-16(fp) @@ -2730,7 +2741,7 @@ alt_u16 Focus_Window(int x,int y){ usleep(10); 212c8: 01000284 movi r4,10 - 212cc: 002f9cc0 call 2f9cc + 212cc: 00302f00 call 302f0 IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 1); 212d0: 00c00044 movi r3,1 @@ -2739,7 +2750,7 @@ alt_u16 Focus_Window(int x,int y){ 212dc: 10c00035 stwio r3,0(r2) usleep(2); 212e0: 01000084 movi r4,2 - 212e4: 002f9cc0 call 2f9cc + 212e4: 00302f00 call 302f0 IOWR(TERASIC_AUTO_FOCUS_0_BASE,REG_GO, 0); 212e8: 0007883a mov r3,zero 212ec: 00800134 movhi r2,4 @@ -2758,8 +2769,8 @@ alt_u16 Focus_Window(int x,int y){ 21310: e0bffd0b ldhu r2,-12(fp) 21314: 100b883a mov r5,r2 21318: 010000f4 movhi r4,3 - 2131c: 21072c04 addi r4,r4,7344 - 21320: 00230240 call 23024 + 2131c: 21097504 addi r4,r4,9684 + 21320: 00235600 call 23560 return end_focus; 21324: e0bffd0b ldhu r2,-12(fp) @@ -2792,7 +2803,7 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; 21358: 008000f4 movhi r2,3 - 2135c: 109a1404 addi r2,r2,26704 + 2135c: 109c6104 addi r2,r2,29060 21360: 10c00017 ldw r3,0(r2) * Obtain the system clock rate in ticks/s. */ @@ -2801,7 +2812,7 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; 21364: 008000f4 movhi r2,3 - 21368: 109a1304 addi r2,r2,26700 + 21368: 109c6004 addi r2,r2,29056 2136c: 10800017 ldw r2,0(r2) alt_u32 TimeOut; @@ -2824,12 +2835,12 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; 21394: 008000f4 movhi r2,3 - 21398: 109a1404 addi r2,r2,26704 + 21398: 109c6104 addi r2,r2,29060 2139c: 10c00017 ldw r3,0(r2) 213a0: e0bfff17 ldw r2,-4(fp) - 213a4: 18bff636 bltu r3,r2,21380 <_gp+0xfffe4e48> + 213a4: 18bff636 bltu r3,r2,21380 <_gp+0xfffe4514> 213a8: 008000f4 movhi r2,3 - 213ac: 109a1404 addi r2,r2,26704 + 213ac: 109c6104 addi r2,r2,29060 213b0: 10c00017 ldw r3,0(r2) if(alt_nticks() < TimeOut ) Released = TRUE; @@ -2840,12 +2851,12 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) 213c4: 00000306 br 213d4 else printf("\n =>¡¡Released check TimeOut!\n"); 213c8: 010000f4 movhi r4,3 - 213cc: 21073104 addi r4,r4,7364 - 213d0: 00231400 call 23140 + 213cc: 21097a04 addi r4,r4,9704 + 213d0: 002367c0 call 2367c usleep(10000); 213d4: 0109c404 movi r4,10000 - 213d8: 002f9cc0 call 2f9cc + 213d8: 00302f00 call 302f0 return Released; 213dc: e0bffe17 ldw r2,-8(fp) @@ -2870,52 +2881,52 @@ void mipi_clear_error(void){ MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error 21404: 01407fc4 movi r5,511 21408: 01001904 movi r4,100 - 2140c: 00219c80 call 219c8 + 2140c: 0021bb80 call 21bb8 MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error 21410: 000b883a mov r5,zero 21414: 01001a04 movi r4,104 - 21418: 00219c80 call 219c8 + 21418: 0021bb80 call 21bb8 MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error 2141c: 000b883a mov r5,zero 21420: 01002004 movi r4,128 - 21424: 00219c80 call 219c8 + 21424: 0021bb80 call 21bb8 MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error 21428: 000b883a mov r5,zero 2142c: 01002404 movi r4,144 - 21430: 00219c80 call 219c8 + 21430: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x0082,0x00); 21434: 000b883a mov r5,zero 21438: 01002084 movi r4,130 - 2143c: 00219c80 call 219c8 + 2143c: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x0084,0x00); 21440: 000b883a mov r5,zero 21444: 01002104 movi r4,132 - 21448: 00219c80 call 219c8 + 21448: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x0086,0x00); 2144c: 000b883a mov r5,zero 21450: 01002184 movi r4,134 - 21454: 00219c80 call 219c8 + 21454: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x0088,0x00); 21458: 000b883a mov r5,zero 2145c: 01002204 movi r4,136 - 21460: 00219c80 call 219c8 + 21460: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x008A,0x00); 21464: 000b883a mov r5,zero 21468: 01002284 movi r4,138 - 2146c: 00219c80 call 219c8 + 2146c: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x008C,0x00); 21470: 000b883a mov r5,zero 21474: 01002304 movi r4,140 - 21478: 00219c80 call 219c8 + 21478: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x008E,0x00); 2147c: 000b883a mov r5,zero 21480: 01002384 movi r4,142 - 21484: 00219c80 call 219c8 + 21484: 0021bb80 call 21bb8 MipiBridgeRegWrite(0x0090,0x00); 21488: 000b883a mov r5,zero 2148c: 01002404 movi r4,144 - 21490: 00219c80 call 219c8 + 21490: 0021bb80 call 21bb8 } 21494: 0001883a nop 21498: e037883a mov sp,fp @@ -2936,23 +2947,23 @@ void mipi_show_error_info(void){ PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta); 214bc: 01001884 movi r4,98 - 214c0: 0021a340 call 21a34 + 214c0: 0021c240 call 21c24 214c4: e0bffd0d sth r2,-12(fp) SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus); 214c8: 01001904 movi r4,100 - 214cc: 0021a340 call 21a34 + 214cc: 0021c240 call 21c24 214d0: e0bffd8d sth r2,-10(fp) MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr); 214d4: 01001a04 movi r4,104 - 214d8: 0021a340 call 21a34 + 214d8: 0021c240 call 21c24 214dc: e0bffe0d sth r2,-8(fp) FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt); 214e0: 01002004 movi r4,128 - 214e4: 0021a340 call 21a34 + 214e4: 0021c240 call 21c24 214e8: e0bffe8d sth r2,-6(fp) MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt); 214ec: 01002404 movi r4,144 - 214f0: 0021a340 call 21a34 + 214f0: 0021c240 call 21c24 214f4: e0bfff0d sth r2,-4(fp) printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt); 214f8: e13ffd0b ldhu r4,-12(fp) @@ -2966,8 +2977,8 @@ void mipi_show_error_info(void){ 21518: 280d883a mov r6,r5 2151c: 200b883a mov r5,r4 21520: 010000f4 movhi r4,3 - 21524: 21073904 addi r4,r4,7396 - 21528: 00230240 call 23024 + 21524: 21098204 addi r4,r4,9736 + 21528: 00235600 call 23560 } 2152c: 0001883a nop 21530: e037883a mov sp,fp @@ -2985,100 +2996,100 @@ void mipi_show_error_info_more(void){ 21550: d839883a mov fp,sp printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080)); 21554: 01002004 movi r4,128 - 21558: 0021a340 call 21a34 + 21558: 0021c240 call 21c24 2155c: 10bfffcc andi r2,r2,65535 21560: 100b883a mov r5,r2 21564: 010000f4 movhi r4,3 - 21568: 21074d04 addi r4,r4,7476 - 2156c: 00230240 call 23024 + 21568: 21099604 addi r4,r4,9816 + 2156c: 00235600 call 23560 printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082)); 21570: 01002084 movi r4,130 - 21574: 0021a340 call 21a34 + 21574: 0021c240 call 21c24 21578: 10bfffcc andi r2,r2,65535 2157c: 100b883a mov r5,r2 21580: 010000f4 movhi r4,3 - 21584: 21075104 addi r4,r4,7492 - 21588: 00230240 call 23024 + 21584: 21099a04 addi r4,r4,9832 + 21588: 00235600 call 23560 printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084)); 2158c: 01002104 movi r4,132 - 21590: 0021a340 call 21a34 + 21590: 0021c240 call 21c24 21594: 10bfffcc andi r2,r2,65535 21598: 100b883a mov r5,r2 2159c: 010000f4 movhi r4,3 - 215a0: 21075504 addi r4,r4,7508 - 215a4: 00230240 call 23024 + 215a0: 21099e04 addi r4,r4,9848 + 215a4: 00235600 call 23560 printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086)); 215a8: 01002184 movi r4,134 - 215ac: 0021a340 call 21a34 + 215ac: 0021c240 call 21c24 215b0: 10bfffcc andi r2,r2,65535 215b4: 100b883a mov r5,r2 215b8: 010000f4 movhi r4,3 - 215bc: 21075904 addi r4,r4,7524 - 215c0: 00230240 call 23024 + 215bc: 2109a204 addi r4,r4,9864 + 215c0: 00235600 call 23560 printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088)); 215c4: 01002204 movi r4,136 - 215c8: 0021a340 call 21a34 + 215c8: 0021c240 call 21c24 215cc: 10bfffcc andi r2,r2,65535 215d0: 100b883a mov r5,r2 215d4: 010000f4 movhi r4,3 - 215d8: 21075d04 addi r4,r4,7540 - 215dc: 00230240 call 23024 + 215d8: 2109a604 addi r4,r4,9880 + 215dc: 00235600 call 23560 printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A)); 215e0: 01002284 movi r4,138 - 215e4: 0021a340 call 21a34 + 215e4: 0021c240 call 21c24 215e8: 10bfffcc andi r2,r2,65535 215ec: 100b883a mov r5,r2 215f0: 010000f4 movhi r4,3 - 215f4: 21076104 addi r4,r4,7556 - 215f8: 00230240 call 23024 + 215f4: 2109aa04 addi r4,r4,9896 + 215f8: 00235600 call 23560 printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C)); 215fc: 01002304 movi r4,140 - 21600: 0021a340 call 21a34 + 21600: 0021c240 call 21c24 21604: 10bfffcc andi r2,r2,65535 21608: 100b883a mov r5,r2 2160c: 010000f4 movhi r4,3 - 21610: 21076504 addi r4,r4,7572 - 21614: 00230240 call 23024 + 21610: 2109ae04 addi r4,r4,9912 + 21614: 00235600 call 23560 printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E)); 21618: 01002384 movi r4,142 - 2161c: 0021a340 call 21a34 + 2161c: 0021c240 call 21c24 21620: 10bfffcc andi r2,r2,65535 21624: 100b883a mov r5,r2 21628: 010000f4 movhi r4,3 - 2162c: 21076904 addi r4,r4,7588 - 21630: 00230240 call 23024 + 2162c: 2109b204 addi r4,r4,9928 + 21630: 00235600 call 23560 printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090)); 21634: 01002404 movi r4,144 - 21638: 0021a340 call 21a34 + 21638: 0021c240 call 21c24 2163c: 10bfffcc andi r2,r2,65535 21640: 100b883a mov r5,r2 21644: 010000f4 movhi r4,3 - 21648: 21076d04 addi r4,r4,7604 - 2164c: 00230240 call 23024 + 21648: 2109b604 addi r4,r4,9944 + 2164c: 00235600 call 23560 printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8)); 21650: 01003e04 movi r4,248 - 21654: 0021a340 call 21a34 + 21654: 0021c240 call 21c24 21658: 10bfffcc andi r2,r2,65535 2165c: 100b883a mov r5,r2 21660: 010000f4 movhi r4,3 - 21664: 21077104 addi r4,r4,7620 - 21668: 00230240 call 23024 + 21664: 2109ba04 addi r4,r4,9960 + 21668: 00235600 call 23560 printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A)); 2166c: 01001a84 movi r4,106 - 21670: 0021a340 call 21a34 + 21670: 0021c240 call 21c24 21674: 10bfffcc andi r2,r2,65535 21678: 100b883a mov r5,r2 2167c: 010000f4 movhi r4,3 - 21680: 21077604 addi r4,r4,7640 - 21684: 00230240 call 23024 + 21680: 2109bf04 addi r4,r4,9980 + 21684: 00235600 call 23560 printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E)); 21688: 01001b84 movi r4,110 - 2168c: 0021a340 call 21a34 + 2168c: 0021c240 call 21c24 21690: 10bfffcc andi r2,r2,65535 21694: 100b883a mov r5,r2 21698: 010000f4 movhi r4,3 - 2169c: 21077b04 addi r4,r4,7660 - 216a0: 00230240 call 23024 + 2169c: 2109c404 addi r4,r4,10000 + 216a0: 00235600 call 23560 } 216a4: 0001883a nop 216a8: e037883a mov sp,fp @@ -3113,29 +3124,29 @@ bool MIPI_Init(void){ 216f0: 1000031e bne r2,zero,21700 printf("failed to init MIPI- Bridge i2c\r\n"); 216f4: 010000f4 movhi r4,3 - 216f8: 21077f04 addi r4,r4,7676 - 216fc: 00231400 call 23140 + 216f8: 2109c804 addi r4,r4,10016 + 216fc: 002367c0 call 2367c usleep(50*1000); 21700: 0130d414 movui r4,50000 - 21704: 002f9cc0 call 2f9cc + 21704: 00302f00 call 302f0 MipiBridgeInit(); - 21708: 0021aac0 call 21aac + 21708: 0021c9c0 call 21c9c usleep(500*1000); 2170c: 01000234 movhi r4,8 21710: 21284804 addi r4,r4,-24288 - 21714: 002f9cc0 call 2f9cc + 21714: 00302f00 call 302f0 // bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K // if (!bSuccess) // printf("failed to init MIPI- Camera i2c\r\n"); MipiCameraInit(); - 21718: 002203c0 call 2203c + 21718: 00224800 call 22480 MIPI_BIN_LEVEL(DEFAULT_LEVEL); - 2171c: 01000084 movi r4,2 - 21720: 0021e7c0 call 21e7c + 2171c: 010000c4 movi r4,3 + 21720: 00222c00 call 222c0 // OV8865_FOCUS_Move_to(340); // oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! @@ -3143,7 +3154,7 @@ bool MIPI_Init(void){ usleep(1000); 21724: 0100fa04 movi r4,1000 - 21728: 002f9cc0 call 2f9cc + 21728: 00302f00 call 302f0 // oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE); @@ -3164,19455 +3175,20202 @@ bool MIPI_Init(void){ int main() { - 21744: defffa04 addi sp,sp,-24 - 21748: dfc00515 stw ra,20(sp) - 2174c: df000415 stw fp,16(sp) - 21750: df000404 addi fp,sp,16 - int boundingBoxColour = 0; - 21754: e03ffc15 stw zero,-16(fp) + 21744: defff804 addi sp,sp,-32 + 21748: dfc00715 stw ra,28(sp) + 2174c: df000615 stw fp,24(sp) + 21750: df000604 addi fp,sp,24 + fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK); + 21754: 01900004 movi r6,16384 + 21758: 01400104 movi r5,4 + 2175c: 0009883a mov r4,zero + 21760: 002f9040 call 2f904 printf("DE10-LITE D8M VGA Demo\n"); - 21758: 010000f4 movhi r4,3 - 2175c: 21078804 addi r4,r4,7712 - 21760: 00231400 call 23140 - printf("Imperial College EEE2 Project version\n"); 21764: 010000f4 movhi r4,3 - 21768: 21078e04 addi r4,r4,7736 - 2176c: 00231400 call 23140 + 21768: 2109d104 addi r4,r4,10052 + 2176c: 002367c0 call 2367c + printf("Imperial College EEE2 Project version\n"); + 21770: 010000f4 movhi r4,3 + 21774: 2109d704 addi r4,r4,10076 + 21778: 002367c0 call 2367c IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00); - 21770: 0007883a mov r3,zero - 21774: 00800134 movhi r2,4 - 21778: 10842004 addi r2,r2,4224 - 2177c: 10c00035 stwio r3,0(r2) + 2177c: 0007883a mov r3,zero + 21780: 00800134 movhi r2,4 + 21784: 10842004 addi r2,r2,4224 + 21788: 10c00035 stwio r3,0(r2) IOWR(MIPI_RESET_N_BASE, 0x00, 0x00); - 21780: 0007883a mov r3,zero - 21784: 00800134 movhi r2,4 - 21788: 10842404 addi r2,r2,4240 - 2178c: 10c00035 stwio r3,0(r2) + 2178c: 0007883a mov r3,zero + 21790: 00800134 movhi r2,4 + 21794: 10842404 addi r2,r2,4240 + 21798: 10c00035 stwio r3,0(r2) usleep(2000); - 21790: 0101f404 movi r4,2000 - 21794: 002f9cc0 call 2f9cc + 2179c: 0101f404 movi r4,2000 + 217a0: 00302f00 call 302f0 IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF); - 21798: 00c03fc4 movi r3,255 - 2179c: 00800134 movhi r2,4 - 217a0: 10842004 addi r2,r2,4224 - 217a4: 10c00035 stwio r3,0(r2) + 217a4: 00c03fc4 movi r3,255 + 217a8: 00800134 movhi r2,4 + 217ac: 10842004 addi r2,r2,4224 + 217b0: 10c00035 stwio r3,0(r2) usleep(2000); - 217a8: 0101f404 movi r4,2000 - 217ac: 002f9cc0 call 2f9cc + 217b4: 0101f404 movi r4,2000 + 217b8: 00302f00 call 302f0 IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF); - 217b0: 00c03fc4 movi r3,255 - 217b4: 00800134 movhi r2,4 - 217b8: 10842404 addi r2,r2,4240 - 217bc: 10c00035 stwio r3,0(r2) + 217bc: 00c03fc4 movi r3,255 + 217c0: 00800134 movhi r2,4 + 217c4: 10842404 addi r2,r2,4240 + 217c8: 10c00035 stwio r3,0(r2) printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID)); - 217c0: 00800134 movhi r2,4 - 217c4: 10880204 addi r2,r2,8200 - 217c8: 10800037 ldwio r2,0(r2) - 217cc: 100b883a mov r5,r2 - 217d0: 010000f4 movhi r4,3 - 217d4: 21079804 addi r4,r4,7776 - 217d8: 00230240 call 23024 + 217cc: 00800134 movhi r2,4 + 217d0: 10880204 addi r2,r2,8200 + 217d4: 10800037 ldwio r2,0(r2) + 217d8: 100b883a mov r5,r2 + 217dc: 010000f4 movhi r4,3 + 217e0: 2109e104 addi r4,r4,10116 + 217e4: 00235600 call 23560 //printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP usleep(2000); - 217dc: 0101f404 movi r4,2000 - 217e0: 002f9cc0 call 2f9cc + 217e8: 0101f404 movi r4,2000 + 217ec: 00302f00 call 302f0 // MIPI Init if (!MIPI_Init()){ - 217e4: 00216bc0 call 216bc - 217e8: 1000041e bne r2,zero,217fc + 217f0: 00216bc0 call 216bc + 217f4: 1000041e bne r2,zero,21808 printf("MIPI_Init Init failed!\r\n"); - 217ec: 010000f4 movhi r4,3 - 217f0: 21079e04 addi r4,r4,7800 - 217f4: 00231400 call 23140 - 217f8: 00000306 br 21808 + 217f8: 010000f4 movhi r4,3 + 217fc: 2109e704 addi r4,r4,10140 + 21800: 002367c0 call 2367c + 21804: 00000306 br 21814 }else{ printf("MIPI_Init Init successfully!\r\n"); - 217fc: 010000f4 movhi r4,3 - 21800: 2107a404 addi r4,r4,7824 - 21804: 00231400 call 23140 + 21808: 010000f4 movhi r4,3 + 2180c: 2109ed04 addi r4,r4,10164 + 21810: 002367c0 call 2367c } // while(1){ mipi_clear_error(); - 21808: 00213f40 call 213f4 - usleep(50*1000); - 2180c: 0130d414 movui r4,50000 - 21810: 002f9cc0 call 2f9cc - mipi_clear_error(); 21814: 00213f40 call 213f4 + usleep(50*1000); + 21818: 0130d414 movui r4,50000 + 2181c: 00302f00 call 302f0 + mipi_clear_error(); + 21820: 00213f40 call 213f4 usleep(1000*1000); - 21818: 010003f4 movhi r4,15 - 2181c: 21109004 addi r4,r4,16960 - 21820: 002f9cc0 call 2f9cc + 21824: 010003f4 movhi r4,15 + 21828: 21109004 addi r4,r4,16960 + 2182c: 00302f00 call 302f0 mipi_show_error_info(); - 21824: 00214ac0 call 214ac + 21830: 00214ac0 call 214ac // mipi_show_error_info_more(); printf("\n"); - 21828: 01000284 movi r4,10 - 2182c: 00230680 call 23068 + 21834: 01000284 movi r4,10 + 21838: 00235a40 call 235a4 ////////////////////////////////////////////////////////// alt_u16 bin_level = DEFAULT_LEVEL; - 21830: 00800084 movi r2,2 - 21834: e0bffd0d sth r2,-12(fp) + 2183c: 008000c4 movi r2,3 + 21840: e0bffa0d sth r2,-24(fp) alt_u8 manual_focus_step = 10; - 21838: 00800284 movi r2,10 - 2183c: e0bffd85 stb r2,-10(fp) + 21844: 00800284 movi r2,10 + 21848: e0bffd85 stb r2,-10(fp) alt_u16 current_focus = 300; - 21840: 00804b04 movi r2,300 - 21844: e0bffe0d sth r2,-8(fp) + 2184c: 00804b04 movi r2,300 + 21850: e0bffa8d sth r2,-22(fp) + int boundingBoxColour = 0; + 21854: e03ffb15 stw zero,-20(fp) + alt_u32 exposureTime = EXPOSURE_INIT; + 21858: 00880004 movi r2,8192 + 2185c: e0bffc15 stw r2,-16(fp) + alt_u16 gain = GAIN_INIT; + 21860: 0083ffc4 movi r2,4095 + 21864: e0bffd0d sth r2,-12(fp) + + OV8865SetExposure(exposureTime); + 21868: e13ffc17 ldw r4,-16(fp) + 2186c: 00220580 call 22058 + OV8865SetGain(gain); + 21870: e0bffd0b ldhu r2,-12(fp) + 21874: 1009883a mov r4,r2 + 21878: 00221380 call 22138 Focus_Init(); - 21848: 002103c0 call 2103c + 2187c: 002103c0 call 2103c while(1){ // touch KEY0 to trigger Auto focus if((IORD(KEY_BASE,0)&0x03) == 0x02){ - 2184c: 00800134 movhi r2,4 - 21850: 10842804 addi r2,r2,4256 - 21854: 10800037 ldwio r2,0(r2) - 21858: 108000cc andi r2,r2,3 - 2185c: 10800098 cmpnei r2,r2,2 - 21860: 1000041e bne r2,zero,21874 + 21880: 00800134 movhi r2,4 + 21884: 10842804 addi r2,r2,4256 + 21888: 10800037 ldwio r2,0(r2) + 2188c: 108000cc andi r2,r2,3 + 21890: 10800098 cmpnei r2,r2,2 + 21894: 1000041e bne r2,zero,218a8 current_focus = Focus_Window(320,240); - 21864: 01403c04 movi r5,240 - 21868: 01005004 movi r4,320 - 2186c: 00211640 call 21164 - 21870: e0bffe0d sth r2,-8(fp) + 21898: 01403c04 movi r5,240 + 2189c: 01005004 movi r4,320 + 218a0: 00211640 call 21164 + 218a4: e0bffa8d sth r2,-22(fp) } // touch KEY1 to ZOOM if((IORD(KEY_BASE,0)&0x03) == 0x01){ - 21874: 00800134 movhi r2,4 - 21878: 10842804 addi r2,r2,4256 - 2187c: 10800037 ldwio r2,0(r2) - 21880: 108000cc andi r2,r2,3 - 21884: 10800058 cmpnei r2,r2,1 - 21888: 1000241e bne r2,zero,2191c + 218a8: 00800134 movhi r2,4 + 218ac: 10842804 addi r2,r2,4256 + 218b0: 10800037 ldwio r2,0(r2) + 218b4: 108000cc andi r2,r2,3 + 218b8: 10800058 cmpnei r2,r2,1 + 218bc: 1000241e bne r2,zero,21950 if(bin_level == 3 )bin_level = 1; - 2188c: e0bffd0b ldhu r2,-12(fp) - 21890: 108000d8 cmpnei r2,r2,3 - 21894: 1000031e bne r2,zero,218a4 - 21898: 00800044 movi r2,1 - 2189c: e0bffd0d sth r2,-12(fp) - 218a0: 00000306 br 218b0 + 218c0: e0bffa0b ldhu r2,-24(fp) + 218c4: 108000d8 cmpnei r2,r2,3 + 218c8: 1000031e bne r2,zero,218d8 + 218cc: 00800044 movi r2,1 + 218d0: e0bffa0d sth r2,-24(fp) + 218d4: 00000306 br 218e4 else bin_level ++; - 218a4: e0bffd0b ldhu r2,-12(fp) - 218a8: 10800044 addi r2,r2,1 - 218ac: e0bffd0d sth r2,-12(fp) + 218d8: e0bffa0b ldhu r2,-24(fp) + 218dc: 10800044 addi r2,r2,1 + 218e0: e0bffa0d sth r2,-24(fp) printf("set bin level to %d\n",bin_level); - 218b0: e0bffd0b ldhu r2,-12(fp) - 218b4: 100b883a mov r5,r2 - 218b8: 010000f4 movhi r4,3 - 218bc: 2107ac04 addi r4,r4,7856 - 218c0: 00230240 call 23024 + 218e4: e0bffa0b ldhu r2,-24(fp) + 218e8: 100b883a mov r5,r2 + 218ec: 010000f4 movhi r4,3 + 218f0: 2109f504 addi r4,r4,10196 + 218f4: 00235600 call 23560 MIPI_BIN_LEVEL(bin_level); - 218c4: e0bffd0b ldhu r2,-12(fp) - 218c8: 10803fcc andi r2,r2,255 - 218cc: 1009883a mov r4,r2 - 218d0: 0021e7c0 call 21e7c + 218f8: e0bffa0b ldhu r2,-24(fp) + 218fc: 10803fcc andi r2,r2,255 + 21900: 1009883a mov r4,r2 + 21904: 00222c00 call 222c0 usleep(500000); - 218d4: 01000234 movhi r4,8 - 218d8: 21284804 addi r4,r4,-24288 - 218dc: 002f9cc0 call 2f9cc + 21908: 01000234 movhi r4,8 + 2190c: 21284804 addi r4,r4,-24288 + 21910: 00302f00 call 302f0 } #endif //Read messages from the image processor and print them on the terminal while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - 218e0: 00000e06 br 2191c + 21914: 00000e06 br 21950 int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer - 218e4: 00800134 movhi r2,4 - 218e8: 10880104 addi r2,r2,8196 - 218ec: 10800037 ldwio r2,0(r2) - 218f0: e0bfff15 stw r2,-4(fp) + 21918: 00800134 movhi r2,4 + 2191c: 10880104 addi r2,r2,8196 + 21920: 10800037 ldwio r2,0(r2) + 21924: e0bfff15 stw r2,-4(fp) if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier - 218f4: e0ffff17 ldw r3,-4(fp) - 218f8: 008014b4 movhi r2,82 - 218fc: 10909084 addi r2,r2,16962 - 21900: 1880021e bne r3,r2,2190c + 21928: e0ffff17 ldw r3,-4(fp) + 2192c: 008014b4 movhi r2,82 + 21930: 10909084 addi r2,r2,16962 + 21934: 1880021e bne r3,r2,21940 printf("\n"); - 21904: 01000284 movi r4,10 - 21908: 00230680 call 23068 + 21938: 01000284 movi r4,10 + 2193c: 00235a40 call 235a4 } printf("%08x ",word); - 2190c: e17fff17 ldw r5,-4(fp) - 21910: 010000f4 movhi r4,3 - 21914: 2107b204 addi r4,r4,7880 - 21918: 00230240 call 23024 + 21940: e17fff17 ldw r5,-4(fp) + 21944: 010000f4 movhi r4,3 + 21948: 2109fb04 addi r4,r4,10220 + 2194c: 00235600 call 23560 } #endif //Read messages from the image processor and print them on the terminal while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read - 2191c: 00800134 movhi r2,4 - 21920: 10880004 addi r2,r2,8192 - 21924: 10800037 ldwio r2,0(r2) - 21928: 1005d23a srai r2,r2,8 - 2192c: 10803fcc andi r2,r2,255 - 21930: 103fec1e bne r2,zero,218e4 <_gp+0xfffe53ac> + 21950: 00800134 movhi r2,4 + 21954: 10880004 addi r2,r2,8192 + 21958: 10800037 ldwio r2,0(r2) + 2195c: 1005d23a srai r2,r2,8 + 21960: 10803fcc andi r2,r2,255 + 21964: 103fec1e bne r2,zero,21918 <_gp+0xfffe4aac> } printf("%08x ",word); } //Update the bounding box colour - boundingBoxColour = (++boundingBoxColour & 0xff); - 21934: e0bffc17 ldw r2,-16(fp) - 21938: 10800044 addi r2,r2,1 - 2193c: e0bffc15 stw r2,-16(fp) - 21940: e0bffc17 ldw r2,-16(fp) - 21944: 10803fcc andi r2,r2,255 - 21948: e0bffc15 stw r2,-16(fp) + boundingBoxColour = ((boundingBoxColour + 1) & 0xff); + 21968: e0bffb17 ldw r2,-20(fp) + 2196c: 10800044 addi r2,r2,1 + 21970: 10803fcc andi r2,r2,255 + 21974: e0bffb15 stw r2,-20(fp) IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour)); - 2194c: e0bffc17 ldw r2,-16(fp) - 21950: 1006923a slli r3,r2,8 - 21954: 01003fc4 movi r4,255 - 21958: e0bffc17 ldw r2,-16(fp) - 2195c: 2085c83a sub r2,r4,r2 - 21960: 1886b03a or r3,r3,r2 - 21964: 00800134 movhi r2,4 - 21968: 10880304 addi r2,r2,8204 - 2196c: 10c00035 stwio r3,0(r2) + 21978: e0bffb17 ldw r2,-20(fp) + 2197c: 1006923a slli r3,r2,8 + 21980: 01003fc4 movi r4,255 + 21984: e0bffb17 ldw r2,-20(fp) + 21988: 2085c83a sub r2,r4,r2 + 2198c: 1886b03a or r3,r3,r2 + 21990: 00800134 movhi r2,4 + 21994: 10880304 addi r2,r2,8204 + 21998: 10c00035 stwio r3,0(r2) + //Process input commands + int in = getchar(); + 2199c: 008000f4 movhi r2,3 + 219a0: 10939f04 addi r2,r2,20092 + 219a4: 10800017 ldw r2,0(r2) + 219a8: 10800117 ldw r2,4(r2) + 219ac: 1009883a mov r4,r2 + 219b0: 0022b4c0 call 22b4c + 219b4: e0bffe15 stw r2,-8(fp) + switch (in) { + 219b8: e0bffe17 ldw r2,-8(fp) + 219bc: 10bfe704 addi r2,r2,-100 + 219c0: 10c00468 cmpgeui r3,r2,17 + 219c4: 1800661e bne r3,zero,21b60 + 219c8: 100690ba slli r3,r2,2 + 219cc: 008000b4 movhi r2,2 + 219d0: 10867804 addi r2,r2,6624 + 219d4: 1885883a add r2,r3,r2 + 219d8: 10800017 ldw r2,0(r2) + 219dc: 1000683a jmp r2 + 219e0: 00021a4c andi zero,zero,2153 + 219e4: 00021a24 muli zero,zero,2152 + 219e8: 00021b1c xori zero,zero,2156 + 219ec: 00021aa4 muli zero,zero,2154 + 219f0: 00021b60 cmpeqi zero,zero,2157 + 219f4: 00021b60 cmpeqi zero,zero,2157 + 219f8: 00021b60 cmpeqi zero,zero,2157 + 219fc: 00021b60 cmpeqi zero,zero,2157 + 21a00: 00021b60 cmpeqi zero,zero,2157 + 21a04: 00021b60 cmpeqi zero,zero,2157 + 21a08: 00021b60 cmpeqi zero,zero,2157 + 21a0c: 00021b60 cmpeqi zero,zero,2157 + 21a10: 00021b60 cmpeqi zero,zero,2157 + 21a14: 00021b60 cmpeqi zero,zero,2157 + 21a18: 00021ad4 movui zero,2155 + 21a1c: 00021b60 cmpeqi zero,zero,2157 + 21a20: 00021a74 movhi zero,2153 + case 'e': { + exposureTime += EXPOSURE_STEP; + 21a24: e0bffc17 ldw r2,-16(fp) + 21a28: 10804004 addi r2,r2,256 + 21a2c: e0bffc15 stw r2,-16(fp) + OV8865SetExposure(exposureTime); + 21a30: e13ffc17 ldw r4,-16(fp) + 21a34: 00220580 call 22058 + printf("\nExposure = %x ", exposureTime); + 21a38: e17ffc17 ldw r5,-16(fp) + 21a3c: 010000f4 movhi r4,3 + 21a40: 2109fd04 addi r4,r4,10228 + 21a44: 00235600 call 23560 + break;} + 21a48: 00004506 br 21b60 + case 'd': { + exposureTime -= EXPOSURE_STEP; + 21a4c: e0bffc17 ldw r2,-16(fp) + 21a50: 10bfc004 addi r2,r2,-256 + 21a54: e0bffc15 stw r2,-16(fp) + OV8865SetExposure(exposureTime); + 21a58: e13ffc17 ldw r4,-16(fp) + 21a5c: 00220580 call 22058 + printf("\nExposure = %x ", exposureTime); + 21a60: e17ffc17 ldw r5,-16(fp) + 21a64: 010000f4 movhi r4,3 + 21a68: 2109fd04 addi r4,r4,10228 + 21a6c: 00235600 call 23560 + break;} + 21a70: 00003b06 br 21b60 + case 't': { + gain += GAIN_STEP; + 21a74: e0bffd0b ldhu r2,-12(fp) + 21a78: 1083ffc4 addi r2,r2,4095 + 21a7c: e0bffd0d sth r2,-12(fp) + OV8865SetGain(gain); + 21a80: e0bffd0b ldhu r2,-12(fp) + 21a84: 1009883a mov r4,r2 + 21a88: 00221380 call 22138 + printf("\nGain = %x ", gain); + 21a8c: e0bffd0b ldhu r2,-12(fp) + 21a90: 100b883a mov r5,r2 + 21a94: 010000f4 movhi r4,3 + 21a98: 210a0104 addi r4,r4,10244 + 21a9c: 00235600 call 23560 + break;} + 21aa0: 00002f06 br 21b60 + case 'g': { + gain -= GAIN_STEP; + 21aa4: e0bffd0b ldhu r2,-12(fp) + 21aa8: 10bc0044 addi r2,r2,-4095 + 21aac: e0bffd0d sth r2,-12(fp) + OV8865SetGain(gain); + 21ab0: e0bffd0b ldhu r2,-12(fp) + 21ab4: 1009883a mov r4,r2 + 21ab8: 00221380 call 22138 + printf("\nGain = %x ", gain); + 21abc: e0bffd0b ldhu r2,-12(fp) + 21ac0: 100b883a mov r5,r2 + 21ac4: 010000f4 movhi r4,3 + 21ac8: 210a0104 addi r4,r4,10244 + 21acc: 00235600 call 23560 + break;} + 21ad0: 00002306 br 21b60 + case 'r': { + current_focus += manual_focus_step; + 21ad4: e0fffd83 ldbu r3,-10(fp) + 21ad8: e0bffa8b ldhu r2,-22(fp) + 21adc: 1885883a add r2,r3,r2 + 21ae0: e0bffa8d sth r2,-22(fp) + if(current_focus >1023) current_focus = 1023; + 21ae4: e0bffa8b ldhu r2,-22(fp) + 21ae8: 10810030 cmpltui r2,r2,1024 + 21aec: 1000021e bne r2,zero,21af8 + 21af0: 0080ffc4 movi r2,1023 + 21af4: e0bffa8d sth r2,-22(fp) + OV8865_FOCUS_Move_to(current_focus); + 21af8: e0bffa8b ldhu r2,-22(fp) + 21afc: 1009883a mov r4,r2 + 21b00: 0021f880 call 21f88 + printf("\nFocus = %x ",current_focus); + 21b04: e0bffa8b ldhu r2,-22(fp) + 21b08: 100b883a mov r5,r2 + 21b0c: 010000f4 movhi r4,3 + 21b10: 210a0404 addi r4,r4,10256 + 21b14: 00235600 call 23560 + break;} + 21b18: 00001106 br 21b60 + case 'f': { + if(current_focus > manual_focus_step) current_focus -= manual_focus_step; + 21b1c: e0bffd83 ldbu r2,-10(fp) + 21b20: 10ffffcc andi r3,r2,65535 + 21b24: e0bffa8b ldhu r2,-22(fp) + 21b28: 1880042e bgeu r3,r2,21b3c + 21b2c: e0bffd83 ldbu r2,-10(fp) + 21b30: e0fffa8b ldhu r3,-22(fp) + 21b34: 1885c83a sub r2,r3,r2 + 21b38: e0bffa8d sth r2,-22(fp) + OV8865_FOCUS_Move_to(current_focus); + 21b3c: e0bffa8b ldhu r2,-22(fp) + 21b40: 1009883a mov r4,r2 + 21b44: 0021f880 call 21f88 + printf("\nFocus = %x ",current_focus); + 21b48: e0bffa8b ldhu r2,-22(fp) + 21b4c: 100b883a mov r5,r2 + 21b50: 010000f4 movhi r4,3 + 21b54: 210a0404 addi r4,r4,10256 + 21b58: 00235600 call 23560 + break;} + 21b5c: 0001883a nop + } //Main loop delay usleep(10000); - 21970: 0109c404 movi r4,10000 - 21974: 002f9cc0 call 2f9cc + 21b60: 0109c404 movi r4,10000 + 21b64: 00302f00 call 302f0 }; - 21978: 003fb406 br 2184c <_gp+0xfffe5314> + 21b68: 003f4506 br 21880 <_gp+0xfffe4a14> -0002197c : +00021b6c : {0x0004,0x8047} // Configuration Control Register }; alt_u16 nSWAP16(alt_u16 x){ - 2197c: defffd04 addi sp,sp,-12 - 21980: df000215 stw fp,8(sp) - 21984: df000204 addi fp,sp,8 - 21988: 2005883a mov r2,r4 - 2198c: e0bfff0d sth r2,-4(fp) + 21b6c: defffd04 addi sp,sp,-12 + 21b70: df000215 stw fp,8(sp) + 21b74: df000204 addi fp,sp,8 + 21b78: 2005883a mov r2,r4 + 21b7c: e0bfff0d sth r2,-4(fp) alt_u16 y; //y = (((x) >> 8) & 0xff) | (((x) & 0xff) << 8); // y = x; y = (x >> 8) & 0x00ff; - 21990: e0bfff0b ldhu r2,-4(fp) - 21994: 1004d23a srli r2,r2,8 - 21998: e0bffe0d sth r2,-8(fp) + 21b80: e0bfff0b ldhu r2,-4(fp) + 21b84: 1004d23a srli r2,r2,8 + 21b88: e0bffe0d sth r2,-8(fp) y |= (x << 8) & 0xff00; - 2199c: e0bfff0b ldhu r2,-4(fp) - 219a0: 1004923a slli r2,r2,8 - 219a4: 1007883a mov r3,r2 - 219a8: e0bffe0b ldhu r2,-8(fp) - 219ac: 1884b03a or r2,r3,r2 - 219b0: e0bffe0d sth r2,-8(fp) + 21b8c: e0bfff0b ldhu r2,-4(fp) + 21b90: 1004923a slli r2,r2,8 + 21b94: 1007883a mov r3,r2 + 21b98: e0bffe0b ldhu r2,-8(fp) + 21b9c: 1884b03a or r2,r3,r2 + 21ba0: e0bffe0d sth r2,-8(fp) return y; - 219b4: e0bffe0b ldhu r2,-8(fp) + 21ba4: e0bffe0b ldhu r2,-8(fp) } - 219b8: e037883a mov sp,fp - 219bc: df000017 ldw fp,0(sp) - 219c0: dec00104 addi sp,sp,4 - 219c4: f800283a ret + 21ba8: e037883a mov sp,fp + 21bac: df000017 ldw fp,0(sp) + 21bb0: dec00104 addi sp,sp,4 + 21bb4: f800283a ret -000219c8 : +00021bb8 : void MipiBridgeRegWrite(alt_u16 Addr, alt_u16 Value){ - 219c8: defffa04 addi sp,sp,-24 - 219cc: dfc00515 stw ra,20(sp) - 219d0: df000415 stw fp,16(sp) - 219d4: df000404 addi fp,sp,16 - 219d8: 2007883a mov r3,r4 - 219dc: 2805883a mov r2,r5 - 219e0: e0fffe0d sth r3,-8(fp) - 219e4: e0bfff0d sth r2,-4(fp) + 21bb8: defffa04 addi sp,sp,-24 + 21bbc: dfc00515 stw ra,20(sp) + 21bc0: df000415 stw fp,16(sp) + 21bc4: df000404 addi fp,sp,16 + 21bc8: 2007883a mov r3,r4 + 21bcc: 2805883a mov r2,r5 + 21bd0: e0fffe0d sth r3,-8(fp) + 21bd4: e0bfff0d sth r2,-4(fp) const alt_u8 device_address = MIPI_BRIDGE_I2C_ADDR; - 219e8: 00800704 movi r2,28 - 219ec: e0bffd05 stb r2,-12(fp) + 21bd8: 00800704 movi r2,28 + 21bdc: e0bffd05 stb r2,-12(fp) OC_I2CL_Write(I2C_OPENCORES_MIPI_BASE, device_address, Addr, (alt_u8 *)&Value, sizeof(Value)); - 219f0: e0fffd03 ldbu r3,-12(fp) - 219f4: e13ffe0b ldhu r4,-8(fp) - 219f8: e17fff04 addi r5,fp,-4 - 219fc: 00800084 movi r2,2 - 21a00: d8800015 stw r2,0(sp) - 21a04: 280f883a mov r7,r5 - 21a08: 200d883a mov r6,r4 - 21a0c: 180b883a mov r5,r3 - 21a10: 01000134 movhi r4,4 - 21a14: 21041804 addi r4,r4,4192 - 21a18: 0020bac0 call 20bac + 21be0: e0fffd03 ldbu r3,-12(fp) + 21be4: e13ffe0b ldhu r4,-8(fp) + 21be8: e17fff04 addi r5,fp,-4 + 21bec: 00800084 movi r2,2 + 21bf0: d8800015 stw r2,0(sp) + 21bf4: 280f883a mov r7,r5 + 21bf8: 200d883a mov r6,r4 + 21bfc: 180b883a mov r5,r3 + 21c00: 01000134 movhi r4,4 + 21c04: 21041804 addi r4,r4,4192 + 21c08: 0020bac0 call 20bac } - 21a1c: 0001883a nop - 21a20: e037883a mov sp,fp - 21a24: dfc00117 ldw ra,4(sp) - 21a28: df000017 ldw fp,0(sp) - 21a2c: dec00204 addi sp,sp,8 - 21a30: f800283a ret + 21c0c: 0001883a nop + 21c10: e037883a mov sp,fp + 21c14: dfc00117 ldw ra,4(sp) + 21c18: df000017 ldw fp,0(sp) + 21c1c: dec00204 addi sp,sp,8 + 21c20: f800283a ret -00021a34 : +00021c24 : alt_u16 MipiBridgeRegRead(alt_u16 Addr){ - 21a34: defffa04 addi sp,sp,-24 - 21a38: dfc00515 stw ra,20(sp) - 21a3c: df000415 stw fp,16(sp) - 21a40: df000404 addi fp,sp,16 - 21a44: 2005883a mov r2,r4 - 21a48: e0bfff0d sth r2,-4(fp) + 21c24: defffa04 addi sp,sp,-24 + 21c28: dfc00515 stw ra,20(sp) + 21c2c: df000415 stw fp,16(sp) + 21c30: df000404 addi fp,sp,16 + 21c34: 2005883a mov r2,r4 + 21c38: e0bfff0d sth r2,-4(fp) alt_u16 Value,tValue; const alt_u8 device_address = MIPI_BRIDGE_I2C_ADDR; - 21a4c: 00800704 movi r2,28 - 21a50: e0bffd05 stb r2,-12(fp) + 21c3c: 00800704 movi r2,28 + 21c40: e0bffd05 stb r2,-12(fp) OC_I2CL_Read(I2C_OPENCORES_MIPI_BASE,device_address, Addr,(alt_u8 *)&Value,sizeof(Value)); - 21a54: e0fffd03 ldbu r3,-12(fp) - 21a58: e13fff0b ldhu r4,-4(fp) - 21a5c: e17ffe04 addi r5,fp,-8 - 21a60: 00800084 movi r2,2 - 21a64: d8800015 stw r2,0(sp) - 21a68: 280f883a mov r7,r5 - 21a6c: 200d883a mov r6,r4 - 21a70: 180b883a mov r5,r3 - 21a74: 01000134 movhi r4,4 - 21a78: 21041804 addi r4,r4,4192 - 21a7c: 0020dbc0 call 20dbc + 21c44: e0fffd03 ldbu r3,-12(fp) + 21c48: e13fff0b ldhu r4,-4(fp) + 21c4c: e17ffe04 addi r5,fp,-8 + 21c50: 00800084 movi r2,2 + 21c54: d8800015 stw r2,0(sp) + 21c58: 280f883a mov r7,r5 + 21c5c: 200d883a mov r6,r4 + 21c60: 180b883a mov r5,r3 + 21c64: 01000134 movhi r4,4 + 21c68: 21041804 addi r4,r4,4192 + 21c6c: 0020dbc0 call 20dbc tValue = nSWAP16(Value); - 21a80: e0bffe0b ldhu r2,-8(fp) - 21a84: 10bfffcc andi r2,r2,65535 - 21a88: 1009883a mov r4,r2 - 21a8c: 002197c0 call 2197c - 21a90: e0bffd8d sth r2,-10(fp) + 21c70: e0bffe0b ldhu r2,-8(fp) + 21c74: 10bfffcc andi r2,r2,65535 + 21c78: 1009883a mov r4,r2 + 21c7c: 0021b6c0 call 21b6c + 21c80: e0bffd8d sth r2,-10(fp) return (tValue); - 21a94: e0bffd8b ldhu r2,-10(fp) + 21c84: e0bffd8b ldhu r2,-10(fp) } - 21a98: e037883a mov sp,fp - 21a9c: dfc00117 ldw ra,4(sp) - 21aa0: df000017 ldw fp,0(sp) - 21aa4: dec00204 addi sp,sp,8 - 21aa8: f800283a ret + 21c88: e037883a mov sp,fp + 21c8c: dfc00117 ldw ra,4(sp) + 21c90: df000017 ldw fp,0(sp) + 21c94: dec00204 addi sp,sp,8 + 21c98: f800283a ret -00021aac : +00021c9c : void MipiBridgeInit(void){ - 21aac: defffb04 addi sp,sp,-20 - 21ab0: dfc00415 stw ra,16(sp) - 21ab4: df000315 stw fp,12(sp) - 21ab8: df000304 addi fp,sp,12 + 21c9c: defffb04 addi sp,sp,-20 + 21ca0: dfc00415 stw ra,16(sp) + 21ca4: df000315 stw fp,12(sp) + 21ca8: df000304 addi fp,sp,12 alt_u16 data; int i, num; printf("\nStart MipiBridgeInit!\n"); - 21abc: 010000f4 movhi r4,3 - 21ac0: 2107b404 addi r4,r4,7888 - 21ac4: 00231400 call 23140 + 21cac: 010000f4 movhi r4,3 + 21cb0: 210a0804 addi r4,r4,10272 + 21cb4: 002367c0 call 2367c data = MipiBridgeRegRead(0x0000); // read chip and revision id; - 21ac8: 0009883a mov r4,zero - 21acc: 0021a340 call 21a34 - 21ad0: e0bffe0d sth r2,-8(fp) + 21cb8: 0009883a mov r4,zero + 21cbc: 0021c240 call 21c24 + 21cc0: e0bffe0d sth r2,-8(fp) printf("Chip and Revision ID is 0x%04xh(expected: 0x4401);\n",data); - 21ad4: e0bffe0b ldhu r2,-8(fp) - 21ad8: 100b883a mov r5,r2 - 21adc: 010000f4 movhi r4,3 - 21ae0: 2107ba04 addi r4,r4,7912 - 21ae4: 00230240 call 23024 + 21cc4: e0bffe0b ldhu r2,-8(fp) + 21cc8: 100b883a mov r5,r2 + 21ccc: 010000f4 movhi r4,3 + 21cd0: 210a0e04 addi r4,r4,10296 + 21cd4: 00235600 call 23560 num = sizeof(MipiBridgeReg)/sizeof(MipiBridgeReg[0]); - 21ae8: 00800344 movi r2,13 - 21aec: e0bfff15 stw r2,-4(fp) + 21cd8: 00800344 movi r2,13 + 21cdc: e0bfff15 stw r2,-4(fp) for(i=0;i + 21ce0: e03ffd15 stw zero,-12(fp) + 21ce4: 00002d06 br 21d9c if (MipiBridgeReg[i].Addr == 0xFFFF) usleep(MipiBridgeReg[i].Data*1000); - 21af8: 008000f4 movhi r2,3 - 21afc: 1088b904 addi r2,r2,8932 - 21b00: e0fffd17 ldw r3,-12(fp) - 21b04: 18c7883a add r3,r3,r3 - 21b08: 18c7883a add r3,r3,r3 - 21b0c: 10c5883a add r2,r2,r3 - 21b10: 1080000b ldhu r2,0(r2) - 21b14: 10ffffcc andi r3,r2,65535 - 21b18: 00bfffd4 movui r2,65535 - 21b1c: 18800d1e bne r3,r2,21b54 - 21b20: 008000f4 movhi r2,3 - 21b24: 1088b904 addi r2,r2,8932 - 21b28: e0fffd17 ldw r3,-12(fp) - 21b2c: 18c7883a add r3,r3,r3 - 21b30: 18c7883a add r3,r3,r3 - 21b34: 10c5883a add r2,r2,r3 - 21b38: 10800084 addi r2,r2,2 - 21b3c: 1080000b ldhu r2,0(r2) - 21b40: 10bfffcc andi r2,r2,65535 - 21b44: 1080fa24 muli r2,r2,1000 - 21b48: 1009883a mov r4,r2 - 21b4c: 002f9cc0 call 2f9cc - 21b50: 00001306 br 21ba0 + 21ce8: 008000f4 movhi r2,3 + 21cec: 108b0604 addi r2,r2,11288 + 21cf0: e0fffd17 ldw r3,-12(fp) + 21cf4: 18c7883a add r3,r3,r3 + 21cf8: 18c7883a add r3,r3,r3 + 21cfc: 10c5883a add r2,r2,r3 + 21d00: 1080000b ldhu r2,0(r2) + 21d04: 10ffffcc andi r3,r2,65535 + 21d08: 00bfffd4 movui r2,65535 + 21d0c: 18800d1e bne r3,r2,21d44 + 21d10: 008000f4 movhi r2,3 + 21d14: 108b0604 addi r2,r2,11288 + 21d18: e0fffd17 ldw r3,-12(fp) + 21d1c: 18c7883a add r3,r3,r3 + 21d20: 18c7883a add r3,r3,r3 + 21d24: 10c5883a add r2,r2,r3 + 21d28: 10800084 addi r2,r2,2 + 21d2c: 1080000b ldhu r2,0(r2) + 21d30: 10bfffcc andi r2,r2,65535 + 21d34: 1080fa24 muli r2,r2,1000 + 21d38: 1009883a mov r4,r2 + 21d3c: 00302f00 call 302f0 + 21d40: 00001306 br 21d90 else MipiBridgeRegWrite(MipiBridgeReg[i].Addr, MipiBridgeReg[i].Data); - 21b54: 008000f4 movhi r2,3 - 21b58: 1088b904 addi r2,r2,8932 - 21b5c: e0fffd17 ldw r3,-12(fp) - 21b60: 18c7883a add r3,r3,r3 - 21b64: 18c7883a add r3,r3,r3 - 21b68: 10c5883a add r2,r2,r3 - 21b6c: 1080000b ldhu r2,0(r2) - 21b70: 113fffcc andi r4,r2,65535 - 21b74: 008000f4 movhi r2,3 - 21b78: 1088b904 addi r2,r2,8932 - 21b7c: e0fffd17 ldw r3,-12(fp) - 21b80: 18c7883a add r3,r3,r3 - 21b84: 18c7883a add r3,r3,r3 - 21b88: 10c5883a add r2,r2,r3 - 21b8c: 10800084 addi r2,r2,2 - 21b90: 1080000b ldhu r2,0(r2) - 21b94: 10bfffcc andi r2,r2,65535 - 21b98: 100b883a mov r5,r2 - 21b9c: 00219c80 call 219c8 + 21d44: 008000f4 movhi r2,3 + 21d48: 108b0604 addi r2,r2,11288 + 21d4c: e0fffd17 ldw r3,-12(fp) + 21d50: 18c7883a add r3,r3,r3 + 21d54: 18c7883a add r3,r3,r3 + 21d58: 10c5883a add r2,r2,r3 + 21d5c: 1080000b ldhu r2,0(r2) + 21d60: 113fffcc andi r4,r2,65535 + 21d64: 008000f4 movhi r2,3 + 21d68: 108b0604 addi r2,r2,11288 + 21d6c: e0fffd17 ldw r3,-12(fp) + 21d70: 18c7883a add r3,r3,r3 + 21d74: 18c7883a add r3,r3,r3 + 21d78: 10c5883a add r2,r2,r3 + 21d7c: 10800084 addi r2,r2,2 + 21d80: 1080000b ldhu r2,0(r2) + 21d84: 10bfffcc andi r2,r2,65535 + 21d88: 100b883a mov r5,r2 + 21d8c: 0021bb80 call 21bb8 printf("Chip and Revision ID is 0x%04xh(expected: 0x4401);\n",data); num = sizeof(MipiBridgeReg)/sizeof(MipiBridgeReg[0]); for(i=0;i + 21d90: e0bffd17 ldw r2,-12(fp) + 21d94: 10800044 addi r2,r2,1 + 21d98: e0bffd15 stw r2,-12(fp) + 21d9c: e0fffd17 ldw r3,-12(fp) + 21da0: e0bfff17 ldw r2,-4(fp) + 21da4: 18bfd016 blt r3,r2,21ce8 <_gp+0xfffe4e7c> // MipiBridgeRegWrite(0x005A,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // MipiBridgeRegWrite(0x005C,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // MipiBridgeRegWrite(0x005E,((cap<<6) + (HsRxRs<<4) + ClkDly_data)); // printf("End MipiBridgeInit!\n\n"); - 21bb8: 010000f4 movhi r4,3 - 21bbc: 2107c704 addi r4,r4,7964 - 21bc0: 00231400 call 23140 + 21da8: 010000f4 movhi r4,3 + 21dac: 210a1b04 addi r4,r4,10348 + 21db0: 002367c0 call 2367c } - 21bc4: 0001883a nop - 21bc8: e037883a mov sp,fp - 21bcc: dfc00117 ldw ra,4(sp) - 21bd0: df000017 ldw fp,0(sp) - 21bd4: dec00204 addi sp,sp,8 - 21bd8: f800283a ret + 21db4: 0001883a nop + 21db8: e037883a mov sp,fp + 21dbc: dfc00117 ldw ra,4(sp) + 21dc0: df000017 ldw fp,0(sp) + 21dc4: dec00204 addi sp,sp,8 + 21dc8: f800283a ret -00021bdc : +00021dcc : }; alt_u8 OV8865_read_cmos_sensor_8(alt_u16 Addr){ - 21bdc: defffb04 addi sp,sp,-20 - 21be0: dfc00415 stw ra,16(sp) - 21be4: df000315 stw fp,12(sp) - 21be8: df000304 addi fp,sp,12 - 21bec: 2005883a mov r2,r4 - 21bf0: e0bfff0d sth r2,-4(fp) + 21dcc: defffb04 addi sp,sp,-20 + 21dd0: dfc00415 stw ra,16(sp) + 21dd4: df000315 stw fp,12(sp) + 21dd8: df000304 addi fp,sp,12 + 21ddc: 2005883a mov r2,r4 + 21de0: e0bfff0d sth r2,-4(fp) const alt_u8 device_address = MIPI_I2C_ADDR; - 21bf4: 00801b04 movi r2,108 - 21bf8: e0bffe05 stb r2,-8(fp) + 21de4: 00801b04 movi r2,108 + 21de8: e0bffe05 stb r2,-8(fp) alt_u8 Value; //OC_I2CL_Write(I2C_OPENCORES_CAMERA_BASE, device_address, SWAP16(Addr), (alt_u8 *)&Value, sizeof(Value)); OC_I2CL_Read(I2C_OPENCORES_CAMERA_BASE, device_address, Addr, (alt_u8 *)&Value, sizeof(Value)); - 21bfc: e0fffe03 ldbu r3,-8(fp) - 21c00: e13fff0b ldhu r4,-4(fp) - 21c04: e17ffe44 addi r5,fp,-7 - 21c08: 00800044 movi r2,1 - 21c0c: d8800015 stw r2,0(sp) - 21c10: 280f883a mov r7,r5 - 21c14: 200d883a mov r6,r4 - 21c18: 180b883a mov r5,r3 - 21c1c: 01000134 movhi r4,4 - 21c20: 21041004 addi r4,r4,4160 - 21c24: 0020dbc0 call 20dbc + 21dec: e0fffe03 ldbu r3,-8(fp) + 21df0: e13fff0b ldhu r4,-4(fp) + 21df4: e17ffe44 addi r5,fp,-7 + 21df8: 00800044 movi r2,1 + 21dfc: d8800015 stw r2,0(sp) + 21e00: 280f883a mov r7,r5 + 21e04: 200d883a mov r6,r4 + 21e08: 180b883a mov r5,r3 + 21e0c: 01000134 movhi r4,4 + 21e10: 21041004 addi r4,r4,4160 + 21e14: 0020dbc0 call 20dbc return (Value); - 21c28: e0bffe43 ldbu r2,-7(fp) + 21e18: e0bffe43 ldbu r2,-7(fp) } - 21c2c: e037883a mov sp,fp - 21c30: dfc00117 ldw ra,4(sp) - 21c34: df000017 ldw fp,0(sp) - 21c38: dec00204 addi sp,sp,8 - 21c3c: f800283a ret + 21e1c: e037883a mov sp,fp + 21e20: dfc00117 ldw ra,4(sp) + 21e24: df000017 ldw fp,0(sp) + 21e28: dec00204 addi sp,sp,8 + 21e2c: f800283a ret -00021c40 : +00021e30 : void OV8865_write_cmos_sensor_8(alt_u16 Addr, alt_u8 Value){ - 21c40: defffa04 addi sp,sp,-24 - 21c44: dfc00515 stw ra,20(sp) - 21c48: df000415 stw fp,16(sp) - 21c4c: df000404 addi fp,sp,16 - 21c50: 2007883a mov r3,r4 - 21c54: 2805883a mov r2,r5 - 21c58: e0fffe0d sth r3,-8(fp) - 21c5c: e0bfff05 stb r2,-4(fp) + 21e30: defffa04 addi sp,sp,-24 + 21e34: dfc00515 stw ra,20(sp) + 21e38: df000415 stw fp,16(sp) + 21e3c: df000404 addi fp,sp,16 + 21e40: 2007883a mov r3,r4 + 21e44: 2805883a mov r2,r5 + 21e48: e0fffe0d sth r3,-8(fp) + 21e4c: e0bfff05 stb r2,-4(fp) const alt_u8 device_address = MIPI_I2C_ADDR; - 21c60: 00801b04 movi r2,108 - 21c64: e0bffd05 stb r2,-12(fp) + 21e50: 00801b04 movi r2,108 + 21e54: e0bffd05 stb r2,-12(fp) //OC_I2CL_Write(I2C_OPENCORES_CAMERA_BASE, device_address, SWAP16(Addr), (alt_u8 *)&Value, sizeof(Value)); OC_I2CL_Write(I2C_OPENCORES_CAMERA_BASE, device_address, Addr, (alt_u8 *)&Value, sizeof(Value)); - 21c68: e0fffd03 ldbu r3,-12(fp) - 21c6c: e13ffe0b ldhu r4,-8(fp) - 21c70: e17fff04 addi r5,fp,-4 - 21c74: 00800044 movi r2,1 - 21c78: d8800015 stw r2,0(sp) - 21c7c: 280f883a mov r7,r5 - 21c80: 200d883a mov r6,r4 - 21c84: 180b883a mov r5,r3 - 21c88: 01000134 movhi r4,4 - 21c8c: 21041004 addi r4,r4,4160 - 21c90: 0020bac0 call 20bac + 21e58: e0fffd03 ldbu r3,-12(fp) + 21e5c: e13ffe0b ldhu r4,-8(fp) + 21e60: e17fff04 addi r5,fp,-4 + 21e64: 00800044 movi r2,1 + 21e68: d8800015 stw r2,0(sp) + 21e6c: 280f883a mov r7,r5 + 21e70: 200d883a mov r6,r4 + 21e74: 180b883a mov r5,r3 + 21e78: 01000134 movhi r4,4 + 21e7c: 21041004 addi r4,r4,4160 + 21e80: 0020bac0 call 20bac } - 21c94: 0001883a nop - 21c98: e037883a mov sp,fp - 21c9c: dfc00117 ldw ra,4(sp) - 21ca0: df000017 ldw fp,0(sp) - 21ca4: dec00204 addi sp,sp,8 - 21ca8: f800283a ret + 21e84: 0001883a nop + 21e88: e037883a mov sp,fp + 21e8c: dfc00117 ldw ra,4(sp) + 21e90: df000017 ldw fp,0(sp) + 21e94: dec00204 addi sp,sp,8 + 21e98: f800283a ret -00021cac : +00021e9c : void OV8865_write_AF(alt_u8 msb, alt_u8 lsb){ - 21cac: defffa04 addi sp,sp,-24 - 21cb0: dfc00515 stw ra,20(sp) - 21cb4: df000415 stw fp,16(sp) - 21cb8: df000404 addi fp,sp,16 - 21cbc: 2007883a mov r3,r4 - 21cc0: 2805883a mov r2,r5 - 21cc4: e0fffe05 stb r3,-8(fp) - 21cc8: e0bfff05 stb r2,-4(fp) + 21e9c: defffa04 addi sp,sp,-24 + 21ea0: dfc00515 stw ra,20(sp) + 21ea4: df000415 stw fp,16(sp) + 21ea8: df000404 addi fp,sp,16 + 21eac: 2007883a mov r3,r4 + 21eb0: 2805883a mov r2,r5 + 21eb4: e0fffe05 stb r3,-8(fp) + 21eb8: e0bfff05 stb r2,-4(fp) // VCM149C const alt_u8 device_address = MIPI_AF_I2C_ADDR; - 21ccc: 00800604 movi r2,24 - 21cd0: e0bffd05 stb r2,-12(fp) + 21ebc: 00800604 movi r2,24 + 21ec0: e0bffd05 stb r2,-12(fp) OC_I2C_Write(I2C_OPENCORES_CAMERA_BASE, device_address, msb, (alt_u8 *)&lsb, sizeof(lsb)); - 21cd4: e0fffd03 ldbu r3,-12(fp) - 21cd8: e13ffe03 ldbu r4,-8(fp) - 21cdc: e17fff04 addi r5,fp,-4 - 21ce0: 00800044 movi r2,1 - 21ce4: d8800015 stw r2,0(sp) - 21ce8: 280f883a mov r7,r5 - 21cec: 200d883a mov r6,r4 - 21cf0: 180b883a mov r5,r3 - 21cf4: 01000134 movhi r4,4 - 21cf8: 21041004 addi r4,r4,4160 - 21cfc: 00206440 call 20644 + 21ec4: e0fffd03 ldbu r3,-12(fp) + 21ec8: e13ffe03 ldbu r4,-8(fp) + 21ecc: e17fff04 addi r5,fp,-4 + 21ed0: 00800044 movi r2,1 + 21ed4: d8800015 stw r2,0(sp) + 21ed8: 280f883a mov r7,r5 + 21edc: 200d883a mov r6,r4 + 21ee0: 180b883a mov r5,r3 + 21ee4: 01000134 movhi r4,4 + 21ee8: 21041004 addi r4,r4,4160 + 21eec: 00206440 call 20644 } - 21d00: 0001883a nop - 21d04: e037883a mov sp,fp - 21d08: dfc00117 ldw ra,4(sp) - 21d0c: df000017 ldw fp,0(sp) - 21d10: dec00204 addi sp,sp,8 - 21d14: f800283a ret + 21ef0: 0001883a nop + 21ef4: e037883a mov sp,fp + 21ef8: dfc00117 ldw ra,4(sp) + 21efc: df000017 ldw fp,0(sp) + 21f00: dec00204 addi sp,sp,8 + 21f04: f800283a ret -00021d18 : +00021f08 : void OV8865_read_AF(void){ - 21d18: defffb04 addi sp,sp,-20 - 21d1c: dfc00415 stw ra,16(sp) - 21d20: df000315 stw fp,12(sp) - 21d24: df000304 addi fp,sp,12 + 21f08: defffb04 addi sp,sp,-20 + 21f0c: dfc00415 stw ra,16(sp) + 21f10: df000315 stw fp,12(sp) + 21f14: df000304 addi fp,sp,12 // VCM149C const alt_u8 device_address = MIPI_AF_I2C_ADDR; - 21d28: 00800604 movi r2,24 - 21d2c: e0bffd05 stb r2,-12(fp) + 21f18: 00800604 movi r2,24 + 21f1c: e0bffd05 stb r2,-12(fp) alt_u8 szData8[2]; bool bSuccess; bSuccess = OC_I2C_Read_Continue(I2C_OPENCORES_CAMERA_BASE, device_address, szData8, sizeof(szData8)); - 21d30: e0bffd03 ldbu r2,-12(fp) - 21d34: e0ffff04 addi r3,fp,-4 - 21d38: 01c00084 movi r7,2 - 21d3c: 180d883a mov r6,r3 - 21d40: 100b883a mov r5,r2 - 21d44: 01000134 movhi r4,4 - 21d48: 21041004 addi r4,r4,4160 - 21d4c: 0020a740 call 20a74 - 21d50: e0bffe15 stw r2,-8(fp) + 21f20: e0bffd03 ldbu r2,-12(fp) + 21f24: e0ffff04 addi r3,fp,-4 + 21f28: 01c00084 movi r7,2 + 21f2c: 180d883a mov r6,r3 + 21f30: 100b883a mov r5,r2 + 21f34: 01000134 movhi r4,4 + 21f38: 21041004 addi r4,r4,4160 + 21f3c: 0020a740 call 20a74 + 21f40: e0bffe15 stw r2,-8(fp) if (bSuccess) - 21d54: e0bffe17 ldw r2,-8(fp) - 21d58: 10000926 beq r2,zero,21d80 + 21f44: e0bffe17 ldw r2,-8(fp) + 21f48: 10000926 beq r2,zero,21f70 printf("Read MSB=%xh, LSB=%xh\r\n", szData8[0], szData8[1]); - 21d5c: e0bfff03 ldbu r2,-4(fp) - 21d60: 10803fcc andi r2,r2,255 - 21d64: e0ffff43 ldbu r3,-3(fp) - 21d68: 18c03fcc andi r3,r3,255 - 21d6c: 180d883a mov r6,r3 - 21d70: 100b883a mov r5,r2 - 21d74: 010000f4 movhi r4,3 - 21d78: 2107cd04 addi r4,r4,7988 - 21d7c: 00230240 call 23024 + 21f4c: e0bfff03 ldbu r2,-4(fp) + 21f50: 10803fcc andi r2,r2,255 + 21f54: e0ffff43 ldbu r3,-3(fp) + 21f58: 18c03fcc andi r3,r3,255 + 21f5c: 180d883a mov r6,r3 + 21f60: 100b883a mov r5,r2 + 21f64: 010000f4 movhi r4,3 + 21f68: 210a2104 addi r4,r4,10372 + 21f6c: 00235600 call 23560 } - 21d80: 0001883a nop - 21d84: e037883a mov sp,fp - 21d88: dfc00117 ldw ra,4(sp) - 21d8c: df000017 ldw fp,0(sp) - 21d90: dec00204 addi sp,sp,8 - 21d94: f800283a ret + 21f70: 0001883a nop + 21f74: e037883a mov sp,fp + 21f78: dfc00117 ldw ra,4(sp) + 21f7c: df000017 ldw fp,0(sp) + 21f80: dec00204 addi sp,sp,8 + 21f84: f800283a ret -00021d98 : +00021f88 : void OV8865_FOCUS_Move_to(alt_u16 a_u2MovePosition) { - 21d98: defffb04 addi sp,sp,-20 - 21d9c: dfc00415 stw ra,16(sp) - 21da0: df000315 stw fp,12(sp) - 21da4: df000304 addi fp,sp,12 - 21da8: 2005883a mov r2,r4 - 21dac: e0bfff0d sth r2,-4(fp) + 21f88: defffb04 addi sp,sp,-20 + 21f8c: dfc00415 stw ra,16(sp) + 21f90: df000315 stw fp,12(sp) + 21f94: df000304 addi fp,sp,12 + 21f98: 2005883a mov r2,r4 + 21f9c: e0bfff0d sth r2,-4(fp) if (a_u2MovePosition > 1023) {a_u2MovePosition = 1023;} - 21db0: e0bfff0b ldhu r2,-4(fp) - 21db4: 10810030 cmpltui r2,r2,1024 - 21db8: 1000021e bne r2,zero,21dc4 - 21dbc: 0080ffc4 movi r2,1023 - 21dc0: e0bfff0d sth r2,-4(fp) + 21fa0: e0bfff0b ldhu r2,-4(fp) + 21fa4: 10810030 cmpltui r2,r2,1024 + 21fa8: 1000021e bne r2,zero,21fb4 + 21fac: 0080ffc4 movi r2,1023 + 21fb0: e0bfff0d sth r2,-4(fp) if (a_u2MovePosition < 0) {a_u2MovePosition = 0;} int bSuccess; Focus_Released(); // waiting for VCM release I2C bus - 21dc4: 00213440 call 21344 + 21fb4: 00213440 call 21344 bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 21dc8: 018001b4 movhi r6,6 - 21dcc: 3186a004 addi r6,r6,6784 - 21dd0: 0140bef4 movhi r5,763 - 21dd4: 297c2004 addi r5,r5,-3968 - 21dd8: 01000134 movhi r4,4 - 21ddc: 21041004 addi r4,r4,4160 - 21de0: 00203980 call 20398 - 21de4: e0bffd15 stw r2,-12(fp) + 21fb8: 018001b4 movhi r6,6 + 21fbc: 3186a004 addi r6,r6,6784 + 21fc0: 0140bef4 movhi r5,763 + 21fc4: 297c2004 addi r5,r5,-3968 + 21fc8: 01000134 movhi r4,4 + 21fcc: 21041004 addi r4,r4,4160 + 21fd0: 00203980 call 20398 + 21fd4: e0bffd15 stw r2,-12(fp) if (!bSuccess) - 21de8: e0bffd17 ldw r2,-12(fp) - 21dec: 1000031e bne r2,zero,21dfc + 21fd8: e0bffd17 ldw r2,-12(fp) + 21fdc: 1000031e bne r2,zero,21fec printf("failed to init MIPI- Camera i2c\r\n"); - 21df0: 010000f4 movhi r4,3 - 21df4: 2107d304 addi r4,r4,8012 - 21df8: 00231400 call 23140 + 21fe0: 010000f4 movhi r4,3 + 21fe4: 210a2704 addi r4,r4,10396 + 21fe8: 002367c0 call 2367c - printf("Manual set focus to %d\r\n",a_u2MovePosition); - 21dfc: e0bfff0b ldhu r2,-4(fp) - 21e00: 100b883a mov r5,r2 - 21e04: 010000f4 movhi r4,3 - 21e08: 2107dc04 addi r4,r4,8048 - 21e0c: 00230240 call 23024 + //printf("Manual set focus to %d\r\n",a_u2MovePosition); alt_u8 msb,lsb; msb = (a_u2MovePosition >> 4)&0x00FF; - 21e10: e0bfff0b ldhu r2,-4(fp) - 21e14: 1004d13a srli r2,r2,4 - 21e18: e0bffe05 stb r2,-8(fp) + 21fec: e0bfff0b ldhu r2,-4(fp) + 21ff0: 1004d13a srli r2,r2,4 + 21ff4: e0bffe05 stb r2,-8(fp) lsb = (a_u2MovePosition << 4 )&0x00F0; - 21e1c: e0bfff0b ldhu r2,-4(fp) - 21e20: 1004913a slli r2,r2,4 - 21e24: e0bffe45 stb r2,-7(fp) + 21ff8: e0bfff0b ldhu r2,-4(fp) + 21ffc: 1004913a slli r2,r2,4 + 22000: e0bffe45 stb r2,-7(fp) lsb += 0x06; - 21e28: e0bffe43 ldbu r2,-7(fp) - 21e2c: 10800184 addi r2,r2,6 - 21e30: e0bffe45 stb r2,-7(fp) + 22004: e0bffe43 ldbu r2,-7(fp) + 22008: 10800184 addi r2,r2,6 + 2200c: e0bffe45 stb r2,-7(fp) // printf("Write MSB=%xh, LSB=%xh\r\n", msb, lsb); OV8865_write_AF(msb, lsb+0x6); - 21e34: e0fffe03 ldbu r3,-8(fp) - 21e38: e0bffe43 ldbu r2,-7(fp) - 21e3c: 10800184 addi r2,r2,6 - 21e40: 10803fcc andi r2,r2,255 - 21e44: 100b883a mov r5,r2 - 21e48: 1809883a mov r4,r3 - 21e4c: 0021cac0 call 21cac + 22010: e0fffe03 ldbu r3,-8(fp) + 22014: e0bffe43 ldbu r2,-7(fp) + 22018: 10800184 addi r2,r2,6 + 2201c: 10803fcc andi r2,r2,255 + 22020: 100b883a mov r5,r2 + 22024: 1809883a mov r4,r3 + 22028: 0021e9c0 call 21e9c usleep(1000); - 21e50: 0100fa04 movi r4,1000 - 21e54: 002f9cc0 call 2f9cc + 2202c: 0100fa04 movi r4,1000 + 22030: 00302f00 call 302f0 // OV8865_read_AF(); oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - 21e58: 01000134 movhi r4,4 - 21e5c: 21041004 addi r4,r4,4160 - 21e60: 00204d40 call 204d4 + 22034: 01000134 movhi r4,4 + 22038: 21041004 addi r4,r4,4160 + 2203c: 00204d40 call 204d4 } - 21e64: 0001883a nop - 21e68: e037883a mov sp,fp - 21e6c: dfc00117 ldw ra,4(sp) - 21e70: df000017 ldw fp,0(sp) - 21e74: dec00204 addi sp,sp,8 - 21e78: f800283a ret + 22040: 0001883a nop + 22044: e037883a mov sp,fp + 22048: dfc00117 ldw ra,4(sp) + 2204c: df000017 ldw fp,0(sp) + 22050: dec00204 addi sp,sp,8 + 22054: f800283a ret -00021e7c : +00022058 : + +void OV8865SetExposure(alt_u32 exposure){ + 22058: defffc04 addi sp,sp,-16 + 2205c: dfc00315 stw ra,12(sp) + 22060: df000215 stw fp,8(sp) + 22064: df000204 addi fp,sp,8 + 22068: e13fff15 stw r4,-4(fp) + + Focus_Released(); // waiting for VCM release I2C bus + 2206c: 00213440 call 21344 + + int bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K + 22070: 018001b4 movhi r6,6 + 22074: 3186a004 addi r6,r6,6784 + 22078: 0140bef4 movhi r5,763 + 2207c: 297c2004 addi r5,r5,-3968 + 22080: 01000134 movhi r4,4 + 22084: 21041004 addi r4,r4,4160 + 22088: 00203980 call 20398 + 2208c: e0bffe15 stw r2,-8(fp) + if (!bSuccess) + 22090: e0bffe17 ldw r2,-8(fp) + 22094: 1000031e bne r2,zero,220a4 + printf("failed to init MIPI- Camera i2c\r\n"); + 22098: 010000f4 movhi r4,3 + 2209c: 210a2704 addi r4,r4,10396 + 220a0: 002367c0 call 2367c + + if (exposure > 0xFFFFF) exposure = 0xFFFFF; + 220a4: e0ffff17 ldw r3,-4(fp) + 220a8: 00800434 movhi r2,16 + 220ac: 18800336 bltu r3,r2,220bc + 220b0: 00800434 movhi r2,16 + 220b4: 10bfffc4 addi r2,r2,-1 + 220b8: e0bfff15 stw r2,-4(fp) + if (exposure < 0x20) exposure = 0x20; + 220bc: e0bfff17 ldw r2,-4(fp) + 220c0: 10800828 cmpgeui r2,r2,32 + 220c4: 1000021e bne r2,zero,220d0 + 220c8: 00800804 movi r2,32 + 220cc: e0bfff15 stw r2,-4(fp) + + OV8865_write_cmos_sensor_8(0x3500, (exposure >> 16) & 0x0F); + 220d0: e0bfff17 ldw r2,-4(fp) + 220d4: 1004d43a srli r2,r2,16 + 220d8: 108003cc andi r2,r2,15 + 220dc: 100b883a mov r5,r2 + 220e0: 010d4004 movi r4,13568 + 220e4: 0021e300 call 21e30 + OV8865_write_cmos_sensor_8(0x3501, (exposure >> 8) & 0xFF); + 220e8: e0bfff17 ldw r2,-4(fp) + 220ec: 1004d23a srli r2,r2,8 + 220f0: 10803fcc andi r2,r2,255 + 220f4: 100b883a mov r5,r2 + 220f8: 010d4044 movi r4,13569 + 220fc: 0021e300 call 21e30 + OV8865_write_cmos_sensor_8(0x3502, exposure & 0xFF); + 22100: e0bfff17 ldw r2,-4(fp) + 22104: 10803fcc andi r2,r2,255 + 22108: 100b883a mov r5,r2 + 2210c: 010d4084 movi r4,13570 + 22110: 0021e300 call 21e30 + + + oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); + 22114: 01000134 movhi r4,4 + 22118: 21041004 addi r4,r4,4160 + 2211c: 00204d40 call 204d4 +} + 22120: 0001883a nop + 22124: e037883a mov sp,fp + 22128: dfc00117 ldw ra,4(sp) + 2212c: df000017 ldw fp,0(sp) + 22130: dec00204 addi sp,sp,8 + 22134: f800283a ret + +00022138 : + +void OV8865SetGain(alt_u16 gain){ + 22138: defffc04 addi sp,sp,-16 + 2213c: dfc00315 stw ra,12(sp) + 22140: df000215 stw fp,8(sp) + 22144: df000204 addi fp,sp,8 + 22148: 2005883a mov r2,r4 + 2214c: e0bfff0d sth r2,-4(fp) + + Focus_Released(); // waiting for VCM release I2C bus + 22150: 00213440 call 21344 + + int bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K + 22154: 018001b4 movhi r6,6 + 22158: 3186a004 addi r6,r6,6784 + 2215c: 0140bef4 movhi r5,763 + 22160: 297c2004 addi r5,r5,-3968 + 22164: 01000134 movhi r4,4 + 22168: 21041004 addi r4,r4,4160 + 2216c: 00203980 call 20398 + 22170: e0bffe15 stw r2,-8(fp) + if (!bSuccess) + 22174: e0bffe17 ldw r2,-8(fp) + 22178: 1000031e bne r2,zero,22188 + printf("failed to init MIPI- Camera i2c\r\n"); + 2217c: 010000f4 movhi r4,3 + 22180: 210a2704 addi r4,r4,10396 + 22184: 002367c0 call 2367c + + if (gain > 0x7FF) gain = 0x7FF; + 22188: e0bfff0b ldhu r2,-4(fp) + 2218c: 10820030 cmpltui r2,r2,2048 + 22190: 1000021e bne r2,zero,2219c + 22194: 0081ffc4 movi r2,2047 + 22198: e0bfff0d sth r2,-4(fp) + if (gain < 0x080) gain = 0x080; + 2219c: e0bfff0b ldhu r2,-4(fp) + 221a0: 10802028 cmpgeui r2,r2,128 + 221a4: 1000021e bne r2,zero,221b0 + 221a8: 00802004 movi r2,128 + 221ac: e0bfff0d sth r2,-4(fp) + + OV8865_write_cmos_sensor_8(0x3508, (gain >> 8) & 0x0F); + 221b0: e0bfff0b ldhu r2,-4(fp) + 221b4: 1004d23a srli r2,r2,8 + 221b8: 10803fcc andi r2,r2,255 + 221bc: 108003cc andi r2,r2,15 + 221c0: 100b883a mov r5,r2 + 221c4: 010d4204 movi r4,13576 + 221c8: 0021e300 call 21e30 + OV8865_write_cmos_sensor_8(0x3509, gain & 0xFF); + 221cc: e0bfff0b ldhu r2,-4(fp) + 221d0: 10803fcc andi r2,r2,255 + 221d4: 100b883a mov r5,r2 + 221d8: 010d4244 movi r4,13577 + 221dc: 0021e300 call 21e30 + + + oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); + 221e0: 01000134 movhi r4,4 + 221e4: 21041004 addi r4,r4,4160 + 221e8: 00204d40 call 204d4 +} + 221ec: 0001883a nop + 221f0: e037883a mov sp,fp + 221f4: dfc00117 ldw ra,4(sp) + 221f8: df000017 ldw fp,0(sp) + 221fc: dec00204 addi sp,sp,8 + 22200: f800283a ret + +00022204 : + +alt_u32 OV8865ReadExposure(){ + 22204: defffb04 addi sp,sp,-20 + 22208: dfc00415 stw ra,16(sp) + 2220c: df000315 stw fp,12(sp) + 22210: dc000215 stw r16,8(sp) + 22214: df000304 addi fp,sp,12 + + alt_u32 exposure; + + Focus_Released(); // waiting for VCM release I2C bus + 22218: 00213440 call 21344 + + int bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K + 2221c: 018001b4 movhi r6,6 + 22220: 3186a004 addi r6,r6,6784 + 22224: 0140bef4 movhi r5,763 + 22228: 297c2004 addi r5,r5,-3968 + 2222c: 01000134 movhi r4,4 + 22230: 21041004 addi r4,r4,4160 + 22234: 00203980 call 20398 + 22238: e0bffd15 stw r2,-12(fp) + if (!bSuccess) + 2223c: e0bffd17 ldw r2,-12(fp) + 22240: 1000031e bne r2,zero,22250 + printf("failed to init MIPI- Camera i2c\r\n"); + 22244: 010000f4 movhi r4,3 + 22248: 210a2704 addi r4,r4,10396 + 2224c: 002367c0 call 2367c + + exposure = OV8865_read_cmos_sensor_8(0x3500); + 22250: 010d4004 movi r4,13568 + 22254: 0021dcc0 call 21dcc + 22258: 10803fcc andi r2,r2,255 + 2225c: e0bffe15 stw r2,-8(fp) + exposure = (exposure <<8) | OV8865_read_cmos_sensor_8(0x3501); + 22260: e0bffe17 ldw r2,-8(fp) + 22264: 1020923a slli r16,r2,8 + 22268: 010d4044 movi r4,13569 + 2226c: 0021dcc0 call 21dcc + 22270: 10803fcc andi r2,r2,255 + 22274: 8084b03a or r2,r16,r2 + 22278: e0bffe15 stw r2,-8(fp) + exposure = (exposure <<8) | OV8865_read_cmos_sensor_8(0x3502); + 2227c: e0bffe17 ldw r2,-8(fp) + 22280: 1020923a slli r16,r2,8 + 22284: 010d4084 movi r4,13570 + 22288: 0021dcc0 call 21dcc + 2228c: 10803fcc andi r2,r2,255 + 22290: 8084b03a or r2,r16,r2 + 22294: e0bffe15 stw r2,-8(fp) + + oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); + 22298: 01000134 movhi r4,4 + 2229c: 21041004 addi r4,r4,4160 + 222a0: 00204d40 call 204d4 + + return exposure; + 222a4: e0bffe17 ldw r2,-8(fp) +} + 222a8: e6ffff04 addi sp,fp,-4 + 222ac: dfc00217 ldw ra,8(sp) + 222b0: df000117 ldw fp,4(sp) + 222b4: dc000017 ldw r16,0(sp) + 222b8: dec00304 addi sp,sp,12 + 222bc: f800283a ret + +000222c0 : //ZOOM void MIPI_BIN_LEVEL(alt_u8 level){ - 21e7c: defffc04 addi sp,sp,-16 - 21e80: dfc00315 stw ra,12(sp) - 21e84: df000215 stw fp,8(sp) - 21e88: df000204 addi fp,sp,8 - 21e8c: 2005883a mov r2,r4 - 21e90: e0bfff05 stb r2,-4(fp) + 222c0: defffc04 addi sp,sp,-16 + 222c4: dfc00315 stw ra,12(sp) + 222c8: df000215 stw fp,8(sp) + 222cc: df000204 addi fp,sp,8 + 222d0: 2005883a mov r2,r4 + 222d4: e0bfff05 stb r2,-4(fp) if(level <= 1) level = 1; - 21e94: e0bfff03 ldbu r2,-4(fp) - 21e98: 108000a8 cmpgeui r2,r2,2 - 21e9c: 1000021e bne r2,zero,21ea8 - 21ea0: 00800044 movi r2,1 - 21ea4: e0bfff05 stb r2,-4(fp) + 222d8: e0bfff03 ldbu r2,-4(fp) + 222dc: 108000a8 cmpgeui r2,r2,2 + 222e0: 1000021e bne r2,zero,222ec + 222e4: 00800044 movi r2,1 + 222e8: e0bfff05 stb r2,-4(fp) if(level >= 3) level = 3; - 21ea8: e0bfff03 ldbu r2,-4(fp) - 21eac: 108000f0 cmpltui r2,r2,3 - 21eb0: 1000021e bne r2,zero,21ebc - 21eb4: 008000c4 movi r2,3 - 21eb8: e0bfff05 stb r2,-4(fp) + 222ec: e0bfff03 ldbu r2,-4(fp) + 222f0: 108000f0 cmpltui r2,r2,3 + 222f4: 1000021e bne r2,zero,22300 + 222f8: 008000c4 movi r2,3 + 222fc: e0bfff05 stb r2,-4(fp) Focus_Released(); // waiting for VCM release I2C bus - 21ebc: 00213440 call 21344 + 22300: 00213440 call 21344 int bSuccess; bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 21ec0: 018001b4 movhi r6,6 - 21ec4: 3186a004 addi r6,r6,6784 - 21ec8: 0140bef4 movhi r5,763 - 21ecc: 297c2004 addi r5,r5,-3968 - 21ed0: 01000134 movhi r4,4 - 21ed4: 21041004 addi r4,r4,4160 - 21ed8: 00203980 call 20398 - 21edc: e0bffe15 stw r2,-8(fp) + 22304: 018001b4 movhi r6,6 + 22308: 3186a004 addi r6,r6,6784 + 2230c: 0140bef4 movhi r5,763 + 22310: 297c2004 addi r5,r5,-3968 + 22314: 01000134 movhi r4,4 + 22318: 21041004 addi r4,r4,4160 + 2231c: 00203980 call 20398 + 22320: e0bffe15 stw r2,-8(fp) if (!bSuccess) - 21ee0: e0bffe17 ldw r2,-8(fp) - 21ee4: 1000031e bne r2,zero,21ef4 + 22324: e0bffe17 ldw r2,-8(fp) + 22328: 1000031e bne r2,zero,22338 printf("failed to init MIPI- Camera i2c\r\n"); - 21ee8: 010000f4 movhi r4,3 - 21eec: 2107d304 addi r4,r4,8012 - 21ef0: 00231400 call 23140 + 2232c: 010000f4 movhi r4,3 + 22330: 210a2704 addi r4,r4,10396 + 22334: 002367c0 call 2367c OV8865_write_cmos_sensor_8(0x0100, 0x00); - 21ef4: 000b883a mov r5,zero - 21ef8: 01004004 movi r4,256 - 21efc: 0021c400 call 21c40 + 22338: 000b883a mov r5,zero + 2233c: 01004004 movi r4,256 + 22340: 0021e300 call 21e30 if(level == 1){ - 21f00: e0bfff03 ldbu r2,-4(fp) - 21f04: 10800058 cmpnei r2,r2,1 - 21f08: 1000131e bne r2,zero,21f58 + 22344: e0bfff03 ldbu r2,-4(fp) + 22348: 10800058 cmpnei r2,r2,1 + 2234c: 1000131e bne r2,zero,2239c OV8865_write_cmos_sensor_8(0x3814, 0x01); - 21f0c: 01400044 movi r5,1 - 21f10: 010e0504 movi r4,14356 - 21f14: 0021c400 call 21c40 + 22350: 01400044 movi r5,1 + 22354: 010e0504 movi r4,14356 + 22358: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3815, 0x01); - 21f18: 01400044 movi r5,1 - 21f1c: 010e0544 movi r4,14357 - 21f20: 0021c400 call 21c40 + 2235c: 01400044 movi r5,1 + 22360: 010e0544 movi r4,14357 + 22364: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x382a, 0x01); - 21f24: 01400044 movi r5,1 - 21f28: 010e0a84 movi r4,14378 - 21f2c: 0021c400 call 21c40 + 22368: 01400044 movi r5,1 + 2236c: 010e0a84 movi r4,14378 + 22370: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x382b, 0x01); - 21f30: 01400044 movi r5,1 - 21f34: 010e0ac4 movi r4,14379 - 21f38: 0021c400 call 21c40 + 22374: 01400044 movi r5,1 + 22378: 010e0ac4 movi r4,14379 + 2237c: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3830, 8); - 21f3c: 01400204 movi r5,8 - 21f40: 010e0c04 movi r4,14384 - 21f44: 0021c400 call 21c40 + 22380: 01400204 movi r5,8 + 22384: 010e0c04 movi r4,14384 + 22388: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3836, 2); - 21f48: 01400084 movi r5,2 - 21f4c: 010e0d84 movi r4,14390 - 21f50: 0021c400 call 21c40 - 21f54: 00002b06 br 22004 + 2238c: 01400084 movi r5,2 + 22390: 010e0d84 movi r4,14390 + 22394: 0021e300 call 21e30 + 22398: 00002b06 br 22448 } else if(level == 2){ - 21f58: e0bfff03 ldbu r2,-4(fp) - 21f5c: 10800098 cmpnei r2,r2,2 - 21f60: 1000131e bne r2,zero,21fb0 + 2239c: e0bfff03 ldbu r2,-4(fp) + 223a0: 10800098 cmpnei r2,r2,2 + 223a4: 1000131e bne r2,zero,223f4 OV8865_write_cmos_sensor_8(0x3814, 0x03); - 21f64: 014000c4 movi r5,3 - 21f68: 010e0504 movi r4,14356 - 21f6c: 0021c400 call 21c40 + 223a8: 014000c4 movi r5,3 + 223ac: 010e0504 movi r4,14356 + 223b0: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3815, 0x01); - 21f70: 01400044 movi r5,1 - 21f74: 010e0544 movi r4,14357 - 21f78: 0021c400 call 21c40 + 223b4: 01400044 movi r5,1 + 223b8: 010e0544 movi r4,14357 + 223bc: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x382a, 0x03); - 21f7c: 014000c4 movi r5,3 - 21f80: 010e0a84 movi r4,14378 - 21f84: 0021c400 call 21c40 + 223c0: 014000c4 movi r5,3 + 223c4: 010e0a84 movi r4,14378 + 223c8: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x382b, 0x01); - 21f88: 01400044 movi r5,1 - 21f8c: 010e0ac4 movi r4,14379 - 21f90: 0021c400 call 21c40 + 223cc: 01400044 movi r5,1 + 223d0: 010e0ac4 movi r4,14379 + 223d4: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3830, 4); - 21f94: 01400104 movi r5,4 - 21f98: 010e0c04 movi r4,14384 - 21f9c: 0021c400 call 21c40 + 223d8: 01400104 movi r5,4 + 223dc: 010e0c04 movi r4,14384 + 223e0: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3836, 1); - 21fa0: 01400044 movi r5,1 - 21fa4: 010e0d84 movi r4,14390 - 21fa8: 0021c400 call 21c40 - 21fac: 00001506 br 22004 + 223e4: 01400044 movi r5,1 + 223e8: 010e0d84 movi r4,14390 + 223ec: 0021e300 call 21e30 + 223f0: 00001506 br 22448 } else if(level == 3){ - 21fb0: e0bfff03 ldbu r2,-4(fp) - 21fb4: 108000d8 cmpnei r2,r2,3 - 21fb8: 1000121e bne r2,zero,22004 + 223f4: e0bfff03 ldbu r2,-4(fp) + 223f8: 108000d8 cmpnei r2,r2,3 + 223fc: 1000121e bne r2,zero,22448 OV8865_write_cmos_sensor_8(0x3814, 0x07); - 21fbc: 014001c4 movi r5,7 - 21fc0: 010e0504 movi r4,14356 - 21fc4: 0021c400 call 21c40 + 22400: 014001c4 movi r5,7 + 22404: 010e0504 movi r4,14356 + 22408: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3815, 0x01); - 21fc8: 01400044 movi r5,1 - 21fcc: 010e0544 movi r4,14357 - 21fd0: 0021c400 call 21c40 + 2240c: 01400044 movi r5,1 + 22410: 010e0544 movi r4,14357 + 22414: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x382a, 0x07); - 21fd4: 014001c4 movi r5,7 - 21fd8: 010e0a84 movi r4,14378 - 21fdc: 0021c400 call 21c40 + 22418: 014001c4 movi r5,7 + 2241c: 010e0a84 movi r4,14378 + 22420: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x382b, 0x01); - 21fe0: 01400044 movi r5,1 - 21fe4: 010e0ac4 movi r4,14379 - 21fe8: 0021c400 call 21c40 + 22424: 01400044 movi r5,1 + 22428: 010e0ac4 movi r4,14379 + 2242c: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3830, 8); - 21fec: 01400204 movi r5,8 - 21ff0: 010e0c04 movi r4,14384 - 21ff4: 0021c400 call 21c40 + 22430: 01400204 movi r5,8 + 22434: 010e0c04 movi r4,14384 + 22438: 0021e300 call 21e30 OV8865_write_cmos_sensor_8(0x3836, 2); - 21ff8: 01400084 movi r5,2 - 21ffc: 010e0d84 movi r4,14390 - 22000: 0021c400 call 21c40 + 2243c: 01400084 movi r5,2 + 22440: 010e0d84 movi r4,14390 + 22444: 0021e300 call 21e30 } usleep(10000); - 22004: 0109c404 movi r4,10000 - 22008: 002f9cc0 call 2f9cc + 22448: 0109c404 movi r4,10000 + 2244c: 00302f00 call 302f0 OV8865_write_cmos_sensor_8(0x0100, 0x01); - 2200c: 01400044 movi r5,1 - 22010: 01004004 movi r4,256 - 22014: 0021c400 call 21c40 + 22450: 01400044 movi r5,1 + 22454: 01004004 movi r4,256 + 22458: 0021e300 call 21e30 oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - 22018: 01000134 movhi r4,4 - 2201c: 21041004 addi r4,r4,4160 - 22020: 00204d40 call 204d4 + 2245c: 01000134 movhi r4,4 + 22460: 21041004 addi r4,r4,4160 + 22464: 00204d40 call 204d4 } - 22024: 0001883a nop - 22028: e037883a mov sp,fp - 2202c: dfc00117 ldw ra,4(sp) - 22030: df000017 ldw fp,0(sp) - 22034: dec00204 addi sp,sp,8 - 22038: f800283a ret + 22468: 0001883a nop + 2246c: e037883a mov sp,fp + 22470: dfc00117 ldw ra,4(sp) + 22474: df000017 ldw fp,0(sp) + 22478: dec00204 addi sp,sp,8 + 2247c: f800283a ret -0002203c : +00022480 : // OV8865_write_cmos_sensor_8(0x0100, 0x01); //} void MipiCameraInit(void) { - 2203c: defffb04 addi sp,sp,-20 - 22040: dfc00415 stw ra,16(sp) - 22044: df000315 stw fp,12(sp) - 22048: df000304 addi fp,sp,12 + 22480: defffb04 addi sp,sp,-20 + 22484: dfc00415 stw ra,16(sp) + 22488: df000315 stw fp,12(sp) + 2248c: df000304 addi fp,sp,12 int i, num; int bSuccess; Focus_Released(); // waiting for VCM release I2C bus - 2204c: 00213440 call 21344 + 22490: 00213440 call 21344 bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K - 22050: 018001b4 movhi r6,6 - 22054: 3186a004 addi r6,r6,6784 - 22058: 0140bef4 movhi r5,763 - 2205c: 297c2004 addi r5,r5,-3968 - 22060: 01000134 movhi r4,4 - 22064: 21041004 addi r4,r4,4160 - 22068: 00203980 call 20398 - 2206c: e0bffe15 stw r2,-8(fp) + 22494: 018001b4 movhi r6,6 + 22498: 3186a004 addi r6,r6,6784 + 2249c: 0140bef4 movhi r5,763 + 224a0: 297c2004 addi r5,r5,-3968 + 224a4: 01000134 movhi r4,4 + 224a8: 21041004 addi r4,r4,4160 + 224ac: 00203980 call 20398 + 224b0: e0bffe15 stw r2,-8(fp) if (!bSuccess) - 22070: e0bffe17 ldw r2,-8(fp) - 22074: 1000031e bne r2,zero,22084 + 224b4: e0bffe17 ldw r2,-8(fp) + 224b8: 1000031e bne r2,zero,224c8 printf("failed to init MIPI- Camera i2c\r\n"); - 22078: 010000f4 movhi r4,3 - 2207c: 2107d304 addi r4,r4,8012 - 22080: 00231400 call 23140 + 224bc: 010000f4 movhi r4,3 + 224c0: 210a2704 addi r4,r4,10396 + 224c4: 002367c0 call 2367c // usleep(10000); // } // OV8865DB("\nStart MipiCameraInit -OV8865!\r\n"); - 22084: 010000f4 movhi r4,3 - 22088: 2107e304 addi r4,r4,8076 - 2208c: 00231400 call 23140 + 224c8: 010000f4 movhi r4,3 + 224cc: 210a3004 addi r4,r4,10432 + 224d0: 002367c0 call 2367c OV8865DB("Write Read Test!\n"); - 22090: 010000f4 movhi r4,3 - 22094: 2107eb04 addi r4,r4,8108 - 22098: 00231400 call 23140 + 224d4: 010000f4 movhi r4,3 + 224d8: 210a3804 addi r4,r4,10464 + 224dc: 002367c0 call 2367c for(i=0;i<10;i++){ - 2209c: e03ffd15 stw zero,-12(fp) - 220a0: 00001406 br 220f4 + 224e0: e03ffd15 stw zero,-12(fp) + 224e4: 00001406 br 22538 OV8865_write_cmos_sensor_8(0x3809,i); - 220a4: e0bffd17 ldw r2,-12(fp) - 220a8: 10803fcc andi r2,r2,255 - 220ac: 100b883a mov r5,r2 - 220b0: 010e0244 movi r4,14345 - 220b4: 0021c400 call 21c40 + 224e8: e0bffd17 ldw r2,-12(fp) + 224ec: 10803fcc andi r2,r2,255 + 224f0: 100b883a mov r5,r2 + 224f4: 010e0244 movi r4,14345 + 224f8: 0021e300 call 21e30 usleep(100); - 220b8: 01001904 movi r4,100 - 220bc: 002f9cc0 call 2f9cc + 224fc: 01001904 movi r4,100 + 22500: 00302f00 call 302f0 printf("%d (%d)\n",OV8865_read_cmos_sensor_8(0x3809),i); - 220c0: 010e0244 movi r4,14345 - 220c4: 0021bdc0 call 21bdc - 220c8: 10803fcc andi r2,r2,255 - 220cc: e1bffd17 ldw r6,-12(fp) - 220d0: 100b883a mov r5,r2 - 220d4: 010000f4 movhi r4,3 - 220d8: 2107f004 addi r4,r4,8128 - 220dc: 00230240 call 23024 + 22504: 010e0244 movi r4,14345 + 22508: 0021dcc0 call 21dcc + 2250c: 10803fcc andi r2,r2,255 + 22510: e1bffd17 ldw r6,-12(fp) + 22514: 100b883a mov r5,r2 + 22518: 010000f4 movhi r4,3 + 2251c: 210a3d04 addi r4,r4,10484 + 22520: 00235600 call 23560 usleep(100); - 220e0: 01001904 movi r4,100 - 220e4: 002f9cc0 call 2f9cc + 22524: 01001904 movi r4,100 + 22528: 00302f00 call 302f0 OV8865DB("\nStart MipiCameraInit -OV8865!\r\n"); OV8865DB("Write Read Test!\n"); for(i=0;i<10;i++){ - 220e8: e0bffd17 ldw r2,-12(fp) - 220ec: 10800044 addi r2,r2,1 - 220f0: e0bffd15 stw r2,-12(fp) - 220f4: e0bffd17 ldw r2,-12(fp) - 220f8: 10800290 cmplti r2,r2,10 - 220fc: 103fe91e bne r2,zero,220a4 <_gp+0xfffe5b6c> + 2252c: e0bffd17 ldw r2,-12(fp) + 22530: 10800044 addi r2,r2,1 + 22534: e0bffd15 stw r2,-12(fp) + 22538: e0bffd17 ldw r2,-12(fp) + 2253c: 10800290 cmplti r2,r2,10 + 22540: 103fe91e bne r2,zero,224e8 <_gp+0xfffe567c> OV8865_write_cmos_sensor_8(0x3809,i); usleep(100); printf("%d (%d)\n",OV8865_read_cmos_sensor_8(0x3809),i); usleep(100); } num = sizeof(MipiCameraReg)/sizeof(MipiCameraReg[0]); - 22100: 00804f84 movi r2,318 - 22104: e0bfff15 stw r2,-4(fp) + 22544: 00804f84 movi r2,318 + 22548: e0bfff15 stw r2,-4(fp) for(i=0;i + 2254c: e03ffd15 stw zero,-12(fp) + 22550: 00003c06 br 22644 if (MipiCameraReg[i].Type == TIME_DELAY) usleep(MipiCameraReg[i].Data*100); - 22110: 008000f4 movhi r2,3 - 22114: 1088c604 addi r2,r2,8984 - 22118: e0fffd17 ldw r3,-12(fp) - 2211c: 18c001a4 muli r3,r3,6 - 22120: 10c5883a add r2,r2,r3 - 22124: 10800003 ldbu r2,0(r2) - 22128: 10803fcc andi r2,r2,255 - 2212c: 108000d8 cmpnei r2,r2,3 - 22130: 10000c1e bne r2,zero,22164 - 22134: 008000f4 movhi r2,3 - 22138: 1088c604 addi r2,r2,8984 - 2213c: e0fffd17 ldw r3,-12(fp) - 22140: 18c001a4 muli r3,r3,6 - 22144: 10c5883a add r2,r2,r3 - 22148: 10800104 addi r2,r2,4 - 2214c: 10800003 ldbu r2,0(r2) - 22150: 10803fcc andi r2,r2,255 - 22154: 10801924 muli r2,r2,100 - 22158: 1009883a mov r4,r2 - 2215c: 002f9cc0 call 2f9cc - 22160: 00002406 br 221f4 + 22554: 008000f4 movhi r2,3 + 22558: 108b1304 addi r2,r2,11340 + 2255c: e0fffd17 ldw r3,-12(fp) + 22560: 18c001a4 muli r3,r3,6 + 22564: 10c5883a add r2,r2,r3 + 22568: 10800003 ldbu r2,0(r2) + 2256c: 10803fcc andi r2,r2,255 + 22570: 108000d8 cmpnei r2,r2,3 + 22574: 10000c1e bne r2,zero,225a8 + 22578: 008000f4 movhi r2,3 + 2257c: 108b1304 addi r2,r2,11340 + 22580: e0fffd17 ldw r3,-12(fp) + 22584: 18c001a4 muli r3,r3,6 + 22588: 10c5883a add r2,r2,r3 + 2258c: 10800104 addi r2,r2,4 + 22590: 10800003 ldbu r2,0(r2) + 22594: 10803fcc andi r2,r2,255 + 22598: 10801924 muli r2,r2,100 + 2259c: 1009883a mov r4,r2 + 225a0: 00302f00 call 302f0 + 225a4: 00002406 br 22638 else if(MipiCameraReg[i].Type == END_OF_SCRIPT) break; - 22164: 008000f4 movhi r2,3 - 22168: 1088c604 addi r2,r2,8984 - 2216c: e0fffd17 ldw r3,-12(fp) - 22170: 18c001a4 muli r3,r3,6 - 22174: 10c5883a add r2,r2,r3 - 22178: 10800003 ldbu r2,0(r2) - 2217c: 10803fcc andi r2,r2,255 - 22180: 10800118 cmpnei r2,r2,4 - 22184: 10002226 beq r2,zero,22210 + 225a8: 008000f4 movhi r2,3 + 225ac: 108b1304 addi r2,r2,11340 + 225b0: e0fffd17 ldw r3,-12(fp) + 225b4: 18c001a4 muli r3,r3,6 + 225b8: 10c5883a add r2,r2,r3 + 225bc: 10800003 ldbu r2,0(r2) + 225c0: 10803fcc andi r2,r2,255 + 225c4: 10800118 cmpnei r2,r2,4 + 225c8: 10002226 beq r2,zero,22654 else if(MipiCameraReg[i].Type == 0x6c) OV8865_write_cmos_sensor_8(MipiCameraReg[i].Addr, MipiCameraReg[i].Data); - 22188: 008000f4 movhi r2,3 - 2218c: 1088c604 addi r2,r2,8984 - 22190: e0fffd17 ldw r3,-12(fp) - 22194: 18c001a4 muli r3,r3,6 - 22198: 10c5883a add r2,r2,r3 - 2219c: 10800003 ldbu r2,0(r2) - 221a0: 10803fcc andi r2,r2,255 - 221a4: 10801b18 cmpnei r2,r2,108 - 221a8: 1000121e bne r2,zero,221f4 - 221ac: 008000f4 movhi r2,3 - 221b0: 1088c604 addi r2,r2,8984 - 221b4: e0fffd17 ldw r3,-12(fp) - 221b8: 18c001a4 muli r3,r3,6 - 221bc: 10c5883a add r2,r2,r3 - 221c0: 10800084 addi r2,r2,2 - 221c4: 1080000b ldhu r2,0(r2) - 221c8: 113fffcc andi r4,r2,65535 - 221cc: 008000f4 movhi r2,3 - 221d0: 1088c604 addi r2,r2,8984 - 221d4: e0fffd17 ldw r3,-12(fp) - 221d8: 18c001a4 muli r3,r3,6 - 221dc: 10c5883a add r2,r2,r3 - 221e0: 10800104 addi r2,r2,4 - 221e4: 10800003 ldbu r2,0(r2) - 221e8: 10803fcc andi r2,r2,255 - 221ec: 100b883a mov r5,r2 - 221f0: 0021c400 call 21c40 + 225cc: 008000f4 movhi r2,3 + 225d0: 108b1304 addi r2,r2,11340 + 225d4: e0fffd17 ldw r3,-12(fp) + 225d8: 18c001a4 muli r3,r3,6 + 225dc: 10c5883a add r2,r2,r3 + 225e0: 10800003 ldbu r2,0(r2) + 225e4: 10803fcc andi r2,r2,255 + 225e8: 10801b18 cmpnei r2,r2,108 + 225ec: 1000121e bne r2,zero,22638 + 225f0: 008000f4 movhi r2,3 + 225f4: 108b1304 addi r2,r2,11340 + 225f8: e0fffd17 ldw r3,-12(fp) + 225fc: 18c001a4 muli r3,r3,6 + 22600: 10c5883a add r2,r2,r3 + 22604: 10800084 addi r2,r2,2 + 22608: 1080000b ldhu r2,0(r2) + 2260c: 113fffcc andi r4,r2,65535 + 22610: 008000f4 movhi r2,3 + 22614: 108b1304 addi r2,r2,11340 + 22618: e0fffd17 ldw r3,-12(fp) + 2261c: 18c001a4 muli r3,r3,6 + 22620: 10c5883a add r2,r2,r3 + 22624: 10800104 addi r2,r2,4 + 22628: 10800003 ldbu r2,0(r2) + 2262c: 10803fcc andi r2,r2,255 + 22630: 100b883a mov r5,r2 + 22634: 0021e300 call 21e30 usleep(100); printf("%d (%d)\n",OV8865_read_cmos_sensor_8(0x3809),i); usleep(100); } num = sizeof(MipiCameraReg)/sizeof(MipiCameraReg[0]); for(i=0;i - 2220c: 00000106 br 22214 + 22638: e0bffd17 ldw r2,-12(fp) + 2263c: 10800044 addi r2,r2,1 + 22640: e0bffd15 stw r2,-12(fp) + 22644: e0fffd17 ldw r3,-12(fp) + 22648: e0bfff17 ldw r2,-4(fp) + 2264c: 18bfc116 blt r3,r2,22554 <_gp+0xfffe56e8> + 22650: 00000106 br 22658 if (MipiCameraReg[i].Type == TIME_DELAY) usleep(MipiCameraReg[i].Data*100); else if(MipiCameraReg[i].Type == END_OF_SCRIPT) break; - 22210: 0001883a nop + 22654: 0001883a nop else if(MipiCameraReg[i].Type == 0x6c) OV8865_write_cmos_sensor_8(MipiCameraReg[i].Addr, MipiCameraReg[i].Data); } oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared! - 22214: 01000134 movhi r4,4 - 22218: 21041004 addi r4,r4,4160 - 2221c: 00204d40 call 204d4 + 22658: 01000134 movhi r4,4 + 2265c: 21041004 addi r4,r4,4160 + 22660: 00204d40 call 204d4 OV8865DB("\nEnd MipiCameraInit! -OV8865!\r\n\n"); - 22220: 010000f4 movhi r4,3 - 22224: 2107f304 addi r4,r4,8140 - 22228: 00231400 call 23140 + 22664: 010000f4 movhi r4,3 + 22668: 210a4004 addi r4,r4,10496 + 2266c: 002367c0 call 2367c } - 2222c: 0001883a nop - 22230: e037883a mov sp,fp - 22234: dfc00117 ldw ra,4(sp) - 22238: df000017 ldw fp,0(sp) - 2223c: dec00204 addi sp,sp,8 - 22240: f800283a ret + 22670: 0001883a nop + 22674: e037883a mov sp,fp + 22678: dfc00117 ldw ra,4(sp) + 2267c: df000017 ldw fp,0(sp) + 22680: dec00204 addi sp,sp,8 + 22684: f800283a ret -00022244 : +00022688 : #include "queue.h" QUEUE_STRUCT* QUEUE_New(int nQueueNum){ - 22244: defffb04 addi sp,sp,-20 - 22248: dfc00415 stw ra,16(sp) - 2224c: df000315 stw fp,12(sp) - 22250: df000304 addi fp,sp,12 - 22254: e13fff15 stw r4,-4(fp) + 22688: defffb04 addi sp,sp,-20 + 2268c: dfc00415 stw ra,16(sp) + 22690: df000315 stw fp,12(sp) + 22694: df000304 addi fp,sp,12 + 22698: e13fff15 stw r4,-4(fp) int nSize; QUEUE_STRUCT *pQueue; nSize = sizeof(QUEUE_STRUCT)+nQueueNum*sizeof(alt_u32); - 22258: e0bfff17 ldw r2,-4(fp) - 2225c: 10800144 addi r2,r2,5 - 22260: 1085883a add r2,r2,r2 - 22264: 1085883a add r2,r2,r2 - 22268: e0bffd15 stw r2,-12(fp) + 2269c: e0bfff17 ldw r2,-4(fp) + 226a0: 10800144 addi r2,r2,5 + 226a4: 1085883a add r2,r2,r2 + 226a8: 1085883a add r2,r2,r2 + 226ac: e0bffd15 stw r2,-12(fp) pQueue = (QUEUE_STRUCT *)malloc(nSize); - 2226c: e0bffd17 ldw r2,-12(fp) - 22270: 1009883a mov r4,r2 - 22274: 00226980 call 22698 - 22278: e0bffe15 stw r2,-8(fp) + 226b0: e0bffd17 ldw r2,-12(fp) + 226b4: 1009883a mov r4,r2 + 226b8: 0022bd40 call 22bd4 + 226bc: e0bffe15 stw r2,-8(fp) memset((void *)pQueue, 0, nSize); - 2227c: e0bffd17 ldw r2,-12(fp) - 22280: 100d883a mov r6,r2 - 22284: 000b883a mov r5,zero - 22288: e13ffe17 ldw r4,-8(fp) - 2228c: 0022ecc0 call 22ecc + 226c0: e0bffd17 ldw r2,-12(fp) + 226c4: 100d883a mov r6,r2 + 226c8: 000b883a mov r5,zero + 226cc: e13ffe17 ldw r4,-8(fp) + 226d0: 00234080 call 23408 pQueue->num = nQueueNum; - 22290: e0ffff17 ldw r3,-4(fp) - 22294: e0bffe17 ldw r2,-8(fp) - 22298: 10c00015 stw r3,0(r2) + 226d4: e0ffff17 ldw r3,-4(fp) + 226d8: e0bffe17 ldw r2,-8(fp) + 226dc: 10c00015 stw r3,0(r2) return pQueue; - 2229c: e0bffe17 ldw r2,-8(fp) + 226e0: e0bffe17 ldw r2,-8(fp) } - 222a0: e037883a mov sp,fp - 222a4: dfc00117 ldw ra,4(sp) - 222a8: df000017 ldw fp,0(sp) - 222ac: dec00204 addi sp,sp,8 - 222b0: f800283a ret + 226e4: e037883a mov sp,fp + 226e8: dfc00117 ldw ra,4(sp) + 226ec: df000017 ldw fp,0(sp) + 226f0: dec00204 addi sp,sp,8 + 226f4: f800283a ret -000222b4 : +000226f8 : void QUEUE_Delete(QUEUE_STRUCT *pQueue){ - 222b4: defffd04 addi sp,sp,-12 - 222b8: dfc00215 stw ra,8(sp) - 222bc: df000115 stw fp,4(sp) - 222c0: df000104 addi fp,sp,4 - 222c4: e13fff15 stw r4,-4(fp) + 226f8: defffd04 addi sp,sp,-12 + 226fc: dfc00215 stw ra,8(sp) + 22700: df000115 stw fp,4(sp) + 22704: df000104 addi fp,sp,4 + 22708: e13fff15 stw r4,-4(fp) free(pQueue); - 222c8: e13fff17 ldw r4,-4(fp) - 222cc: 00226ac0 call 226ac + 2270c: e13fff17 ldw r4,-4(fp) + 22710: 0022be80 call 22be8 } - 222d0: 0001883a nop - 222d4: e037883a mov sp,fp - 222d8: dfc00117 ldw ra,4(sp) - 222dc: df000017 ldw fp,0(sp) - 222e0: dec00204 addi sp,sp,8 - 222e4: f800283a ret + 22714: 0001883a nop + 22718: e037883a mov sp,fp + 2271c: dfc00117 ldw ra,4(sp) + 22720: df000017 ldw fp,0(sp) + 22724: dec00204 addi sp,sp,8 + 22728: f800283a ret -000222e8 : +0002272c : bool QUEUE_IsEmpty(QUEUE_STRUCT *pQueue){ - 222e8: defffe04 addi sp,sp,-8 - 222ec: df000115 stw fp,4(sp) - 222f0: df000104 addi fp,sp,4 - 222f4: e13fff15 stw r4,-4(fp) + 2272c: defffe04 addi sp,sp,-8 + 22730: df000115 stw fp,4(sp) + 22734: df000104 addi fp,sp,4 + 22738: e13fff15 stw r4,-4(fp) if (pQueue->front == pQueue->rear) - 222f8: e0bfff17 ldw r2,-4(fp) - 222fc: 10c00117 ldw r3,4(r2) - 22300: e0bfff17 ldw r2,-4(fp) - 22304: 10800217 ldw r2,8(r2) - 22308: 1880021e bne r3,r2,22314 + 2273c: e0bfff17 ldw r2,-4(fp) + 22740: 10c00117 ldw r3,4(r2) + 22744: e0bfff17 ldw r2,-4(fp) + 22748: 10800217 ldw r2,8(r2) + 2274c: 1880021e bne r3,r2,22758 return TRUE; - 2230c: 00800044 movi r2,1 - 22310: 00000106 br 22318 + 22750: 00800044 movi r2,1 + 22754: 00000106 br 2275c return FALSE; - 22314: 0005883a mov r2,zero + 22758: 0005883a mov r2,zero } - 22318: e037883a mov sp,fp - 2231c: df000017 ldw fp,0(sp) - 22320: dec00104 addi sp,sp,4 - 22324: f800283a ret + 2275c: e037883a mov sp,fp + 22760: df000017 ldw fp,0(sp) + 22764: dec00104 addi sp,sp,4 + 22768: f800283a ret -00022328 : +0002276c : bool QUEUE_IsFull(QUEUE_STRUCT *pQueue){ - 22328: defffd04 addi sp,sp,-12 - 2232c: dfc00215 stw ra,8(sp) - 22330: df000115 stw fp,4(sp) - 22334: df000104 addi fp,sp,4 - 22338: e13fff15 stw r4,-4(fp) + 2276c: defffd04 addi sp,sp,-12 + 22770: dfc00215 stw ra,8(sp) + 22774: df000115 stw fp,4(sp) + 22778: df000104 addi fp,sp,4 + 2277c: e13fff15 stw r4,-4(fp) if (((pQueue->front+1)%pQueue->num) == pQueue->rear) - 2233c: e0bfff17 ldw r2,-4(fp) - 22340: 10800117 ldw r2,4(r2) - 22344: 10c00044 addi r3,r2,1 - 22348: e0bfff17 ldw r2,-4(fp) - 2234c: 10800017 ldw r2,0(r2) - 22350: 100b883a mov r5,r2 - 22354: 1809883a mov r4,r3 - 22358: 00226400 call 22640 <__umodsi3> - 2235c: 1007883a mov r3,r2 - 22360: e0bfff17 ldw r2,-4(fp) - 22364: 10800217 ldw r2,8(r2) - 22368: 1880021e bne r3,r2,22374 + 22780: e0bfff17 ldw r2,-4(fp) + 22784: 10800117 ldw r2,4(r2) + 22788: 10c00044 addi r3,r2,1 + 2278c: e0bfff17 ldw r2,-4(fp) + 22790: 10800017 ldw r2,0(r2) + 22794: 100b883a mov r5,r2 + 22798: 1809883a mov r4,r3 + 2279c: 0022a840 call 22a84 <__umodsi3> + 227a0: 1007883a mov r3,r2 + 227a4: e0bfff17 ldw r2,-4(fp) + 227a8: 10800217 ldw r2,8(r2) + 227ac: 1880021e bne r3,r2,227b8 return TRUE; - 2236c: 00800044 movi r2,1 - 22370: 00000106 br 22378 + 227b0: 00800044 movi r2,1 + 227b4: 00000106 br 227bc return FALSE; - 22374: 0005883a mov r2,zero + 227b8: 0005883a mov r2,zero } - 22378: e037883a mov sp,fp - 2237c: dfc00117 ldw ra,4(sp) - 22380: df000017 ldw fp,0(sp) - 22384: dec00204 addi sp,sp,8 - 22388: f800283a ret + 227bc: e037883a mov sp,fp + 227c0: dfc00117 ldw ra,4(sp) + 227c4: df000017 ldw fp,0(sp) + 227c8: dec00204 addi sp,sp,8 + 227cc: f800283a ret -0002238c : +000227d0 : bool QUEUE_Push(QUEUE_STRUCT *pQueue, alt_u32 data32){ - 2238c: defffc04 addi sp,sp,-16 - 22390: dfc00315 stw ra,12(sp) - 22394: df000215 stw fp,8(sp) - 22398: df000204 addi fp,sp,8 - 2239c: e13ffe15 stw r4,-8(fp) - 223a0: e17fff15 stw r5,-4(fp) + 227d0: defffc04 addi sp,sp,-16 + 227d4: dfc00315 stw ra,12(sp) + 227d8: df000215 stw fp,8(sp) + 227dc: df000204 addi fp,sp,8 + 227e0: e13ffe15 stw r4,-8(fp) + 227e4: e17fff15 stw r5,-4(fp) if (QUEUE_IsFull(pQueue)) - 223a4: e13ffe17 ldw r4,-8(fp) - 223a8: 00223280 call 22328 - 223ac: 10000226 beq r2,zero,223b8 + 227e8: e13ffe17 ldw r4,-8(fp) + 227ec: 002276c0 call 2276c + 227f0: 10000226 beq r2,zero,227fc return FALSE; - 223b0: 0005883a mov r2,zero - 223b4: 00001506 br 2240c + 227f4: 0005883a mov r2,zero + 227f8: 00001506 br 22850 pQueue->data[pQueue->front] = data32; - 223b8: e0bffe17 ldw r2,-8(fp) - 223bc: 10800117 ldw r2,4(r2) - 223c0: e0fffe17 ldw r3,-8(fp) - 223c4: 108000c4 addi r2,r2,3 - 223c8: 1085883a add r2,r2,r2 - 223cc: 1085883a add r2,r2,r2 - 223d0: 1885883a add r2,r3,r2 - 223d4: e0ffff17 ldw r3,-4(fp) - 223d8: 10c00015 stw r3,0(r2) + 227fc: e0bffe17 ldw r2,-8(fp) + 22800: 10800117 ldw r2,4(r2) + 22804: e0fffe17 ldw r3,-8(fp) + 22808: 108000c4 addi r2,r2,3 + 2280c: 1085883a add r2,r2,r2 + 22810: 1085883a add r2,r2,r2 + 22814: 1885883a add r2,r3,r2 + 22818: e0ffff17 ldw r3,-4(fp) + 2281c: 10c00015 stw r3,0(r2) pQueue->front = (pQueue->front+1)%pQueue->num; - 223dc: e0bffe17 ldw r2,-8(fp) - 223e0: 10800117 ldw r2,4(r2) - 223e4: 10c00044 addi r3,r2,1 - 223e8: e0bffe17 ldw r2,-8(fp) - 223ec: 10800017 ldw r2,0(r2) - 223f0: 100b883a mov r5,r2 - 223f4: 1809883a mov r4,r3 - 223f8: 00226400 call 22640 <__umodsi3> - 223fc: 1007883a mov r3,r2 - 22400: e0bffe17 ldw r2,-8(fp) - 22404: 10c00115 stw r3,4(r2) + 22820: e0bffe17 ldw r2,-8(fp) + 22824: 10800117 ldw r2,4(r2) + 22828: 10c00044 addi r3,r2,1 + 2282c: e0bffe17 ldw r2,-8(fp) + 22830: 10800017 ldw r2,0(r2) + 22834: 100b883a mov r5,r2 + 22838: 1809883a mov r4,r3 + 2283c: 0022a840 call 22a84 <__umodsi3> + 22840: 1007883a mov r3,r2 + 22844: e0bffe17 ldw r2,-8(fp) + 22848: 10c00115 stw r3,4(r2) return TRUE; - 22408: 00800044 movi r2,1 + 2284c: 00800044 movi r2,1 } - 2240c: e037883a mov sp,fp - 22410: dfc00117 ldw ra,4(sp) - 22414: df000017 ldw fp,0(sp) - 22418: dec00204 addi sp,sp,8 - 2241c: f800283a ret + 22850: e037883a mov sp,fp + 22854: dfc00117 ldw ra,4(sp) + 22858: df000017 ldw fp,0(sp) + 2285c: dec00204 addi sp,sp,8 + 22860: f800283a ret -00022420 : +00022864 : alt_u32 QUEUE_Pop(QUEUE_STRUCT *pQueue){ - 22420: defffc04 addi sp,sp,-16 - 22424: dfc00315 stw ra,12(sp) - 22428: df000215 stw fp,8(sp) - 2242c: df000204 addi fp,sp,8 - 22430: e13fff15 stw r4,-4(fp) + 22864: defffc04 addi sp,sp,-16 + 22868: dfc00315 stw ra,12(sp) + 2286c: df000215 stw fp,8(sp) + 22870: df000204 addi fp,sp,8 + 22874: e13fff15 stw r4,-4(fp) alt_u32 data32; if (QUEUE_IsEmpty(pQueue)) - 22434: e13fff17 ldw r4,-4(fp) - 22438: 00222e80 call 222e8 - 2243c: 10000226 beq r2,zero,22448 + 22878: e13fff17 ldw r4,-4(fp) + 2287c: 002272c0 call 2272c + 22880: 10000226 beq r2,zero,2288c return 0; - 22440: 0005883a mov r2,zero - 22444: 00001506 br 2249c + 22884: 0005883a mov r2,zero + 22888: 00001506 br 228e0 data32 = pQueue->data[pQueue->rear]; - 22448: e0bfff17 ldw r2,-4(fp) - 2244c: 10800217 ldw r2,8(r2) - 22450: e0ffff17 ldw r3,-4(fp) - 22454: 108000c4 addi r2,r2,3 - 22458: 1085883a add r2,r2,r2 - 2245c: 1085883a add r2,r2,r2 - 22460: 1885883a add r2,r3,r2 - 22464: 10800017 ldw r2,0(r2) - 22468: e0bffe15 stw r2,-8(fp) + 2288c: e0bfff17 ldw r2,-4(fp) + 22890: 10800217 ldw r2,8(r2) + 22894: e0ffff17 ldw r3,-4(fp) + 22898: 108000c4 addi r2,r2,3 + 2289c: 1085883a add r2,r2,r2 + 228a0: 1085883a add r2,r2,r2 + 228a4: 1885883a add r2,r3,r2 + 228a8: 10800017 ldw r2,0(r2) + 228ac: e0bffe15 stw r2,-8(fp) pQueue->rear = (pQueue->rear+1)%pQueue->num; - 2246c: e0bfff17 ldw r2,-4(fp) - 22470: 10800217 ldw r2,8(r2) - 22474: 10c00044 addi r3,r2,1 - 22478: e0bfff17 ldw r2,-4(fp) - 2247c: 10800017 ldw r2,0(r2) - 22480: 100b883a mov r5,r2 - 22484: 1809883a mov r4,r3 - 22488: 00226400 call 22640 <__umodsi3> - 2248c: 1007883a mov r3,r2 - 22490: e0bfff17 ldw r2,-4(fp) - 22494: 10c00215 stw r3,8(r2) + 228b0: e0bfff17 ldw r2,-4(fp) + 228b4: 10800217 ldw r2,8(r2) + 228b8: 10c00044 addi r3,r2,1 + 228bc: e0bfff17 ldw r2,-4(fp) + 228c0: 10800017 ldw r2,0(r2) + 228c4: 100b883a mov r5,r2 + 228c8: 1809883a mov r4,r3 + 228cc: 0022a840 call 22a84 <__umodsi3> + 228d0: 1007883a mov r3,r2 + 228d4: e0bfff17 ldw r2,-4(fp) + 228d8: 10c00215 stw r3,8(r2) return data32; - 22498: e0bffe17 ldw r2,-8(fp) + 228dc: e0bffe17 ldw r2,-8(fp) } - 2249c: e037883a mov sp,fp - 224a0: dfc00117 ldw ra,4(sp) - 224a4: df000017 ldw fp,0(sp) - 224a8: dec00204 addi sp,sp,8 - 224ac: f800283a ret + 228e0: e037883a mov sp,fp + 228e4: dfc00117 ldw ra,4(sp) + 228e8: df000017 ldw fp,0(sp) + 228ec: dec00204 addi sp,sp,8 + 228f0: f800283a ret -000224b0 : +000228f4 : void QUEUE_Empty(QUEUE_STRUCT *pQueue){ - 224b0: defffe04 addi sp,sp,-8 - 224b4: df000115 stw fp,4(sp) - 224b8: df000104 addi fp,sp,4 - 224bc: e13fff15 stw r4,-4(fp) + 228f4: defffe04 addi sp,sp,-8 + 228f8: df000115 stw fp,4(sp) + 228fc: df000104 addi fp,sp,4 + 22900: e13fff15 stw r4,-4(fp) pQueue->front = 0; - 224c0: e0bfff17 ldw r2,-4(fp) - 224c4: 10000115 stw zero,4(r2) + 22904: e0bfff17 ldw r2,-4(fp) + 22908: 10000115 stw zero,4(r2) pQueue->rear = 0; - 224c8: e0bfff17 ldw r2,-4(fp) - 224cc: 10000215 stw zero,8(r2) + 2290c: e0bfff17 ldw r2,-4(fp) + 22910: 10000215 stw zero,8(r2) } - 224d0: 0001883a nop - 224d4: e037883a mov sp,fp - 224d8: df000017 ldw fp,0(sp) - 224dc: dec00104 addi sp,sp,4 - 224e0: f800283a ret + 22914: 0001883a nop + 22918: e037883a mov sp,fp + 2291c: df000017 ldw fp,0(sp) + 22920: dec00104 addi sp,sp,4 + 22924: f800283a ret -000224e4 <__divsi3>: - 224e4: 20001b16 blt r4,zero,22554 <__divsi3+0x70> - 224e8: 000f883a mov r7,zero - 224ec: 28001616 blt r5,zero,22548 <__divsi3+0x64> - 224f0: 200d883a mov r6,r4 - 224f4: 29001a2e bgeu r5,r4,22560 <__divsi3+0x7c> - 224f8: 00800804 movi r2,32 - 224fc: 00c00044 movi r3,1 - 22500: 00000106 br 22508 <__divsi3+0x24> - 22504: 10000d26 beq r2,zero,2253c <__divsi3+0x58> - 22508: 294b883a add r5,r5,r5 - 2250c: 10bfffc4 addi r2,r2,-1 - 22510: 18c7883a add r3,r3,r3 - 22514: 293ffb36 bltu r5,r4,22504 <_gp+0xfffe5fcc> - 22518: 0005883a mov r2,zero - 2251c: 18000726 beq r3,zero,2253c <__divsi3+0x58> - 22520: 0005883a mov r2,zero - 22524: 31400236 bltu r6,r5,22530 <__divsi3+0x4c> - 22528: 314dc83a sub r6,r6,r5 - 2252c: 10c4b03a or r2,r2,r3 - 22530: 1806d07a srli r3,r3,1 - 22534: 280ad07a srli r5,r5,1 - 22538: 183ffa1e bne r3,zero,22524 <_gp+0xfffe5fec> - 2253c: 38000126 beq r7,zero,22544 <__divsi3+0x60> - 22540: 0085c83a sub r2,zero,r2 - 22544: f800283a ret - 22548: 014bc83a sub r5,zero,r5 - 2254c: 39c0005c xori r7,r7,1 - 22550: 003fe706 br 224f0 <_gp+0xfffe5fb8> - 22554: 0109c83a sub r4,zero,r4 - 22558: 01c00044 movi r7,1 - 2255c: 003fe306 br 224ec <_gp+0xfffe5fb4> - 22560: 00c00044 movi r3,1 - 22564: 003fee06 br 22520 <_gp+0xfffe5fe8> +00022928 <__divsi3>: + 22928: 20001b16 blt r4,zero,22998 <__divsi3+0x70> + 2292c: 000f883a mov r7,zero + 22930: 28001616 blt r5,zero,2298c <__divsi3+0x64> + 22934: 200d883a mov r6,r4 + 22938: 29001a2e bgeu r5,r4,229a4 <__divsi3+0x7c> + 2293c: 00800804 movi r2,32 + 22940: 00c00044 movi r3,1 + 22944: 00000106 br 2294c <__divsi3+0x24> + 22948: 10000d26 beq r2,zero,22980 <__divsi3+0x58> + 2294c: 294b883a add r5,r5,r5 + 22950: 10bfffc4 addi r2,r2,-1 + 22954: 18c7883a add r3,r3,r3 + 22958: 293ffb36 bltu r5,r4,22948 <_gp+0xfffe5adc> + 2295c: 0005883a mov r2,zero + 22960: 18000726 beq r3,zero,22980 <__divsi3+0x58> + 22964: 0005883a mov r2,zero + 22968: 31400236 bltu r6,r5,22974 <__divsi3+0x4c> + 2296c: 314dc83a sub r6,r6,r5 + 22970: 10c4b03a or r2,r2,r3 + 22974: 1806d07a srli r3,r3,1 + 22978: 280ad07a srli r5,r5,1 + 2297c: 183ffa1e bne r3,zero,22968 <_gp+0xfffe5afc> + 22980: 38000126 beq r7,zero,22988 <__divsi3+0x60> + 22984: 0085c83a sub r2,zero,r2 + 22988: f800283a ret + 2298c: 014bc83a sub r5,zero,r5 + 22990: 39c0005c xori r7,r7,1 + 22994: 003fe706 br 22934 <_gp+0xfffe5ac8> + 22998: 0109c83a sub r4,zero,r4 + 2299c: 01c00044 movi r7,1 + 229a0: 003fe306 br 22930 <_gp+0xfffe5ac4> + 229a4: 00c00044 movi r3,1 + 229a8: 003fee06 br 22964 <_gp+0xfffe5af8> -00022568 <__modsi3>: - 22568: 20001716 blt r4,zero,225c8 <__modsi3+0x60> - 2256c: 000f883a mov r7,zero - 22570: 2005883a mov r2,r4 - 22574: 28001216 blt r5,zero,225c0 <__modsi3+0x58> - 22578: 2900162e bgeu r5,r4,225d4 <__modsi3+0x6c> - 2257c: 01800804 movi r6,32 - 22580: 00c00044 movi r3,1 - 22584: 00000106 br 2258c <__modsi3+0x24> - 22588: 30000a26 beq r6,zero,225b4 <__modsi3+0x4c> - 2258c: 294b883a add r5,r5,r5 - 22590: 31bfffc4 addi r6,r6,-1 - 22594: 18c7883a add r3,r3,r3 - 22598: 293ffb36 bltu r5,r4,22588 <_gp+0xfffe6050> - 2259c: 18000526 beq r3,zero,225b4 <__modsi3+0x4c> - 225a0: 1806d07a srli r3,r3,1 - 225a4: 11400136 bltu r2,r5,225ac <__modsi3+0x44> - 225a8: 1145c83a sub r2,r2,r5 - 225ac: 280ad07a srli r5,r5,1 - 225b0: 183ffb1e bne r3,zero,225a0 <_gp+0xfffe6068> - 225b4: 38000126 beq r7,zero,225bc <__modsi3+0x54> - 225b8: 0085c83a sub r2,zero,r2 - 225bc: f800283a ret - 225c0: 014bc83a sub r5,zero,r5 - 225c4: 003fec06 br 22578 <_gp+0xfffe6040> - 225c8: 0109c83a sub r4,zero,r4 - 225cc: 01c00044 movi r7,1 - 225d0: 003fe706 br 22570 <_gp+0xfffe6038> - 225d4: 00c00044 movi r3,1 - 225d8: 003ff106 br 225a0 <_gp+0xfffe6068> +000229ac <__modsi3>: + 229ac: 20001716 blt r4,zero,22a0c <__modsi3+0x60> + 229b0: 000f883a mov r7,zero + 229b4: 2005883a mov r2,r4 + 229b8: 28001216 blt r5,zero,22a04 <__modsi3+0x58> + 229bc: 2900162e bgeu r5,r4,22a18 <__modsi3+0x6c> + 229c0: 01800804 movi r6,32 + 229c4: 00c00044 movi r3,1 + 229c8: 00000106 br 229d0 <__modsi3+0x24> + 229cc: 30000a26 beq r6,zero,229f8 <__modsi3+0x4c> + 229d0: 294b883a add r5,r5,r5 + 229d4: 31bfffc4 addi r6,r6,-1 + 229d8: 18c7883a add r3,r3,r3 + 229dc: 293ffb36 bltu r5,r4,229cc <_gp+0xfffe5b60> + 229e0: 18000526 beq r3,zero,229f8 <__modsi3+0x4c> + 229e4: 1806d07a srli r3,r3,1 + 229e8: 11400136 bltu r2,r5,229f0 <__modsi3+0x44> + 229ec: 1145c83a sub r2,r2,r5 + 229f0: 280ad07a srli r5,r5,1 + 229f4: 183ffb1e bne r3,zero,229e4 <_gp+0xfffe5b78> + 229f8: 38000126 beq r7,zero,22a00 <__modsi3+0x54> + 229fc: 0085c83a sub r2,zero,r2 + 22a00: f800283a ret + 22a04: 014bc83a sub r5,zero,r5 + 22a08: 003fec06 br 229bc <_gp+0xfffe5b50> + 22a0c: 0109c83a sub r4,zero,r4 + 22a10: 01c00044 movi r7,1 + 22a14: 003fe706 br 229b4 <_gp+0xfffe5b48> + 22a18: 00c00044 movi r3,1 + 22a1c: 003ff106 br 229e4 <_gp+0xfffe5b78> -000225dc <__udivsi3>: - 225dc: 200d883a mov r6,r4 - 225e0: 2900152e bgeu r5,r4,22638 <__udivsi3+0x5c> - 225e4: 28001416 blt r5,zero,22638 <__udivsi3+0x5c> - 225e8: 00800804 movi r2,32 - 225ec: 00c00044 movi r3,1 - 225f0: 00000206 br 225fc <__udivsi3+0x20> - 225f4: 10000e26 beq r2,zero,22630 <__udivsi3+0x54> - 225f8: 28000516 blt r5,zero,22610 <__udivsi3+0x34> - 225fc: 294b883a add r5,r5,r5 - 22600: 10bfffc4 addi r2,r2,-1 - 22604: 18c7883a add r3,r3,r3 - 22608: 293ffa36 bltu r5,r4,225f4 <_gp+0xfffe60bc> - 2260c: 18000826 beq r3,zero,22630 <__udivsi3+0x54> - 22610: 0005883a mov r2,zero - 22614: 31400236 bltu r6,r5,22620 <__udivsi3+0x44> - 22618: 314dc83a sub r6,r6,r5 - 2261c: 10c4b03a or r2,r2,r3 - 22620: 1806d07a srli r3,r3,1 - 22624: 280ad07a srli r5,r5,1 - 22628: 183ffa1e bne r3,zero,22614 <_gp+0xfffe60dc> - 2262c: f800283a ret - 22630: 0005883a mov r2,zero - 22634: f800283a ret - 22638: 00c00044 movi r3,1 - 2263c: 003ff406 br 22610 <_gp+0xfffe60d8> +00022a20 <__udivsi3>: + 22a20: 200d883a mov r6,r4 + 22a24: 2900152e bgeu r5,r4,22a7c <__udivsi3+0x5c> + 22a28: 28001416 blt r5,zero,22a7c <__udivsi3+0x5c> + 22a2c: 00800804 movi r2,32 + 22a30: 00c00044 movi r3,1 + 22a34: 00000206 br 22a40 <__udivsi3+0x20> + 22a38: 10000e26 beq r2,zero,22a74 <__udivsi3+0x54> + 22a3c: 28000516 blt r5,zero,22a54 <__udivsi3+0x34> + 22a40: 294b883a add r5,r5,r5 + 22a44: 10bfffc4 addi r2,r2,-1 + 22a48: 18c7883a add r3,r3,r3 + 22a4c: 293ffa36 bltu r5,r4,22a38 <_gp+0xfffe5bcc> + 22a50: 18000826 beq r3,zero,22a74 <__udivsi3+0x54> + 22a54: 0005883a mov r2,zero + 22a58: 31400236 bltu r6,r5,22a64 <__udivsi3+0x44> + 22a5c: 314dc83a sub r6,r6,r5 + 22a60: 10c4b03a or r2,r2,r3 + 22a64: 1806d07a srli r3,r3,1 + 22a68: 280ad07a srli r5,r5,1 + 22a6c: 183ffa1e bne r3,zero,22a58 <_gp+0xfffe5bec> + 22a70: f800283a ret + 22a74: 0005883a mov r2,zero + 22a78: f800283a ret + 22a7c: 00c00044 movi r3,1 + 22a80: 003ff406 br 22a54 <_gp+0xfffe5be8> -00022640 <__umodsi3>: - 22640: 2005883a mov r2,r4 - 22644: 2900122e bgeu r5,r4,22690 <__umodsi3+0x50> - 22648: 28001116 blt r5,zero,22690 <__umodsi3+0x50> - 2264c: 01800804 movi r6,32 - 22650: 00c00044 movi r3,1 - 22654: 00000206 br 22660 <__umodsi3+0x20> - 22658: 30000c26 beq r6,zero,2268c <__umodsi3+0x4c> - 2265c: 28000516 blt r5,zero,22674 <__umodsi3+0x34> - 22660: 294b883a add r5,r5,r5 - 22664: 31bfffc4 addi r6,r6,-1 - 22668: 18c7883a add r3,r3,r3 - 2266c: 293ffa36 bltu r5,r4,22658 <_gp+0xfffe6120> - 22670: 18000626 beq r3,zero,2268c <__umodsi3+0x4c> - 22674: 1806d07a srli r3,r3,1 - 22678: 11400136 bltu r2,r5,22680 <__umodsi3+0x40> - 2267c: 1145c83a sub r2,r2,r5 - 22680: 280ad07a srli r5,r5,1 - 22684: 183ffb1e bne r3,zero,22674 <_gp+0xfffe613c> - 22688: f800283a ret - 2268c: f800283a ret - 22690: 00c00044 movi r3,1 - 22694: 003ff706 br 22674 <_gp+0xfffe613c> +00022a84 <__umodsi3>: + 22a84: 2005883a mov r2,r4 + 22a88: 2900122e bgeu r5,r4,22ad4 <__umodsi3+0x50> + 22a8c: 28001116 blt r5,zero,22ad4 <__umodsi3+0x50> + 22a90: 01800804 movi r6,32 + 22a94: 00c00044 movi r3,1 + 22a98: 00000206 br 22aa4 <__umodsi3+0x20> + 22a9c: 30000c26 beq r6,zero,22ad0 <__umodsi3+0x4c> + 22aa0: 28000516 blt r5,zero,22ab8 <__umodsi3+0x34> + 22aa4: 294b883a add r5,r5,r5 + 22aa8: 31bfffc4 addi r6,r6,-1 + 22aac: 18c7883a add r3,r3,r3 + 22ab0: 293ffa36 bltu r5,r4,22a9c <_gp+0xfffe5c30> + 22ab4: 18000626 beq r3,zero,22ad0 <__umodsi3+0x4c> + 22ab8: 1806d07a srli r3,r3,1 + 22abc: 11400136 bltu r2,r5,22ac4 <__umodsi3+0x40> + 22ac0: 1145c83a sub r2,r2,r5 + 22ac4: 280ad07a srli r5,r5,1 + 22ac8: 183ffb1e bne r3,zero,22ab8 <_gp+0xfffe5c4c> + 22acc: f800283a ret + 22ad0: f800283a ret + 22ad4: 00c00044 movi r3,1 + 22ad8: 003ff706 br 22ab8 <_gp+0xfffe5c4c> -00022698 : - 22698: 008000f4 movhi r2,3 - 2269c: 10915404 addi r2,r2,17744 - 226a0: 200b883a mov r5,r4 - 226a4: 11000017 ldw r4,0(r2) - 226a8: 00226c01 jmpi 226c0 <_malloc_r> +00022adc <_getc_r>: + 22adc: defffd04 addi sp,sp,-12 + 22ae0: dc000115 stw r16,4(sp) + 22ae4: dfc00215 stw ra,8(sp) + 22ae8: 2021883a mov r16,r4 + 22aec: 20000226 beq r4,zero,22af8 <_getc_r+0x1c> + 22af0: 20800e17 ldw r2,56(r4) + 22af4: 10000c26 beq r2,zero,22b28 <_getc_r+0x4c> + 22af8: 28800117 ldw r2,4(r5) + 22afc: 10bfffc4 addi r2,r2,-1 + 22b00: 28800115 stw r2,4(r5) + 22b04: 10000c16 blt r2,zero,22b38 <_getc_r+0x5c> + 22b08: 28800017 ldw r2,0(r5) + 22b0c: 10c00044 addi r3,r2,1 + 22b10: 28c00015 stw r3,0(r5) + 22b14: 10800003 ldbu r2,0(r2) + 22b18: dfc00217 ldw ra,8(sp) + 22b1c: dc000117 ldw r16,4(sp) + 22b20: dec00304 addi sp,sp,12 + 22b24: f800283a ret + 22b28: d9400015 stw r5,0(sp) + 22b2c: 0027aa80 call 27aa8 <__sinit> + 22b30: d9400017 ldw r5,0(sp) + 22b34: 003ff006 br 22af8 <_gp+0xfffe5c8c> + 22b38: 8009883a mov r4,r16 + 22b3c: dfc00217 ldw ra,8(sp) + 22b40: dc000117 ldw r16,4(sp) + 22b44: dec00304 addi sp,sp,12 + 22b48: 00236901 jmpi 23690 <__srget_r> -000226ac : - 226ac: 008000f4 movhi r2,3 - 226b0: 10915404 addi r2,r2,17744 - 226b4: 200b883a mov r5,r4 - 226b8: 11000017 ldw r4,0(r2) - 226bc: 00276581 jmpi 27658 <_free_r> +00022b4c : + 22b4c: 008000f4 movhi r2,3 + 22b50: defffd04 addi sp,sp,-12 + 22b54: 10939f04 addi r2,r2,20092 + 22b58: dc400115 stw r17,4(sp) + 22b5c: 14400017 ldw r17,0(r2) + 22b60: dc000015 stw r16,0(sp) + 22b64: dfc00215 stw ra,8(sp) + 22b68: 2021883a mov r16,r4 + 22b6c: 88000226 beq r17,zero,22b78 + 22b70: 88800e17 ldw r2,56(r17) + 22b74: 10000d26 beq r2,zero,22bac + 22b78: 80800117 ldw r2,4(r16) + 22b7c: 10bfffc4 addi r2,r2,-1 + 22b80: 80800115 stw r2,4(r16) + 22b84: 10000c16 blt r2,zero,22bb8 + 22b88: 80800017 ldw r2,0(r16) + 22b8c: 10c00044 addi r3,r2,1 + 22b90: 80c00015 stw r3,0(r16) + 22b94: 10800003 ldbu r2,0(r2) + 22b98: dfc00217 ldw ra,8(sp) + 22b9c: dc400117 ldw r17,4(sp) + 22ba0: dc000017 ldw r16,0(sp) + 22ba4: dec00304 addi sp,sp,12 + 22ba8: f800283a ret + 22bac: 8809883a mov r4,r17 + 22bb0: 0027aa80 call 27aa8 <__sinit> + 22bb4: 003ff006 br 22b78 <_gp+0xfffe5d0c> + 22bb8: 800b883a mov r5,r16 + 22bbc: 8809883a mov r4,r17 + 22bc0: dfc00217 ldw ra,8(sp) + 22bc4: dc400117 ldw r17,4(sp) + 22bc8: dc000017 ldw r16,0(sp) + 22bcc: dec00304 addi sp,sp,12 + 22bd0: 00236901 jmpi 23690 <__srget_r> -000226c0 <_malloc_r>: - 226c0: defff504 addi sp,sp,-44 - 226c4: dc800315 stw r18,12(sp) - 226c8: dfc00a15 stw ra,40(sp) - 226cc: df000915 stw fp,36(sp) - 226d0: ddc00815 stw r23,32(sp) - 226d4: dd800715 stw r22,28(sp) - 226d8: dd400615 stw r21,24(sp) - 226dc: dd000515 stw r20,20(sp) - 226e0: dcc00415 stw r19,16(sp) - 226e4: dc400215 stw r17,8(sp) - 226e8: dc000115 stw r16,4(sp) - 226ec: 288002c4 addi r2,r5,11 - 226f0: 00c00584 movi r3,22 - 226f4: 2025883a mov r18,r4 - 226f8: 18807f2e bgeu r3,r2,228f8 <_malloc_r+0x238> - 226fc: 047ffe04 movi r17,-8 - 22700: 1462703a and r17,r2,r17 - 22704: 8800a316 blt r17,zero,22994 <_malloc_r+0x2d4> - 22708: 8940a236 bltu r17,r5,22994 <_malloc_r+0x2d4> - 2270c: 002f5800 call 2f580 <__malloc_lock> - 22710: 00807dc4 movi r2,503 - 22714: 1441e92e bgeu r2,r17,22ebc <_malloc_r+0x7fc> - 22718: 8804d27a srli r2,r17,9 - 2271c: 1000a126 beq r2,zero,229a4 <_malloc_r+0x2e4> - 22720: 00c00104 movi r3,4 - 22724: 18811e36 bltu r3,r2,22ba0 <_malloc_r+0x4e0> - 22728: 8804d1ba srli r2,r17,6 - 2272c: 12000e44 addi r8,r2,57 - 22730: 11c00e04 addi r7,r2,56 - 22734: 4209883a add r4,r8,r8 - 22738: 04c000f4 movhi r19,3 - 2273c: 2109883a add r4,r4,r4 - 22740: 9ccaa304 addi r19,r19,10892 - 22744: 2109883a add r4,r4,r4 - 22748: 9909883a add r4,r19,r4 - 2274c: 24000117 ldw r16,4(r4) - 22750: 213ffe04 addi r4,r4,-8 - 22754: 24009726 beq r4,r16,229b4 <_malloc_r+0x2f4> - 22758: 80800117 ldw r2,4(r16) - 2275c: 01bfff04 movi r6,-4 - 22760: 014003c4 movi r5,15 - 22764: 1184703a and r2,r2,r6 - 22768: 1447c83a sub r3,r2,r17 - 2276c: 28c00716 blt r5,r3,2278c <_malloc_r+0xcc> - 22770: 1800920e bge r3,zero,229bc <_malloc_r+0x2fc> - 22774: 84000317 ldw r16,12(r16) - 22778: 24008e26 beq r4,r16,229b4 <_malloc_r+0x2f4> - 2277c: 80800117 ldw r2,4(r16) - 22780: 1184703a and r2,r2,r6 - 22784: 1447c83a sub r3,r2,r17 - 22788: 28fff90e bge r5,r3,22770 <_gp+0xfffe6238> - 2278c: 3809883a mov r4,r7 - 22790: 018000f4 movhi r6,3 - 22794: 9c000417 ldw r16,16(r19) - 22798: 318aa304 addi r6,r6,10892 - 2279c: 32000204 addi r8,r6,8 - 227a0: 82013426 beq r16,r8,22c74 <_malloc_r+0x5b4> - 227a4: 80c00117 ldw r3,4(r16) - 227a8: 00bfff04 movi r2,-4 - 227ac: 188e703a and r7,r3,r2 - 227b0: 3c45c83a sub r2,r7,r17 - 227b4: 00c003c4 movi r3,15 - 227b8: 18811f16 blt r3,r2,22c38 <_malloc_r+0x578> - 227bc: 32000515 stw r8,20(r6) - 227c0: 32000415 stw r8,16(r6) - 227c4: 10007f0e bge r2,zero,229c4 <_malloc_r+0x304> - 227c8: 00807fc4 movi r2,511 - 227cc: 11c0fd36 bltu r2,r7,22bc4 <_malloc_r+0x504> - 227d0: 3806d0fa srli r3,r7,3 - 227d4: 01c00044 movi r7,1 - 227d8: 30800117 ldw r2,4(r6) - 227dc: 19400044 addi r5,r3,1 - 227e0: 294b883a add r5,r5,r5 - 227e4: 1807d0ba srai r3,r3,2 - 227e8: 294b883a add r5,r5,r5 - 227ec: 294b883a add r5,r5,r5 - 227f0: 298b883a add r5,r5,r6 - 227f4: 38c6983a sll r3,r7,r3 - 227f8: 29c00017 ldw r7,0(r5) - 227fc: 2a7ffe04 addi r9,r5,-8 - 22800: 1886b03a or r3,r3,r2 - 22804: 82400315 stw r9,12(r16) - 22808: 81c00215 stw r7,8(r16) - 2280c: 30c00115 stw r3,4(r6) - 22810: 2c000015 stw r16,0(r5) - 22814: 3c000315 stw r16,12(r7) - 22818: 2005d0ba srai r2,r4,2 - 2281c: 01400044 movi r5,1 - 22820: 288a983a sll r5,r5,r2 - 22824: 19406f36 bltu r3,r5,229e4 <_malloc_r+0x324> - 22828: 28c4703a and r2,r5,r3 - 2282c: 10000a1e bne r2,zero,22858 <_malloc_r+0x198> - 22830: 00bfff04 movi r2,-4 - 22834: 294b883a add r5,r5,r5 - 22838: 2088703a and r4,r4,r2 - 2283c: 28c4703a and r2,r5,r3 - 22840: 21000104 addi r4,r4,4 - 22844: 1000041e bne r2,zero,22858 <_malloc_r+0x198> - 22848: 294b883a add r5,r5,r5 - 2284c: 28c4703a and r2,r5,r3 - 22850: 21000104 addi r4,r4,4 - 22854: 103ffc26 beq r2,zero,22848 <_gp+0xfffe6310> - 22858: 02bfff04 movi r10,-4 - 2285c: 024003c4 movi r9,15 - 22860: 21800044 addi r6,r4,1 - 22864: 318d883a add r6,r6,r6 - 22868: 318d883a add r6,r6,r6 - 2286c: 318d883a add r6,r6,r6 - 22870: 998d883a add r6,r19,r6 - 22874: 333ffe04 addi r12,r6,-8 - 22878: 2017883a mov r11,r4 - 2287c: 31800104 addi r6,r6,4 - 22880: 34000017 ldw r16,0(r6) - 22884: 31fffd04 addi r7,r6,-12 - 22888: 81c0041e bne r16,r7,2289c <_malloc_r+0x1dc> - 2288c: 0000fb06 br 22c7c <_malloc_r+0x5bc> - 22890: 1801030e bge r3,zero,22ca0 <_malloc_r+0x5e0> - 22894: 84000317 ldw r16,12(r16) - 22898: 81c0f826 beq r16,r7,22c7c <_malloc_r+0x5bc> - 2289c: 80800117 ldw r2,4(r16) - 228a0: 1284703a and r2,r2,r10 - 228a4: 1447c83a sub r3,r2,r17 - 228a8: 48fff90e bge r9,r3,22890 <_gp+0xfffe6358> - 228ac: 80800317 ldw r2,12(r16) - 228b0: 81000217 ldw r4,8(r16) - 228b4: 89400054 ori r5,r17,1 - 228b8: 81400115 stw r5,4(r16) - 228bc: 20800315 stw r2,12(r4) - 228c0: 11000215 stw r4,8(r2) - 228c4: 8463883a add r17,r16,r17 - 228c8: 9c400515 stw r17,20(r19) - 228cc: 9c400415 stw r17,16(r19) - 228d0: 18800054 ori r2,r3,1 - 228d4: 88800115 stw r2,4(r17) - 228d8: 8a000315 stw r8,12(r17) - 228dc: 8a000215 stw r8,8(r17) - 228e0: 88e3883a add r17,r17,r3 - 228e4: 88c00015 stw r3,0(r17) - 228e8: 9009883a mov r4,r18 - 228ec: 002f5a40 call 2f5a4 <__malloc_unlock> - 228f0: 80800204 addi r2,r16,8 - 228f4: 00001b06 br 22964 <_malloc_r+0x2a4> - 228f8: 04400404 movi r17,16 - 228fc: 89402536 bltu r17,r5,22994 <_malloc_r+0x2d4> - 22900: 002f5800 call 2f580 <__malloc_lock> - 22904: 00800184 movi r2,6 - 22908: 01000084 movi r4,2 - 2290c: 04c000f4 movhi r19,3 - 22910: 1085883a add r2,r2,r2 - 22914: 9ccaa304 addi r19,r19,10892 - 22918: 1085883a add r2,r2,r2 - 2291c: 9885883a add r2,r19,r2 - 22920: 14000117 ldw r16,4(r2) - 22924: 10fffe04 addi r3,r2,-8 - 22928: 80c0d926 beq r16,r3,22c90 <_malloc_r+0x5d0> - 2292c: 80c00117 ldw r3,4(r16) - 22930: 81000317 ldw r4,12(r16) - 22934: 00bfff04 movi r2,-4 - 22938: 1884703a and r2,r3,r2 - 2293c: 81400217 ldw r5,8(r16) - 22940: 8085883a add r2,r16,r2 - 22944: 10c00117 ldw r3,4(r2) - 22948: 29000315 stw r4,12(r5) - 2294c: 21400215 stw r5,8(r4) - 22950: 18c00054 ori r3,r3,1 - 22954: 10c00115 stw r3,4(r2) - 22958: 9009883a mov r4,r18 - 2295c: 002f5a40 call 2f5a4 <__malloc_unlock> - 22960: 80800204 addi r2,r16,8 - 22964: dfc00a17 ldw ra,40(sp) - 22968: df000917 ldw fp,36(sp) - 2296c: ddc00817 ldw r23,32(sp) - 22970: dd800717 ldw r22,28(sp) - 22974: dd400617 ldw r21,24(sp) - 22978: dd000517 ldw r20,20(sp) - 2297c: dcc00417 ldw r19,16(sp) - 22980: dc800317 ldw r18,12(sp) - 22984: dc400217 ldw r17,8(sp) - 22988: dc000117 ldw r16,4(sp) - 2298c: dec00b04 addi sp,sp,44 - 22990: f800283a ret - 22994: 00800304 movi r2,12 - 22998: 90800015 stw r2,0(r18) - 2299c: 0005883a mov r2,zero - 229a0: 003ff006 br 22964 <_gp+0xfffe642c> - 229a4: 01002004 movi r4,128 - 229a8: 02001004 movi r8,64 - 229ac: 01c00fc4 movi r7,63 - 229b0: 003f6106 br 22738 <_gp+0xfffe6200> - 229b4: 4009883a mov r4,r8 - 229b8: 003f7506 br 22790 <_gp+0xfffe6258> - 229bc: 81000317 ldw r4,12(r16) - 229c0: 003fde06 br 2293c <_gp+0xfffe6404> - 229c4: 81c5883a add r2,r16,r7 - 229c8: 11400117 ldw r5,4(r2) - 229cc: 9009883a mov r4,r18 - 229d0: 29400054 ori r5,r5,1 - 229d4: 11400115 stw r5,4(r2) - 229d8: 002f5a40 call 2f5a4 <__malloc_unlock> - 229dc: 80800204 addi r2,r16,8 - 229e0: 003fe006 br 22964 <_gp+0xfffe642c> - 229e4: 9c000217 ldw r16,8(r19) - 229e8: 00bfff04 movi r2,-4 - 229ec: 85800117 ldw r22,4(r16) - 229f0: b0ac703a and r22,r22,r2 - 229f4: b4400336 bltu r22,r17,22a04 <_malloc_r+0x344> - 229f8: b445c83a sub r2,r22,r17 - 229fc: 00c003c4 movi r3,15 - 22a00: 18805d16 blt r3,r2,22b78 <_malloc_r+0x4b8> - 22a04: 05c000f4 movhi r23,3 - 22a08: 008000f4 movhi r2,3 - 22a0c: 109a0b04 addi r2,r2,26668 - 22a10: bdd15104 addi r23,r23,17732 - 22a14: 15400017 ldw r21,0(r2) - 22a18: b8c00017 ldw r3,0(r23) - 22a1c: 00bfffc4 movi r2,-1 - 22a20: 858d883a add r6,r16,r22 - 22a24: 8d6b883a add r21,r17,r21 - 22a28: 1880ea26 beq r3,r2,22dd4 <_malloc_r+0x714> - 22a2c: ad4403c4 addi r21,r21,4111 - 22a30: 00bc0004 movi r2,-4096 - 22a34: a8aa703a and r21,r21,r2 - 22a38: a80b883a mov r5,r21 - 22a3c: 9009883a mov r4,r18 - 22a40: d9800015 stw r6,0(sp) - 22a44: 00231540 call 23154 <_sbrk_r> - 22a48: 1029883a mov r20,r2 - 22a4c: 00bfffc4 movi r2,-1 - 22a50: d9800017 ldw r6,0(sp) - 22a54: a080e826 beq r20,r2,22df8 <_malloc_r+0x738> - 22a58: a180a636 bltu r20,r6,22cf4 <_malloc_r+0x634> - 22a5c: 070000f4 movhi fp,3 - 22a60: e71a1704 addi fp,fp,26716 - 22a64: e0800017 ldw r2,0(fp) - 22a68: a887883a add r3,r21,r2 - 22a6c: e0c00015 stw r3,0(fp) - 22a70: 3500e626 beq r6,r20,22e0c <_malloc_r+0x74c> - 22a74: b9000017 ldw r4,0(r23) - 22a78: 00bfffc4 movi r2,-1 - 22a7c: 2080ee26 beq r4,r2,22e38 <_malloc_r+0x778> - 22a80: a185c83a sub r2,r20,r6 - 22a84: 10c5883a add r2,r2,r3 - 22a88: e0800015 stw r2,0(fp) - 22a8c: a0c001cc andi r3,r20,7 - 22a90: 1800bc26 beq r3,zero,22d84 <_malloc_r+0x6c4> - 22a94: a0e9c83a sub r20,r20,r3 - 22a98: 00840204 movi r2,4104 - 22a9c: a5000204 addi r20,r20,8 - 22aa0: 10c7c83a sub r3,r2,r3 - 22aa4: a545883a add r2,r20,r21 - 22aa8: 1083ffcc andi r2,r2,4095 - 22aac: 18abc83a sub r21,r3,r2 - 22ab0: a80b883a mov r5,r21 - 22ab4: 9009883a mov r4,r18 - 22ab8: 00231540 call 23154 <_sbrk_r> - 22abc: 00ffffc4 movi r3,-1 - 22ac0: 10c0e126 beq r2,r3,22e48 <_malloc_r+0x788> - 22ac4: 1505c83a sub r2,r2,r20 - 22ac8: 1545883a add r2,r2,r21 - 22acc: 10800054 ori r2,r2,1 - 22ad0: e0c00017 ldw r3,0(fp) - 22ad4: 9d000215 stw r20,8(r19) - 22ad8: a0800115 stw r2,4(r20) - 22adc: a8c7883a add r3,r21,r3 - 22ae0: e0c00015 stw r3,0(fp) - 22ae4: 84c00e26 beq r16,r19,22b20 <_malloc_r+0x460> - 22ae8: 018003c4 movi r6,15 - 22aec: 3580a72e bgeu r6,r22,22d8c <_malloc_r+0x6cc> - 22af0: 81400117 ldw r5,4(r16) - 22af4: 013ffe04 movi r4,-8 - 22af8: b0bffd04 addi r2,r22,-12 - 22afc: 1104703a and r2,r2,r4 - 22b00: 2900004c andi r4,r5,1 - 22b04: 2088b03a or r4,r4,r2 - 22b08: 81000115 stw r4,4(r16) - 22b0c: 01400144 movi r5,5 - 22b10: 8089883a add r4,r16,r2 - 22b14: 21400115 stw r5,4(r4) - 22b18: 21400215 stw r5,8(r4) - 22b1c: 3080cd36 bltu r6,r2,22e54 <_malloc_r+0x794> - 22b20: 008000f4 movhi r2,3 - 22b24: 109a0a04 addi r2,r2,26664 - 22b28: 11000017 ldw r4,0(r2) - 22b2c: 20c0012e bgeu r4,r3,22b34 <_malloc_r+0x474> - 22b30: 10c00015 stw r3,0(r2) - 22b34: 008000f4 movhi r2,3 - 22b38: 109a0904 addi r2,r2,26660 - 22b3c: 11000017 ldw r4,0(r2) - 22b40: 9c000217 ldw r16,8(r19) - 22b44: 20c0012e bgeu r4,r3,22b4c <_malloc_r+0x48c> - 22b48: 10c00015 stw r3,0(r2) - 22b4c: 80c00117 ldw r3,4(r16) - 22b50: 00bfff04 movi r2,-4 - 22b54: 1886703a and r3,r3,r2 - 22b58: 1c45c83a sub r2,r3,r17 - 22b5c: 1c400236 bltu r3,r17,22b68 <_malloc_r+0x4a8> - 22b60: 00c003c4 movi r3,15 - 22b64: 18800416 blt r3,r2,22b78 <_malloc_r+0x4b8> - 22b68: 9009883a mov r4,r18 - 22b6c: 002f5a40 call 2f5a4 <__malloc_unlock> - 22b70: 0005883a mov r2,zero - 22b74: 003f7b06 br 22964 <_gp+0xfffe642c> - 22b78: 88c00054 ori r3,r17,1 - 22b7c: 80c00115 stw r3,4(r16) - 22b80: 8463883a add r17,r16,r17 - 22b84: 10800054 ori r2,r2,1 - 22b88: 9c400215 stw r17,8(r19) - 22b8c: 88800115 stw r2,4(r17) - 22b90: 9009883a mov r4,r18 - 22b94: 002f5a40 call 2f5a4 <__malloc_unlock> - 22b98: 80800204 addi r2,r16,8 - 22b9c: 003f7106 br 22964 <_gp+0xfffe642c> - 22ba0: 00c00504 movi r3,20 - 22ba4: 18804a2e bgeu r3,r2,22cd0 <_malloc_r+0x610> - 22ba8: 00c01504 movi r3,84 - 22bac: 18806e36 bltu r3,r2,22d68 <_malloc_r+0x6a8> - 22bb0: 8804d33a srli r2,r17,12 - 22bb4: 12001bc4 addi r8,r2,111 - 22bb8: 11c01b84 addi r7,r2,110 - 22bbc: 4209883a add r4,r8,r8 - 22bc0: 003edd06 br 22738 <_gp+0xfffe6200> - 22bc4: 3804d27a srli r2,r7,9 - 22bc8: 00c00104 movi r3,4 - 22bcc: 1880442e bgeu r3,r2,22ce0 <_malloc_r+0x620> - 22bd0: 00c00504 movi r3,20 - 22bd4: 18808136 bltu r3,r2,22ddc <_malloc_r+0x71c> - 22bd8: 11401704 addi r5,r2,92 - 22bdc: 10c016c4 addi r3,r2,91 - 22be0: 294b883a add r5,r5,r5 - 22be4: 294b883a add r5,r5,r5 - 22be8: 294b883a add r5,r5,r5 - 22bec: 994b883a add r5,r19,r5 - 22bf0: 28800017 ldw r2,0(r5) - 22bf4: 018000f4 movhi r6,3 - 22bf8: 297ffe04 addi r5,r5,-8 - 22bfc: 318aa304 addi r6,r6,10892 - 22c00: 28806526 beq r5,r2,22d98 <_malloc_r+0x6d8> - 22c04: 01bfff04 movi r6,-4 - 22c08: 10c00117 ldw r3,4(r2) - 22c0c: 1986703a and r3,r3,r6 - 22c10: 38c0022e bgeu r7,r3,22c1c <_malloc_r+0x55c> - 22c14: 10800217 ldw r2,8(r2) - 22c18: 28bffb1e bne r5,r2,22c08 <_gp+0xfffe66d0> - 22c1c: 11400317 ldw r5,12(r2) - 22c20: 98c00117 ldw r3,4(r19) - 22c24: 81400315 stw r5,12(r16) - 22c28: 80800215 stw r2,8(r16) - 22c2c: 2c000215 stw r16,8(r5) - 22c30: 14000315 stw r16,12(r2) - 22c34: 003ef806 br 22818 <_gp+0xfffe62e0> - 22c38: 88c00054 ori r3,r17,1 - 22c3c: 80c00115 stw r3,4(r16) - 22c40: 8463883a add r17,r16,r17 - 22c44: 34400515 stw r17,20(r6) - 22c48: 34400415 stw r17,16(r6) - 22c4c: 10c00054 ori r3,r2,1 - 22c50: 8a000315 stw r8,12(r17) - 22c54: 8a000215 stw r8,8(r17) - 22c58: 88c00115 stw r3,4(r17) - 22c5c: 88a3883a add r17,r17,r2 - 22c60: 88800015 stw r2,0(r17) - 22c64: 9009883a mov r4,r18 - 22c68: 002f5a40 call 2f5a4 <__malloc_unlock> - 22c6c: 80800204 addi r2,r16,8 - 22c70: 003f3c06 br 22964 <_gp+0xfffe642c> - 22c74: 30c00117 ldw r3,4(r6) - 22c78: 003ee706 br 22818 <_gp+0xfffe62e0> - 22c7c: 5ac00044 addi r11,r11,1 - 22c80: 588000cc andi r2,r11,3 - 22c84: 31800204 addi r6,r6,8 - 22c88: 103efd1e bne r2,zero,22880 <_gp+0xfffe6348> - 22c8c: 00002406 br 22d20 <_malloc_r+0x660> - 22c90: 14000317 ldw r16,12(r2) - 22c94: 143f251e bne r2,r16,2292c <_gp+0xfffe63f4> - 22c98: 21000084 addi r4,r4,2 - 22c9c: 003ebc06 br 22790 <_gp+0xfffe6258> - 22ca0: 8085883a add r2,r16,r2 - 22ca4: 10c00117 ldw r3,4(r2) - 22ca8: 81000317 ldw r4,12(r16) - 22cac: 81400217 ldw r5,8(r16) - 22cb0: 18c00054 ori r3,r3,1 - 22cb4: 10c00115 stw r3,4(r2) - 22cb8: 29000315 stw r4,12(r5) - 22cbc: 21400215 stw r5,8(r4) - 22cc0: 9009883a mov r4,r18 - 22cc4: 002f5a40 call 2f5a4 <__malloc_unlock> - 22cc8: 80800204 addi r2,r16,8 - 22ccc: 003f2506 br 22964 <_gp+0xfffe642c> - 22cd0: 12001704 addi r8,r2,92 - 22cd4: 11c016c4 addi r7,r2,91 - 22cd8: 4209883a add r4,r8,r8 - 22cdc: 003e9606 br 22738 <_gp+0xfffe6200> - 22ce0: 3804d1ba srli r2,r7,6 - 22ce4: 11400e44 addi r5,r2,57 - 22ce8: 10c00e04 addi r3,r2,56 - 22cec: 294b883a add r5,r5,r5 - 22cf0: 003fbc06 br 22be4 <_gp+0xfffe66ac> - 22cf4: 84ff5926 beq r16,r19,22a5c <_gp+0xfffe6524> - 22cf8: 008000f4 movhi r2,3 - 22cfc: 108aa304 addi r2,r2,10892 - 22d00: 14000217 ldw r16,8(r2) - 22d04: 00bfff04 movi r2,-4 - 22d08: 80c00117 ldw r3,4(r16) - 22d0c: 1886703a and r3,r3,r2 - 22d10: 003f9106 br 22b58 <_gp+0xfffe6620> - 22d14: 60800217 ldw r2,8(r12) - 22d18: 213fffc4 addi r4,r4,-1 - 22d1c: 1300651e bne r2,r12,22eb4 <_malloc_r+0x7f4> - 22d20: 208000cc andi r2,r4,3 - 22d24: 633ffe04 addi r12,r12,-8 - 22d28: 103ffa1e bne r2,zero,22d14 <_gp+0xfffe67dc> - 22d2c: 98800117 ldw r2,4(r19) - 22d30: 0146303a nor r3,zero,r5 - 22d34: 1884703a and r2,r3,r2 - 22d38: 98800115 stw r2,4(r19) - 22d3c: 294b883a add r5,r5,r5 - 22d40: 117f2836 bltu r2,r5,229e4 <_gp+0xfffe64ac> - 22d44: 283f2726 beq r5,zero,229e4 <_gp+0xfffe64ac> - 22d48: 2886703a and r3,r5,r2 - 22d4c: 5809883a mov r4,r11 - 22d50: 183ec31e bne r3,zero,22860 <_gp+0xfffe6328> - 22d54: 294b883a add r5,r5,r5 - 22d58: 2886703a and r3,r5,r2 - 22d5c: 21000104 addi r4,r4,4 - 22d60: 183ffc26 beq r3,zero,22d54 <_gp+0xfffe681c> - 22d64: 003ebe06 br 22860 <_gp+0xfffe6328> - 22d68: 00c05504 movi r3,340 - 22d6c: 18801236 bltu r3,r2,22db8 <_malloc_r+0x6f8> - 22d70: 8804d3fa srli r2,r17,15 - 22d74: 12001e04 addi r8,r2,120 - 22d78: 11c01dc4 addi r7,r2,119 - 22d7c: 4209883a add r4,r8,r8 - 22d80: 003e6d06 br 22738 <_gp+0xfffe6200> - 22d84: 00c40004 movi r3,4096 - 22d88: 003f4606 br 22aa4 <_gp+0xfffe656c> - 22d8c: 00800044 movi r2,1 - 22d90: a0800115 stw r2,4(r20) - 22d94: 003f7406 br 22b68 <_gp+0xfffe6630> - 22d98: 1805d0ba srai r2,r3,2 - 22d9c: 01c00044 movi r7,1 - 22da0: 30c00117 ldw r3,4(r6) - 22da4: 388e983a sll r7,r7,r2 - 22da8: 2805883a mov r2,r5 - 22dac: 38c6b03a or r3,r7,r3 - 22db0: 30c00115 stw r3,4(r6) - 22db4: 003f9b06 br 22c24 <_gp+0xfffe66ec> - 22db8: 00c15504 movi r3,1364 - 22dbc: 18801a36 bltu r3,r2,22e28 <_malloc_r+0x768> - 22dc0: 8804d4ba srli r2,r17,18 - 22dc4: 12001f44 addi r8,r2,125 - 22dc8: 11c01f04 addi r7,r2,124 - 22dcc: 4209883a add r4,r8,r8 - 22dd0: 003e5906 br 22738 <_gp+0xfffe6200> - 22dd4: ad400404 addi r21,r21,16 - 22dd8: 003f1706 br 22a38 <_gp+0xfffe6500> - 22ddc: 00c01504 movi r3,84 - 22de0: 18802336 bltu r3,r2,22e70 <_malloc_r+0x7b0> - 22de4: 3804d33a srli r2,r7,12 - 22de8: 11401bc4 addi r5,r2,111 - 22dec: 10c01b84 addi r3,r2,110 - 22df0: 294b883a add r5,r5,r5 - 22df4: 003f7b06 br 22be4 <_gp+0xfffe66ac> - 22df8: 9c000217 ldw r16,8(r19) - 22dfc: 00bfff04 movi r2,-4 - 22e00: 80c00117 ldw r3,4(r16) - 22e04: 1886703a and r3,r3,r2 - 22e08: 003f5306 br 22b58 <_gp+0xfffe6620> - 22e0c: 3083ffcc andi r2,r6,4095 - 22e10: 103f181e bne r2,zero,22a74 <_gp+0xfffe653c> - 22e14: 99000217 ldw r4,8(r19) - 22e18: b545883a add r2,r22,r21 - 22e1c: 10800054 ori r2,r2,1 - 22e20: 20800115 stw r2,4(r4) - 22e24: 003f3e06 br 22b20 <_gp+0xfffe65e8> - 22e28: 01003f84 movi r4,254 - 22e2c: 02001fc4 movi r8,127 - 22e30: 01c01f84 movi r7,126 - 22e34: 003e4006 br 22738 <_gp+0xfffe6200> - 22e38: 008000f4 movhi r2,3 - 22e3c: 10915104 addi r2,r2,17732 - 22e40: 15000015 stw r20,0(r2) - 22e44: 003f1106 br 22a8c <_gp+0xfffe6554> - 22e48: 00800044 movi r2,1 - 22e4c: 002b883a mov r21,zero - 22e50: 003f1f06 br 22ad0 <_gp+0xfffe6598> - 22e54: 81400204 addi r5,r16,8 - 22e58: 9009883a mov r4,r18 - 22e5c: 00276580 call 27658 <_free_r> - 22e60: 008000f4 movhi r2,3 - 22e64: 109a1704 addi r2,r2,26716 - 22e68: 10c00017 ldw r3,0(r2) - 22e6c: 003f2c06 br 22b20 <_gp+0xfffe65e8> - 22e70: 00c05504 movi r3,340 - 22e74: 18800536 bltu r3,r2,22e8c <_malloc_r+0x7cc> - 22e78: 3804d3fa srli r2,r7,15 - 22e7c: 11401e04 addi r5,r2,120 - 22e80: 10c01dc4 addi r3,r2,119 - 22e84: 294b883a add r5,r5,r5 - 22e88: 003f5606 br 22be4 <_gp+0xfffe66ac> - 22e8c: 00c15504 movi r3,1364 - 22e90: 18800536 bltu r3,r2,22ea8 <_malloc_r+0x7e8> - 22e94: 3804d4ba srli r2,r7,18 - 22e98: 11401f44 addi r5,r2,125 - 22e9c: 10c01f04 addi r3,r2,124 - 22ea0: 294b883a add r5,r5,r5 - 22ea4: 003f4f06 br 22be4 <_gp+0xfffe66ac> - 22ea8: 01403f84 movi r5,254 - 22eac: 00c01f84 movi r3,126 - 22eb0: 003f4c06 br 22be4 <_gp+0xfffe66ac> - 22eb4: 98800117 ldw r2,4(r19) - 22eb8: 003fa006 br 22d3c <_gp+0xfffe6804> - 22ebc: 8808d0fa srli r4,r17,3 - 22ec0: 20800044 addi r2,r4,1 - 22ec4: 1085883a add r2,r2,r2 - 22ec8: 003e9006 br 2290c <_gp+0xfffe63d4> +00022bd4 : + 22bd4: 008000f4 movhi r2,3 + 22bd8: 10939f04 addi r2,r2,20092 + 22bdc: 200b883a mov r5,r4 + 22be0: 11000017 ldw r4,0(r2) + 22be4: 0022bfc1 jmpi 22bfc <_malloc_r> -00022ecc : - 22ecc: 20c000cc andi r3,r4,3 - 22ed0: 2005883a mov r2,r4 - 22ed4: 18004426 beq r3,zero,22fe8 - 22ed8: 31ffffc4 addi r7,r6,-1 - 22edc: 30004026 beq r6,zero,22fe0 - 22ee0: 2813883a mov r9,r5 - 22ee4: 200d883a mov r6,r4 - 22ee8: 2007883a mov r3,r4 - 22eec: 00000406 br 22f00 - 22ef0: 3a3fffc4 addi r8,r7,-1 - 22ef4: 31800044 addi r6,r6,1 - 22ef8: 38003926 beq r7,zero,22fe0 - 22efc: 400f883a mov r7,r8 - 22f00: 18c00044 addi r3,r3,1 - 22f04: 32400005 stb r9,0(r6) - 22f08: 1a0000cc andi r8,r3,3 - 22f0c: 403ff81e bne r8,zero,22ef0 <_gp+0xfffe69b8> - 22f10: 010000c4 movi r4,3 - 22f14: 21c02d2e bgeu r4,r7,22fcc - 22f18: 29003fcc andi r4,r5,255 - 22f1c: 200c923a slli r6,r4,8 - 22f20: 3108b03a or r4,r6,r4 - 22f24: 200c943a slli r6,r4,16 - 22f28: 218cb03a or r6,r4,r6 - 22f2c: 010003c4 movi r4,15 - 22f30: 21c0182e bgeu r4,r7,22f94 - 22f34: 3b3ffc04 addi r12,r7,-16 - 22f38: 6018d13a srli r12,r12,4 - 22f3c: 1a000104 addi r8,r3,4 - 22f40: 1ac00204 addi r11,r3,8 - 22f44: 6008913a slli r4,r12,4 - 22f48: 1a800304 addi r10,r3,12 - 22f4c: 1813883a mov r9,r3 - 22f50: 21000504 addi r4,r4,20 - 22f54: 1909883a add r4,r3,r4 - 22f58: 49800015 stw r6,0(r9) - 22f5c: 41800015 stw r6,0(r8) - 22f60: 59800015 stw r6,0(r11) - 22f64: 51800015 stw r6,0(r10) - 22f68: 42000404 addi r8,r8,16 - 22f6c: 4a400404 addi r9,r9,16 - 22f70: 5ac00404 addi r11,r11,16 - 22f74: 52800404 addi r10,r10,16 - 22f78: 413ff71e bne r8,r4,22f58 <_gp+0xfffe6a20> - 22f7c: 63000044 addi r12,r12,1 - 22f80: 6018913a slli r12,r12,4 - 22f84: 39c003cc andi r7,r7,15 - 22f88: 010000c4 movi r4,3 - 22f8c: 1b07883a add r3,r3,r12 - 22f90: 21c00e2e bgeu r4,r7,22fcc - 22f94: 1813883a mov r9,r3 - 22f98: 3811883a mov r8,r7 - 22f9c: 010000c4 movi r4,3 - 22fa0: 49800015 stw r6,0(r9) - 22fa4: 423fff04 addi r8,r8,-4 - 22fa8: 4a400104 addi r9,r9,4 - 22fac: 223ffc36 bltu r4,r8,22fa0 <_gp+0xfffe6a68> - 22fb0: 393fff04 addi r4,r7,-4 - 22fb4: 2008d0ba srli r4,r4,2 - 22fb8: 39c000cc andi r7,r7,3 - 22fbc: 21000044 addi r4,r4,1 - 22fc0: 2109883a add r4,r4,r4 - 22fc4: 2109883a add r4,r4,r4 - 22fc8: 1907883a add r3,r3,r4 - 22fcc: 38000526 beq r7,zero,22fe4 - 22fd0: 19cf883a add r7,r3,r7 - 22fd4: 19400005 stb r5,0(r3) - 22fd8: 18c00044 addi r3,r3,1 - 22fdc: 38fffd1e bne r7,r3,22fd4 <_gp+0xfffe6a9c> - 22fe0: f800283a ret - 22fe4: f800283a ret - 22fe8: 2007883a mov r3,r4 - 22fec: 300f883a mov r7,r6 - 22ff0: 003fc706 br 22f10 <_gp+0xfffe69d8> +00022be8 : + 22be8: 008000f4 movhi r2,3 + 22bec: 10939f04 addi r2,r2,20092 + 22bf0: 200b883a mov r5,r4 + 22bf4: 11000017 ldw r4,0(r2) + 22bf8: 0027c1c1 jmpi 27c1c <_free_r> -00022ff4 <_printf_r>: - 22ff4: defffd04 addi sp,sp,-12 - 22ff8: 2805883a mov r2,r5 - 22ffc: dfc00015 stw ra,0(sp) - 23000: d9800115 stw r6,4(sp) - 23004: d9c00215 stw r7,8(sp) - 23008: 21400217 ldw r5,8(r4) - 2300c: d9c00104 addi r7,sp,4 - 23010: 100d883a mov r6,r2 - 23014: 00232400 call 23240 <___vfprintf_internal_r> - 23018: dfc00017 ldw ra,0(sp) - 2301c: dec00304 addi sp,sp,12 - 23020: f800283a ret +00022bfc <_malloc_r>: + 22bfc: defff504 addi sp,sp,-44 + 22c00: dc800315 stw r18,12(sp) + 22c04: dfc00a15 stw ra,40(sp) + 22c08: df000915 stw fp,36(sp) + 22c0c: ddc00815 stw r23,32(sp) + 22c10: dd800715 stw r22,28(sp) + 22c14: dd400615 stw r21,24(sp) + 22c18: dd000515 stw r20,20(sp) + 22c1c: dcc00415 stw r19,16(sp) + 22c20: dc400215 stw r17,8(sp) + 22c24: dc000115 stw r16,4(sp) + 22c28: 288002c4 addi r2,r5,11 + 22c2c: 00c00584 movi r3,22 + 22c30: 2025883a mov r18,r4 + 22c34: 18807f2e bgeu r3,r2,22e34 <_malloc_r+0x238> + 22c38: 047ffe04 movi r17,-8 + 22c3c: 1462703a and r17,r2,r17 + 22c40: 8800a316 blt r17,zero,22ed0 <_malloc_r+0x2d4> + 22c44: 8940a236 bltu r17,r5,22ed0 <_malloc_r+0x2d4> + 22c48: 002fea40 call 2fea4 <__malloc_lock> + 22c4c: 00807dc4 movi r2,503 + 22c50: 1441e92e bgeu r2,r17,233f8 <_malloc_r+0x7fc> + 22c54: 8804d27a srli r2,r17,9 + 22c58: 1000a126 beq r2,zero,22ee0 <_malloc_r+0x2e4> + 22c5c: 00c00104 movi r3,4 + 22c60: 18811e36 bltu r3,r2,230dc <_malloc_r+0x4e0> + 22c64: 8804d1ba srli r2,r17,6 + 22c68: 12000e44 addi r8,r2,57 + 22c6c: 11c00e04 addi r7,r2,56 + 22c70: 4209883a add r4,r8,r8 + 22c74: 04c000f4 movhi r19,3 + 22c78: 2109883a add r4,r4,r4 + 22c7c: 9ccdf904 addi r19,r19,14308 + 22c80: 2109883a add r4,r4,r4 + 22c84: 9909883a add r4,r19,r4 + 22c88: 24000117 ldw r16,4(r4) + 22c8c: 213ffe04 addi r4,r4,-8 + 22c90: 24009726 beq r4,r16,22ef0 <_malloc_r+0x2f4> + 22c94: 80800117 ldw r2,4(r16) + 22c98: 01bfff04 movi r6,-4 + 22c9c: 014003c4 movi r5,15 + 22ca0: 1184703a and r2,r2,r6 + 22ca4: 1447c83a sub r3,r2,r17 + 22ca8: 28c00716 blt r5,r3,22cc8 <_malloc_r+0xcc> + 22cac: 1800920e bge r3,zero,22ef8 <_malloc_r+0x2fc> + 22cb0: 84000317 ldw r16,12(r16) + 22cb4: 24008e26 beq r4,r16,22ef0 <_malloc_r+0x2f4> + 22cb8: 80800117 ldw r2,4(r16) + 22cbc: 1184703a and r2,r2,r6 + 22cc0: 1447c83a sub r3,r2,r17 + 22cc4: 28fff90e bge r5,r3,22cac <_gp+0xfffe5e40> + 22cc8: 3809883a mov r4,r7 + 22ccc: 018000f4 movhi r6,3 + 22cd0: 9c000417 ldw r16,16(r19) + 22cd4: 318df904 addi r6,r6,14308 + 22cd8: 32000204 addi r8,r6,8 + 22cdc: 82013426 beq r16,r8,231b0 <_malloc_r+0x5b4> + 22ce0: 80c00117 ldw r3,4(r16) + 22ce4: 00bfff04 movi r2,-4 + 22ce8: 188e703a and r7,r3,r2 + 22cec: 3c45c83a sub r2,r7,r17 + 22cf0: 00c003c4 movi r3,15 + 22cf4: 18811f16 blt r3,r2,23174 <_malloc_r+0x578> + 22cf8: 32000515 stw r8,20(r6) + 22cfc: 32000415 stw r8,16(r6) + 22d00: 10007f0e bge r2,zero,22f00 <_malloc_r+0x304> + 22d04: 00807fc4 movi r2,511 + 22d08: 11c0fd36 bltu r2,r7,23100 <_malloc_r+0x504> + 22d0c: 3806d0fa srli r3,r7,3 + 22d10: 01c00044 movi r7,1 + 22d14: 30800117 ldw r2,4(r6) + 22d18: 19400044 addi r5,r3,1 + 22d1c: 294b883a add r5,r5,r5 + 22d20: 1807d0ba srai r3,r3,2 + 22d24: 294b883a add r5,r5,r5 + 22d28: 294b883a add r5,r5,r5 + 22d2c: 298b883a add r5,r5,r6 + 22d30: 38c6983a sll r3,r7,r3 + 22d34: 29c00017 ldw r7,0(r5) + 22d38: 2a7ffe04 addi r9,r5,-8 + 22d3c: 1886b03a or r3,r3,r2 + 22d40: 82400315 stw r9,12(r16) + 22d44: 81c00215 stw r7,8(r16) + 22d48: 30c00115 stw r3,4(r6) + 22d4c: 2c000015 stw r16,0(r5) + 22d50: 3c000315 stw r16,12(r7) + 22d54: 2005d0ba srai r2,r4,2 + 22d58: 01400044 movi r5,1 + 22d5c: 288a983a sll r5,r5,r2 + 22d60: 19406f36 bltu r3,r5,22f20 <_malloc_r+0x324> + 22d64: 28c4703a and r2,r5,r3 + 22d68: 10000a1e bne r2,zero,22d94 <_malloc_r+0x198> + 22d6c: 00bfff04 movi r2,-4 + 22d70: 294b883a add r5,r5,r5 + 22d74: 2088703a and r4,r4,r2 + 22d78: 28c4703a and r2,r5,r3 + 22d7c: 21000104 addi r4,r4,4 + 22d80: 1000041e bne r2,zero,22d94 <_malloc_r+0x198> + 22d84: 294b883a add r5,r5,r5 + 22d88: 28c4703a and r2,r5,r3 + 22d8c: 21000104 addi r4,r4,4 + 22d90: 103ffc26 beq r2,zero,22d84 <_gp+0xfffe5f18> + 22d94: 02bfff04 movi r10,-4 + 22d98: 024003c4 movi r9,15 + 22d9c: 21800044 addi r6,r4,1 + 22da0: 318d883a add r6,r6,r6 + 22da4: 318d883a add r6,r6,r6 + 22da8: 318d883a add r6,r6,r6 + 22dac: 998d883a add r6,r19,r6 + 22db0: 333ffe04 addi r12,r6,-8 + 22db4: 2017883a mov r11,r4 + 22db8: 31800104 addi r6,r6,4 + 22dbc: 34000017 ldw r16,0(r6) + 22dc0: 31fffd04 addi r7,r6,-12 + 22dc4: 81c0041e bne r16,r7,22dd8 <_malloc_r+0x1dc> + 22dc8: 0000fb06 br 231b8 <_malloc_r+0x5bc> + 22dcc: 1801030e bge r3,zero,231dc <_malloc_r+0x5e0> + 22dd0: 84000317 ldw r16,12(r16) + 22dd4: 81c0f826 beq r16,r7,231b8 <_malloc_r+0x5bc> + 22dd8: 80800117 ldw r2,4(r16) + 22ddc: 1284703a and r2,r2,r10 + 22de0: 1447c83a sub r3,r2,r17 + 22de4: 48fff90e bge r9,r3,22dcc <_gp+0xfffe5f60> + 22de8: 80800317 ldw r2,12(r16) + 22dec: 81000217 ldw r4,8(r16) + 22df0: 89400054 ori r5,r17,1 + 22df4: 81400115 stw r5,4(r16) + 22df8: 20800315 stw r2,12(r4) + 22dfc: 11000215 stw r4,8(r2) + 22e00: 8463883a add r17,r16,r17 + 22e04: 9c400515 stw r17,20(r19) + 22e08: 9c400415 stw r17,16(r19) + 22e0c: 18800054 ori r2,r3,1 + 22e10: 88800115 stw r2,4(r17) + 22e14: 8a000315 stw r8,12(r17) + 22e18: 8a000215 stw r8,8(r17) + 22e1c: 88e3883a add r17,r17,r3 + 22e20: 88c00015 stw r3,0(r17) + 22e24: 9009883a mov r4,r18 + 22e28: 002fec80 call 2fec8 <__malloc_unlock> + 22e2c: 80800204 addi r2,r16,8 + 22e30: 00001b06 br 22ea0 <_malloc_r+0x2a4> + 22e34: 04400404 movi r17,16 + 22e38: 89402536 bltu r17,r5,22ed0 <_malloc_r+0x2d4> + 22e3c: 002fea40 call 2fea4 <__malloc_lock> + 22e40: 00800184 movi r2,6 + 22e44: 01000084 movi r4,2 + 22e48: 04c000f4 movhi r19,3 + 22e4c: 1085883a add r2,r2,r2 + 22e50: 9ccdf904 addi r19,r19,14308 + 22e54: 1085883a add r2,r2,r2 + 22e58: 9885883a add r2,r19,r2 + 22e5c: 14000117 ldw r16,4(r2) + 22e60: 10fffe04 addi r3,r2,-8 + 22e64: 80c0d926 beq r16,r3,231cc <_malloc_r+0x5d0> + 22e68: 80c00117 ldw r3,4(r16) + 22e6c: 81000317 ldw r4,12(r16) + 22e70: 00bfff04 movi r2,-4 + 22e74: 1884703a and r2,r3,r2 + 22e78: 81400217 ldw r5,8(r16) + 22e7c: 8085883a add r2,r16,r2 + 22e80: 10c00117 ldw r3,4(r2) + 22e84: 29000315 stw r4,12(r5) + 22e88: 21400215 stw r5,8(r4) + 22e8c: 18c00054 ori r3,r3,1 + 22e90: 10c00115 stw r3,4(r2) + 22e94: 9009883a mov r4,r18 + 22e98: 002fec80 call 2fec8 <__malloc_unlock> + 22e9c: 80800204 addi r2,r16,8 + 22ea0: dfc00a17 ldw ra,40(sp) + 22ea4: df000917 ldw fp,36(sp) + 22ea8: ddc00817 ldw r23,32(sp) + 22eac: dd800717 ldw r22,28(sp) + 22eb0: dd400617 ldw r21,24(sp) + 22eb4: dd000517 ldw r20,20(sp) + 22eb8: dcc00417 ldw r19,16(sp) + 22ebc: dc800317 ldw r18,12(sp) + 22ec0: dc400217 ldw r17,8(sp) + 22ec4: dc000117 ldw r16,4(sp) + 22ec8: dec00b04 addi sp,sp,44 + 22ecc: f800283a ret + 22ed0: 00800304 movi r2,12 + 22ed4: 90800015 stw r2,0(r18) + 22ed8: 0005883a mov r2,zero + 22edc: 003ff006 br 22ea0 <_gp+0xfffe6034> + 22ee0: 01002004 movi r4,128 + 22ee4: 02001004 movi r8,64 + 22ee8: 01c00fc4 movi r7,63 + 22eec: 003f6106 br 22c74 <_gp+0xfffe5e08> + 22ef0: 4009883a mov r4,r8 + 22ef4: 003f7506 br 22ccc <_gp+0xfffe5e60> + 22ef8: 81000317 ldw r4,12(r16) + 22efc: 003fde06 br 22e78 <_gp+0xfffe600c> + 22f00: 81c5883a add r2,r16,r7 + 22f04: 11400117 ldw r5,4(r2) + 22f08: 9009883a mov r4,r18 + 22f0c: 29400054 ori r5,r5,1 + 22f10: 11400115 stw r5,4(r2) + 22f14: 002fec80 call 2fec8 <__malloc_unlock> + 22f18: 80800204 addi r2,r16,8 + 22f1c: 003fe006 br 22ea0 <_gp+0xfffe6034> + 22f20: 9c000217 ldw r16,8(r19) + 22f24: 00bfff04 movi r2,-4 + 22f28: 85800117 ldw r22,4(r16) + 22f2c: b0ac703a and r22,r22,r2 + 22f30: b4400336 bltu r22,r17,22f40 <_malloc_r+0x344> + 22f34: b445c83a sub r2,r22,r17 + 22f38: 00c003c4 movi r3,15 + 22f3c: 18805d16 blt r3,r2,230b4 <_malloc_r+0x4b8> + 22f40: 05c000f4 movhi r23,3 + 22f44: 008000f4 movhi r2,3 + 22f48: 109c5804 addi r2,r2,29024 + 22f4c: bdd3a004 addi r23,r23,20096 + 22f50: 15400017 ldw r21,0(r2) + 22f54: b8c00017 ldw r3,0(r23) + 22f58: 00bfffc4 movi r2,-1 + 22f5c: 858d883a add r6,r16,r22 + 22f60: 8d6b883a add r21,r17,r21 + 22f64: 1880ea26 beq r3,r2,23310 <_malloc_r+0x714> + 22f68: ad4403c4 addi r21,r21,4111 + 22f6c: 00bc0004 movi r2,-4096 + 22f70: a8aa703a and r21,r21,r2 + 22f74: a80b883a mov r5,r21 + 22f78: 9009883a mov r4,r18 + 22f7c: d9800015 stw r6,0(sp) + 22f80: 00237180 call 23718 <_sbrk_r> + 22f84: 1029883a mov r20,r2 + 22f88: 00bfffc4 movi r2,-1 + 22f8c: d9800017 ldw r6,0(sp) + 22f90: a080e826 beq r20,r2,23334 <_malloc_r+0x738> + 22f94: a180a636 bltu r20,r6,23230 <_malloc_r+0x634> + 22f98: 070000f4 movhi fp,3 + 22f9c: e71c6404 addi fp,fp,29072 + 22fa0: e0800017 ldw r2,0(fp) + 22fa4: a887883a add r3,r21,r2 + 22fa8: e0c00015 stw r3,0(fp) + 22fac: 3500e626 beq r6,r20,23348 <_malloc_r+0x74c> + 22fb0: b9000017 ldw r4,0(r23) + 22fb4: 00bfffc4 movi r2,-1 + 22fb8: 2080ee26 beq r4,r2,23374 <_malloc_r+0x778> + 22fbc: a185c83a sub r2,r20,r6 + 22fc0: 10c5883a add r2,r2,r3 + 22fc4: e0800015 stw r2,0(fp) + 22fc8: a0c001cc andi r3,r20,7 + 22fcc: 1800bc26 beq r3,zero,232c0 <_malloc_r+0x6c4> + 22fd0: a0e9c83a sub r20,r20,r3 + 22fd4: 00840204 movi r2,4104 + 22fd8: a5000204 addi r20,r20,8 + 22fdc: 10c7c83a sub r3,r2,r3 + 22fe0: a545883a add r2,r20,r21 + 22fe4: 1083ffcc andi r2,r2,4095 + 22fe8: 18abc83a sub r21,r3,r2 + 22fec: a80b883a mov r5,r21 + 22ff0: 9009883a mov r4,r18 + 22ff4: 00237180 call 23718 <_sbrk_r> + 22ff8: 00ffffc4 movi r3,-1 + 22ffc: 10c0e126 beq r2,r3,23384 <_malloc_r+0x788> + 23000: 1505c83a sub r2,r2,r20 + 23004: 1545883a add r2,r2,r21 + 23008: 10800054 ori r2,r2,1 + 2300c: e0c00017 ldw r3,0(fp) + 23010: 9d000215 stw r20,8(r19) + 23014: a0800115 stw r2,4(r20) + 23018: a8c7883a add r3,r21,r3 + 2301c: e0c00015 stw r3,0(fp) + 23020: 84c00e26 beq r16,r19,2305c <_malloc_r+0x460> + 23024: 018003c4 movi r6,15 + 23028: 3580a72e bgeu r6,r22,232c8 <_malloc_r+0x6cc> + 2302c: 81400117 ldw r5,4(r16) + 23030: 013ffe04 movi r4,-8 + 23034: b0bffd04 addi r2,r22,-12 + 23038: 1104703a and r2,r2,r4 + 2303c: 2900004c andi r4,r5,1 + 23040: 2088b03a or r4,r4,r2 + 23044: 81000115 stw r4,4(r16) + 23048: 01400144 movi r5,5 + 2304c: 8089883a add r4,r16,r2 + 23050: 21400115 stw r5,4(r4) + 23054: 21400215 stw r5,8(r4) + 23058: 3080cd36 bltu r6,r2,23390 <_malloc_r+0x794> + 2305c: 008000f4 movhi r2,3 + 23060: 109c5704 addi r2,r2,29020 + 23064: 11000017 ldw r4,0(r2) + 23068: 20c0012e bgeu r4,r3,23070 <_malloc_r+0x474> + 2306c: 10c00015 stw r3,0(r2) + 23070: 008000f4 movhi r2,3 + 23074: 109c5604 addi r2,r2,29016 + 23078: 11000017 ldw r4,0(r2) + 2307c: 9c000217 ldw r16,8(r19) + 23080: 20c0012e bgeu r4,r3,23088 <_malloc_r+0x48c> + 23084: 10c00015 stw r3,0(r2) + 23088: 80c00117 ldw r3,4(r16) + 2308c: 00bfff04 movi r2,-4 + 23090: 1886703a and r3,r3,r2 + 23094: 1c45c83a sub r2,r3,r17 + 23098: 1c400236 bltu r3,r17,230a4 <_malloc_r+0x4a8> + 2309c: 00c003c4 movi r3,15 + 230a0: 18800416 blt r3,r2,230b4 <_malloc_r+0x4b8> + 230a4: 9009883a mov r4,r18 + 230a8: 002fec80 call 2fec8 <__malloc_unlock> + 230ac: 0005883a mov r2,zero + 230b0: 003f7b06 br 22ea0 <_gp+0xfffe6034> + 230b4: 88c00054 ori r3,r17,1 + 230b8: 80c00115 stw r3,4(r16) + 230bc: 8463883a add r17,r16,r17 + 230c0: 10800054 ori r2,r2,1 + 230c4: 9c400215 stw r17,8(r19) + 230c8: 88800115 stw r2,4(r17) + 230cc: 9009883a mov r4,r18 + 230d0: 002fec80 call 2fec8 <__malloc_unlock> + 230d4: 80800204 addi r2,r16,8 + 230d8: 003f7106 br 22ea0 <_gp+0xfffe6034> + 230dc: 00c00504 movi r3,20 + 230e0: 18804a2e bgeu r3,r2,2320c <_malloc_r+0x610> + 230e4: 00c01504 movi r3,84 + 230e8: 18806e36 bltu r3,r2,232a4 <_malloc_r+0x6a8> + 230ec: 8804d33a srli r2,r17,12 + 230f0: 12001bc4 addi r8,r2,111 + 230f4: 11c01b84 addi r7,r2,110 + 230f8: 4209883a add r4,r8,r8 + 230fc: 003edd06 br 22c74 <_gp+0xfffe5e08> + 23100: 3804d27a srli r2,r7,9 + 23104: 00c00104 movi r3,4 + 23108: 1880442e bgeu r3,r2,2321c <_malloc_r+0x620> + 2310c: 00c00504 movi r3,20 + 23110: 18808136 bltu r3,r2,23318 <_malloc_r+0x71c> + 23114: 11401704 addi r5,r2,92 + 23118: 10c016c4 addi r3,r2,91 + 2311c: 294b883a add r5,r5,r5 + 23120: 294b883a add r5,r5,r5 + 23124: 294b883a add r5,r5,r5 + 23128: 994b883a add r5,r19,r5 + 2312c: 28800017 ldw r2,0(r5) + 23130: 018000f4 movhi r6,3 + 23134: 297ffe04 addi r5,r5,-8 + 23138: 318df904 addi r6,r6,14308 + 2313c: 28806526 beq r5,r2,232d4 <_malloc_r+0x6d8> + 23140: 01bfff04 movi r6,-4 + 23144: 10c00117 ldw r3,4(r2) + 23148: 1986703a and r3,r3,r6 + 2314c: 38c0022e bgeu r7,r3,23158 <_malloc_r+0x55c> + 23150: 10800217 ldw r2,8(r2) + 23154: 28bffb1e bne r5,r2,23144 <_gp+0xfffe62d8> + 23158: 11400317 ldw r5,12(r2) + 2315c: 98c00117 ldw r3,4(r19) + 23160: 81400315 stw r5,12(r16) + 23164: 80800215 stw r2,8(r16) + 23168: 2c000215 stw r16,8(r5) + 2316c: 14000315 stw r16,12(r2) + 23170: 003ef806 br 22d54 <_gp+0xfffe5ee8> + 23174: 88c00054 ori r3,r17,1 + 23178: 80c00115 stw r3,4(r16) + 2317c: 8463883a add r17,r16,r17 + 23180: 34400515 stw r17,20(r6) + 23184: 34400415 stw r17,16(r6) + 23188: 10c00054 ori r3,r2,1 + 2318c: 8a000315 stw r8,12(r17) + 23190: 8a000215 stw r8,8(r17) + 23194: 88c00115 stw r3,4(r17) + 23198: 88a3883a add r17,r17,r2 + 2319c: 88800015 stw r2,0(r17) + 231a0: 9009883a mov r4,r18 + 231a4: 002fec80 call 2fec8 <__malloc_unlock> + 231a8: 80800204 addi r2,r16,8 + 231ac: 003f3c06 br 22ea0 <_gp+0xfffe6034> + 231b0: 30c00117 ldw r3,4(r6) + 231b4: 003ee706 br 22d54 <_gp+0xfffe5ee8> + 231b8: 5ac00044 addi r11,r11,1 + 231bc: 588000cc andi r2,r11,3 + 231c0: 31800204 addi r6,r6,8 + 231c4: 103efd1e bne r2,zero,22dbc <_gp+0xfffe5f50> + 231c8: 00002406 br 2325c <_malloc_r+0x660> + 231cc: 14000317 ldw r16,12(r2) + 231d0: 143f251e bne r2,r16,22e68 <_gp+0xfffe5ffc> + 231d4: 21000084 addi r4,r4,2 + 231d8: 003ebc06 br 22ccc <_gp+0xfffe5e60> + 231dc: 8085883a add r2,r16,r2 + 231e0: 10c00117 ldw r3,4(r2) + 231e4: 81000317 ldw r4,12(r16) + 231e8: 81400217 ldw r5,8(r16) + 231ec: 18c00054 ori r3,r3,1 + 231f0: 10c00115 stw r3,4(r2) + 231f4: 29000315 stw r4,12(r5) + 231f8: 21400215 stw r5,8(r4) + 231fc: 9009883a mov r4,r18 + 23200: 002fec80 call 2fec8 <__malloc_unlock> + 23204: 80800204 addi r2,r16,8 + 23208: 003f2506 br 22ea0 <_gp+0xfffe6034> + 2320c: 12001704 addi r8,r2,92 + 23210: 11c016c4 addi r7,r2,91 + 23214: 4209883a add r4,r8,r8 + 23218: 003e9606 br 22c74 <_gp+0xfffe5e08> + 2321c: 3804d1ba srli r2,r7,6 + 23220: 11400e44 addi r5,r2,57 + 23224: 10c00e04 addi r3,r2,56 + 23228: 294b883a add r5,r5,r5 + 2322c: 003fbc06 br 23120 <_gp+0xfffe62b4> + 23230: 84ff5926 beq r16,r19,22f98 <_gp+0xfffe612c> + 23234: 008000f4 movhi r2,3 + 23238: 108df904 addi r2,r2,14308 + 2323c: 14000217 ldw r16,8(r2) + 23240: 00bfff04 movi r2,-4 + 23244: 80c00117 ldw r3,4(r16) + 23248: 1886703a and r3,r3,r2 + 2324c: 003f9106 br 23094 <_gp+0xfffe6228> + 23250: 60800217 ldw r2,8(r12) + 23254: 213fffc4 addi r4,r4,-1 + 23258: 1300651e bne r2,r12,233f0 <_malloc_r+0x7f4> + 2325c: 208000cc andi r2,r4,3 + 23260: 633ffe04 addi r12,r12,-8 + 23264: 103ffa1e bne r2,zero,23250 <_gp+0xfffe63e4> + 23268: 98800117 ldw r2,4(r19) + 2326c: 0146303a nor r3,zero,r5 + 23270: 1884703a and r2,r3,r2 + 23274: 98800115 stw r2,4(r19) + 23278: 294b883a add r5,r5,r5 + 2327c: 117f2836 bltu r2,r5,22f20 <_gp+0xfffe60b4> + 23280: 283f2726 beq r5,zero,22f20 <_gp+0xfffe60b4> + 23284: 2886703a and r3,r5,r2 + 23288: 5809883a mov r4,r11 + 2328c: 183ec31e bne r3,zero,22d9c <_gp+0xfffe5f30> + 23290: 294b883a add r5,r5,r5 + 23294: 2886703a and r3,r5,r2 + 23298: 21000104 addi r4,r4,4 + 2329c: 183ffc26 beq r3,zero,23290 <_gp+0xfffe6424> + 232a0: 003ebe06 br 22d9c <_gp+0xfffe5f30> + 232a4: 00c05504 movi r3,340 + 232a8: 18801236 bltu r3,r2,232f4 <_malloc_r+0x6f8> + 232ac: 8804d3fa srli r2,r17,15 + 232b0: 12001e04 addi r8,r2,120 + 232b4: 11c01dc4 addi r7,r2,119 + 232b8: 4209883a add r4,r8,r8 + 232bc: 003e6d06 br 22c74 <_gp+0xfffe5e08> + 232c0: 00c40004 movi r3,4096 + 232c4: 003f4606 br 22fe0 <_gp+0xfffe6174> + 232c8: 00800044 movi r2,1 + 232cc: a0800115 stw r2,4(r20) + 232d0: 003f7406 br 230a4 <_gp+0xfffe6238> + 232d4: 1805d0ba srai r2,r3,2 + 232d8: 01c00044 movi r7,1 + 232dc: 30c00117 ldw r3,4(r6) + 232e0: 388e983a sll r7,r7,r2 + 232e4: 2805883a mov r2,r5 + 232e8: 38c6b03a or r3,r7,r3 + 232ec: 30c00115 stw r3,4(r6) + 232f0: 003f9b06 br 23160 <_gp+0xfffe62f4> + 232f4: 00c15504 movi r3,1364 + 232f8: 18801a36 bltu r3,r2,23364 <_malloc_r+0x768> + 232fc: 8804d4ba srli r2,r17,18 + 23300: 12001f44 addi r8,r2,125 + 23304: 11c01f04 addi r7,r2,124 + 23308: 4209883a add r4,r8,r8 + 2330c: 003e5906 br 22c74 <_gp+0xfffe5e08> + 23310: ad400404 addi r21,r21,16 + 23314: 003f1706 br 22f74 <_gp+0xfffe6108> + 23318: 00c01504 movi r3,84 + 2331c: 18802336 bltu r3,r2,233ac <_malloc_r+0x7b0> + 23320: 3804d33a srli r2,r7,12 + 23324: 11401bc4 addi r5,r2,111 + 23328: 10c01b84 addi r3,r2,110 + 2332c: 294b883a add r5,r5,r5 + 23330: 003f7b06 br 23120 <_gp+0xfffe62b4> + 23334: 9c000217 ldw r16,8(r19) + 23338: 00bfff04 movi r2,-4 + 2333c: 80c00117 ldw r3,4(r16) + 23340: 1886703a and r3,r3,r2 + 23344: 003f5306 br 23094 <_gp+0xfffe6228> + 23348: 3083ffcc andi r2,r6,4095 + 2334c: 103f181e bne r2,zero,22fb0 <_gp+0xfffe6144> + 23350: 99000217 ldw r4,8(r19) + 23354: b545883a add r2,r22,r21 + 23358: 10800054 ori r2,r2,1 + 2335c: 20800115 stw r2,4(r4) + 23360: 003f3e06 br 2305c <_gp+0xfffe61f0> + 23364: 01003f84 movi r4,254 + 23368: 02001fc4 movi r8,127 + 2336c: 01c01f84 movi r7,126 + 23370: 003e4006 br 22c74 <_gp+0xfffe5e08> + 23374: 008000f4 movhi r2,3 + 23378: 1093a004 addi r2,r2,20096 + 2337c: 15000015 stw r20,0(r2) + 23380: 003f1106 br 22fc8 <_gp+0xfffe615c> + 23384: 00800044 movi r2,1 + 23388: 002b883a mov r21,zero + 2338c: 003f1f06 br 2300c <_gp+0xfffe61a0> + 23390: 81400204 addi r5,r16,8 + 23394: 9009883a mov r4,r18 + 23398: 0027c1c0 call 27c1c <_free_r> + 2339c: 008000f4 movhi r2,3 + 233a0: 109c6404 addi r2,r2,29072 + 233a4: 10c00017 ldw r3,0(r2) + 233a8: 003f2c06 br 2305c <_gp+0xfffe61f0> + 233ac: 00c05504 movi r3,340 + 233b0: 18800536 bltu r3,r2,233c8 <_malloc_r+0x7cc> + 233b4: 3804d3fa srli r2,r7,15 + 233b8: 11401e04 addi r5,r2,120 + 233bc: 10c01dc4 addi r3,r2,119 + 233c0: 294b883a add r5,r5,r5 + 233c4: 003f5606 br 23120 <_gp+0xfffe62b4> + 233c8: 00c15504 movi r3,1364 + 233cc: 18800536 bltu r3,r2,233e4 <_malloc_r+0x7e8> + 233d0: 3804d4ba srli r2,r7,18 + 233d4: 11401f44 addi r5,r2,125 + 233d8: 10c01f04 addi r3,r2,124 + 233dc: 294b883a add r5,r5,r5 + 233e0: 003f4f06 br 23120 <_gp+0xfffe62b4> + 233e4: 01403f84 movi r5,254 + 233e8: 00c01f84 movi r3,126 + 233ec: 003f4c06 br 23120 <_gp+0xfffe62b4> + 233f0: 98800117 ldw r2,4(r19) + 233f4: 003fa006 br 23278 <_gp+0xfffe640c> + 233f8: 8808d0fa srli r4,r17,3 + 233fc: 20800044 addi r2,r4,1 + 23400: 1085883a add r2,r2,r2 + 23404: 003e9006 br 22e48 <_gp+0xfffe5fdc> -00023024 : - 23024: defffc04 addi sp,sp,-16 - 23028: dfc00015 stw ra,0(sp) - 2302c: d9400115 stw r5,4(sp) - 23030: d9800215 stw r6,8(sp) - 23034: d9c00315 stw r7,12(sp) - 23038: 008000f4 movhi r2,3 - 2303c: 10915404 addi r2,r2,17744 - 23040: 10800017 ldw r2,0(r2) - 23044: 200b883a mov r5,r4 - 23048: d9800104 addi r6,sp,4 - 2304c: 11000217 ldw r4,8(r2) - 23050: 00254380 call 25438 <__vfprintf_internal> - 23054: dfc00017 ldw ra,0(sp) - 23058: dec00404 addi sp,sp,16 - 2305c: f800283a ret +00023408 : + 23408: 20c000cc andi r3,r4,3 + 2340c: 2005883a mov r2,r4 + 23410: 18004426 beq r3,zero,23524 + 23414: 31ffffc4 addi r7,r6,-1 + 23418: 30004026 beq r6,zero,2351c + 2341c: 2813883a mov r9,r5 + 23420: 200d883a mov r6,r4 + 23424: 2007883a mov r3,r4 + 23428: 00000406 br 2343c + 2342c: 3a3fffc4 addi r8,r7,-1 + 23430: 31800044 addi r6,r6,1 + 23434: 38003926 beq r7,zero,2351c + 23438: 400f883a mov r7,r8 + 2343c: 18c00044 addi r3,r3,1 + 23440: 32400005 stb r9,0(r6) + 23444: 1a0000cc andi r8,r3,3 + 23448: 403ff81e bne r8,zero,2342c <_gp+0xfffe65c0> + 2344c: 010000c4 movi r4,3 + 23450: 21c02d2e bgeu r4,r7,23508 + 23454: 29003fcc andi r4,r5,255 + 23458: 200c923a slli r6,r4,8 + 2345c: 3108b03a or r4,r6,r4 + 23460: 200c943a slli r6,r4,16 + 23464: 218cb03a or r6,r4,r6 + 23468: 010003c4 movi r4,15 + 2346c: 21c0182e bgeu r4,r7,234d0 + 23470: 3b3ffc04 addi r12,r7,-16 + 23474: 6018d13a srli r12,r12,4 + 23478: 1a000104 addi r8,r3,4 + 2347c: 1ac00204 addi r11,r3,8 + 23480: 6008913a slli r4,r12,4 + 23484: 1a800304 addi r10,r3,12 + 23488: 1813883a mov r9,r3 + 2348c: 21000504 addi r4,r4,20 + 23490: 1909883a add r4,r3,r4 + 23494: 49800015 stw r6,0(r9) + 23498: 41800015 stw r6,0(r8) + 2349c: 59800015 stw r6,0(r11) + 234a0: 51800015 stw r6,0(r10) + 234a4: 42000404 addi r8,r8,16 + 234a8: 4a400404 addi r9,r9,16 + 234ac: 5ac00404 addi r11,r11,16 + 234b0: 52800404 addi r10,r10,16 + 234b4: 413ff71e bne r8,r4,23494 <_gp+0xfffe6628> + 234b8: 63000044 addi r12,r12,1 + 234bc: 6018913a slli r12,r12,4 + 234c0: 39c003cc andi r7,r7,15 + 234c4: 010000c4 movi r4,3 + 234c8: 1b07883a add r3,r3,r12 + 234cc: 21c00e2e bgeu r4,r7,23508 + 234d0: 1813883a mov r9,r3 + 234d4: 3811883a mov r8,r7 + 234d8: 010000c4 movi r4,3 + 234dc: 49800015 stw r6,0(r9) + 234e0: 423fff04 addi r8,r8,-4 + 234e4: 4a400104 addi r9,r9,4 + 234e8: 223ffc36 bltu r4,r8,234dc <_gp+0xfffe6670> + 234ec: 393fff04 addi r4,r7,-4 + 234f0: 2008d0ba srli r4,r4,2 + 234f4: 39c000cc andi r7,r7,3 + 234f8: 21000044 addi r4,r4,1 + 234fc: 2109883a add r4,r4,r4 + 23500: 2109883a add r4,r4,r4 + 23504: 1907883a add r3,r3,r4 + 23508: 38000526 beq r7,zero,23520 + 2350c: 19cf883a add r7,r3,r7 + 23510: 19400005 stb r5,0(r3) + 23514: 18c00044 addi r3,r3,1 + 23518: 38fffd1e bne r7,r3,23510 <_gp+0xfffe66a4> + 2351c: f800283a ret + 23520: f800283a ret + 23524: 2007883a mov r3,r4 + 23528: 300f883a mov r7,r6 + 2352c: 003fc706 br 2344c <_gp+0xfffe65e0> -00023060 <_putchar_r>: - 23060: 21800217 ldw r6,8(r4) - 23064: 00295c81 jmpi 295c8 <_putc_r> +00023530 <_printf_r>: + 23530: defffd04 addi sp,sp,-12 + 23534: 2805883a mov r2,r5 + 23538: dfc00015 stw ra,0(sp) + 2353c: d9800115 stw r6,4(sp) + 23540: d9c00215 stw r7,8(sp) + 23544: 21400217 ldw r5,8(r4) + 23548: d9c00104 addi r7,sp,4 + 2354c: 100d883a mov r6,r2 + 23550: 00238040 call 23804 <___vfprintf_internal_r> + 23554: dfc00017 ldw ra,0(sp) + 23558: dec00304 addi sp,sp,12 + 2355c: f800283a ret -00023068 : - 23068: 008000f4 movhi r2,3 - 2306c: 10915404 addi r2,r2,17744 - 23070: 200b883a mov r5,r4 - 23074: 11000017 ldw r4,0(r2) - 23078: 21800217 ldw r6,8(r4) - 2307c: 00295c81 jmpi 295c8 <_putc_r> +00023560 : + 23560: defffc04 addi sp,sp,-16 + 23564: dfc00015 stw ra,0(sp) + 23568: d9400115 stw r5,4(sp) + 2356c: d9800215 stw r6,8(sp) + 23570: d9c00315 stw r7,12(sp) + 23574: 008000f4 movhi r2,3 + 23578: 10939f04 addi r2,r2,20092 + 2357c: 10800017 ldw r2,0(r2) + 23580: 200b883a mov r5,r4 + 23584: d9800104 addi r6,sp,4 + 23588: 11000217 ldw r4,8(r2) + 2358c: 00259fc0 call 259fc <__vfprintf_internal> + 23590: dfc00017 ldw ra,0(sp) + 23594: dec00404 addi sp,sp,16 + 23598: f800283a ret -00023080 <_puts_r>: - 23080: defff604 addi sp,sp,-40 - 23084: dc000715 stw r16,28(sp) - 23088: 2021883a mov r16,r4 - 2308c: 2809883a mov r4,r5 - 23090: dc400815 stw r17,32(sp) - 23094: dfc00915 stw ra,36(sp) - 23098: 2823883a mov r17,r5 - 2309c: 00231a80 call 231a8 - 230a0: 10c00044 addi r3,r2,1 - 230a4: d8800115 stw r2,4(sp) - 230a8: 008000f4 movhi r2,3 - 230ac: 1087fb04 addi r2,r2,8172 - 230b0: d8800215 stw r2,8(sp) - 230b4: 00800044 movi r2,1 - 230b8: d8800315 stw r2,12(sp) - 230bc: 00800084 movi r2,2 - 230c0: dc400015 stw r17,0(sp) - 230c4: d8c00615 stw r3,24(sp) - 230c8: dec00415 stw sp,16(sp) - 230cc: d8800515 stw r2,20(sp) - 230d0: 80000226 beq r16,zero,230dc <_puts_r+0x5c> - 230d4: 80800e17 ldw r2,56(r16) - 230d8: 10001426 beq r2,zero,2312c <_puts_r+0xac> - 230dc: 81400217 ldw r5,8(r16) - 230e0: 2880030b ldhu r2,12(r5) - 230e4: 10c8000c andi r3,r2,8192 - 230e8: 1800061e bne r3,zero,23104 <_puts_r+0x84> - 230ec: 29001917 ldw r4,100(r5) - 230f0: 00f7ffc4 movi r3,-8193 - 230f4: 10880014 ori r2,r2,8192 - 230f8: 20c6703a and r3,r4,r3 - 230fc: 2880030d sth r2,12(r5) - 23100: 28c01915 stw r3,100(r5) - 23104: d9800404 addi r6,sp,16 - 23108: 8009883a mov r4,r16 - 2310c: 00279680 call 27968 <__sfvwrite_r> - 23110: 1000091e bne r2,zero,23138 <_puts_r+0xb8> - 23114: 00800284 movi r2,10 - 23118: dfc00917 ldw ra,36(sp) - 2311c: dc400817 ldw r17,32(sp) - 23120: dc000717 ldw r16,28(sp) - 23124: dec00a04 addi sp,sp,40 - 23128: f800283a ret - 2312c: 8009883a mov r4,r16 - 23130: 00274e40 call 274e4 <__sinit> - 23134: 003fe906 br 230dc <_gp+0xfffe6ba4> - 23138: 00bfffc4 movi r2,-1 - 2313c: 003ff606 br 23118 <_gp+0xfffe6be0> +0002359c <_putchar_r>: + 2359c: 21800217 ldw r6,8(r4) + 235a0: 0029b8c1 jmpi 29b8c <_putc_r> -00023140 : - 23140: 008000f4 movhi r2,3 - 23144: 10915404 addi r2,r2,17744 - 23148: 200b883a mov r5,r4 - 2314c: 11000017 ldw r4,0(r2) - 23150: 00230801 jmpi 23080 <_puts_r> +000235a4 : + 235a4: 008000f4 movhi r2,3 + 235a8: 10939f04 addi r2,r2,20092 + 235ac: 200b883a mov r5,r4 + 235b0: 11000017 ldw r4,0(r2) + 235b4: 21800217 ldw r6,8(r4) + 235b8: 0029b8c1 jmpi 29b8c <_putc_r> -00023154 <_sbrk_r>: - 23154: defffd04 addi sp,sp,-12 - 23158: dc000015 stw r16,0(sp) - 2315c: 040000f4 movhi r16,3 - 23160: dc400115 stw r17,4(sp) - 23164: 841a0c04 addi r16,r16,26672 - 23168: 2023883a mov r17,r4 - 2316c: 2809883a mov r4,r5 - 23170: dfc00215 stw ra,8(sp) - 23174: 80000015 stw zero,0(r16) - 23178: 002f7780 call 2f778 - 2317c: 00ffffc4 movi r3,-1 - 23180: 10c00526 beq r2,r3,23198 <_sbrk_r+0x44> - 23184: dfc00217 ldw ra,8(sp) - 23188: dc400117 ldw r17,4(sp) - 2318c: dc000017 ldw r16,0(sp) - 23190: dec00304 addi sp,sp,12 - 23194: f800283a ret - 23198: 80c00017 ldw r3,0(r16) - 2319c: 183ff926 beq r3,zero,23184 <_gp+0xfffe6c4c> - 231a0: 88c00015 stw r3,0(r17) - 231a4: 003ff706 br 23184 <_gp+0xfffe6c4c> +000235bc <_puts_r>: + 235bc: defff604 addi sp,sp,-40 + 235c0: dc000715 stw r16,28(sp) + 235c4: 2021883a mov r16,r4 + 235c8: 2809883a mov r4,r5 + 235cc: dc400815 stw r17,32(sp) + 235d0: dfc00915 stw ra,36(sp) + 235d4: 2823883a mov r17,r5 + 235d8: 002376c0 call 2376c + 235dc: 10c00044 addi r3,r2,1 + 235e0: d8800115 stw r2,4(sp) + 235e4: 008000f4 movhi r2,3 + 235e8: 108a4904 addi r2,r2,10532 + 235ec: d8800215 stw r2,8(sp) + 235f0: 00800044 movi r2,1 + 235f4: d8800315 stw r2,12(sp) + 235f8: 00800084 movi r2,2 + 235fc: dc400015 stw r17,0(sp) + 23600: d8c00615 stw r3,24(sp) + 23604: dec00415 stw sp,16(sp) + 23608: d8800515 stw r2,20(sp) + 2360c: 80000226 beq r16,zero,23618 <_puts_r+0x5c> + 23610: 80800e17 ldw r2,56(r16) + 23614: 10001426 beq r2,zero,23668 <_puts_r+0xac> + 23618: 81400217 ldw r5,8(r16) + 2361c: 2880030b ldhu r2,12(r5) + 23620: 10c8000c andi r3,r2,8192 + 23624: 1800061e bne r3,zero,23640 <_puts_r+0x84> + 23628: 29001917 ldw r4,100(r5) + 2362c: 00f7ffc4 movi r3,-8193 + 23630: 10880014 ori r2,r2,8192 + 23634: 20c6703a and r3,r4,r3 + 23638: 2880030d sth r2,12(r5) + 2363c: 28c01915 stw r3,100(r5) + 23640: d9800404 addi r6,sp,16 + 23644: 8009883a mov r4,r16 + 23648: 0027f2c0 call 27f2c <__sfvwrite_r> + 2364c: 1000091e bne r2,zero,23674 <_puts_r+0xb8> + 23650: 00800284 movi r2,10 + 23654: dfc00917 ldw ra,36(sp) + 23658: dc400817 ldw r17,32(sp) + 2365c: dc000717 ldw r16,28(sp) + 23660: dec00a04 addi sp,sp,40 + 23664: f800283a ret + 23668: 8009883a mov r4,r16 + 2366c: 0027aa80 call 27aa8 <__sinit> + 23670: 003fe906 br 23618 <_gp+0xfffe67ac> + 23674: 00bfffc4 movi r2,-1 + 23678: 003ff606 br 23654 <_gp+0xfffe67e8> -000231a8 : - 231a8: 208000cc andi r2,r4,3 - 231ac: 10002026 beq r2,zero,23230 - 231b0: 20800007 ldb r2,0(r4) - 231b4: 10002026 beq r2,zero,23238 - 231b8: 2005883a mov r2,r4 - 231bc: 00000206 br 231c8 - 231c0: 10c00007 ldb r3,0(r2) - 231c4: 18001826 beq r3,zero,23228 - 231c8: 10800044 addi r2,r2,1 - 231cc: 10c000cc andi r3,r2,3 - 231d0: 183ffb1e bne r3,zero,231c0 <_gp+0xfffe6c88> - 231d4: 10c00017 ldw r3,0(r2) - 231d8: 01ffbff4 movhi r7,65279 - 231dc: 39ffbfc4 addi r7,r7,-257 - 231e0: 00ca303a nor r5,zero,r3 - 231e4: 01a02074 movhi r6,32897 - 231e8: 19c7883a add r3,r3,r7 - 231ec: 31a02004 addi r6,r6,-32640 - 231f0: 1946703a and r3,r3,r5 - 231f4: 1986703a and r3,r3,r6 - 231f8: 1800091e bne r3,zero,23220 - 231fc: 10800104 addi r2,r2,4 - 23200: 10c00017 ldw r3,0(r2) - 23204: 19cb883a add r5,r3,r7 - 23208: 00c6303a nor r3,zero,r3 - 2320c: 28c6703a and r3,r5,r3 - 23210: 1986703a and r3,r3,r6 - 23214: 183ff926 beq r3,zero,231fc <_gp+0xfffe6cc4> - 23218: 00000106 br 23220 - 2321c: 10800044 addi r2,r2,1 - 23220: 10c00007 ldb r3,0(r2) - 23224: 183ffd1e bne r3,zero,2321c <_gp+0xfffe6ce4> - 23228: 1105c83a sub r2,r2,r4 - 2322c: f800283a ret - 23230: 2005883a mov r2,r4 - 23234: 003fe706 br 231d4 <_gp+0xfffe6c9c> - 23238: 0005883a mov r2,zero - 2323c: f800283a ret +0002367c : + 2367c: 008000f4 movhi r2,3 + 23680: 10939f04 addi r2,r2,20092 + 23684: 200b883a mov r5,r4 + 23688: 11000017 ldw r4,0(r2) + 2368c: 00235bc1 jmpi 235bc <_puts_r> -00023240 <___vfprintf_internal_r>: - 23240: deffb804 addi sp,sp,-288 - 23244: dfc04715 stw ra,284(sp) - 23248: ddc04515 stw r23,276(sp) - 2324c: dd404315 stw r21,268(sp) - 23250: d9002c15 stw r4,176(sp) - 23254: 282f883a mov r23,r5 - 23258: 302b883a mov r21,r6 - 2325c: d9c02d15 stw r7,180(sp) - 23260: df004615 stw fp,280(sp) - 23264: dd804415 stw r22,272(sp) - 23268: dd004215 stw r20,264(sp) - 2326c: dcc04115 stw r19,260(sp) - 23270: dc804015 stw r18,256(sp) - 23274: dc403f15 stw r17,252(sp) - 23278: dc003e15 stw r16,248(sp) - 2327c: 00280580 call 28058 <_localeconv_r> - 23280: 10800017 ldw r2,0(r2) - 23284: 1009883a mov r4,r2 - 23288: d8803415 stw r2,208(sp) - 2328c: 00231a80 call 231a8 - 23290: d8803715 stw r2,220(sp) - 23294: d8802c17 ldw r2,176(sp) - 23298: 10000226 beq r2,zero,232a4 <___vfprintf_internal_r+0x64> - 2329c: 10800e17 ldw r2,56(r2) - 232a0: 1000f926 beq r2,zero,23688 <___vfprintf_internal_r+0x448> - 232a4: b880030b ldhu r2,12(r23) - 232a8: 10c8000c andi r3,r2,8192 - 232ac: 1800061e bne r3,zero,232c8 <___vfprintf_internal_r+0x88> - 232b0: b9001917 ldw r4,100(r23) - 232b4: 00f7ffc4 movi r3,-8193 - 232b8: 10880014 ori r2,r2,8192 - 232bc: 20c6703a and r3,r4,r3 - 232c0: b880030d sth r2,12(r23) - 232c4: b8c01915 stw r3,100(r23) - 232c8: 10c0020c andi r3,r2,8 - 232cc: 1800c126 beq r3,zero,235d4 <___vfprintf_internal_r+0x394> - 232d0: b8c00417 ldw r3,16(r23) - 232d4: 1800bf26 beq r3,zero,235d4 <___vfprintf_internal_r+0x394> - 232d8: 1080068c andi r2,r2,26 - 232dc: 00c00284 movi r3,10 - 232e0: 10c0c426 beq r2,r3,235f4 <___vfprintf_internal_r+0x3b4> - 232e4: d8c00404 addi r3,sp,16 - 232e8: 050000f4 movhi r20,3 - 232ec: d9001e04 addi r4,sp,120 - 232f0: a5080c84 addi r20,r20,8242 - 232f4: d8c01e15 stw r3,120(sp) - 232f8: d8002015 stw zero,128(sp) - 232fc: d8001f15 stw zero,124(sp) - 23300: d8003315 stw zero,204(sp) - 23304: d8003615 stw zero,216(sp) - 23308: d8003815 stw zero,224(sp) - 2330c: 1811883a mov r8,r3 - 23310: d8003915 stw zero,228(sp) - 23314: d8003a15 stw zero,232(sp) - 23318: d8002f15 stw zero,188(sp) - 2331c: d9002815 stw r4,160(sp) - 23320: a8800007 ldb r2,0(r21) - 23324: 10027b26 beq r2,zero,23d14 <___vfprintf_internal_r+0xad4> - 23328: 00c00944 movi r3,37 - 2332c: a821883a mov r16,r21 - 23330: 10c0021e bne r2,r3,2333c <___vfprintf_internal_r+0xfc> - 23334: 00001406 br 23388 <___vfprintf_internal_r+0x148> - 23338: 10c00326 beq r2,r3,23348 <___vfprintf_internal_r+0x108> - 2333c: 84000044 addi r16,r16,1 - 23340: 80800007 ldb r2,0(r16) - 23344: 103ffc1e bne r2,zero,23338 <_gp+0xfffe6e00> - 23348: 8563c83a sub r17,r16,r21 - 2334c: 88000e26 beq r17,zero,23388 <___vfprintf_internal_r+0x148> - 23350: d8c02017 ldw r3,128(sp) - 23354: d8801f17 ldw r2,124(sp) - 23358: 45400015 stw r21,0(r8) - 2335c: 1c47883a add r3,r3,r17 - 23360: 10800044 addi r2,r2,1 - 23364: d8c02015 stw r3,128(sp) - 23368: 44400115 stw r17,4(r8) - 2336c: d8801f15 stw r2,124(sp) - 23370: 00c001c4 movi r3,7 - 23374: 1880a716 blt r3,r2,23614 <___vfprintf_internal_r+0x3d4> - 23378: 42000204 addi r8,r8,8 - 2337c: d9402f17 ldw r5,188(sp) - 23380: 2c4b883a add r5,r5,r17 - 23384: d9402f15 stw r5,188(sp) - 23388: 80800007 ldb r2,0(r16) - 2338c: 1000a826 beq r2,zero,23630 <___vfprintf_internal_r+0x3f0> - 23390: 84400047 ldb r17,1(r16) - 23394: 00bfffc4 movi r2,-1 - 23398: 85400044 addi r21,r16,1 - 2339c: d8002785 stb zero,158(sp) - 233a0: 0007883a mov r3,zero - 233a4: 000f883a mov r7,zero - 233a8: d8802915 stw r2,164(sp) - 233ac: d8003115 stw zero,196(sp) - 233b0: 0025883a mov r18,zero - 233b4: 01401604 movi r5,88 - 233b8: 01800244 movi r6,9 - 233bc: 02800a84 movi r10,42 - 233c0: 02401b04 movi r9,108 - 233c4: ad400044 addi r21,r21,1 - 233c8: 88bff804 addi r2,r17,-32 - 233cc: 28830436 bltu r5,r2,23fe0 <___vfprintf_internal_r+0xda0> - 233d0: 100490ba slli r2,r2,2 - 233d4: 010000b4 movhi r4,2 - 233d8: 210cfa04 addi r4,r4,13288 - 233dc: 1105883a add r2,r2,r4 - 233e0: 10800017 ldw r2,0(r2) - 233e4: 1000683a jmp r2 - 233e8: 00023f00 call 23f0 <__alt_mem_onchip_memory2_0-0x1dc10> - 233ec: 00023fe0 cmpeqi zero,zero,2303 - 233f0: 00023fe0 cmpeqi zero,zero,2303 - 233f4: 00023f20 cmpeqi zero,zero,2300 - 233f8: 00023fe0 cmpeqi zero,zero,2303 - 233fc: 00023fe0 cmpeqi zero,zero,2303 - 23400: 00023fe0 cmpeqi zero,zero,2303 - 23404: 00023fe0 cmpeqi zero,zero,2303 - 23408: 00023fe0 cmpeqi zero,zero,2303 - 2340c: 00023fe0 cmpeqi zero,zero,2303 - 23410: 00023694 movui zero,2266 - 23414: 00023e3c xorhi zero,zero,2296 - 23418: 00023fe0 cmpeqi zero,zero,2303 - 2341c: 0002355c xori zero,zero,2261 - 23420: 000236bc xorhi zero,zero,2266 - 23424: 00023fe0 cmpeqi zero,zero,2303 - 23428: 000236fc xorhi zero,zero,2267 - 2342c: 00023708 cmpgei zero,zero,2268 - 23430: 00023708 cmpgei zero,zero,2268 - 23434: 00023708 cmpgei zero,zero,2268 - 23438: 00023708 cmpgei zero,zero,2268 - 2343c: 00023708 cmpgei zero,zero,2268 - 23440: 00023708 cmpgei zero,zero,2268 - 23444: 00023708 cmpgei zero,zero,2268 - 23448: 00023708 cmpgei zero,zero,2268 - 2344c: 00023708 cmpgei zero,zero,2268 - 23450: 00023fe0 cmpeqi zero,zero,2303 - 23454: 00023fe0 cmpeqi zero,zero,2303 - 23458: 00023fe0 cmpeqi zero,zero,2303 - 2345c: 00023fe0 cmpeqi zero,zero,2303 - 23460: 00023fe0 cmpeqi zero,zero,2303 - 23464: 00023fe0 cmpeqi zero,zero,2303 - 23468: 00023fe0 cmpeqi zero,zero,2303 - 2346c: 00023fe0 cmpeqi zero,zero,2303 - 23470: 00023fe0 cmpeqi zero,zero,2303 - 23474: 00023fe0 cmpeqi zero,zero,2303 - 23478: 0002373c xorhi zero,zero,2268 - 2347c: 000237f8 rdprs zero,zero,2271 - 23480: 00023fe0 cmpeqi zero,zero,2303 - 23484: 000237f8 rdprs zero,zero,2271 - 23488: 00023fe0 cmpeqi zero,zero,2303 - 2348c: 00023fe0 cmpeqi zero,zero,2303 - 23490: 00023fe0 cmpeqi zero,zero,2303 - 23494: 00023fe0 cmpeqi zero,zero,2303 - 23498: 00023898 cmpnei zero,zero,2274 - 2349c: 00023fe0 cmpeqi zero,zero,2303 - 234a0: 00023fe0 cmpeqi zero,zero,2303 - 234a4: 000238a4 muli zero,zero,2274 - 234a8: 00023fe0 cmpeqi zero,zero,2303 - 234ac: 00023fe0 cmpeqi zero,zero,2303 - 234b0: 00023fe0 cmpeqi zero,zero,2303 - 234b4: 00023fe0 cmpeqi zero,zero,2303 - 234b8: 00023fe0 cmpeqi zero,zero,2303 - 234bc: 00023d1c xori zero,zero,2292 - 234c0: 00023fe0 cmpeqi zero,zero,2303 - 234c4: 00023fe0 cmpeqi zero,zero,2303 - 234c8: 00023d7c xorhi zero,zero,2293 - 234cc: 00023fe0 cmpeqi zero,zero,2303 - 234d0: 00023fe0 cmpeqi zero,zero,2303 - 234d4: 00023fe0 cmpeqi zero,zero,2303 - 234d8: 00023fe0 cmpeqi zero,zero,2303 - 234dc: 00023fe0 cmpeqi zero,zero,2303 - 234e0: 00023fe0 cmpeqi zero,zero,2303 - 234e4: 00023fe0 cmpeqi zero,zero,2303 - 234e8: 00023fe0 cmpeqi zero,zero,2303 - 234ec: 00023fe0 cmpeqi zero,zero,2303 - 234f0: 00023fe0 cmpeqi zero,zero,2303 - 234f4: 00023f8c andi zero,zero,2302 - 234f8: 00023f2c andhi zero,zero,2300 - 234fc: 000237f8 rdprs zero,zero,2271 - 23500: 000237f8 rdprs zero,zero,2271 - 23504: 000237f8 rdprs zero,zero,2271 - 23508: 00023f3c xorhi zero,zero,2300 - 2350c: 00023f2c andhi zero,zero,2300 - 23510: 00023fe0 cmpeqi zero,zero,2303 - 23514: 00023fe0 cmpeqi zero,zero,2303 - 23518: 00023f48 cmpgei zero,zero,2301 - 2351c: 00023fe0 cmpeqi zero,zero,2303 - 23520: 00023f58 cmpnei zero,zero,2301 - 23524: 00023e2c andhi zero,zero,2296 - 23528: 00023568 cmpgeui zero,zero,2261 - 2352c: 00023e4c andi zero,zero,2297 - 23530: 00023fe0 cmpeqi zero,zero,2303 - 23534: 00023e58 cmpnei zero,zero,2297 - 23538: 00023fe0 cmpeqi zero,zero,2303 - 2353c: 00023eb4 movhi zero,2298 - 23540: 00023fe0 cmpeqi zero,zero,2303 - 23544: 00023fe0 cmpeqi zero,zero,2303 - 23548: 00023ec4 movi zero,2299 - 2354c: d9003117 ldw r4,196(sp) - 23550: d8802d15 stw r2,180(sp) - 23554: 0109c83a sub r4,zero,r4 - 23558: d9003115 stw r4,196(sp) - 2355c: 94800114 ori r18,r18,4 - 23560: ac400007 ldb r17,0(r21) - 23564: 003f9706 br 233c4 <_gp+0xfffe6e8c> - 23568: 00800c04 movi r2,48 - 2356c: d9002d17 ldw r4,180(sp) - 23570: d9402917 ldw r5,164(sp) - 23574: d8802705 stb r2,156(sp) - 23578: 00801e04 movi r2,120 - 2357c: d8802745 stb r2,157(sp) - 23580: d8002785 stb zero,158(sp) - 23584: 20c00104 addi r3,r4,4 - 23588: 24c00017 ldw r19,0(r4) - 2358c: 002d883a mov r22,zero - 23590: 90800094 ori r2,r18,2 - 23594: 28029a16 blt r5,zero,24000 <___vfprintf_internal_r+0xdc0> - 23598: 00bfdfc4 movi r2,-129 - 2359c: 90a4703a and r18,r18,r2 - 235a0: d8c02d15 stw r3,180(sp) - 235a4: 94800094 ori r18,r18,2 - 235a8: 9802871e bne r19,zero,23fc8 <___vfprintf_internal_r+0xd88> - 235ac: 008000f4 movhi r2,3 - 235b0: 10880504 addi r2,r2,8212 - 235b4: d8803915 stw r2,228(sp) - 235b8: 04401e04 movi r17,120 - 235bc: d8802917 ldw r2,164(sp) - 235c0: 0039883a mov fp,zero - 235c4: 1001e926 beq r2,zero,23d6c <___vfprintf_internal_r+0xb2c> - 235c8: 0027883a mov r19,zero - 235cc: 002d883a mov r22,zero - 235d0: 00020506 br 23de8 <___vfprintf_internal_r+0xba8> - 235d4: d9002c17 ldw r4,176(sp) - 235d8: b80b883a mov r5,r23 - 235dc: 00255100 call 25510 <__swsetup_r> - 235e0: 1005ac1e bne r2,zero,24c94 <___vfprintf_internal_r+0x1a54> - 235e4: b880030b ldhu r2,12(r23) - 235e8: 00c00284 movi r3,10 - 235ec: 1080068c andi r2,r2,26 - 235f0: 10ff3c1e bne r2,r3,232e4 <_gp+0xfffe6dac> - 235f4: b880038f ldh r2,14(r23) - 235f8: 103f3a16 blt r2,zero,232e4 <_gp+0xfffe6dac> - 235fc: d9c02d17 ldw r7,180(sp) - 23600: d9002c17 ldw r4,176(sp) - 23604: a80d883a mov r6,r21 - 23608: b80b883a mov r5,r23 - 2360c: 00254540 call 25454 <__sbprintf> - 23610: 00001106 br 23658 <___vfprintf_internal_r+0x418> - 23614: d9002c17 ldw r4,176(sp) - 23618: d9801e04 addi r6,sp,120 - 2361c: b80b883a mov r5,r23 - 23620: 002a01c0 call 2a01c <__sprint_r> - 23624: 1000081e bne r2,zero,23648 <___vfprintf_internal_r+0x408> - 23628: da000404 addi r8,sp,16 - 2362c: 003f5306 br 2337c <_gp+0xfffe6e44> - 23630: d8802017 ldw r2,128(sp) - 23634: 10000426 beq r2,zero,23648 <___vfprintf_internal_r+0x408> - 23638: d9002c17 ldw r4,176(sp) - 2363c: d9801e04 addi r6,sp,120 - 23640: b80b883a mov r5,r23 - 23644: 002a01c0 call 2a01c <__sprint_r> - 23648: b880030b ldhu r2,12(r23) - 2364c: 1080100c andi r2,r2,64 - 23650: 1005901e bne r2,zero,24c94 <___vfprintf_internal_r+0x1a54> - 23654: d8802f17 ldw r2,188(sp) - 23658: dfc04717 ldw ra,284(sp) - 2365c: df004617 ldw fp,280(sp) - 23660: ddc04517 ldw r23,276(sp) - 23664: dd804417 ldw r22,272(sp) - 23668: dd404317 ldw r21,268(sp) - 2366c: dd004217 ldw r20,264(sp) - 23670: dcc04117 ldw r19,260(sp) - 23674: dc804017 ldw r18,256(sp) - 23678: dc403f17 ldw r17,252(sp) - 2367c: dc003e17 ldw r16,248(sp) - 23680: dec04804 addi sp,sp,288 - 23684: f800283a ret - 23688: d9002c17 ldw r4,176(sp) - 2368c: 00274e40 call 274e4 <__sinit> - 23690: 003f0406 br 232a4 <_gp+0xfffe6d6c> - 23694: d8802d17 ldw r2,180(sp) - 23698: d9002d17 ldw r4,180(sp) - 2369c: 10800017 ldw r2,0(r2) - 236a0: d8803115 stw r2,196(sp) - 236a4: 20800104 addi r2,r4,4 - 236a8: d9003117 ldw r4,196(sp) - 236ac: 203fa716 blt r4,zero,2354c <_gp+0xfffe7014> - 236b0: d8802d15 stw r2,180(sp) - 236b4: ac400007 ldb r17,0(r21) - 236b8: 003f4206 br 233c4 <_gp+0xfffe6e8c> - 236bc: ac400007 ldb r17,0(r21) - 236c0: aac00044 addi r11,r21,1 - 236c4: 8a872826 beq r17,r10,25368 <___vfprintf_internal_r+0x2128> - 236c8: 88bff404 addi r2,r17,-48 - 236cc: 0009883a mov r4,zero - 236d0: 30867d36 bltu r6,r2,250c8 <___vfprintf_internal_r+0x1e88> - 236d4: 5c400007 ldb r17,0(r11) - 236d8: 210002a4 muli r4,r4,10 - 236dc: 5d400044 addi r21,r11,1 - 236e0: a817883a mov r11,r21 - 236e4: 2089883a add r4,r4,r2 - 236e8: 88bff404 addi r2,r17,-48 - 236ec: 30bff92e bgeu r6,r2,236d4 <_gp+0xfffe719c> - 236f0: 2005c916 blt r4,zero,24e18 <___vfprintf_internal_r+0x1bd8> - 236f4: d9002915 stw r4,164(sp) - 236f8: 003f3306 br 233c8 <_gp+0xfffe6e90> - 236fc: 94802014 ori r18,r18,128 - 23700: ac400007 ldb r17,0(r21) - 23704: 003f2f06 br 233c4 <_gp+0xfffe6e8c> - 23708: a809883a mov r4,r21 - 2370c: d8003115 stw zero,196(sp) - 23710: 88bff404 addi r2,r17,-48 - 23714: 0017883a mov r11,zero - 23718: 24400007 ldb r17,0(r4) - 2371c: 5ac002a4 muli r11,r11,10 - 23720: ad400044 addi r21,r21,1 - 23724: a809883a mov r4,r21 - 23728: 12d7883a add r11,r2,r11 - 2372c: 88bff404 addi r2,r17,-48 - 23730: 30bff92e bgeu r6,r2,23718 <_gp+0xfffe71e0> - 23734: dac03115 stw r11,196(sp) - 23738: 003f2306 br 233c8 <_gp+0xfffe6e90> - 2373c: 18c03fcc andi r3,r3,255 - 23740: 18072b1e bne r3,zero,253f0 <___vfprintf_internal_r+0x21b0> - 23744: 94800414 ori r18,r18,16 - 23748: 9080080c andi r2,r18,32 - 2374c: 10037b26 beq r2,zero,2453c <___vfprintf_internal_r+0x12fc> - 23750: d9402d17 ldw r5,180(sp) - 23754: 28800117 ldw r2,4(r5) - 23758: 2cc00017 ldw r19,0(r5) - 2375c: 29400204 addi r5,r5,8 - 23760: d9402d15 stw r5,180(sp) - 23764: 102d883a mov r22,r2 - 23768: 10044b16 blt r2,zero,24898 <___vfprintf_internal_r+0x1658> - 2376c: d9402917 ldw r5,164(sp) - 23770: df002783 ldbu fp,158(sp) - 23774: 2803bc16 blt r5,zero,24668 <___vfprintf_internal_r+0x1428> - 23778: 00ffdfc4 movi r3,-129 - 2377c: 9d84b03a or r2,r19,r22 - 23780: 90e4703a and r18,r18,r3 - 23784: 10017726 beq r2,zero,23d64 <___vfprintf_internal_r+0xb24> - 23788: b0038326 beq r22,zero,24598 <___vfprintf_internal_r+0x1358> - 2378c: dc402a15 stw r17,168(sp) - 23790: dc001e04 addi r16,sp,120 - 23794: b023883a mov r17,r22 - 23798: 402d883a mov r22,r8 - 2379c: 9809883a mov r4,r19 - 237a0: 880b883a mov r5,r17 - 237a4: 01800284 movi r6,10 - 237a8: 000f883a mov r7,zero - 237ac: 002c4140 call 2c414 <__umoddi3> - 237b0: 10800c04 addi r2,r2,48 - 237b4: 843fffc4 addi r16,r16,-1 - 237b8: 9809883a mov r4,r19 - 237bc: 880b883a mov r5,r17 - 237c0: 80800005 stb r2,0(r16) - 237c4: 01800284 movi r6,10 - 237c8: 000f883a mov r7,zero - 237cc: 002be9c0 call 2be9c <__udivdi3> - 237d0: 1027883a mov r19,r2 - 237d4: 10c4b03a or r2,r2,r3 - 237d8: 1823883a mov r17,r3 - 237dc: 103fef1e bne r2,zero,2379c <_gp+0xfffe7264> - 237e0: d8c02817 ldw r3,160(sp) - 237e4: dc402a17 ldw r17,168(sp) - 237e8: b011883a mov r8,r22 - 237ec: 1c07c83a sub r3,r3,r16 - 237f0: d8c02e15 stw r3,184(sp) - 237f4: 00005906 br 2395c <___vfprintf_internal_r+0x71c> - 237f8: 18c03fcc andi r3,r3,255 - 237fc: 1806fa1e bne r3,zero,253e8 <___vfprintf_internal_r+0x21a8> - 23800: 9080020c andi r2,r18,8 - 23804: 10048a26 beq r2,zero,24a30 <___vfprintf_internal_r+0x17f0> - 23808: d8c02d17 ldw r3,180(sp) - 2380c: d9002d17 ldw r4,180(sp) - 23810: d9402d17 ldw r5,180(sp) - 23814: 18c00017 ldw r3,0(r3) - 23818: 21000117 ldw r4,4(r4) - 2381c: 29400204 addi r5,r5,8 - 23820: d8c03615 stw r3,216(sp) - 23824: d9003815 stw r4,224(sp) - 23828: d9402d15 stw r5,180(sp) - 2382c: d9003617 ldw r4,216(sp) - 23830: d9403817 ldw r5,224(sp) - 23834: da003d15 stw r8,244(sp) - 23838: 04000044 movi r16,1 - 2383c: 0029c940 call 29c94 <__fpclassifyd> - 23840: da003d17 ldw r8,244(sp) - 23844: 14041f1e bne r2,r16,248c4 <___vfprintf_internal_r+0x1684> - 23848: d9003617 ldw r4,216(sp) - 2384c: d9403817 ldw r5,224(sp) - 23850: 000d883a mov r6,zero - 23854: 000f883a mov r7,zero - 23858: 002dc440 call 2dc44 <__ledf2> - 2385c: da003d17 ldw r8,244(sp) - 23860: 1005be16 blt r2,zero,24f5c <___vfprintf_internal_r+0x1d1c> - 23864: df002783 ldbu fp,158(sp) - 23868: 008011c4 movi r2,71 - 2386c: 1445330e bge r2,r17,24d3c <___vfprintf_internal_r+0x1afc> - 23870: 040000f4 movhi r16,3 - 23874: 8407fd04 addi r16,r16,8180 - 23878: 00c000c4 movi r3,3 - 2387c: 00bfdfc4 movi r2,-129 - 23880: d8c02a15 stw r3,168(sp) - 23884: 90a4703a and r18,r18,r2 - 23888: d8c02e15 stw r3,184(sp) - 2388c: d8002915 stw zero,164(sp) - 23890: d8003215 stw zero,200(sp) - 23894: 00003706 br 23974 <___vfprintf_internal_r+0x734> - 23898: 94800214 ori r18,r18,8 - 2389c: ac400007 ldb r17,0(r21) - 238a0: 003ec806 br 233c4 <_gp+0xfffe6e8c> - 238a4: 18c03fcc andi r3,r3,255 - 238a8: 1806db1e bne r3,zero,25418 <___vfprintf_internal_r+0x21d8> - 238ac: 94800414 ori r18,r18,16 - 238b0: 9080080c andi r2,r18,32 - 238b4: 1002d826 beq r2,zero,24418 <___vfprintf_internal_r+0x11d8> - 238b8: d9402d17 ldw r5,180(sp) - 238bc: d8c02917 ldw r3,164(sp) - 238c0: d8002785 stb zero,158(sp) - 238c4: 28800204 addi r2,r5,8 - 238c8: 2cc00017 ldw r19,0(r5) - 238cc: 2d800117 ldw r22,4(r5) - 238d0: 18048f16 blt r3,zero,24b10 <___vfprintf_internal_r+0x18d0> - 238d4: 013fdfc4 movi r4,-129 - 238d8: 9d86b03a or r3,r19,r22 - 238dc: d8802d15 stw r2,180(sp) - 238e0: 9124703a and r18,r18,r4 - 238e4: 1802d91e bne r3,zero,2444c <___vfprintf_internal_r+0x120c> - 238e8: d8c02917 ldw r3,164(sp) - 238ec: 0039883a mov fp,zero - 238f0: 1805c326 beq r3,zero,25000 <___vfprintf_internal_r+0x1dc0> - 238f4: 0027883a mov r19,zero - 238f8: 002d883a mov r22,zero - 238fc: dc001e04 addi r16,sp,120 - 23900: 9806d0fa srli r3,r19,3 - 23904: b008977a slli r4,r22,29 - 23908: b02cd0fa srli r22,r22,3 - 2390c: 9cc001cc andi r19,r19,7 - 23910: 98800c04 addi r2,r19,48 - 23914: 843fffc4 addi r16,r16,-1 - 23918: 20e6b03a or r19,r4,r3 - 2391c: 80800005 stb r2,0(r16) - 23920: 9d86b03a or r3,r19,r22 - 23924: 183ff61e bne r3,zero,23900 <_gp+0xfffe73c8> - 23928: 90c0004c andi r3,r18,1 - 2392c: 18013b26 beq r3,zero,23e1c <___vfprintf_internal_r+0xbdc> - 23930: 10803fcc andi r2,r2,255 - 23934: 1080201c xori r2,r2,128 - 23938: 10bfe004 addi r2,r2,-128 - 2393c: 00c00c04 movi r3,48 - 23940: 10c13626 beq r2,r3,23e1c <___vfprintf_internal_r+0xbdc> - 23944: 80ffffc5 stb r3,-1(r16) - 23948: d8c02817 ldw r3,160(sp) - 2394c: 80bfffc4 addi r2,r16,-1 - 23950: 1021883a mov r16,r2 - 23954: 1887c83a sub r3,r3,r2 - 23958: d8c02e15 stw r3,184(sp) - 2395c: d8802e17 ldw r2,184(sp) - 23960: d9002917 ldw r4,164(sp) - 23964: 1100010e bge r2,r4,2396c <___vfprintf_internal_r+0x72c> - 23968: 2005883a mov r2,r4 - 2396c: d8802a15 stw r2,168(sp) - 23970: d8003215 stw zero,200(sp) - 23974: e7003fcc andi fp,fp,255 - 23978: e700201c xori fp,fp,128 - 2397c: e73fe004 addi fp,fp,-128 - 23980: e0000326 beq fp,zero,23990 <___vfprintf_internal_r+0x750> - 23984: d8c02a17 ldw r3,168(sp) - 23988: 18c00044 addi r3,r3,1 - 2398c: d8c02a15 stw r3,168(sp) - 23990: 90c0008c andi r3,r18,2 - 23994: d8c02b15 stw r3,172(sp) - 23998: 18000326 beq r3,zero,239a8 <___vfprintf_internal_r+0x768> - 2399c: d8c02a17 ldw r3,168(sp) - 239a0: 18c00084 addi r3,r3,2 - 239a4: d8c02a15 stw r3,168(sp) - 239a8: 90c0210c andi r3,r18,132 - 239ac: d8c03015 stw r3,192(sp) - 239b0: 1801a31e bne r3,zero,24040 <___vfprintf_internal_r+0xe00> - 239b4: d9003117 ldw r4,196(sp) - 239b8: d8c02a17 ldw r3,168(sp) - 239bc: 20e7c83a sub r19,r4,r3 - 239c0: 04c19f0e bge zero,r19,24040 <___vfprintf_internal_r+0xe00> - 239c4: 02400404 movi r9,16 - 239c8: d8c02017 ldw r3,128(sp) - 239cc: d8801f17 ldw r2,124(sp) - 239d0: 4cc50d0e bge r9,r19,24e08 <___vfprintf_internal_r+0x1bc8> - 239d4: 014000f4 movhi r5,3 - 239d8: 29481084 addi r5,r5,8258 - 239dc: dc403b15 stw r17,236(sp) - 239e0: d9403515 stw r5,212(sp) - 239e4: 9823883a mov r17,r19 - 239e8: 482d883a mov r22,r9 - 239ec: 9027883a mov r19,r18 - 239f0: 070001c4 movi fp,7 - 239f4: 8025883a mov r18,r16 - 239f8: dc002c17 ldw r16,176(sp) - 239fc: 00000306 br 23a0c <___vfprintf_internal_r+0x7cc> - 23a00: 8c7ffc04 addi r17,r17,-16 - 23a04: 42000204 addi r8,r8,8 - 23a08: b440130e bge r22,r17,23a58 <___vfprintf_internal_r+0x818> - 23a0c: 010000f4 movhi r4,3 - 23a10: 18c00404 addi r3,r3,16 - 23a14: 10800044 addi r2,r2,1 - 23a18: 21081084 addi r4,r4,8258 - 23a1c: 41000015 stw r4,0(r8) - 23a20: 45800115 stw r22,4(r8) - 23a24: d8c02015 stw r3,128(sp) - 23a28: d8801f15 stw r2,124(sp) - 23a2c: e0bff40e bge fp,r2,23a00 <_gp+0xfffe74c8> - 23a30: d9801e04 addi r6,sp,120 - 23a34: b80b883a mov r5,r23 - 23a38: 8009883a mov r4,r16 - 23a3c: 002a01c0 call 2a01c <__sprint_r> - 23a40: 103f011e bne r2,zero,23648 <_gp+0xfffe7110> - 23a44: 8c7ffc04 addi r17,r17,-16 - 23a48: d8c02017 ldw r3,128(sp) - 23a4c: d8801f17 ldw r2,124(sp) - 23a50: da000404 addi r8,sp,16 - 23a54: b47fed16 blt r22,r17,23a0c <_gp+0xfffe74d4> - 23a58: 9021883a mov r16,r18 - 23a5c: 9825883a mov r18,r19 - 23a60: 8827883a mov r19,r17 - 23a64: dc403b17 ldw r17,236(sp) - 23a68: d9403517 ldw r5,212(sp) - 23a6c: 98c7883a add r3,r19,r3 - 23a70: 10800044 addi r2,r2,1 - 23a74: 41400015 stw r5,0(r8) - 23a78: 44c00115 stw r19,4(r8) - 23a7c: d8c02015 stw r3,128(sp) - 23a80: d8801f15 stw r2,124(sp) - 23a84: 010001c4 movi r4,7 - 23a88: 2082a316 blt r4,r2,24518 <___vfprintf_internal_r+0x12d8> - 23a8c: df002787 ldb fp,158(sp) - 23a90: 42000204 addi r8,r8,8 - 23a94: e0000c26 beq fp,zero,23ac8 <___vfprintf_internal_r+0x888> - 23a98: d8801f17 ldw r2,124(sp) - 23a9c: d9002784 addi r4,sp,158 - 23aa0: 18c00044 addi r3,r3,1 - 23aa4: 10800044 addi r2,r2,1 - 23aa8: 41000015 stw r4,0(r8) - 23aac: 01000044 movi r4,1 - 23ab0: 41000115 stw r4,4(r8) - 23ab4: d8c02015 stw r3,128(sp) - 23ab8: d8801f15 stw r2,124(sp) - 23abc: 010001c4 movi r4,7 - 23ac0: 20823c16 blt r4,r2,243b4 <___vfprintf_internal_r+0x1174> - 23ac4: 42000204 addi r8,r8,8 - 23ac8: d8802b17 ldw r2,172(sp) - 23acc: 10000c26 beq r2,zero,23b00 <___vfprintf_internal_r+0x8c0> - 23ad0: d8801f17 ldw r2,124(sp) - 23ad4: d9002704 addi r4,sp,156 - 23ad8: 18c00084 addi r3,r3,2 - 23adc: 10800044 addi r2,r2,1 - 23ae0: 41000015 stw r4,0(r8) - 23ae4: 01000084 movi r4,2 - 23ae8: 41000115 stw r4,4(r8) - 23aec: d8c02015 stw r3,128(sp) - 23af0: d8801f15 stw r2,124(sp) - 23af4: 010001c4 movi r4,7 - 23af8: 20823616 blt r4,r2,243d4 <___vfprintf_internal_r+0x1194> - 23afc: 42000204 addi r8,r8,8 - 23b00: d9003017 ldw r4,192(sp) - 23b04: 00802004 movi r2,128 - 23b08: 20819926 beq r4,r2,24170 <___vfprintf_internal_r+0xf30> - 23b0c: d9402917 ldw r5,164(sp) - 23b10: d8802e17 ldw r2,184(sp) - 23b14: 28adc83a sub r22,r5,r2 - 23b18: 0580310e bge zero,r22,23be0 <___vfprintf_internal_r+0x9a0> - 23b1c: 07000404 movi fp,16 - 23b20: d8801f17 ldw r2,124(sp) - 23b24: e584140e bge fp,r22,24b78 <___vfprintf_internal_r+0x1938> - 23b28: 014000f4 movhi r5,3 - 23b2c: 29480c84 addi r5,r5,8242 - 23b30: dc402915 stw r17,164(sp) - 23b34: d9402b15 stw r5,172(sp) - 23b38: b023883a mov r17,r22 - 23b3c: 04c001c4 movi r19,7 - 23b40: a82d883a mov r22,r21 - 23b44: 902b883a mov r21,r18 - 23b48: 8025883a mov r18,r16 - 23b4c: dc002c17 ldw r16,176(sp) - 23b50: 00000306 br 23b60 <___vfprintf_internal_r+0x920> - 23b54: 8c7ffc04 addi r17,r17,-16 - 23b58: 42000204 addi r8,r8,8 - 23b5c: e440110e bge fp,r17,23ba4 <___vfprintf_internal_r+0x964> - 23b60: 18c00404 addi r3,r3,16 - 23b64: 10800044 addi r2,r2,1 - 23b68: 45000015 stw r20,0(r8) - 23b6c: 47000115 stw fp,4(r8) - 23b70: d8c02015 stw r3,128(sp) - 23b74: d8801f15 stw r2,124(sp) - 23b78: 98bff60e bge r19,r2,23b54 <_gp+0xfffe761c> - 23b7c: d9801e04 addi r6,sp,120 - 23b80: b80b883a mov r5,r23 - 23b84: 8009883a mov r4,r16 - 23b88: 002a01c0 call 2a01c <__sprint_r> - 23b8c: 103eae1e bne r2,zero,23648 <_gp+0xfffe7110> - 23b90: 8c7ffc04 addi r17,r17,-16 - 23b94: d8c02017 ldw r3,128(sp) - 23b98: d8801f17 ldw r2,124(sp) - 23b9c: da000404 addi r8,sp,16 - 23ba0: e47fef16 blt fp,r17,23b60 <_gp+0xfffe7628> - 23ba4: 9021883a mov r16,r18 - 23ba8: a825883a mov r18,r21 - 23bac: b02b883a mov r21,r22 - 23bb0: 882d883a mov r22,r17 - 23bb4: dc402917 ldw r17,164(sp) - 23bb8: d9002b17 ldw r4,172(sp) - 23bbc: 1d87883a add r3,r3,r22 - 23bc0: 10800044 addi r2,r2,1 - 23bc4: 41000015 stw r4,0(r8) - 23bc8: 45800115 stw r22,4(r8) - 23bcc: d8c02015 stw r3,128(sp) - 23bd0: d8801f15 stw r2,124(sp) - 23bd4: 010001c4 movi r4,7 - 23bd8: 2081ee16 blt r4,r2,24394 <___vfprintf_internal_r+0x1154> - 23bdc: 42000204 addi r8,r8,8 - 23be0: 9080400c andi r2,r18,256 - 23be4: 1001181e bne r2,zero,24048 <___vfprintf_internal_r+0xe08> - 23be8: d9402e17 ldw r5,184(sp) - 23bec: d8801f17 ldw r2,124(sp) - 23bf0: 44000015 stw r16,0(r8) - 23bf4: 1947883a add r3,r3,r5 - 23bf8: 10800044 addi r2,r2,1 - 23bfc: 41400115 stw r5,4(r8) - 23c00: d8c02015 stw r3,128(sp) - 23c04: d8801f15 stw r2,124(sp) - 23c08: 010001c4 movi r4,7 - 23c0c: 2081d316 blt r4,r2,2435c <___vfprintf_internal_r+0x111c> - 23c10: 42000204 addi r8,r8,8 - 23c14: 9480010c andi r18,r18,4 - 23c18: 90003226 beq r18,zero,23ce4 <___vfprintf_internal_r+0xaa4> - 23c1c: d9403117 ldw r5,196(sp) - 23c20: d8802a17 ldw r2,168(sp) - 23c24: 28a1c83a sub r16,r5,r2 - 23c28: 04002e0e bge zero,r16,23ce4 <___vfprintf_internal_r+0xaa4> - 23c2c: 04400404 movi r17,16 - 23c30: d8801f17 ldw r2,124(sp) - 23c34: 8c04a20e bge r17,r16,24ec0 <___vfprintf_internal_r+0x1c80> - 23c38: 014000f4 movhi r5,3 - 23c3c: 29481084 addi r5,r5,8258 - 23c40: d9403515 stw r5,212(sp) - 23c44: 048001c4 movi r18,7 - 23c48: dcc02c17 ldw r19,176(sp) - 23c4c: 00000306 br 23c5c <___vfprintf_internal_r+0xa1c> - 23c50: 843ffc04 addi r16,r16,-16 - 23c54: 42000204 addi r8,r8,8 - 23c58: 8c00130e bge r17,r16,23ca8 <___vfprintf_internal_r+0xa68> - 23c5c: 010000f4 movhi r4,3 - 23c60: 18c00404 addi r3,r3,16 - 23c64: 10800044 addi r2,r2,1 - 23c68: 21081084 addi r4,r4,8258 - 23c6c: 41000015 stw r4,0(r8) - 23c70: 44400115 stw r17,4(r8) - 23c74: d8c02015 stw r3,128(sp) - 23c78: d8801f15 stw r2,124(sp) - 23c7c: 90bff40e bge r18,r2,23c50 <_gp+0xfffe7718> - 23c80: d9801e04 addi r6,sp,120 - 23c84: b80b883a mov r5,r23 - 23c88: 9809883a mov r4,r19 - 23c8c: 002a01c0 call 2a01c <__sprint_r> - 23c90: 103e6d1e bne r2,zero,23648 <_gp+0xfffe7110> - 23c94: 843ffc04 addi r16,r16,-16 - 23c98: d8c02017 ldw r3,128(sp) - 23c9c: d8801f17 ldw r2,124(sp) - 23ca0: da000404 addi r8,sp,16 - 23ca4: 8c3fed16 blt r17,r16,23c5c <_gp+0xfffe7724> - 23ca8: d9403517 ldw r5,212(sp) - 23cac: 1c07883a add r3,r3,r16 - 23cb0: 10800044 addi r2,r2,1 - 23cb4: 41400015 stw r5,0(r8) - 23cb8: 44000115 stw r16,4(r8) - 23cbc: d8c02015 stw r3,128(sp) - 23cc0: d8801f15 stw r2,124(sp) - 23cc4: 010001c4 movi r4,7 - 23cc8: 2080060e bge r4,r2,23ce4 <___vfprintf_internal_r+0xaa4> - 23ccc: d9002c17 ldw r4,176(sp) - 23cd0: d9801e04 addi r6,sp,120 - 23cd4: b80b883a mov r5,r23 - 23cd8: 002a01c0 call 2a01c <__sprint_r> - 23cdc: 103e5a1e bne r2,zero,23648 <_gp+0xfffe7110> - 23ce0: d8c02017 ldw r3,128(sp) - 23ce4: d8803117 ldw r2,196(sp) - 23ce8: d9002a17 ldw r4,168(sp) - 23cec: 1100010e bge r2,r4,23cf4 <___vfprintf_internal_r+0xab4> - 23cf0: 2005883a mov r2,r4 - 23cf4: d9402f17 ldw r5,188(sp) - 23cf8: 288b883a add r5,r5,r2 - 23cfc: d9402f15 stw r5,188(sp) - 23d00: 18019e1e bne r3,zero,2437c <___vfprintf_internal_r+0x113c> - 23d04: a8800007 ldb r2,0(r21) - 23d08: d8001f15 stw zero,124(sp) - 23d0c: da000404 addi r8,sp,16 - 23d10: 103d851e bne r2,zero,23328 <_gp+0xfffe6df0> - 23d14: a821883a mov r16,r21 - 23d18: 003d9b06 br 23388 <_gp+0xfffe6e50> - 23d1c: 18c03fcc andi r3,r3,255 - 23d20: 1805c11e bne r3,zero,25428 <___vfprintf_internal_r+0x21e8> - 23d24: 94800414 ori r18,r18,16 - 23d28: 9080080c andi r2,r18,32 - 23d2c: 10020c26 beq r2,zero,24560 <___vfprintf_internal_r+0x1320> - 23d30: d8802d17 ldw r2,180(sp) - 23d34: d9002917 ldw r4,164(sp) - 23d38: d8002785 stb zero,158(sp) - 23d3c: 10c00204 addi r3,r2,8 - 23d40: 14c00017 ldw r19,0(r2) - 23d44: 15800117 ldw r22,4(r2) - 23d48: 20040f16 blt r4,zero,24d88 <___vfprintf_internal_r+0x1b48> - 23d4c: 013fdfc4 movi r4,-129 - 23d50: 9d84b03a or r2,r19,r22 - 23d54: d8c02d15 stw r3,180(sp) - 23d58: 9124703a and r18,r18,r4 - 23d5c: 0039883a mov fp,zero - 23d60: 103e891e bne r2,zero,23788 <_gp+0xfffe7250> - 23d64: d9002917 ldw r4,164(sp) - 23d68: 2002c11e bne r4,zero,24870 <___vfprintf_internal_r+0x1630> - 23d6c: d8002915 stw zero,164(sp) - 23d70: d8002e15 stw zero,184(sp) - 23d74: dc001e04 addi r16,sp,120 - 23d78: 003ef806 br 2395c <_gp+0xfffe7424> - 23d7c: 18c03fcc andi r3,r3,255 - 23d80: 18059d1e bne r3,zero,253f8 <___vfprintf_internal_r+0x21b8> - 23d84: 014000f4 movhi r5,3 - 23d88: 29480004 addi r5,r5,8192 - 23d8c: d9403915 stw r5,228(sp) - 23d90: 9080080c andi r2,r18,32 - 23d94: 10005226 beq r2,zero,23ee0 <___vfprintf_internal_r+0xca0> - 23d98: d8802d17 ldw r2,180(sp) - 23d9c: 14c00017 ldw r19,0(r2) - 23da0: 15800117 ldw r22,4(r2) - 23da4: 10800204 addi r2,r2,8 - 23da8: d8802d15 stw r2,180(sp) - 23dac: 9080004c andi r2,r18,1 - 23db0: 10019026 beq r2,zero,243f4 <___vfprintf_internal_r+0x11b4> - 23db4: 9d84b03a or r2,r19,r22 - 23db8: 10036926 beq r2,zero,24b60 <___vfprintf_internal_r+0x1920> - 23dbc: d8c02917 ldw r3,164(sp) - 23dc0: 00800c04 movi r2,48 - 23dc4: d8802705 stb r2,156(sp) - 23dc8: dc402745 stb r17,157(sp) - 23dcc: d8002785 stb zero,158(sp) - 23dd0: 90800094 ori r2,r18,2 - 23dd4: 18045d16 blt r3,zero,24f4c <___vfprintf_internal_r+0x1d0c> - 23dd8: 00bfdfc4 movi r2,-129 - 23ddc: 90a4703a and r18,r18,r2 - 23de0: 94800094 ori r18,r18,2 - 23de4: 0039883a mov fp,zero - 23de8: d9003917 ldw r4,228(sp) - 23dec: dc001e04 addi r16,sp,120 - 23df0: 988003cc andi r2,r19,15 - 23df4: b006973a slli r3,r22,28 - 23df8: 2085883a add r2,r4,r2 - 23dfc: 9826d13a srli r19,r19,4 - 23e00: 10800003 ldbu r2,0(r2) - 23e04: b02cd13a srli r22,r22,4 - 23e08: 843fffc4 addi r16,r16,-1 - 23e0c: 1ce6b03a or r19,r3,r19 - 23e10: 80800005 stb r2,0(r16) - 23e14: 9d84b03a or r2,r19,r22 - 23e18: 103ff51e bne r2,zero,23df0 <_gp+0xfffe78b8> - 23e1c: d8c02817 ldw r3,160(sp) - 23e20: 1c07c83a sub r3,r3,r16 - 23e24: d8c02e15 stw r3,184(sp) - 23e28: 003ecc06 br 2395c <_gp+0xfffe7424> - 23e2c: 18c03fcc andi r3,r3,255 - 23e30: 183e9f26 beq r3,zero,238b0 <_gp+0xfffe7378> - 23e34: d9c02785 stb r7,158(sp) - 23e38: 003e9d06 br 238b0 <_gp+0xfffe7378> - 23e3c: 00c00044 movi r3,1 - 23e40: 01c00ac4 movi r7,43 - 23e44: ac400007 ldb r17,0(r21) - 23e48: 003d5e06 br 233c4 <_gp+0xfffe6e8c> - 23e4c: 94800814 ori r18,r18,32 - 23e50: ac400007 ldb r17,0(r21) - 23e54: 003d5b06 br 233c4 <_gp+0xfffe6e8c> - 23e58: d8c02d17 ldw r3,180(sp) - 23e5c: d8002785 stb zero,158(sp) - 23e60: 1c000017 ldw r16,0(r3) - 23e64: 1cc00104 addi r19,r3,4 - 23e68: 80041926 beq r16,zero,24ed0 <___vfprintf_internal_r+0x1c90> - 23e6c: d9002917 ldw r4,164(sp) - 23e70: 2003d016 blt r4,zero,24db4 <___vfprintf_internal_r+0x1b74> - 23e74: 200d883a mov r6,r4 - 23e78: 000b883a mov r5,zero - 23e7c: 8009883a mov r4,r16 - 23e80: da003d15 stw r8,244(sp) - 23e84: 00282440 call 28244 - 23e88: da003d17 ldw r8,244(sp) - 23e8c: 10045426 beq r2,zero,24fe0 <___vfprintf_internal_r+0x1da0> - 23e90: 1405c83a sub r2,r2,r16 - 23e94: d8802e15 stw r2,184(sp) - 23e98: 1003cc16 blt r2,zero,24dcc <___vfprintf_internal_r+0x1b8c> - 23e9c: df002783 ldbu fp,158(sp) - 23ea0: d8802a15 stw r2,168(sp) - 23ea4: dcc02d15 stw r19,180(sp) - 23ea8: d8002915 stw zero,164(sp) - 23eac: d8003215 stw zero,200(sp) - 23eb0: 003eb006 br 23974 <_gp+0xfffe743c> - 23eb4: 18c03fcc andi r3,r3,255 - 23eb8: 183f9b26 beq r3,zero,23d28 <_gp+0xfffe77f0> - 23ebc: d9c02785 stb r7,158(sp) - 23ec0: 003f9906 br 23d28 <_gp+0xfffe77f0> - 23ec4: 18c03fcc andi r3,r3,255 - 23ec8: 1805551e bne r3,zero,25420 <___vfprintf_internal_r+0x21e0> - 23ecc: 014000f4 movhi r5,3 - 23ed0: 29480504 addi r5,r5,8212 - 23ed4: d9403915 stw r5,228(sp) - 23ed8: 9080080c andi r2,r18,32 - 23edc: 103fae1e bne r2,zero,23d98 <_gp+0xfffe7860> - 23ee0: 9080040c andi r2,r18,16 - 23ee4: 1002de26 beq r2,zero,24a60 <___vfprintf_internal_r+0x1820> - 23ee8: d8c02d17 ldw r3,180(sp) - 23eec: 002d883a mov r22,zero - 23ef0: 1cc00017 ldw r19,0(r3) - 23ef4: 18c00104 addi r3,r3,4 - 23ef8: d8c02d15 stw r3,180(sp) - 23efc: 003fab06 br 23dac <_gp+0xfffe7874> - 23f00: 38803fcc andi r2,r7,255 - 23f04: 1080201c xori r2,r2,128 - 23f08: 10bfe004 addi r2,r2,-128 - 23f0c: 1002d21e bne r2,zero,24a58 <___vfprintf_internal_r+0x1818> - 23f10: 00c00044 movi r3,1 - 23f14: 01c00804 movi r7,32 - 23f18: ac400007 ldb r17,0(r21) - 23f1c: 003d2906 br 233c4 <_gp+0xfffe6e8c> - 23f20: 94800054 ori r18,r18,1 - 23f24: ac400007 ldb r17,0(r21) - 23f28: 003d2606 br 233c4 <_gp+0xfffe6e8c> - 23f2c: 18c03fcc andi r3,r3,255 - 23f30: 183e0526 beq r3,zero,23748 <_gp+0xfffe7210> - 23f34: d9c02785 stb r7,158(sp) - 23f38: 003e0306 br 23748 <_gp+0xfffe7210> - 23f3c: 94801014 ori r18,r18,64 - 23f40: ac400007 ldb r17,0(r21) - 23f44: 003d1f06 br 233c4 <_gp+0xfffe6e8c> - 23f48: ac400007 ldb r17,0(r21) - 23f4c: 8a438726 beq r17,r9,24d6c <___vfprintf_internal_r+0x1b2c> - 23f50: 94800414 ori r18,r18,16 - 23f54: 003d1b06 br 233c4 <_gp+0xfffe6e8c> - 23f58: 18c03fcc andi r3,r3,255 - 23f5c: 1805341e bne r3,zero,25430 <___vfprintf_internal_r+0x21f0> - 23f60: 9080080c andi r2,r18,32 - 23f64: 1002cd26 beq r2,zero,24a9c <___vfprintf_internal_r+0x185c> - 23f68: d9402d17 ldw r5,180(sp) - 23f6c: d9002f17 ldw r4,188(sp) - 23f70: 28800017 ldw r2,0(r5) - 23f74: 2007d7fa srai r3,r4,31 - 23f78: 29400104 addi r5,r5,4 - 23f7c: d9402d15 stw r5,180(sp) - 23f80: 11000015 stw r4,0(r2) - 23f84: 10c00115 stw r3,4(r2) - 23f88: 003ce506 br 23320 <_gp+0xfffe6de8> - 23f8c: d8c02d17 ldw r3,180(sp) - 23f90: d9002d17 ldw r4,180(sp) - 23f94: d8002785 stb zero,158(sp) - 23f98: 18800017 ldw r2,0(r3) - 23f9c: 21000104 addi r4,r4,4 - 23fa0: 00c00044 movi r3,1 - 23fa4: d8c02a15 stw r3,168(sp) - 23fa8: d8801405 stb r2,80(sp) - 23fac: d9002d15 stw r4,180(sp) - 23fb0: d8c02e15 stw r3,184(sp) - 23fb4: d8002915 stw zero,164(sp) - 23fb8: d8003215 stw zero,200(sp) - 23fbc: dc001404 addi r16,sp,80 - 23fc0: 0039883a mov fp,zero - 23fc4: 003e7206 br 23990 <_gp+0xfffe7458> - 23fc8: 010000f4 movhi r4,3 - 23fcc: 21080504 addi r4,r4,8212 - 23fd0: 0039883a mov fp,zero - 23fd4: d9003915 stw r4,228(sp) - 23fd8: 04401e04 movi r17,120 - 23fdc: 003f8206 br 23de8 <_gp+0xfffe78b0> - 23fe0: 18c03fcc andi r3,r3,255 - 23fe4: 1805061e bne r3,zero,25400 <___vfprintf_internal_r+0x21c0> - 23fe8: 883d9126 beq r17,zero,23630 <_gp+0xfffe70f8> - 23fec: 00c00044 movi r3,1 - 23ff0: d8c02a15 stw r3,168(sp) - 23ff4: dc401405 stb r17,80(sp) - 23ff8: d8002785 stb zero,158(sp) - 23ffc: 003fec06 br 23fb0 <_gp+0xfffe7a78> - 24000: 014000f4 movhi r5,3 - 24004: 29480504 addi r5,r5,8212 - 24008: d9403915 stw r5,228(sp) - 2400c: d8c02d15 stw r3,180(sp) - 24010: 1025883a mov r18,r2 - 24014: 04401e04 movi r17,120 - 24018: 9d84b03a or r2,r19,r22 - 2401c: 1000fc1e bne r2,zero,24410 <___vfprintf_internal_r+0x11d0> - 24020: 0039883a mov fp,zero - 24024: 00800084 movi r2,2 - 24028: 10803fcc andi r2,r2,255 - 2402c: 00c00044 movi r3,1 - 24030: 10c20f26 beq r2,r3,24870 <___vfprintf_internal_r+0x1630> - 24034: 00c00084 movi r3,2 - 24038: 10fd6326 beq r2,r3,235c8 <_gp+0xfffe7090> - 2403c: 003e2d06 br 238f4 <_gp+0xfffe73bc> - 24040: d8c02017 ldw r3,128(sp) - 24044: 003e9306 br 23a94 <_gp+0xfffe755c> - 24048: 00801944 movi r2,101 - 2404c: 14407e0e bge r2,r17,24248 <___vfprintf_internal_r+0x1008> - 24050: d9003617 ldw r4,216(sp) - 24054: d9403817 ldw r5,224(sp) - 24058: 000d883a mov r6,zero - 2405c: 000f883a mov r7,zero - 24060: d8c03c15 stw r3,240(sp) - 24064: da003d15 stw r8,244(sp) - 24068: 002dae00 call 2dae0 <__eqdf2> - 2406c: d8c03c17 ldw r3,240(sp) - 24070: da003d17 ldw r8,244(sp) - 24074: 1000f71e bne r2,zero,24454 <___vfprintf_internal_r+0x1214> - 24078: d8801f17 ldw r2,124(sp) - 2407c: 010000f4 movhi r4,3 - 24080: 21080c04 addi r4,r4,8240 - 24084: 18c00044 addi r3,r3,1 - 24088: 10800044 addi r2,r2,1 - 2408c: 41000015 stw r4,0(r8) - 24090: 01000044 movi r4,1 - 24094: 41000115 stw r4,4(r8) - 24098: d8c02015 stw r3,128(sp) - 2409c: d8801f15 stw r2,124(sp) - 240a0: 010001c4 movi r4,7 - 240a4: 2082b816 blt r4,r2,24b88 <___vfprintf_internal_r+0x1948> - 240a8: 42000204 addi r8,r8,8 - 240ac: d8802617 ldw r2,152(sp) - 240b0: d9403317 ldw r5,204(sp) - 240b4: 11400216 blt r2,r5,240c0 <___vfprintf_internal_r+0xe80> - 240b8: 9080004c andi r2,r18,1 - 240bc: 103ed526 beq r2,zero,23c14 <_gp+0xfffe76dc> - 240c0: d8803717 ldw r2,220(sp) - 240c4: d9003417 ldw r4,208(sp) - 240c8: d9403717 ldw r5,220(sp) - 240cc: 1887883a add r3,r3,r2 - 240d0: d8801f17 ldw r2,124(sp) - 240d4: 41000015 stw r4,0(r8) - 240d8: 41400115 stw r5,4(r8) - 240dc: 10800044 addi r2,r2,1 - 240e0: d8c02015 stw r3,128(sp) - 240e4: d8801f15 stw r2,124(sp) - 240e8: 010001c4 movi r4,7 - 240ec: 20832916 blt r4,r2,24d94 <___vfprintf_internal_r+0x1b54> - 240f0: 42000204 addi r8,r8,8 - 240f4: d8803317 ldw r2,204(sp) - 240f8: 143fffc4 addi r16,r2,-1 - 240fc: 043ec50e bge zero,r16,23c14 <_gp+0xfffe76dc> - 24100: 04400404 movi r17,16 - 24104: d8801f17 ldw r2,124(sp) - 24108: 8c00880e bge r17,r16,2432c <___vfprintf_internal_r+0x10ec> - 2410c: 014000f4 movhi r5,3 - 24110: 29480c84 addi r5,r5,8242 - 24114: d9402b15 stw r5,172(sp) - 24118: 058001c4 movi r22,7 - 2411c: dcc02c17 ldw r19,176(sp) - 24120: 00000306 br 24130 <___vfprintf_internal_r+0xef0> - 24124: 42000204 addi r8,r8,8 - 24128: 843ffc04 addi r16,r16,-16 - 2412c: 8c00820e bge r17,r16,24338 <___vfprintf_internal_r+0x10f8> - 24130: 18c00404 addi r3,r3,16 - 24134: 10800044 addi r2,r2,1 - 24138: 45000015 stw r20,0(r8) - 2413c: 44400115 stw r17,4(r8) - 24140: d8c02015 stw r3,128(sp) - 24144: d8801f15 stw r2,124(sp) - 24148: b0bff60e bge r22,r2,24124 <_gp+0xfffe7bec> - 2414c: d9801e04 addi r6,sp,120 - 24150: b80b883a mov r5,r23 - 24154: 9809883a mov r4,r19 - 24158: 002a01c0 call 2a01c <__sprint_r> - 2415c: 103d3a1e bne r2,zero,23648 <_gp+0xfffe7110> - 24160: d8c02017 ldw r3,128(sp) - 24164: d8801f17 ldw r2,124(sp) - 24168: da000404 addi r8,sp,16 - 2416c: 003fee06 br 24128 <_gp+0xfffe7bf0> - 24170: d9403117 ldw r5,196(sp) - 24174: d8802a17 ldw r2,168(sp) - 24178: 28adc83a sub r22,r5,r2 - 2417c: 05be630e bge zero,r22,23b0c <_gp+0xfffe75d4> - 24180: 07000404 movi fp,16 - 24184: d8801f17 ldw r2,124(sp) - 24188: e5838f0e bge fp,r22,24fc8 <___vfprintf_internal_r+0x1d88> - 2418c: 014000f4 movhi r5,3 - 24190: 29480c84 addi r5,r5,8242 - 24194: dc403015 stw r17,192(sp) - 24198: d9402b15 stw r5,172(sp) - 2419c: b023883a mov r17,r22 - 241a0: 04c001c4 movi r19,7 - 241a4: a82d883a mov r22,r21 - 241a8: 902b883a mov r21,r18 - 241ac: 8025883a mov r18,r16 - 241b0: dc002c17 ldw r16,176(sp) - 241b4: 00000306 br 241c4 <___vfprintf_internal_r+0xf84> - 241b8: 8c7ffc04 addi r17,r17,-16 - 241bc: 42000204 addi r8,r8,8 - 241c0: e440110e bge fp,r17,24208 <___vfprintf_internal_r+0xfc8> - 241c4: 18c00404 addi r3,r3,16 - 241c8: 10800044 addi r2,r2,1 - 241cc: 45000015 stw r20,0(r8) - 241d0: 47000115 stw fp,4(r8) - 241d4: d8c02015 stw r3,128(sp) - 241d8: d8801f15 stw r2,124(sp) - 241dc: 98bff60e bge r19,r2,241b8 <_gp+0xfffe7c80> - 241e0: d9801e04 addi r6,sp,120 - 241e4: b80b883a mov r5,r23 - 241e8: 8009883a mov r4,r16 - 241ec: 002a01c0 call 2a01c <__sprint_r> - 241f0: 103d151e bne r2,zero,23648 <_gp+0xfffe7110> - 241f4: 8c7ffc04 addi r17,r17,-16 - 241f8: d8c02017 ldw r3,128(sp) - 241fc: d8801f17 ldw r2,124(sp) - 24200: da000404 addi r8,sp,16 - 24204: e47fef16 blt fp,r17,241c4 <_gp+0xfffe7c8c> - 24208: 9021883a mov r16,r18 - 2420c: a825883a mov r18,r21 - 24210: b02b883a mov r21,r22 - 24214: 882d883a mov r22,r17 - 24218: dc403017 ldw r17,192(sp) - 2421c: d9002b17 ldw r4,172(sp) - 24220: 1d87883a add r3,r3,r22 - 24224: 10800044 addi r2,r2,1 - 24228: 41000015 stw r4,0(r8) - 2422c: 45800115 stw r22,4(r8) - 24230: d8c02015 stw r3,128(sp) - 24234: d8801f15 stw r2,124(sp) - 24238: 010001c4 movi r4,7 - 2423c: 20818e16 blt r4,r2,24878 <___vfprintf_internal_r+0x1638> - 24240: 42000204 addi r8,r8,8 - 24244: 003e3106 br 23b0c <_gp+0xfffe75d4> - 24248: d9403317 ldw r5,204(sp) - 2424c: 00800044 movi r2,1 - 24250: 18c00044 addi r3,r3,1 - 24254: 1141530e bge r2,r5,247a4 <___vfprintf_internal_r+0x1564> - 24258: dc401f17 ldw r17,124(sp) - 2425c: 00800044 movi r2,1 - 24260: 40800115 stw r2,4(r8) - 24264: 8c400044 addi r17,r17,1 - 24268: 44000015 stw r16,0(r8) - 2426c: d8c02015 stw r3,128(sp) - 24270: dc401f15 stw r17,124(sp) - 24274: 008001c4 movi r2,7 - 24278: 14416b16 blt r2,r17,24828 <___vfprintf_internal_r+0x15e8> - 2427c: 42000204 addi r8,r8,8 - 24280: d8803717 ldw r2,220(sp) - 24284: d9003417 ldw r4,208(sp) - 24288: 8c400044 addi r17,r17,1 - 2428c: 10c7883a add r3,r2,r3 - 24290: 40800115 stw r2,4(r8) - 24294: 41000015 stw r4,0(r8) - 24298: d8c02015 stw r3,128(sp) - 2429c: dc401f15 stw r17,124(sp) - 242a0: 008001c4 movi r2,7 - 242a4: 14416916 blt r2,r17,2484c <___vfprintf_internal_r+0x160c> - 242a8: 45800204 addi r22,r8,8 - 242ac: d9003617 ldw r4,216(sp) - 242b0: d9403817 ldw r5,224(sp) - 242b4: 000d883a mov r6,zero - 242b8: 000f883a mov r7,zero - 242bc: d8c03c15 stw r3,240(sp) - 242c0: 002dae00 call 2dae0 <__eqdf2> - 242c4: d8c03c17 ldw r3,240(sp) - 242c8: 1000bc26 beq r2,zero,245bc <___vfprintf_internal_r+0x137c> - 242cc: d9403317 ldw r5,204(sp) - 242d0: 84000044 addi r16,r16,1 - 242d4: 8c400044 addi r17,r17,1 - 242d8: 28bfffc4 addi r2,r5,-1 - 242dc: 1887883a add r3,r3,r2 - 242e0: b0800115 stw r2,4(r22) - 242e4: b4000015 stw r16,0(r22) - 242e8: d8c02015 stw r3,128(sp) - 242ec: dc401f15 stw r17,124(sp) - 242f0: 008001c4 movi r2,7 - 242f4: 14414316 blt r2,r17,24804 <___vfprintf_internal_r+0x15c4> - 242f8: b5800204 addi r22,r22,8 - 242fc: d9003a17 ldw r4,232(sp) - 24300: df0022c4 addi fp,sp,139 - 24304: 8c400044 addi r17,r17,1 - 24308: 20c7883a add r3,r4,r3 - 2430c: b7000015 stw fp,0(r22) - 24310: b1000115 stw r4,4(r22) - 24314: d8c02015 stw r3,128(sp) - 24318: dc401f15 stw r17,124(sp) - 2431c: 008001c4 movi r2,7 - 24320: 14400e16 blt r2,r17,2435c <___vfprintf_internal_r+0x111c> - 24324: b2000204 addi r8,r22,8 - 24328: 003e3a06 br 23c14 <_gp+0xfffe76dc> - 2432c: 010000f4 movhi r4,3 - 24330: 21080c84 addi r4,r4,8242 - 24334: d9002b15 stw r4,172(sp) - 24338: d9002b17 ldw r4,172(sp) - 2433c: 1c07883a add r3,r3,r16 - 24340: 44000115 stw r16,4(r8) - 24344: 41000015 stw r4,0(r8) - 24348: 10800044 addi r2,r2,1 - 2434c: d8c02015 stw r3,128(sp) - 24350: d8801f15 stw r2,124(sp) - 24354: 010001c4 movi r4,7 - 24358: 20be2d0e bge r4,r2,23c10 <_gp+0xfffe76d8> - 2435c: d9002c17 ldw r4,176(sp) - 24360: d9801e04 addi r6,sp,120 - 24364: b80b883a mov r5,r23 - 24368: 002a01c0 call 2a01c <__sprint_r> - 2436c: 103cb61e bne r2,zero,23648 <_gp+0xfffe7110> - 24370: d8c02017 ldw r3,128(sp) - 24374: da000404 addi r8,sp,16 - 24378: 003e2606 br 23c14 <_gp+0xfffe76dc> - 2437c: d9002c17 ldw r4,176(sp) - 24380: d9801e04 addi r6,sp,120 - 24384: b80b883a mov r5,r23 - 24388: 002a01c0 call 2a01c <__sprint_r> - 2438c: 103e5d26 beq r2,zero,23d04 <_gp+0xfffe77cc> - 24390: 003cad06 br 23648 <_gp+0xfffe7110> - 24394: d9002c17 ldw r4,176(sp) - 24398: d9801e04 addi r6,sp,120 - 2439c: b80b883a mov r5,r23 - 243a0: 002a01c0 call 2a01c <__sprint_r> - 243a4: 103ca81e bne r2,zero,23648 <_gp+0xfffe7110> - 243a8: d8c02017 ldw r3,128(sp) - 243ac: da000404 addi r8,sp,16 - 243b0: 003e0b06 br 23be0 <_gp+0xfffe76a8> - 243b4: d9002c17 ldw r4,176(sp) - 243b8: d9801e04 addi r6,sp,120 - 243bc: b80b883a mov r5,r23 - 243c0: 002a01c0 call 2a01c <__sprint_r> - 243c4: 103ca01e bne r2,zero,23648 <_gp+0xfffe7110> - 243c8: d8c02017 ldw r3,128(sp) - 243cc: da000404 addi r8,sp,16 - 243d0: 003dbd06 br 23ac8 <_gp+0xfffe7590> - 243d4: d9002c17 ldw r4,176(sp) - 243d8: d9801e04 addi r6,sp,120 - 243dc: b80b883a mov r5,r23 - 243e0: 002a01c0 call 2a01c <__sprint_r> - 243e4: 103c981e bne r2,zero,23648 <_gp+0xfffe7110> - 243e8: d8c02017 ldw r3,128(sp) - 243ec: da000404 addi r8,sp,16 - 243f0: 003dc306 br 23b00 <_gp+0xfffe75c8> - 243f4: d8802917 ldw r2,164(sp) - 243f8: d8002785 stb zero,158(sp) - 243fc: 103f0616 blt r2,zero,24018 <_gp+0xfffe7ae0> - 24400: 00ffdfc4 movi r3,-129 - 24404: 9d84b03a or r2,r19,r22 - 24408: 90e4703a and r18,r18,r3 - 2440c: 103c6b26 beq r2,zero,235bc <_gp+0xfffe7084> - 24410: 0039883a mov fp,zero - 24414: 003e7406 br 23de8 <_gp+0xfffe78b0> - 24418: 9080040c andi r2,r18,16 - 2441c: 1001b326 beq r2,zero,24aec <___vfprintf_internal_r+0x18ac> - 24420: d9002d17 ldw r4,180(sp) - 24424: d9402917 ldw r5,164(sp) - 24428: d8002785 stb zero,158(sp) - 2442c: 20800104 addi r2,r4,4 - 24430: 24c00017 ldw r19,0(r4) - 24434: 002d883a mov r22,zero - 24438: 2801b516 blt r5,zero,24b10 <___vfprintf_internal_r+0x18d0> - 2443c: 00ffdfc4 movi r3,-129 - 24440: d8802d15 stw r2,180(sp) - 24444: 90e4703a and r18,r18,r3 - 24448: 983d2726 beq r19,zero,238e8 <_gp+0xfffe73b0> - 2444c: 0039883a mov fp,zero - 24450: 003d2a06 br 238fc <_gp+0xfffe73c4> - 24454: dc402617 ldw r17,152(sp) - 24458: 0441d30e bge zero,r17,24ba8 <___vfprintf_internal_r+0x1968> - 2445c: dc403217 ldw r17,200(sp) - 24460: d8803317 ldw r2,204(sp) - 24464: 1440010e bge r2,r17,2446c <___vfprintf_internal_r+0x122c> - 24468: 1023883a mov r17,r2 - 2446c: 04400a0e bge zero,r17,24498 <___vfprintf_internal_r+0x1258> - 24470: d8801f17 ldw r2,124(sp) - 24474: 1c47883a add r3,r3,r17 - 24478: 44000015 stw r16,0(r8) - 2447c: 10800044 addi r2,r2,1 - 24480: 44400115 stw r17,4(r8) - 24484: d8c02015 stw r3,128(sp) - 24488: d8801f15 stw r2,124(sp) - 2448c: 010001c4 movi r4,7 - 24490: 20826516 blt r4,r2,24e28 <___vfprintf_internal_r+0x1be8> - 24494: 42000204 addi r8,r8,8 - 24498: 88026116 blt r17,zero,24e20 <___vfprintf_internal_r+0x1be0> - 2449c: d9003217 ldw r4,200(sp) - 244a0: 2463c83a sub r17,r4,r17 - 244a4: 04407b0e bge zero,r17,24694 <___vfprintf_internal_r+0x1454> - 244a8: 05800404 movi r22,16 - 244ac: d8801f17 ldw r2,124(sp) - 244b0: b4419d0e bge r22,r17,24b28 <___vfprintf_internal_r+0x18e8> - 244b4: 010000f4 movhi r4,3 - 244b8: 21080c84 addi r4,r4,8242 - 244bc: d9002b15 stw r4,172(sp) - 244c0: 070001c4 movi fp,7 - 244c4: dcc02c17 ldw r19,176(sp) - 244c8: 00000306 br 244d8 <___vfprintf_internal_r+0x1298> - 244cc: 42000204 addi r8,r8,8 - 244d0: 8c7ffc04 addi r17,r17,-16 - 244d4: b441970e bge r22,r17,24b34 <___vfprintf_internal_r+0x18f4> - 244d8: 18c00404 addi r3,r3,16 - 244dc: 10800044 addi r2,r2,1 - 244e0: 45000015 stw r20,0(r8) - 244e4: 45800115 stw r22,4(r8) - 244e8: d8c02015 stw r3,128(sp) - 244ec: d8801f15 stw r2,124(sp) - 244f0: e0bff60e bge fp,r2,244cc <_gp+0xfffe7f94> - 244f4: d9801e04 addi r6,sp,120 - 244f8: b80b883a mov r5,r23 - 244fc: 9809883a mov r4,r19 - 24500: 002a01c0 call 2a01c <__sprint_r> - 24504: 103c501e bne r2,zero,23648 <_gp+0xfffe7110> - 24508: d8c02017 ldw r3,128(sp) - 2450c: d8801f17 ldw r2,124(sp) - 24510: da000404 addi r8,sp,16 - 24514: 003fee06 br 244d0 <_gp+0xfffe7f98> - 24518: d9002c17 ldw r4,176(sp) - 2451c: d9801e04 addi r6,sp,120 - 24520: b80b883a mov r5,r23 - 24524: 002a01c0 call 2a01c <__sprint_r> - 24528: 103c471e bne r2,zero,23648 <_gp+0xfffe7110> - 2452c: d8c02017 ldw r3,128(sp) - 24530: df002787 ldb fp,158(sp) - 24534: da000404 addi r8,sp,16 - 24538: 003d5606 br 23a94 <_gp+0xfffe755c> - 2453c: 9080040c andi r2,r18,16 - 24540: 10016126 beq r2,zero,24ac8 <___vfprintf_internal_r+0x1888> - 24544: d8802d17 ldw r2,180(sp) - 24548: 14c00017 ldw r19,0(r2) - 2454c: 10800104 addi r2,r2,4 - 24550: d8802d15 stw r2,180(sp) - 24554: 982dd7fa srai r22,r19,31 - 24558: b005883a mov r2,r22 - 2455c: 003c8206 br 23768 <_gp+0xfffe7230> - 24560: 9080040c andi r2,r18,16 - 24564: 10003526 beq r2,zero,2463c <___vfprintf_internal_r+0x13fc> - 24568: d9402d17 ldw r5,180(sp) - 2456c: d8c02917 ldw r3,164(sp) - 24570: d8002785 stb zero,158(sp) - 24574: 28800104 addi r2,r5,4 - 24578: 2cc00017 ldw r19,0(r5) - 2457c: 002d883a mov r22,zero - 24580: 18003716 blt r3,zero,24660 <___vfprintf_internal_r+0x1420> - 24584: 00ffdfc4 movi r3,-129 - 24588: d8802d15 stw r2,180(sp) - 2458c: 90e4703a and r18,r18,r3 - 24590: 0039883a mov fp,zero - 24594: 983df326 beq r19,zero,23d64 <_gp+0xfffe782c> - 24598: 00800244 movi r2,9 - 2459c: 14fc7b36 bltu r2,r19,2378c <_gp+0xfffe7254> - 245a0: d8c02817 ldw r3,160(sp) - 245a4: dc001dc4 addi r16,sp,119 - 245a8: 9cc00c04 addi r19,r19,48 - 245ac: 1c07c83a sub r3,r3,r16 - 245b0: dcc01dc5 stb r19,119(sp) - 245b4: d8c02e15 stw r3,184(sp) - 245b8: 003ce806 br 2395c <_gp+0xfffe7424> - 245bc: d8803317 ldw r2,204(sp) - 245c0: 143fffc4 addi r16,r2,-1 - 245c4: 043f4d0e bge zero,r16,242fc <_gp+0xfffe7dc4> - 245c8: 07000404 movi fp,16 - 245cc: e400810e bge fp,r16,247d4 <___vfprintf_internal_r+0x1594> - 245d0: 014000f4 movhi r5,3 - 245d4: 29480c84 addi r5,r5,8242 - 245d8: d9402b15 stw r5,172(sp) - 245dc: 01c001c4 movi r7,7 - 245e0: dcc02c17 ldw r19,176(sp) - 245e4: 00000306 br 245f4 <___vfprintf_internal_r+0x13b4> - 245e8: b5800204 addi r22,r22,8 - 245ec: 843ffc04 addi r16,r16,-16 - 245f0: e4007b0e bge fp,r16,247e0 <___vfprintf_internal_r+0x15a0> - 245f4: 18c00404 addi r3,r3,16 - 245f8: 8c400044 addi r17,r17,1 - 245fc: b5000015 stw r20,0(r22) - 24600: b7000115 stw fp,4(r22) - 24604: d8c02015 stw r3,128(sp) - 24608: dc401f15 stw r17,124(sp) - 2460c: 3c7ff60e bge r7,r17,245e8 <_gp+0xfffe80b0> - 24610: d9801e04 addi r6,sp,120 - 24614: b80b883a mov r5,r23 - 24618: 9809883a mov r4,r19 - 2461c: d9c03c15 stw r7,240(sp) - 24620: 002a01c0 call 2a01c <__sprint_r> - 24624: d9c03c17 ldw r7,240(sp) - 24628: 103c071e bne r2,zero,23648 <_gp+0xfffe7110> - 2462c: d8c02017 ldw r3,128(sp) - 24630: dc401f17 ldw r17,124(sp) - 24634: dd800404 addi r22,sp,16 - 24638: 003fec06 br 245ec <_gp+0xfffe80b4> - 2463c: 9080100c andi r2,r18,64 - 24640: d8002785 stb zero,158(sp) - 24644: 10010e26 beq r2,zero,24a80 <___vfprintf_internal_r+0x1840> - 24648: d9002d17 ldw r4,180(sp) - 2464c: d9402917 ldw r5,164(sp) - 24650: 002d883a mov r22,zero - 24654: 20800104 addi r2,r4,4 - 24658: 24c0000b ldhu r19,0(r4) - 2465c: 283fc90e bge r5,zero,24584 <_gp+0xfffe804c> - 24660: d8802d15 stw r2,180(sp) - 24664: 0039883a mov fp,zero - 24668: 9d84b03a or r2,r19,r22 - 2466c: 103c461e bne r2,zero,23788 <_gp+0xfffe7250> - 24670: 00800044 movi r2,1 - 24674: 003e6c06 br 24028 <_gp+0xfffe7af0> - 24678: d9002c17 ldw r4,176(sp) - 2467c: d9801e04 addi r6,sp,120 - 24680: b80b883a mov r5,r23 - 24684: 002a01c0 call 2a01c <__sprint_r> - 24688: 103bef1e bne r2,zero,23648 <_gp+0xfffe7110> - 2468c: d8c02017 ldw r3,128(sp) - 24690: da000404 addi r8,sp,16 - 24694: d9003217 ldw r4,200(sp) - 24698: d8802617 ldw r2,152(sp) - 2469c: d9403317 ldw r5,204(sp) - 246a0: 8123883a add r17,r16,r4 - 246a4: 11400216 blt r2,r5,246b0 <___vfprintf_internal_r+0x1470> - 246a8: 9100004c andi r4,r18,1 - 246ac: 20000d26 beq r4,zero,246e4 <___vfprintf_internal_r+0x14a4> - 246b0: d9003717 ldw r4,220(sp) - 246b4: d9403417 ldw r5,208(sp) - 246b8: 1907883a add r3,r3,r4 - 246bc: d9001f17 ldw r4,124(sp) - 246c0: 41400015 stw r5,0(r8) - 246c4: d9403717 ldw r5,220(sp) - 246c8: 21000044 addi r4,r4,1 - 246cc: d8c02015 stw r3,128(sp) - 246d0: 41400115 stw r5,4(r8) - 246d4: d9001f15 stw r4,124(sp) - 246d8: 014001c4 movi r5,7 - 246dc: 2901e816 blt r5,r4,24e80 <___vfprintf_internal_r+0x1c40> - 246e0: 42000204 addi r8,r8,8 - 246e4: d9003317 ldw r4,204(sp) - 246e8: 8121883a add r16,r16,r4 - 246ec: 2085c83a sub r2,r4,r2 - 246f0: 8461c83a sub r16,r16,r17 - 246f4: 1400010e bge r2,r16,246fc <___vfprintf_internal_r+0x14bc> - 246f8: 1021883a mov r16,r2 - 246fc: 04000a0e bge zero,r16,24728 <___vfprintf_internal_r+0x14e8> - 24700: d9001f17 ldw r4,124(sp) - 24704: 1c07883a add r3,r3,r16 - 24708: 44400015 stw r17,0(r8) - 2470c: 21000044 addi r4,r4,1 - 24710: 44000115 stw r16,4(r8) - 24714: d8c02015 stw r3,128(sp) - 24718: d9001f15 stw r4,124(sp) - 2471c: 014001c4 movi r5,7 - 24720: 2901fb16 blt r5,r4,24f10 <___vfprintf_internal_r+0x1cd0> - 24724: 42000204 addi r8,r8,8 - 24728: 8001f716 blt r16,zero,24f08 <___vfprintf_internal_r+0x1cc8> - 2472c: 1421c83a sub r16,r2,r16 - 24730: 043d380e bge zero,r16,23c14 <_gp+0xfffe76dc> - 24734: 04400404 movi r17,16 - 24738: d8801f17 ldw r2,124(sp) - 2473c: 8c3efb0e bge r17,r16,2432c <_gp+0xfffe7df4> - 24740: 014000f4 movhi r5,3 - 24744: 29480c84 addi r5,r5,8242 - 24748: d9402b15 stw r5,172(sp) - 2474c: 058001c4 movi r22,7 - 24750: dcc02c17 ldw r19,176(sp) - 24754: 00000306 br 24764 <___vfprintf_internal_r+0x1524> - 24758: 42000204 addi r8,r8,8 - 2475c: 843ffc04 addi r16,r16,-16 - 24760: 8c3ef50e bge r17,r16,24338 <_gp+0xfffe7e00> - 24764: 18c00404 addi r3,r3,16 - 24768: 10800044 addi r2,r2,1 - 2476c: 45000015 stw r20,0(r8) - 24770: 44400115 stw r17,4(r8) - 24774: d8c02015 stw r3,128(sp) - 24778: d8801f15 stw r2,124(sp) - 2477c: b0bff60e bge r22,r2,24758 <_gp+0xfffe8220> - 24780: d9801e04 addi r6,sp,120 - 24784: b80b883a mov r5,r23 - 24788: 9809883a mov r4,r19 - 2478c: 002a01c0 call 2a01c <__sprint_r> - 24790: 103bad1e bne r2,zero,23648 <_gp+0xfffe7110> - 24794: d8c02017 ldw r3,128(sp) - 24798: d8801f17 ldw r2,124(sp) - 2479c: da000404 addi r8,sp,16 - 247a0: 003fee06 br 2475c <_gp+0xfffe8224> - 247a4: 9088703a and r4,r18,r2 - 247a8: 203eab1e bne r4,zero,24258 <_gp+0xfffe7d20> - 247ac: dc401f17 ldw r17,124(sp) - 247b0: 40800115 stw r2,4(r8) - 247b4: 44000015 stw r16,0(r8) - 247b8: 8c400044 addi r17,r17,1 - 247bc: d8c02015 stw r3,128(sp) - 247c0: dc401f15 stw r17,124(sp) - 247c4: 008001c4 movi r2,7 - 247c8: 14400e16 blt r2,r17,24804 <___vfprintf_internal_r+0x15c4> - 247cc: 45800204 addi r22,r8,8 - 247d0: 003eca06 br 242fc <_gp+0xfffe7dc4> - 247d4: 010000f4 movhi r4,3 - 247d8: 21080c84 addi r4,r4,8242 - 247dc: d9002b15 stw r4,172(sp) - 247e0: d8802b17 ldw r2,172(sp) - 247e4: 1c07883a add r3,r3,r16 - 247e8: 8c400044 addi r17,r17,1 - 247ec: b0800015 stw r2,0(r22) - 247f0: b4000115 stw r16,4(r22) +00023690 <__srget_r>: + 23690: defffd04 addi sp,sp,-12 + 23694: dc400115 stw r17,4(sp) + 23698: dc000015 stw r16,0(sp) + 2369c: dfc00215 stw ra,8(sp) + 236a0: 2023883a mov r17,r4 + 236a4: 2821883a mov r16,r5 + 236a8: 20000226 beq r4,zero,236b4 <__srget_r+0x24> + 236ac: 20800e17 ldw r2,56(r4) + 236b0: 10001026 beq r2,zero,236f4 <__srget_r+0x64> + 236b4: 800b883a mov r5,r16 + 236b8: 8809883a mov r4,r17 + 236bc: 002a2740 call 2a274 <__srefill_r> + 236c0: 10000e1e bne r2,zero,236fc <__srget_r+0x6c> + 236c4: 80c00017 ldw r3,0(r16) + 236c8: 80800117 ldw r2,4(r16) + 236cc: 19000044 addi r4,r3,1 + 236d0: 10bfffc4 addi r2,r2,-1 + 236d4: 80800115 stw r2,4(r16) + 236d8: 81000015 stw r4,0(r16) + 236dc: 18800003 ldbu r2,0(r3) + 236e0: dfc00217 ldw ra,8(sp) + 236e4: dc400117 ldw r17,4(sp) + 236e8: dc000017 ldw r16,0(sp) + 236ec: dec00304 addi sp,sp,12 + 236f0: f800283a ret + 236f4: 0027aa80 call 27aa8 <__sinit> + 236f8: 003fee06 br 236b4 <_gp+0xfffe6848> + 236fc: 00bfffc4 movi r2,-1 + 23700: 003ff706 br 236e0 <_gp+0xfffe6874> + +00023704 <__srget>: + 23704: 008000f4 movhi r2,3 + 23708: 10939f04 addi r2,r2,20092 + 2370c: 200b883a mov r5,r4 + 23710: 11000017 ldw r4,0(r2) + 23714: 00236901 jmpi 23690 <__srget_r> + +00023718 <_sbrk_r>: + 23718: defffd04 addi sp,sp,-12 + 2371c: dc000015 stw r16,0(sp) + 23720: 040000f4 movhi r16,3 + 23724: dc400115 stw r17,4(sp) + 23728: 841c5904 addi r16,r16,29028 + 2372c: 2023883a mov r17,r4 + 23730: 2809883a mov r4,r5 + 23734: dfc00215 stw ra,8(sp) + 23738: 80000015 stw zero,0(r16) + 2373c: 003009c0 call 3009c + 23740: 00ffffc4 movi r3,-1 + 23744: 10c00526 beq r2,r3,2375c <_sbrk_r+0x44> + 23748: dfc00217 ldw ra,8(sp) + 2374c: dc400117 ldw r17,4(sp) + 23750: dc000017 ldw r16,0(sp) + 23754: dec00304 addi sp,sp,12 + 23758: f800283a ret + 2375c: 80c00017 ldw r3,0(r16) + 23760: 183ff926 beq r3,zero,23748 <_gp+0xfffe68dc> + 23764: 88c00015 stw r3,0(r17) + 23768: 003ff706 br 23748 <_gp+0xfffe68dc> + +0002376c : + 2376c: 208000cc andi r2,r4,3 + 23770: 10002026 beq r2,zero,237f4 + 23774: 20800007 ldb r2,0(r4) + 23778: 10002026 beq r2,zero,237fc + 2377c: 2005883a mov r2,r4 + 23780: 00000206 br 2378c + 23784: 10c00007 ldb r3,0(r2) + 23788: 18001826 beq r3,zero,237ec + 2378c: 10800044 addi r2,r2,1 + 23790: 10c000cc andi r3,r2,3 + 23794: 183ffb1e bne r3,zero,23784 <_gp+0xfffe6918> + 23798: 10c00017 ldw r3,0(r2) + 2379c: 01ffbff4 movhi r7,65279 + 237a0: 39ffbfc4 addi r7,r7,-257 + 237a4: 00ca303a nor r5,zero,r3 + 237a8: 01a02074 movhi r6,32897 + 237ac: 19c7883a add r3,r3,r7 + 237b0: 31a02004 addi r6,r6,-32640 + 237b4: 1946703a and r3,r3,r5 + 237b8: 1986703a and r3,r3,r6 + 237bc: 1800091e bne r3,zero,237e4 + 237c0: 10800104 addi r2,r2,4 + 237c4: 10c00017 ldw r3,0(r2) + 237c8: 19cb883a add r5,r3,r7 + 237cc: 00c6303a nor r3,zero,r3 + 237d0: 28c6703a and r3,r5,r3 + 237d4: 1986703a and r3,r3,r6 + 237d8: 183ff926 beq r3,zero,237c0 <_gp+0xfffe6954> + 237dc: 00000106 br 237e4 + 237e0: 10800044 addi r2,r2,1 + 237e4: 10c00007 ldb r3,0(r2) + 237e8: 183ffd1e bne r3,zero,237e0 <_gp+0xfffe6974> + 237ec: 1105c83a sub r2,r2,r4 + 237f0: f800283a ret + 237f4: 2005883a mov r2,r4 + 237f8: 003fe706 br 23798 <_gp+0xfffe692c> + 237fc: 0005883a mov r2,zero + 23800: f800283a ret + +00023804 <___vfprintf_internal_r>: + 23804: deffb804 addi sp,sp,-288 + 23808: dfc04715 stw ra,284(sp) + 2380c: ddc04515 stw r23,276(sp) + 23810: dd404315 stw r21,268(sp) + 23814: d9002c15 stw r4,176(sp) + 23818: 282f883a mov r23,r5 + 2381c: 302b883a mov r21,r6 + 23820: d9c02d15 stw r7,180(sp) + 23824: df004615 stw fp,280(sp) + 23828: dd804415 stw r22,272(sp) + 2382c: dd004215 stw r20,264(sp) + 23830: dcc04115 stw r19,260(sp) + 23834: dc804015 stw r18,256(sp) + 23838: dc403f15 stw r17,252(sp) + 2383c: dc003e15 stw r16,248(sp) + 23840: 002861c0 call 2861c <_localeconv_r> + 23844: 10800017 ldw r2,0(r2) + 23848: 1009883a mov r4,r2 + 2384c: d8803415 stw r2,208(sp) + 23850: 002376c0 call 2376c + 23854: d8803715 stw r2,220(sp) + 23858: d8802c17 ldw r2,176(sp) + 2385c: 10000226 beq r2,zero,23868 <___vfprintf_internal_r+0x64> + 23860: 10800e17 ldw r2,56(r2) + 23864: 1000f926 beq r2,zero,23c4c <___vfprintf_internal_r+0x448> + 23868: b880030b ldhu r2,12(r23) + 2386c: 10c8000c andi r3,r2,8192 + 23870: 1800061e bne r3,zero,2388c <___vfprintf_internal_r+0x88> + 23874: b9001917 ldw r4,100(r23) + 23878: 00f7ffc4 movi r3,-8193 + 2387c: 10880014 ori r2,r2,8192 + 23880: 20c6703a and r3,r4,r3 + 23884: b880030d sth r2,12(r23) + 23888: b8c01915 stw r3,100(r23) + 2388c: 10c0020c andi r3,r2,8 + 23890: 1800c126 beq r3,zero,23b98 <___vfprintf_internal_r+0x394> + 23894: b8c00417 ldw r3,16(r23) + 23898: 1800bf26 beq r3,zero,23b98 <___vfprintf_internal_r+0x394> + 2389c: 1080068c andi r2,r2,26 + 238a0: 00c00284 movi r3,10 + 238a4: 10c0c426 beq r2,r3,23bb8 <___vfprintf_internal_r+0x3b4> + 238a8: d8c00404 addi r3,sp,16 + 238ac: 050000f4 movhi r20,3 + 238b0: d9001e04 addi r4,sp,120 + 238b4: a50a5a84 addi r20,r20,10602 + 238b8: d8c01e15 stw r3,120(sp) + 238bc: d8002015 stw zero,128(sp) + 238c0: d8001f15 stw zero,124(sp) + 238c4: d8003315 stw zero,204(sp) + 238c8: d8003615 stw zero,216(sp) + 238cc: d8003815 stw zero,224(sp) + 238d0: 1811883a mov r8,r3 + 238d4: d8003915 stw zero,228(sp) + 238d8: d8003a15 stw zero,232(sp) + 238dc: d8002f15 stw zero,188(sp) + 238e0: d9002815 stw r4,160(sp) + 238e4: a8800007 ldb r2,0(r21) + 238e8: 10027b26 beq r2,zero,242d8 <___vfprintf_internal_r+0xad4> + 238ec: 00c00944 movi r3,37 + 238f0: a821883a mov r16,r21 + 238f4: 10c0021e bne r2,r3,23900 <___vfprintf_internal_r+0xfc> + 238f8: 00001406 br 2394c <___vfprintf_internal_r+0x148> + 238fc: 10c00326 beq r2,r3,2390c <___vfprintf_internal_r+0x108> + 23900: 84000044 addi r16,r16,1 + 23904: 80800007 ldb r2,0(r16) + 23908: 103ffc1e bne r2,zero,238fc <_gp+0xfffe6a90> + 2390c: 8563c83a sub r17,r16,r21 + 23910: 88000e26 beq r17,zero,2394c <___vfprintf_internal_r+0x148> + 23914: d8c02017 ldw r3,128(sp) + 23918: d8801f17 ldw r2,124(sp) + 2391c: 45400015 stw r21,0(r8) + 23920: 1c47883a add r3,r3,r17 + 23924: 10800044 addi r2,r2,1 + 23928: d8c02015 stw r3,128(sp) + 2392c: 44400115 stw r17,4(r8) + 23930: d8801f15 stw r2,124(sp) + 23934: 00c001c4 movi r3,7 + 23938: 1880a716 blt r3,r2,23bd8 <___vfprintf_internal_r+0x3d4> + 2393c: 42000204 addi r8,r8,8 + 23940: d9402f17 ldw r5,188(sp) + 23944: 2c4b883a add r5,r5,r17 + 23948: d9402f15 stw r5,188(sp) + 2394c: 80800007 ldb r2,0(r16) + 23950: 1000a826 beq r2,zero,23bf4 <___vfprintf_internal_r+0x3f0> + 23954: 84400047 ldb r17,1(r16) + 23958: 00bfffc4 movi r2,-1 + 2395c: 85400044 addi r21,r16,1 + 23960: d8002785 stb zero,158(sp) + 23964: 0007883a mov r3,zero + 23968: 000f883a mov r7,zero + 2396c: d8802915 stw r2,164(sp) + 23970: d8003115 stw zero,196(sp) + 23974: 0025883a mov r18,zero + 23978: 01401604 movi r5,88 + 2397c: 01800244 movi r6,9 + 23980: 02800a84 movi r10,42 + 23984: 02401b04 movi r9,108 + 23988: ad400044 addi r21,r21,1 + 2398c: 88bff804 addi r2,r17,-32 + 23990: 28830436 bltu r5,r2,245a4 <___vfprintf_internal_r+0xda0> + 23994: 100490ba slli r2,r2,2 + 23998: 010000b4 movhi r4,2 + 2399c: 210e6b04 addi r4,r4,14764 + 239a0: 1105883a add r2,r2,r4 + 239a4: 10800017 ldw r2,0(r2) + 239a8: 1000683a jmp r2 + 239ac: 000244c4 movi zero,2323 + 239b0: 000245a4 muli zero,zero,2326 + 239b4: 000245a4 muli zero,zero,2326 + 239b8: 000244e4 muli zero,zero,2323 + 239bc: 000245a4 muli zero,zero,2326 + 239c0: 000245a4 muli zero,zero,2326 + 239c4: 000245a4 muli zero,zero,2326 + 239c8: 000245a4 muli zero,zero,2326 + 239cc: 000245a4 muli zero,zero,2326 + 239d0: 000245a4 muli zero,zero,2326 + 239d4: 00023c58 cmpnei zero,zero,2289 + 239d8: 00024400 call 2440 <__alt_mem_onchip_memory2_0-0x1dbc0> + 239dc: 000245a4 muli zero,zero,2326 + 239e0: 00023b20 cmpeqi zero,zero,2284 + 239e4: 00023c80 call 23c8 <__alt_mem_onchip_memory2_0-0x1dc38> + 239e8: 000245a4 muli zero,zero,2326 + 239ec: 00023cc0 call 23cc <__alt_mem_onchip_memory2_0-0x1dc34> + 239f0: 00023ccc andi zero,zero,2291 + 239f4: 00023ccc andi zero,zero,2291 + 239f8: 00023ccc andi zero,zero,2291 + 239fc: 00023ccc andi zero,zero,2291 + 23a00: 00023ccc andi zero,zero,2291 + 23a04: 00023ccc andi zero,zero,2291 + 23a08: 00023ccc andi zero,zero,2291 + 23a0c: 00023ccc andi zero,zero,2291 + 23a10: 00023ccc andi zero,zero,2291 + 23a14: 000245a4 muli zero,zero,2326 + 23a18: 000245a4 muli zero,zero,2326 + 23a1c: 000245a4 muli zero,zero,2326 + 23a20: 000245a4 muli zero,zero,2326 + 23a24: 000245a4 muli zero,zero,2326 + 23a28: 000245a4 muli zero,zero,2326 + 23a2c: 000245a4 muli zero,zero,2326 + 23a30: 000245a4 muli zero,zero,2326 + 23a34: 000245a4 muli zero,zero,2326 + 23a38: 000245a4 muli zero,zero,2326 + 23a3c: 00023d00 call 23d0 <__alt_mem_onchip_memory2_0-0x1dc30> + 23a40: 00023dbc xorhi zero,zero,2294 + 23a44: 000245a4 muli zero,zero,2326 + 23a48: 00023dbc xorhi zero,zero,2294 + 23a4c: 000245a4 muli zero,zero,2326 + 23a50: 000245a4 muli zero,zero,2326 + 23a54: 000245a4 muli zero,zero,2326 + 23a58: 000245a4 muli zero,zero,2326 + 23a5c: 00023e5c xori zero,zero,2297 + 23a60: 000245a4 muli zero,zero,2326 + 23a64: 000245a4 muli zero,zero,2326 + 23a68: 00023e68 cmpgeui zero,zero,2297 + 23a6c: 000245a4 muli zero,zero,2326 + 23a70: 000245a4 muli zero,zero,2326 + 23a74: 000245a4 muli zero,zero,2326 + 23a78: 000245a4 muli zero,zero,2326 + 23a7c: 000245a4 muli zero,zero,2326 + 23a80: 000242e0 cmpeqi zero,zero,2315 + 23a84: 000245a4 muli zero,zero,2326 + 23a88: 000245a4 muli zero,zero,2326 + 23a8c: 00024340 call 2434 <__alt_mem_onchip_memory2_0-0x1dbcc> + 23a90: 000245a4 muli zero,zero,2326 + 23a94: 000245a4 muli zero,zero,2326 + 23a98: 000245a4 muli zero,zero,2326 + 23a9c: 000245a4 muli zero,zero,2326 + 23aa0: 000245a4 muli zero,zero,2326 + 23aa4: 000245a4 muli zero,zero,2326 + 23aa8: 000245a4 muli zero,zero,2326 + 23aac: 000245a4 muli zero,zero,2326 + 23ab0: 000245a4 muli zero,zero,2326 + 23ab4: 000245a4 muli zero,zero,2326 + 23ab8: 00024550 cmplti zero,zero,2325 + 23abc: 000244f0 cmpltui zero,zero,2323 + 23ac0: 00023dbc xorhi zero,zero,2294 + 23ac4: 00023dbc xorhi zero,zero,2294 + 23ac8: 00023dbc xorhi zero,zero,2294 + 23acc: 00024500 call 2450 <__alt_mem_onchip_memory2_0-0x1dbb0> + 23ad0: 000244f0 cmpltui zero,zero,2323 + 23ad4: 000245a4 muli zero,zero,2326 + 23ad8: 000245a4 muli zero,zero,2326 + 23adc: 0002450c andi zero,zero,2324 + 23ae0: 000245a4 muli zero,zero,2326 + 23ae4: 0002451c xori zero,zero,2324 + 23ae8: 000243f0 cmpltui zero,zero,2319 + 23aec: 00023b2c andhi zero,zero,2284 + 23af0: 00024410 cmplti zero,zero,2320 + 23af4: 000245a4 muli zero,zero,2326 + 23af8: 0002441c xori zero,zero,2320 + 23afc: 000245a4 muli zero,zero,2326 + 23b00: 00024478 rdprs zero,zero,2321 + 23b04: 000245a4 muli zero,zero,2326 + 23b08: 000245a4 muli zero,zero,2326 + 23b0c: 00024488 cmpgei zero,zero,2322 + 23b10: d9003117 ldw r4,196(sp) + 23b14: d8802d15 stw r2,180(sp) + 23b18: 0109c83a sub r4,zero,r4 + 23b1c: d9003115 stw r4,196(sp) + 23b20: 94800114 ori r18,r18,4 + 23b24: ac400007 ldb r17,0(r21) + 23b28: 003f9706 br 23988 <_gp+0xfffe6b1c> + 23b2c: 00800c04 movi r2,48 + 23b30: d9002d17 ldw r4,180(sp) + 23b34: d9402917 ldw r5,164(sp) + 23b38: d8802705 stb r2,156(sp) + 23b3c: 00801e04 movi r2,120 + 23b40: d8802745 stb r2,157(sp) + 23b44: d8002785 stb zero,158(sp) + 23b48: 20c00104 addi r3,r4,4 + 23b4c: 24c00017 ldw r19,0(r4) + 23b50: 002d883a mov r22,zero + 23b54: 90800094 ori r2,r18,2 + 23b58: 28029a16 blt r5,zero,245c4 <___vfprintf_internal_r+0xdc0> + 23b5c: 00bfdfc4 movi r2,-129 + 23b60: 90a4703a and r18,r18,r2 + 23b64: d8c02d15 stw r3,180(sp) + 23b68: 94800094 ori r18,r18,2 + 23b6c: 9802871e bne r19,zero,2458c <___vfprintf_internal_r+0xd88> + 23b70: 008000f4 movhi r2,3 + 23b74: 108a5304 addi r2,r2,10572 + 23b78: d8803915 stw r2,228(sp) + 23b7c: 04401e04 movi r17,120 + 23b80: d8802917 ldw r2,164(sp) + 23b84: 0039883a mov fp,zero + 23b88: 1001e926 beq r2,zero,24330 <___vfprintf_internal_r+0xb2c> + 23b8c: 0027883a mov r19,zero + 23b90: 002d883a mov r22,zero + 23b94: 00020506 br 243ac <___vfprintf_internal_r+0xba8> + 23b98: d9002c17 ldw r4,176(sp) + 23b9c: b80b883a mov r5,r23 + 23ba0: 0025ad40 call 25ad4 <__swsetup_r> + 23ba4: 1005ac1e bne r2,zero,25258 <___vfprintf_internal_r+0x1a54> + 23ba8: b880030b ldhu r2,12(r23) + 23bac: 00c00284 movi r3,10 + 23bb0: 1080068c andi r2,r2,26 + 23bb4: 10ff3c1e bne r2,r3,238a8 <_gp+0xfffe6a3c> + 23bb8: b880038f ldh r2,14(r23) + 23bbc: 103f3a16 blt r2,zero,238a8 <_gp+0xfffe6a3c> + 23bc0: d9c02d17 ldw r7,180(sp) + 23bc4: d9002c17 ldw r4,176(sp) + 23bc8: a80d883a mov r6,r21 + 23bcc: b80b883a mov r5,r23 + 23bd0: 0025a180 call 25a18 <__sbprintf> + 23bd4: 00001106 br 23c1c <___vfprintf_internal_r+0x418> + 23bd8: d9002c17 ldw r4,176(sp) + 23bdc: d9801e04 addi r6,sp,120 + 23be0: b80b883a mov r5,r23 + 23be4: 002a7d00 call 2a7d0 <__sprint_r> + 23be8: 1000081e bne r2,zero,23c0c <___vfprintf_internal_r+0x408> + 23bec: da000404 addi r8,sp,16 + 23bf0: 003f5306 br 23940 <_gp+0xfffe6ad4> + 23bf4: d8802017 ldw r2,128(sp) + 23bf8: 10000426 beq r2,zero,23c0c <___vfprintf_internal_r+0x408> + 23bfc: d9002c17 ldw r4,176(sp) + 23c00: d9801e04 addi r6,sp,120 + 23c04: b80b883a mov r5,r23 + 23c08: 002a7d00 call 2a7d0 <__sprint_r> + 23c0c: b880030b ldhu r2,12(r23) + 23c10: 1080100c andi r2,r2,64 + 23c14: 1005901e bne r2,zero,25258 <___vfprintf_internal_r+0x1a54> + 23c18: d8802f17 ldw r2,188(sp) + 23c1c: dfc04717 ldw ra,284(sp) + 23c20: df004617 ldw fp,280(sp) + 23c24: ddc04517 ldw r23,276(sp) + 23c28: dd804417 ldw r22,272(sp) + 23c2c: dd404317 ldw r21,268(sp) + 23c30: dd004217 ldw r20,264(sp) + 23c34: dcc04117 ldw r19,260(sp) + 23c38: dc804017 ldw r18,256(sp) + 23c3c: dc403f17 ldw r17,252(sp) + 23c40: dc003e17 ldw r16,248(sp) + 23c44: dec04804 addi sp,sp,288 + 23c48: f800283a ret + 23c4c: d9002c17 ldw r4,176(sp) + 23c50: 0027aa80 call 27aa8 <__sinit> + 23c54: 003f0406 br 23868 <_gp+0xfffe69fc> + 23c58: d8802d17 ldw r2,180(sp) + 23c5c: d9002d17 ldw r4,180(sp) + 23c60: 10800017 ldw r2,0(r2) + 23c64: d8803115 stw r2,196(sp) + 23c68: 20800104 addi r2,r4,4 + 23c6c: d9003117 ldw r4,196(sp) + 23c70: 203fa716 blt r4,zero,23b10 <_gp+0xfffe6ca4> + 23c74: d8802d15 stw r2,180(sp) + 23c78: ac400007 ldb r17,0(r21) + 23c7c: 003f4206 br 23988 <_gp+0xfffe6b1c> + 23c80: ac400007 ldb r17,0(r21) + 23c84: aac00044 addi r11,r21,1 + 23c88: 8a872826 beq r17,r10,2592c <___vfprintf_internal_r+0x2128> + 23c8c: 88bff404 addi r2,r17,-48 + 23c90: 0009883a mov r4,zero + 23c94: 30867d36 bltu r6,r2,2568c <___vfprintf_internal_r+0x1e88> + 23c98: 5c400007 ldb r17,0(r11) + 23c9c: 210002a4 muli r4,r4,10 + 23ca0: 5d400044 addi r21,r11,1 + 23ca4: a817883a mov r11,r21 + 23ca8: 2089883a add r4,r4,r2 + 23cac: 88bff404 addi r2,r17,-48 + 23cb0: 30bff92e bgeu r6,r2,23c98 <_gp+0xfffe6e2c> + 23cb4: 2005c916 blt r4,zero,253dc <___vfprintf_internal_r+0x1bd8> + 23cb8: d9002915 stw r4,164(sp) + 23cbc: 003f3306 br 2398c <_gp+0xfffe6b20> + 23cc0: 94802014 ori r18,r18,128 + 23cc4: ac400007 ldb r17,0(r21) + 23cc8: 003f2f06 br 23988 <_gp+0xfffe6b1c> + 23ccc: a809883a mov r4,r21 + 23cd0: d8003115 stw zero,196(sp) + 23cd4: 88bff404 addi r2,r17,-48 + 23cd8: 0017883a mov r11,zero + 23cdc: 24400007 ldb r17,0(r4) + 23ce0: 5ac002a4 muli r11,r11,10 + 23ce4: ad400044 addi r21,r21,1 + 23ce8: a809883a mov r4,r21 + 23cec: 12d7883a add r11,r2,r11 + 23cf0: 88bff404 addi r2,r17,-48 + 23cf4: 30bff92e bgeu r6,r2,23cdc <_gp+0xfffe6e70> + 23cf8: dac03115 stw r11,196(sp) + 23cfc: 003f2306 br 2398c <_gp+0xfffe6b20> + 23d00: 18c03fcc andi r3,r3,255 + 23d04: 18072b1e bne r3,zero,259b4 <___vfprintf_internal_r+0x21b0> + 23d08: 94800414 ori r18,r18,16 + 23d0c: 9080080c andi r2,r18,32 + 23d10: 10037b26 beq r2,zero,24b00 <___vfprintf_internal_r+0x12fc> + 23d14: d9402d17 ldw r5,180(sp) + 23d18: 28800117 ldw r2,4(r5) + 23d1c: 2cc00017 ldw r19,0(r5) + 23d20: 29400204 addi r5,r5,8 + 23d24: d9402d15 stw r5,180(sp) + 23d28: 102d883a mov r22,r2 + 23d2c: 10044b16 blt r2,zero,24e5c <___vfprintf_internal_r+0x1658> + 23d30: d9402917 ldw r5,164(sp) + 23d34: df002783 ldbu fp,158(sp) + 23d38: 2803bc16 blt r5,zero,24c2c <___vfprintf_internal_r+0x1428> + 23d3c: 00ffdfc4 movi r3,-129 + 23d40: 9d84b03a or r2,r19,r22 + 23d44: 90e4703a and r18,r18,r3 + 23d48: 10017726 beq r2,zero,24328 <___vfprintf_internal_r+0xb24> + 23d4c: b0038326 beq r22,zero,24b5c <___vfprintf_internal_r+0x1358> + 23d50: dc402a15 stw r17,168(sp) + 23d54: dc001e04 addi r16,sp,120 + 23d58: b023883a mov r17,r22 + 23d5c: 402d883a mov r22,r8 + 23d60: 9809883a mov r4,r19 + 23d64: 880b883a mov r5,r17 + 23d68: 01800284 movi r6,10 + 23d6c: 000f883a mov r7,zero + 23d70: 002cbc80 call 2cbc8 <__umoddi3> + 23d74: 10800c04 addi r2,r2,48 + 23d78: 843fffc4 addi r16,r16,-1 + 23d7c: 9809883a mov r4,r19 + 23d80: 880b883a mov r5,r17 + 23d84: 80800005 stb r2,0(r16) + 23d88: 01800284 movi r6,10 + 23d8c: 000f883a mov r7,zero + 23d90: 002c6500 call 2c650 <__udivdi3> + 23d94: 1027883a mov r19,r2 + 23d98: 10c4b03a or r2,r2,r3 + 23d9c: 1823883a mov r17,r3 + 23da0: 103fef1e bne r2,zero,23d60 <_gp+0xfffe6ef4> + 23da4: d8c02817 ldw r3,160(sp) + 23da8: dc402a17 ldw r17,168(sp) + 23dac: b011883a mov r8,r22 + 23db0: 1c07c83a sub r3,r3,r16 + 23db4: d8c02e15 stw r3,184(sp) + 23db8: 00005906 br 23f20 <___vfprintf_internal_r+0x71c> + 23dbc: 18c03fcc andi r3,r3,255 + 23dc0: 1806fa1e bne r3,zero,259ac <___vfprintf_internal_r+0x21a8> + 23dc4: 9080020c andi r2,r18,8 + 23dc8: 10048a26 beq r2,zero,24ff4 <___vfprintf_internal_r+0x17f0> + 23dcc: d8c02d17 ldw r3,180(sp) + 23dd0: d9002d17 ldw r4,180(sp) + 23dd4: d9402d17 ldw r5,180(sp) + 23dd8: 18c00017 ldw r3,0(r3) + 23ddc: 21000117 ldw r4,4(r4) + 23de0: 29400204 addi r5,r5,8 + 23de4: d8c03615 stw r3,216(sp) + 23de8: d9003815 stw r4,224(sp) + 23dec: d9402d15 stw r5,180(sp) + 23df0: d9003617 ldw r4,216(sp) + 23df4: d9403817 ldw r5,224(sp) + 23df8: da003d15 stw r8,244(sp) + 23dfc: 04000044 movi r16,1 + 23e00: 002a4480 call 2a448 <__fpclassifyd> + 23e04: da003d17 ldw r8,244(sp) + 23e08: 14041f1e bne r2,r16,24e88 <___vfprintf_internal_r+0x1684> + 23e0c: d9003617 ldw r4,216(sp) + 23e10: d9403817 ldw r5,224(sp) + 23e14: 000d883a mov r6,zero + 23e18: 000f883a mov r7,zero + 23e1c: 002e3f80 call 2e3f8 <__ledf2> + 23e20: da003d17 ldw r8,244(sp) + 23e24: 1005be16 blt r2,zero,25520 <___vfprintf_internal_r+0x1d1c> + 23e28: df002783 ldbu fp,158(sp) + 23e2c: 008011c4 movi r2,71 + 23e30: 1445330e bge r2,r17,25300 <___vfprintf_internal_r+0x1afc> + 23e34: 040000f4 movhi r16,3 + 23e38: 840a4b04 addi r16,r16,10540 + 23e3c: 00c000c4 movi r3,3 + 23e40: 00bfdfc4 movi r2,-129 + 23e44: d8c02a15 stw r3,168(sp) + 23e48: 90a4703a and r18,r18,r2 + 23e4c: d8c02e15 stw r3,184(sp) + 23e50: d8002915 stw zero,164(sp) + 23e54: d8003215 stw zero,200(sp) + 23e58: 00003706 br 23f38 <___vfprintf_internal_r+0x734> + 23e5c: 94800214 ori r18,r18,8 + 23e60: ac400007 ldb r17,0(r21) + 23e64: 003ec806 br 23988 <_gp+0xfffe6b1c> + 23e68: 18c03fcc andi r3,r3,255 + 23e6c: 1806db1e bne r3,zero,259dc <___vfprintf_internal_r+0x21d8> + 23e70: 94800414 ori r18,r18,16 + 23e74: 9080080c andi r2,r18,32 + 23e78: 1002d826 beq r2,zero,249dc <___vfprintf_internal_r+0x11d8> + 23e7c: d9402d17 ldw r5,180(sp) + 23e80: d8c02917 ldw r3,164(sp) + 23e84: d8002785 stb zero,158(sp) + 23e88: 28800204 addi r2,r5,8 + 23e8c: 2cc00017 ldw r19,0(r5) + 23e90: 2d800117 ldw r22,4(r5) + 23e94: 18048f16 blt r3,zero,250d4 <___vfprintf_internal_r+0x18d0> + 23e98: 013fdfc4 movi r4,-129 + 23e9c: 9d86b03a or r3,r19,r22 + 23ea0: d8802d15 stw r2,180(sp) + 23ea4: 9124703a and r18,r18,r4 + 23ea8: 1802d91e bne r3,zero,24a10 <___vfprintf_internal_r+0x120c> + 23eac: d8c02917 ldw r3,164(sp) + 23eb0: 0039883a mov fp,zero + 23eb4: 1805c326 beq r3,zero,255c4 <___vfprintf_internal_r+0x1dc0> + 23eb8: 0027883a mov r19,zero + 23ebc: 002d883a mov r22,zero + 23ec0: dc001e04 addi r16,sp,120 + 23ec4: 9806d0fa srli r3,r19,3 + 23ec8: b008977a slli r4,r22,29 + 23ecc: b02cd0fa srli r22,r22,3 + 23ed0: 9cc001cc andi r19,r19,7 + 23ed4: 98800c04 addi r2,r19,48 + 23ed8: 843fffc4 addi r16,r16,-1 + 23edc: 20e6b03a or r19,r4,r3 + 23ee0: 80800005 stb r2,0(r16) + 23ee4: 9d86b03a or r3,r19,r22 + 23ee8: 183ff61e bne r3,zero,23ec4 <_gp+0xfffe7058> + 23eec: 90c0004c andi r3,r18,1 + 23ef0: 18013b26 beq r3,zero,243e0 <___vfprintf_internal_r+0xbdc> + 23ef4: 10803fcc andi r2,r2,255 + 23ef8: 1080201c xori r2,r2,128 + 23efc: 10bfe004 addi r2,r2,-128 + 23f00: 00c00c04 movi r3,48 + 23f04: 10c13626 beq r2,r3,243e0 <___vfprintf_internal_r+0xbdc> + 23f08: 80ffffc5 stb r3,-1(r16) + 23f0c: d8c02817 ldw r3,160(sp) + 23f10: 80bfffc4 addi r2,r16,-1 + 23f14: 1021883a mov r16,r2 + 23f18: 1887c83a sub r3,r3,r2 + 23f1c: d8c02e15 stw r3,184(sp) + 23f20: d8802e17 ldw r2,184(sp) + 23f24: d9002917 ldw r4,164(sp) + 23f28: 1100010e bge r2,r4,23f30 <___vfprintf_internal_r+0x72c> + 23f2c: 2005883a mov r2,r4 + 23f30: d8802a15 stw r2,168(sp) + 23f34: d8003215 stw zero,200(sp) + 23f38: e7003fcc andi fp,fp,255 + 23f3c: e700201c xori fp,fp,128 + 23f40: e73fe004 addi fp,fp,-128 + 23f44: e0000326 beq fp,zero,23f54 <___vfprintf_internal_r+0x750> + 23f48: d8c02a17 ldw r3,168(sp) + 23f4c: 18c00044 addi r3,r3,1 + 23f50: d8c02a15 stw r3,168(sp) + 23f54: 90c0008c andi r3,r18,2 + 23f58: d8c02b15 stw r3,172(sp) + 23f5c: 18000326 beq r3,zero,23f6c <___vfprintf_internal_r+0x768> + 23f60: d8c02a17 ldw r3,168(sp) + 23f64: 18c00084 addi r3,r3,2 + 23f68: d8c02a15 stw r3,168(sp) + 23f6c: 90c0210c andi r3,r18,132 + 23f70: d8c03015 stw r3,192(sp) + 23f74: 1801a31e bne r3,zero,24604 <___vfprintf_internal_r+0xe00> + 23f78: d9003117 ldw r4,196(sp) + 23f7c: d8c02a17 ldw r3,168(sp) + 23f80: 20e7c83a sub r19,r4,r3 + 23f84: 04c19f0e bge zero,r19,24604 <___vfprintf_internal_r+0xe00> + 23f88: 02400404 movi r9,16 + 23f8c: d8c02017 ldw r3,128(sp) + 23f90: d8801f17 ldw r2,124(sp) + 23f94: 4cc50d0e bge r9,r19,253cc <___vfprintf_internal_r+0x1bc8> + 23f98: 014000f4 movhi r5,3 + 23f9c: 294a5e84 addi r5,r5,10618 + 23fa0: dc403b15 stw r17,236(sp) + 23fa4: d9403515 stw r5,212(sp) + 23fa8: 9823883a mov r17,r19 + 23fac: 482d883a mov r22,r9 + 23fb0: 9027883a mov r19,r18 + 23fb4: 070001c4 movi fp,7 + 23fb8: 8025883a mov r18,r16 + 23fbc: dc002c17 ldw r16,176(sp) + 23fc0: 00000306 br 23fd0 <___vfprintf_internal_r+0x7cc> + 23fc4: 8c7ffc04 addi r17,r17,-16 + 23fc8: 42000204 addi r8,r8,8 + 23fcc: b440130e bge r22,r17,2401c <___vfprintf_internal_r+0x818> + 23fd0: 010000f4 movhi r4,3 + 23fd4: 18c00404 addi r3,r3,16 + 23fd8: 10800044 addi r2,r2,1 + 23fdc: 210a5e84 addi r4,r4,10618 + 23fe0: 41000015 stw r4,0(r8) + 23fe4: 45800115 stw r22,4(r8) + 23fe8: d8c02015 stw r3,128(sp) + 23fec: d8801f15 stw r2,124(sp) + 23ff0: e0bff40e bge fp,r2,23fc4 <_gp+0xfffe7158> + 23ff4: d9801e04 addi r6,sp,120 + 23ff8: b80b883a mov r5,r23 + 23ffc: 8009883a mov r4,r16 + 24000: 002a7d00 call 2a7d0 <__sprint_r> + 24004: 103f011e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24008: 8c7ffc04 addi r17,r17,-16 + 2400c: d8c02017 ldw r3,128(sp) + 24010: d8801f17 ldw r2,124(sp) + 24014: da000404 addi r8,sp,16 + 24018: b47fed16 blt r22,r17,23fd0 <_gp+0xfffe7164> + 2401c: 9021883a mov r16,r18 + 24020: 9825883a mov r18,r19 + 24024: 8827883a mov r19,r17 + 24028: dc403b17 ldw r17,236(sp) + 2402c: d9403517 ldw r5,212(sp) + 24030: 98c7883a add r3,r19,r3 + 24034: 10800044 addi r2,r2,1 + 24038: 41400015 stw r5,0(r8) + 2403c: 44c00115 stw r19,4(r8) + 24040: d8c02015 stw r3,128(sp) + 24044: d8801f15 stw r2,124(sp) + 24048: 010001c4 movi r4,7 + 2404c: 2082a316 blt r4,r2,24adc <___vfprintf_internal_r+0x12d8> + 24050: df002787 ldb fp,158(sp) + 24054: 42000204 addi r8,r8,8 + 24058: e0000c26 beq fp,zero,2408c <___vfprintf_internal_r+0x888> + 2405c: d8801f17 ldw r2,124(sp) + 24060: d9002784 addi r4,sp,158 + 24064: 18c00044 addi r3,r3,1 + 24068: 10800044 addi r2,r2,1 + 2406c: 41000015 stw r4,0(r8) + 24070: 01000044 movi r4,1 + 24074: 41000115 stw r4,4(r8) + 24078: d8c02015 stw r3,128(sp) + 2407c: d8801f15 stw r2,124(sp) + 24080: 010001c4 movi r4,7 + 24084: 20823c16 blt r4,r2,24978 <___vfprintf_internal_r+0x1174> + 24088: 42000204 addi r8,r8,8 + 2408c: d8802b17 ldw r2,172(sp) + 24090: 10000c26 beq r2,zero,240c4 <___vfprintf_internal_r+0x8c0> + 24094: d8801f17 ldw r2,124(sp) + 24098: d9002704 addi r4,sp,156 + 2409c: 18c00084 addi r3,r3,2 + 240a0: 10800044 addi r2,r2,1 + 240a4: 41000015 stw r4,0(r8) + 240a8: 01000084 movi r4,2 + 240ac: 41000115 stw r4,4(r8) + 240b0: d8c02015 stw r3,128(sp) + 240b4: d8801f15 stw r2,124(sp) + 240b8: 010001c4 movi r4,7 + 240bc: 20823616 blt r4,r2,24998 <___vfprintf_internal_r+0x1194> + 240c0: 42000204 addi r8,r8,8 + 240c4: d9003017 ldw r4,192(sp) + 240c8: 00802004 movi r2,128 + 240cc: 20819926 beq r4,r2,24734 <___vfprintf_internal_r+0xf30> + 240d0: d9402917 ldw r5,164(sp) + 240d4: d8802e17 ldw r2,184(sp) + 240d8: 28adc83a sub r22,r5,r2 + 240dc: 0580310e bge zero,r22,241a4 <___vfprintf_internal_r+0x9a0> + 240e0: 07000404 movi fp,16 + 240e4: d8801f17 ldw r2,124(sp) + 240e8: e584140e bge fp,r22,2513c <___vfprintf_internal_r+0x1938> + 240ec: 014000f4 movhi r5,3 + 240f0: 294a5a84 addi r5,r5,10602 + 240f4: dc402915 stw r17,164(sp) + 240f8: d9402b15 stw r5,172(sp) + 240fc: b023883a mov r17,r22 + 24100: 04c001c4 movi r19,7 + 24104: a82d883a mov r22,r21 + 24108: 902b883a mov r21,r18 + 2410c: 8025883a mov r18,r16 + 24110: dc002c17 ldw r16,176(sp) + 24114: 00000306 br 24124 <___vfprintf_internal_r+0x920> + 24118: 8c7ffc04 addi r17,r17,-16 + 2411c: 42000204 addi r8,r8,8 + 24120: e440110e bge fp,r17,24168 <___vfprintf_internal_r+0x964> + 24124: 18c00404 addi r3,r3,16 + 24128: 10800044 addi r2,r2,1 + 2412c: 45000015 stw r20,0(r8) + 24130: 47000115 stw fp,4(r8) + 24134: d8c02015 stw r3,128(sp) + 24138: d8801f15 stw r2,124(sp) + 2413c: 98bff60e bge r19,r2,24118 <_gp+0xfffe72ac> + 24140: d9801e04 addi r6,sp,120 + 24144: b80b883a mov r5,r23 + 24148: 8009883a mov r4,r16 + 2414c: 002a7d00 call 2a7d0 <__sprint_r> + 24150: 103eae1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24154: 8c7ffc04 addi r17,r17,-16 + 24158: d8c02017 ldw r3,128(sp) + 2415c: d8801f17 ldw r2,124(sp) + 24160: da000404 addi r8,sp,16 + 24164: e47fef16 blt fp,r17,24124 <_gp+0xfffe72b8> + 24168: 9021883a mov r16,r18 + 2416c: a825883a mov r18,r21 + 24170: b02b883a mov r21,r22 + 24174: 882d883a mov r22,r17 + 24178: dc402917 ldw r17,164(sp) + 2417c: d9002b17 ldw r4,172(sp) + 24180: 1d87883a add r3,r3,r22 + 24184: 10800044 addi r2,r2,1 + 24188: 41000015 stw r4,0(r8) + 2418c: 45800115 stw r22,4(r8) + 24190: d8c02015 stw r3,128(sp) + 24194: d8801f15 stw r2,124(sp) + 24198: 010001c4 movi r4,7 + 2419c: 2081ee16 blt r4,r2,24958 <___vfprintf_internal_r+0x1154> + 241a0: 42000204 addi r8,r8,8 + 241a4: 9080400c andi r2,r18,256 + 241a8: 1001181e bne r2,zero,2460c <___vfprintf_internal_r+0xe08> + 241ac: d9402e17 ldw r5,184(sp) + 241b0: d8801f17 ldw r2,124(sp) + 241b4: 44000015 stw r16,0(r8) + 241b8: 1947883a add r3,r3,r5 + 241bc: 10800044 addi r2,r2,1 + 241c0: 41400115 stw r5,4(r8) + 241c4: d8c02015 stw r3,128(sp) + 241c8: d8801f15 stw r2,124(sp) + 241cc: 010001c4 movi r4,7 + 241d0: 2081d316 blt r4,r2,24920 <___vfprintf_internal_r+0x111c> + 241d4: 42000204 addi r8,r8,8 + 241d8: 9480010c andi r18,r18,4 + 241dc: 90003226 beq r18,zero,242a8 <___vfprintf_internal_r+0xaa4> + 241e0: d9403117 ldw r5,196(sp) + 241e4: d8802a17 ldw r2,168(sp) + 241e8: 28a1c83a sub r16,r5,r2 + 241ec: 04002e0e bge zero,r16,242a8 <___vfprintf_internal_r+0xaa4> + 241f0: 04400404 movi r17,16 + 241f4: d8801f17 ldw r2,124(sp) + 241f8: 8c04a20e bge r17,r16,25484 <___vfprintf_internal_r+0x1c80> + 241fc: 014000f4 movhi r5,3 + 24200: 294a5e84 addi r5,r5,10618 + 24204: d9403515 stw r5,212(sp) + 24208: 048001c4 movi r18,7 + 2420c: dcc02c17 ldw r19,176(sp) + 24210: 00000306 br 24220 <___vfprintf_internal_r+0xa1c> + 24214: 843ffc04 addi r16,r16,-16 + 24218: 42000204 addi r8,r8,8 + 2421c: 8c00130e bge r17,r16,2426c <___vfprintf_internal_r+0xa68> + 24220: 010000f4 movhi r4,3 + 24224: 18c00404 addi r3,r3,16 + 24228: 10800044 addi r2,r2,1 + 2422c: 210a5e84 addi r4,r4,10618 + 24230: 41000015 stw r4,0(r8) + 24234: 44400115 stw r17,4(r8) + 24238: d8c02015 stw r3,128(sp) + 2423c: d8801f15 stw r2,124(sp) + 24240: 90bff40e bge r18,r2,24214 <_gp+0xfffe73a8> + 24244: d9801e04 addi r6,sp,120 + 24248: b80b883a mov r5,r23 + 2424c: 9809883a mov r4,r19 + 24250: 002a7d00 call 2a7d0 <__sprint_r> + 24254: 103e6d1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24258: 843ffc04 addi r16,r16,-16 + 2425c: d8c02017 ldw r3,128(sp) + 24260: d8801f17 ldw r2,124(sp) + 24264: da000404 addi r8,sp,16 + 24268: 8c3fed16 blt r17,r16,24220 <_gp+0xfffe73b4> + 2426c: d9403517 ldw r5,212(sp) + 24270: 1c07883a add r3,r3,r16 + 24274: 10800044 addi r2,r2,1 + 24278: 41400015 stw r5,0(r8) + 2427c: 44000115 stw r16,4(r8) + 24280: d8c02015 stw r3,128(sp) + 24284: d8801f15 stw r2,124(sp) + 24288: 010001c4 movi r4,7 + 2428c: 2080060e bge r4,r2,242a8 <___vfprintf_internal_r+0xaa4> + 24290: d9002c17 ldw r4,176(sp) + 24294: d9801e04 addi r6,sp,120 + 24298: b80b883a mov r5,r23 + 2429c: 002a7d00 call 2a7d0 <__sprint_r> + 242a0: 103e5a1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 242a4: d8c02017 ldw r3,128(sp) + 242a8: d8803117 ldw r2,196(sp) + 242ac: d9002a17 ldw r4,168(sp) + 242b0: 1100010e bge r2,r4,242b8 <___vfprintf_internal_r+0xab4> + 242b4: 2005883a mov r2,r4 + 242b8: d9402f17 ldw r5,188(sp) + 242bc: 288b883a add r5,r5,r2 + 242c0: d9402f15 stw r5,188(sp) + 242c4: 18019e1e bne r3,zero,24940 <___vfprintf_internal_r+0x113c> + 242c8: a8800007 ldb r2,0(r21) + 242cc: d8001f15 stw zero,124(sp) + 242d0: da000404 addi r8,sp,16 + 242d4: 103d851e bne r2,zero,238ec <_gp+0xfffe6a80> + 242d8: a821883a mov r16,r21 + 242dc: 003d9b06 br 2394c <_gp+0xfffe6ae0> + 242e0: 18c03fcc andi r3,r3,255 + 242e4: 1805c11e bne r3,zero,259ec <___vfprintf_internal_r+0x21e8> + 242e8: 94800414 ori r18,r18,16 + 242ec: 9080080c andi r2,r18,32 + 242f0: 10020c26 beq r2,zero,24b24 <___vfprintf_internal_r+0x1320> + 242f4: d8802d17 ldw r2,180(sp) + 242f8: d9002917 ldw r4,164(sp) + 242fc: d8002785 stb zero,158(sp) + 24300: 10c00204 addi r3,r2,8 + 24304: 14c00017 ldw r19,0(r2) + 24308: 15800117 ldw r22,4(r2) + 2430c: 20040f16 blt r4,zero,2534c <___vfprintf_internal_r+0x1b48> + 24310: 013fdfc4 movi r4,-129 + 24314: 9d84b03a or r2,r19,r22 + 24318: d8c02d15 stw r3,180(sp) + 2431c: 9124703a and r18,r18,r4 + 24320: 0039883a mov fp,zero + 24324: 103e891e bne r2,zero,23d4c <_gp+0xfffe6ee0> + 24328: d9002917 ldw r4,164(sp) + 2432c: 2002c11e bne r4,zero,24e34 <___vfprintf_internal_r+0x1630> + 24330: d8002915 stw zero,164(sp) + 24334: d8002e15 stw zero,184(sp) + 24338: dc001e04 addi r16,sp,120 + 2433c: 003ef806 br 23f20 <_gp+0xfffe70b4> + 24340: 18c03fcc andi r3,r3,255 + 24344: 18059d1e bne r3,zero,259bc <___vfprintf_internal_r+0x21b8> + 24348: 014000f4 movhi r5,3 + 2434c: 294a4e04 addi r5,r5,10552 + 24350: d9403915 stw r5,228(sp) + 24354: 9080080c andi r2,r18,32 + 24358: 10005226 beq r2,zero,244a4 <___vfprintf_internal_r+0xca0> + 2435c: d8802d17 ldw r2,180(sp) + 24360: 14c00017 ldw r19,0(r2) + 24364: 15800117 ldw r22,4(r2) + 24368: 10800204 addi r2,r2,8 + 2436c: d8802d15 stw r2,180(sp) + 24370: 9080004c andi r2,r18,1 + 24374: 10019026 beq r2,zero,249b8 <___vfprintf_internal_r+0x11b4> + 24378: 9d84b03a or r2,r19,r22 + 2437c: 10036926 beq r2,zero,25124 <___vfprintf_internal_r+0x1920> + 24380: d8c02917 ldw r3,164(sp) + 24384: 00800c04 movi r2,48 + 24388: d8802705 stb r2,156(sp) + 2438c: dc402745 stb r17,157(sp) + 24390: d8002785 stb zero,158(sp) + 24394: 90800094 ori r2,r18,2 + 24398: 18045d16 blt r3,zero,25510 <___vfprintf_internal_r+0x1d0c> + 2439c: 00bfdfc4 movi r2,-129 + 243a0: 90a4703a and r18,r18,r2 + 243a4: 94800094 ori r18,r18,2 + 243a8: 0039883a mov fp,zero + 243ac: d9003917 ldw r4,228(sp) + 243b0: dc001e04 addi r16,sp,120 + 243b4: 988003cc andi r2,r19,15 + 243b8: b006973a slli r3,r22,28 + 243bc: 2085883a add r2,r4,r2 + 243c0: 9826d13a srli r19,r19,4 + 243c4: 10800003 ldbu r2,0(r2) + 243c8: b02cd13a srli r22,r22,4 + 243cc: 843fffc4 addi r16,r16,-1 + 243d0: 1ce6b03a or r19,r3,r19 + 243d4: 80800005 stb r2,0(r16) + 243d8: 9d84b03a or r2,r19,r22 + 243dc: 103ff51e bne r2,zero,243b4 <_gp+0xfffe7548> + 243e0: d8c02817 ldw r3,160(sp) + 243e4: 1c07c83a sub r3,r3,r16 + 243e8: d8c02e15 stw r3,184(sp) + 243ec: 003ecc06 br 23f20 <_gp+0xfffe70b4> + 243f0: 18c03fcc andi r3,r3,255 + 243f4: 183e9f26 beq r3,zero,23e74 <_gp+0xfffe7008> + 243f8: d9c02785 stb r7,158(sp) + 243fc: 003e9d06 br 23e74 <_gp+0xfffe7008> + 24400: 00c00044 movi r3,1 + 24404: 01c00ac4 movi r7,43 + 24408: ac400007 ldb r17,0(r21) + 2440c: 003d5e06 br 23988 <_gp+0xfffe6b1c> + 24410: 94800814 ori r18,r18,32 + 24414: ac400007 ldb r17,0(r21) + 24418: 003d5b06 br 23988 <_gp+0xfffe6b1c> + 2441c: d8c02d17 ldw r3,180(sp) + 24420: d8002785 stb zero,158(sp) + 24424: 1c000017 ldw r16,0(r3) + 24428: 1cc00104 addi r19,r3,4 + 2442c: 80041926 beq r16,zero,25494 <___vfprintf_internal_r+0x1c90> + 24430: d9002917 ldw r4,164(sp) + 24434: 2003d016 blt r4,zero,25378 <___vfprintf_internal_r+0x1b74> + 24438: 200d883a mov r6,r4 + 2443c: 000b883a mov r5,zero + 24440: 8009883a mov r4,r16 + 24444: da003d15 stw r8,244(sp) + 24448: 00288080 call 28808 + 2444c: da003d17 ldw r8,244(sp) + 24450: 10045426 beq r2,zero,255a4 <___vfprintf_internal_r+0x1da0> + 24454: 1405c83a sub r2,r2,r16 + 24458: d8802e15 stw r2,184(sp) + 2445c: 1003cc16 blt r2,zero,25390 <___vfprintf_internal_r+0x1b8c> + 24460: df002783 ldbu fp,158(sp) + 24464: d8802a15 stw r2,168(sp) + 24468: dcc02d15 stw r19,180(sp) + 2446c: d8002915 stw zero,164(sp) + 24470: d8003215 stw zero,200(sp) + 24474: 003eb006 br 23f38 <_gp+0xfffe70cc> + 24478: 18c03fcc andi r3,r3,255 + 2447c: 183f9b26 beq r3,zero,242ec <_gp+0xfffe7480> + 24480: d9c02785 stb r7,158(sp) + 24484: 003f9906 br 242ec <_gp+0xfffe7480> + 24488: 18c03fcc andi r3,r3,255 + 2448c: 1805551e bne r3,zero,259e4 <___vfprintf_internal_r+0x21e0> + 24490: 014000f4 movhi r5,3 + 24494: 294a5304 addi r5,r5,10572 + 24498: d9403915 stw r5,228(sp) + 2449c: 9080080c andi r2,r18,32 + 244a0: 103fae1e bne r2,zero,2435c <_gp+0xfffe74f0> + 244a4: 9080040c andi r2,r18,16 + 244a8: 1002de26 beq r2,zero,25024 <___vfprintf_internal_r+0x1820> + 244ac: d8c02d17 ldw r3,180(sp) + 244b0: 002d883a mov r22,zero + 244b4: 1cc00017 ldw r19,0(r3) + 244b8: 18c00104 addi r3,r3,4 + 244bc: d8c02d15 stw r3,180(sp) + 244c0: 003fab06 br 24370 <_gp+0xfffe7504> + 244c4: 38803fcc andi r2,r7,255 + 244c8: 1080201c xori r2,r2,128 + 244cc: 10bfe004 addi r2,r2,-128 + 244d0: 1002d21e bne r2,zero,2501c <___vfprintf_internal_r+0x1818> + 244d4: 00c00044 movi r3,1 + 244d8: 01c00804 movi r7,32 + 244dc: ac400007 ldb r17,0(r21) + 244e0: 003d2906 br 23988 <_gp+0xfffe6b1c> + 244e4: 94800054 ori r18,r18,1 + 244e8: ac400007 ldb r17,0(r21) + 244ec: 003d2606 br 23988 <_gp+0xfffe6b1c> + 244f0: 18c03fcc andi r3,r3,255 + 244f4: 183e0526 beq r3,zero,23d0c <_gp+0xfffe6ea0> + 244f8: d9c02785 stb r7,158(sp) + 244fc: 003e0306 br 23d0c <_gp+0xfffe6ea0> + 24500: 94801014 ori r18,r18,64 + 24504: ac400007 ldb r17,0(r21) + 24508: 003d1f06 br 23988 <_gp+0xfffe6b1c> + 2450c: ac400007 ldb r17,0(r21) + 24510: 8a438726 beq r17,r9,25330 <___vfprintf_internal_r+0x1b2c> + 24514: 94800414 ori r18,r18,16 + 24518: 003d1b06 br 23988 <_gp+0xfffe6b1c> + 2451c: 18c03fcc andi r3,r3,255 + 24520: 1805341e bne r3,zero,259f4 <___vfprintf_internal_r+0x21f0> + 24524: 9080080c andi r2,r18,32 + 24528: 1002cd26 beq r2,zero,25060 <___vfprintf_internal_r+0x185c> + 2452c: d9402d17 ldw r5,180(sp) + 24530: d9002f17 ldw r4,188(sp) + 24534: 28800017 ldw r2,0(r5) + 24538: 2007d7fa srai r3,r4,31 + 2453c: 29400104 addi r5,r5,4 + 24540: d9402d15 stw r5,180(sp) + 24544: 11000015 stw r4,0(r2) + 24548: 10c00115 stw r3,4(r2) + 2454c: 003ce506 br 238e4 <_gp+0xfffe6a78> + 24550: d8c02d17 ldw r3,180(sp) + 24554: d9002d17 ldw r4,180(sp) + 24558: d8002785 stb zero,158(sp) + 2455c: 18800017 ldw r2,0(r3) + 24560: 21000104 addi r4,r4,4 + 24564: 00c00044 movi r3,1 + 24568: d8c02a15 stw r3,168(sp) + 2456c: d8801405 stb r2,80(sp) + 24570: d9002d15 stw r4,180(sp) + 24574: d8c02e15 stw r3,184(sp) + 24578: d8002915 stw zero,164(sp) + 2457c: d8003215 stw zero,200(sp) + 24580: dc001404 addi r16,sp,80 + 24584: 0039883a mov fp,zero + 24588: 003e7206 br 23f54 <_gp+0xfffe70e8> + 2458c: 010000f4 movhi r4,3 + 24590: 210a5304 addi r4,r4,10572 + 24594: 0039883a mov fp,zero + 24598: d9003915 stw r4,228(sp) + 2459c: 04401e04 movi r17,120 + 245a0: 003f8206 br 243ac <_gp+0xfffe7540> + 245a4: 18c03fcc andi r3,r3,255 + 245a8: 1805061e bne r3,zero,259c4 <___vfprintf_internal_r+0x21c0> + 245ac: 883d9126 beq r17,zero,23bf4 <_gp+0xfffe6d88> + 245b0: 00c00044 movi r3,1 + 245b4: d8c02a15 stw r3,168(sp) + 245b8: dc401405 stb r17,80(sp) + 245bc: d8002785 stb zero,158(sp) + 245c0: 003fec06 br 24574 <_gp+0xfffe7708> + 245c4: 014000f4 movhi r5,3 + 245c8: 294a5304 addi r5,r5,10572 + 245cc: d9403915 stw r5,228(sp) + 245d0: d8c02d15 stw r3,180(sp) + 245d4: 1025883a mov r18,r2 + 245d8: 04401e04 movi r17,120 + 245dc: 9d84b03a or r2,r19,r22 + 245e0: 1000fc1e bne r2,zero,249d4 <___vfprintf_internal_r+0x11d0> + 245e4: 0039883a mov fp,zero + 245e8: 00800084 movi r2,2 + 245ec: 10803fcc andi r2,r2,255 + 245f0: 00c00044 movi r3,1 + 245f4: 10c20f26 beq r2,r3,24e34 <___vfprintf_internal_r+0x1630> + 245f8: 00c00084 movi r3,2 + 245fc: 10fd6326 beq r2,r3,23b8c <_gp+0xfffe6d20> + 24600: 003e2d06 br 23eb8 <_gp+0xfffe704c> + 24604: d8c02017 ldw r3,128(sp) + 24608: 003e9306 br 24058 <_gp+0xfffe71ec> + 2460c: 00801944 movi r2,101 + 24610: 14407e0e bge r2,r17,2480c <___vfprintf_internal_r+0x1008> + 24614: d9003617 ldw r4,216(sp) + 24618: d9403817 ldw r5,224(sp) + 2461c: 000d883a mov r6,zero + 24620: 000f883a mov r7,zero + 24624: d8c03c15 stw r3,240(sp) + 24628: da003d15 stw r8,244(sp) + 2462c: 002e2940 call 2e294 <__eqdf2> + 24630: d8c03c17 ldw r3,240(sp) + 24634: da003d17 ldw r8,244(sp) + 24638: 1000f71e bne r2,zero,24a18 <___vfprintf_internal_r+0x1214> + 2463c: d8801f17 ldw r2,124(sp) + 24640: 010000f4 movhi r4,3 + 24644: 210a5a04 addi r4,r4,10600 + 24648: 18c00044 addi r3,r3,1 + 2464c: 10800044 addi r2,r2,1 + 24650: 41000015 stw r4,0(r8) + 24654: 01000044 movi r4,1 + 24658: 41000115 stw r4,4(r8) + 2465c: d8c02015 stw r3,128(sp) + 24660: d8801f15 stw r2,124(sp) + 24664: 010001c4 movi r4,7 + 24668: 2082b816 blt r4,r2,2514c <___vfprintf_internal_r+0x1948> + 2466c: 42000204 addi r8,r8,8 + 24670: d8802617 ldw r2,152(sp) + 24674: d9403317 ldw r5,204(sp) + 24678: 11400216 blt r2,r5,24684 <___vfprintf_internal_r+0xe80> + 2467c: 9080004c andi r2,r18,1 + 24680: 103ed526 beq r2,zero,241d8 <_gp+0xfffe736c> + 24684: d8803717 ldw r2,220(sp) + 24688: d9003417 ldw r4,208(sp) + 2468c: d9403717 ldw r5,220(sp) + 24690: 1887883a add r3,r3,r2 + 24694: d8801f17 ldw r2,124(sp) + 24698: 41000015 stw r4,0(r8) + 2469c: 41400115 stw r5,4(r8) + 246a0: 10800044 addi r2,r2,1 + 246a4: d8c02015 stw r3,128(sp) + 246a8: d8801f15 stw r2,124(sp) + 246ac: 010001c4 movi r4,7 + 246b0: 20832916 blt r4,r2,25358 <___vfprintf_internal_r+0x1b54> + 246b4: 42000204 addi r8,r8,8 + 246b8: d8803317 ldw r2,204(sp) + 246bc: 143fffc4 addi r16,r2,-1 + 246c0: 043ec50e bge zero,r16,241d8 <_gp+0xfffe736c> + 246c4: 04400404 movi r17,16 + 246c8: d8801f17 ldw r2,124(sp) + 246cc: 8c00880e bge r17,r16,248f0 <___vfprintf_internal_r+0x10ec> + 246d0: 014000f4 movhi r5,3 + 246d4: 294a5a84 addi r5,r5,10602 + 246d8: d9402b15 stw r5,172(sp) + 246dc: 058001c4 movi r22,7 + 246e0: dcc02c17 ldw r19,176(sp) + 246e4: 00000306 br 246f4 <___vfprintf_internal_r+0xef0> + 246e8: 42000204 addi r8,r8,8 + 246ec: 843ffc04 addi r16,r16,-16 + 246f0: 8c00820e bge r17,r16,248fc <___vfprintf_internal_r+0x10f8> + 246f4: 18c00404 addi r3,r3,16 + 246f8: 10800044 addi r2,r2,1 + 246fc: 45000015 stw r20,0(r8) + 24700: 44400115 stw r17,4(r8) + 24704: d8c02015 stw r3,128(sp) + 24708: d8801f15 stw r2,124(sp) + 2470c: b0bff60e bge r22,r2,246e8 <_gp+0xfffe787c> + 24710: d9801e04 addi r6,sp,120 + 24714: b80b883a mov r5,r23 + 24718: 9809883a mov r4,r19 + 2471c: 002a7d00 call 2a7d0 <__sprint_r> + 24720: 103d3a1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24724: d8c02017 ldw r3,128(sp) + 24728: d8801f17 ldw r2,124(sp) + 2472c: da000404 addi r8,sp,16 + 24730: 003fee06 br 246ec <_gp+0xfffe7880> + 24734: d9403117 ldw r5,196(sp) + 24738: d8802a17 ldw r2,168(sp) + 2473c: 28adc83a sub r22,r5,r2 + 24740: 05be630e bge zero,r22,240d0 <_gp+0xfffe7264> + 24744: 07000404 movi fp,16 + 24748: d8801f17 ldw r2,124(sp) + 2474c: e5838f0e bge fp,r22,2558c <___vfprintf_internal_r+0x1d88> + 24750: 014000f4 movhi r5,3 + 24754: 294a5a84 addi r5,r5,10602 + 24758: dc403015 stw r17,192(sp) + 2475c: d9402b15 stw r5,172(sp) + 24760: b023883a mov r17,r22 + 24764: 04c001c4 movi r19,7 + 24768: a82d883a mov r22,r21 + 2476c: 902b883a mov r21,r18 + 24770: 8025883a mov r18,r16 + 24774: dc002c17 ldw r16,176(sp) + 24778: 00000306 br 24788 <___vfprintf_internal_r+0xf84> + 2477c: 8c7ffc04 addi r17,r17,-16 + 24780: 42000204 addi r8,r8,8 + 24784: e440110e bge fp,r17,247cc <___vfprintf_internal_r+0xfc8> + 24788: 18c00404 addi r3,r3,16 + 2478c: 10800044 addi r2,r2,1 + 24790: 45000015 stw r20,0(r8) + 24794: 47000115 stw fp,4(r8) + 24798: d8c02015 stw r3,128(sp) + 2479c: d8801f15 stw r2,124(sp) + 247a0: 98bff60e bge r19,r2,2477c <_gp+0xfffe7910> + 247a4: d9801e04 addi r6,sp,120 + 247a8: b80b883a mov r5,r23 + 247ac: 8009883a mov r4,r16 + 247b0: 002a7d00 call 2a7d0 <__sprint_r> + 247b4: 103d151e bne r2,zero,23c0c <_gp+0xfffe6da0> + 247b8: 8c7ffc04 addi r17,r17,-16 + 247bc: d8c02017 ldw r3,128(sp) + 247c0: d8801f17 ldw r2,124(sp) + 247c4: da000404 addi r8,sp,16 + 247c8: e47fef16 blt fp,r17,24788 <_gp+0xfffe791c> + 247cc: 9021883a mov r16,r18 + 247d0: a825883a mov r18,r21 + 247d4: b02b883a mov r21,r22 + 247d8: 882d883a mov r22,r17 + 247dc: dc403017 ldw r17,192(sp) + 247e0: d9002b17 ldw r4,172(sp) + 247e4: 1d87883a add r3,r3,r22 + 247e8: 10800044 addi r2,r2,1 + 247ec: 41000015 stw r4,0(r8) + 247f0: 45800115 stw r22,4(r8) 247f4: d8c02015 stw r3,128(sp) - 247f8: dc401f15 stw r17,124(sp) - 247fc: 008001c4 movi r2,7 - 24800: 147ebd0e bge r2,r17,242f8 <_gp+0xfffe7dc0> - 24804: d9002c17 ldw r4,176(sp) - 24808: d9801e04 addi r6,sp,120 - 2480c: b80b883a mov r5,r23 - 24810: 002a01c0 call 2a01c <__sprint_r> - 24814: 103b8c1e bne r2,zero,23648 <_gp+0xfffe7110> - 24818: d8c02017 ldw r3,128(sp) + 247f8: d8801f15 stw r2,124(sp) + 247fc: 010001c4 movi r4,7 + 24800: 20818e16 blt r4,r2,24e3c <___vfprintf_internal_r+0x1638> + 24804: 42000204 addi r8,r8,8 + 24808: 003e3106 br 240d0 <_gp+0xfffe7264> + 2480c: d9403317 ldw r5,204(sp) + 24810: 00800044 movi r2,1 + 24814: 18c00044 addi r3,r3,1 + 24818: 1141530e bge r2,r5,24d68 <___vfprintf_internal_r+0x1564> 2481c: dc401f17 ldw r17,124(sp) - 24820: dd800404 addi r22,sp,16 - 24824: 003eb506 br 242fc <_gp+0xfffe7dc4> - 24828: d9002c17 ldw r4,176(sp) - 2482c: d9801e04 addi r6,sp,120 - 24830: b80b883a mov r5,r23 - 24834: 002a01c0 call 2a01c <__sprint_r> - 24838: 103b831e bne r2,zero,23648 <_gp+0xfffe7110> - 2483c: d8c02017 ldw r3,128(sp) - 24840: dc401f17 ldw r17,124(sp) - 24844: da000404 addi r8,sp,16 - 24848: 003e8d06 br 24280 <_gp+0xfffe7d48> - 2484c: d9002c17 ldw r4,176(sp) - 24850: d9801e04 addi r6,sp,120 - 24854: b80b883a mov r5,r23 - 24858: 002a01c0 call 2a01c <__sprint_r> - 2485c: 103b7a1e bne r2,zero,23648 <_gp+0xfffe7110> - 24860: d8c02017 ldw r3,128(sp) - 24864: dc401f17 ldw r17,124(sp) - 24868: dd800404 addi r22,sp,16 - 2486c: 003e8f06 br 242ac <_gp+0xfffe7d74> - 24870: 0027883a mov r19,zero - 24874: 003f4a06 br 245a0 <_gp+0xfffe8068> - 24878: d9002c17 ldw r4,176(sp) - 2487c: d9801e04 addi r6,sp,120 - 24880: b80b883a mov r5,r23 - 24884: 002a01c0 call 2a01c <__sprint_r> - 24888: 103b6f1e bne r2,zero,23648 <_gp+0xfffe7110> - 2488c: d8c02017 ldw r3,128(sp) - 24890: da000404 addi r8,sp,16 - 24894: 003c9d06 br 23b0c <_gp+0xfffe75d4> - 24898: 04e7c83a sub r19,zero,r19 - 2489c: 9804c03a cmpne r2,r19,zero - 248a0: 05adc83a sub r22,zero,r22 - 248a4: b0adc83a sub r22,r22,r2 - 248a8: d8802917 ldw r2,164(sp) - 248ac: 07000b44 movi fp,45 - 248b0: df002785 stb fp,158(sp) - 248b4: 10017b16 blt r2,zero,24ea4 <___vfprintf_internal_r+0x1c64> - 248b8: 00bfdfc4 movi r2,-129 - 248bc: 90a4703a and r18,r18,r2 - 248c0: 003bb106 br 23788 <_gp+0xfffe7250> - 248c4: d9003617 ldw r4,216(sp) - 248c8: d9403817 ldw r5,224(sp) - 248cc: da003d15 stw r8,244(sp) - 248d0: 0029c940 call 29c94 <__fpclassifyd> - 248d4: da003d17 ldw r8,244(sp) - 248d8: 1000f026 beq r2,zero,24c9c <___vfprintf_internal_r+0x1a5c> - 248dc: d9002917 ldw r4,164(sp) - 248e0: 05bff7c4 movi r22,-33 - 248e4: 00bfffc4 movi r2,-1 - 248e8: 8dac703a and r22,r17,r22 - 248ec: 20820026 beq r4,r2,250f0 <___vfprintf_internal_r+0x1eb0> - 248f0: 008011c4 movi r2,71 - 248f4: b081f726 beq r22,r2,250d4 <___vfprintf_internal_r+0x1e94> - 248f8: d9003817 ldw r4,224(sp) - 248fc: 90c04014 ori r3,r18,256 - 24900: d8c02b15 stw r3,172(sp) - 24904: 20021516 blt r4,zero,2515c <___vfprintf_internal_r+0x1f1c> - 24908: dcc03817 ldw r19,224(sp) - 2490c: d8002a05 stb zero,168(sp) - 24910: 00801984 movi r2,102 - 24914: 8881f926 beq r17,r2,250fc <___vfprintf_internal_r+0x1ebc> - 24918: 00801184 movi r2,70 - 2491c: 88821c26 beq r17,r2,25190 <___vfprintf_internal_r+0x1f50> - 24920: 00801144 movi r2,69 - 24924: b081ef26 beq r22,r2,250e4 <___vfprintf_internal_r+0x1ea4> - 24928: d8c02917 ldw r3,164(sp) - 2492c: d8802104 addi r2,sp,132 - 24930: d8800315 stw r2,12(sp) - 24934: d9403617 ldw r5,216(sp) - 24938: d8802504 addi r2,sp,148 - 2493c: d9002c17 ldw r4,176(sp) - 24940: d8800215 stw r2,8(sp) - 24944: d8802604 addi r2,sp,152 - 24948: d8c00015 stw r3,0(sp) - 2494c: d8800115 stw r2,4(sp) - 24950: 01c00084 movi r7,2 - 24954: 980d883a mov r6,r19 - 24958: d8c03c15 stw r3,240(sp) - 2495c: da003d15 stw r8,244(sp) - 24960: 00258640 call 25864 <_dtoa_r> - 24964: 1021883a mov r16,r2 - 24968: 008019c4 movi r2,103 - 2496c: d8c03c17 ldw r3,240(sp) - 24970: da003d17 ldw r8,244(sp) - 24974: 88817126 beq r17,r2,24f3c <___vfprintf_internal_r+0x1cfc> - 24978: 008011c4 movi r2,71 - 2497c: 88829226 beq r17,r2,253c8 <___vfprintf_internal_r+0x2188> - 24980: 80f9883a add fp,r16,r3 - 24984: d9003617 ldw r4,216(sp) - 24988: 000d883a mov r6,zero - 2498c: 000f883a mov r7,zero - 24990: 980b883a mov r5,r19 - 24994: da003d15 stw r8,244(sp) - 24998: 002dae00 call 2dae0 <__eqdf2> - 2499c: da003d17 ldw r8,244(sp) - 249a0: 10018d26 beq r2,zero,24fd8 <___vfprintf_internal_r+0x1d98> - 249a4: d8802117 ldw r2,132(sp) - 249a8: 1700062e bgeu r2,fp,249c4 <___vfprintf_internal_r+0x1784> - 249ac: 01000c04 movi r4,48 - 249b0: 10c00044 addi r3,r2,1 - 249b4: d8c02115 stw r3,132(sp) - 249b8: 11000005 stb r4,0(r2) - 249bc: d8802117 ldw r2,132(sp) - 249c0: 173ffb36 bltu r2,fp,249b0 <_gp+0xfffe8478> - 249c4: 1405c83a sub r2,r2,r16 - 249c8: d8803315 stw r2,204(sp) - 249cc: 008011c4 movi r2,71 - 249d0: b0817626 beq r22,r2,24fac <___vfprintf_internal_r+0x1d6c> - 249d4: 00801944 movi r2,101 - 249d8: 1442810e bge r2,r17,253e0 <___vfprintf_internal_r+0x21a0> - 249dc: d8c02617 ldw r3,152(sp) - 249e0: 00801984 movi r2,102 - 249e4: d8c03215 stw r3,200(sp) - 249e8: 8881fe26 beq r17,r2,251e4 <___vfprintf_internal_r+0x1fa4> - 249ec: d8c03217 ldw r3,200(sp) - 249f0: d9003317 ldw r4,204(sp) - 249f4: 1901dd16 blt r3,r4,2516c <___vfprintf_internal_r+0x1f2c> - 249f8: 9480004c andi r18,r18,1 - 249fc: 90022b1e bne r18,zero,252ac <___vfprintf_internal_r+0x206c> - 24a00: 1805883a mov r2,r3 - 24a04: 18028016 blt r3,zero,25408 <___vfprintf_internal_r+0x21c8> - 24a08: d8c03217 ldw r3,200(sp) - 24a0c: 044019c4 movi r17,103 - 24a10: d8c02e15 stw r3,184(sp) - 24a14: df002a07 ldb fp,168(sp) - 24a18: e001531e bne fp,zero,24f68 <___vfprintf_internal_r+0x1d28> - 24a1c: df002783 ldbu fp,158(sp) - 24a20: d8802a15 stw r2,168(sp) - 24a24: dc802b17 ldw r18,172(sp) - 24a28: d8002915 stw zero,164(sp) - 24a2c: 003bd106 br 23974 <_gp+0xfffe743c> - 24a30: d8802d17 ldw r2,180(sp) - 24a34: d8c02d17 ldw r3,180(sp) - 24a38: d9002d17 ldw r4,180(sp) - 24a3c: 10800017 ldw r2,0(r2) - 24a40: 18c00117 ldw r3,4(r3) - 24a44: 21000204 addi r4,r4,8 - 24a48: d8803615 stw r2,216(sp) - 24a4c: d8c03815 stw r3,224(sp) - 24a50: d9002d15 stw r4,180(sp) - 24a54: 003b7506 br 2382c <_gp+0xfffe72f4> - 24a58: ac400007 ldb r17,0(r21) - 24a5c: 003a5906 br 233c4 <_gp+0xfffe6e8c> - 24a60: 9080100c andi r2,r18,64 - 24a64: 1000a826 beq r2,zero,24d08 <___vfprintf_internal_r+0x1ac8> - 24a68: d9002d17 ldw r4,180(sp) - 24a6c: 002d883a mov r22,zero - 24a70: 24c0000b ldhu r19,0(r4) - 24a74: 21000104 addi r4,r4,4 - 24a78: d9002d15 stw r4,180(sp) - 24a7c: 003ccb06 br 23dac <_gp+0xfffe7874> - 24a80: d8c02d17 ldw r3,180(sp) - 24a84: d9002917 ldw r4,164(sp) - 24a88: 002d883a mov r22,zero - 24a8c: 18800104 addi r2,r3,4 - 24a90: 1cc00017 ldw r19,0(r3) - 24a94: 203ebb0e bge r4,zero,24584 <_gp+0xfffe804c> - 24a98: 003ef106 br 24660 <_gp+0xfffe8128> - 24a9c: 9080040c andi r2,r18,16 - 24aa0: 1000921e bne r2,zero,24cec <___vfprintf_internal_r+0x1aac> - 24aa4: 9480100c andi r18,r18,64 - 24aa8: 90013926 beq r18,zero,24f90 <___vfprintf_internal_r+0x1d50> - 24aac: d9002d17 ldw r4,180(sp) - 24ab0: d9402f17 ldw r5,188(sp) - 24ab4: 20800017 ldw r2,0(r4) - 24ab8: 21000104 addi r4,r4,4 - 24abc: d9002d15 stw r4,180(sp) - 24ac0: 1140000d sth r5,0(r2) - 24ac4: 003a1606 br 23320 <_gp+0xfffe6de8> - 24ac8: 9080100c andi r2,r18,64 - 24acc: 10008026 beq r2,zero,24cd0 <___vfprintf_internal_r+0x1a90> - 24ad0: d8c02d17 ldw r3,180(sp) - 24ad4: 1cc0000f ldh r19,0(r3) - 24ad8: 18c00104 addi r3,r3,4 - 24adc: d8c02d15 stw r3,180(sp) - 24ae0: 982dd7fa srai r22,r19,31 - 24ae4: b005883a mov r2,r22 - 24ae8: 003b1f06 br 23768 <_gp+0xfffe7230> - 24aec: 9080100c andi r2,r18,64 - 24af0: d8002785 stb zero,158(sp) - 24af4: 10008a1e bne r2,zero,24d20 <___vfprintf_internal_r+0x1ae0> - 24af8: d9402d17 ldw r5,180(sp) - 24afc: d8c02917 ldw r3,164(sp) - 24b00: 002d883a mov r22,zero - 24b04: 28800104 addi r2,r5,4 - 24b08: 2cc00017 ldw r19,0(r5) - 24b0c: 183e4b0e bge r3,zero,2443c <_gp+0xfffe7f04> - 24b10: 9d86b03a or r3,r19,r22 + 24820: 00800044 movi r2,1 + 24824: 40800115 stw r2,4(r8) + 24828: 8c400044 addi r17,r17,1 + 2482c: 44000015 stw r16,0(r8) + 24830: d8c02015 stw r3,128(sp) + 24834: dc401f15 stw r17,124(sp) + 24838: 008001c4 movi r2,7 + 2483c: 14416b16 blt r2,r17,24dec <___vfprintf_internal_r+0x15e8> + 24840: 42000204 addi r8,r8,8 + 24844: d8803717 ldw r2,220(sp) + 24848: d9003417 ldw r4,208(sp) + 2484c: 8c400044 addi r17,r17,1 + 24850: 10c7883a add r3,r2,r3 + 24854: 40800115 stw r2,4(r8) + 24858: 41000015 stw r4,0(r8) + 2485c: d8c02015 stw r3,128(sp) + 24860: dc401f15 stw r17,124(sp) + 24864: 008001c4 movi r2,7 + 24868: 14416916 blt r2,r17,24e10 <___vfprintf_internal_r+0x160c> + 2486c: 45800204 addi r22,r8,8 + 24870: d9003617 ldw r4,216(sp) + 24874: d9403817 ldw r5,224(sp) + 24878: 000d883a mov r6,zero + 2487c: 000f883a mov r7,zero + 24880: d8c03c15 stw r3,240(sp) + 24884: 002e2940 call 2e294 <__eqdf2> + 24888: d8c03c17 ldw r3,240(sp) + 2488c: 1000bc26 beq r2,zero,24b80 <___vfprintf_internal_r+0x137c> + 24890: d9403317 ldw r5,204(sp) + 24894: 84000044 addi r16,r16,1 + 24898: 8c400044 addi r17,r17,1 + 2489c: 28bfffc4 addi r2,r5,-1 + 248a0: 1887883a add r3,r3,r2 + 248a4: b0800115 stw r2,4(r22) + 248a8: b4000015 stw r16,0(r22) + 248ac: d8c02015 stw r3,128(sp) + 248b0: dc401f15 stw r17,124(sp) + 248b4: 008001c4 movi r2,7 + 248b8: 14414316 blt r2,r17,24dc8 <___vfprintf_internal_r+0x15c4> + 248bc: b5800204 addi r22,r22,8 + 248c0: d9003a17 ldw r4,232(sp) + 248c4: df0022c4 addi fp,sp,139 + 248c8: 8c400044 addi r17,r17,1 + 248cc: 20c7883a add r3,r4,r3 + 248d0: b7000015 stw fp,0(r22) + 248d4: b1000115 stw r4,4(r22) + 248d8: d8c02015 stw r3,128(sp) + 248dc: dc401f15 stw r17,124(sp) + 248e0: 008001c4 movi r2,7 + 248e4: 14400e16 blt r2,r17,24920 <___vfprintf_internal_r+0x111c> + 248e8: b2000204 addi r8,r22,8 + 248ec: 003e3a06 br 241d8 <_gp+0xfffe736c> + 248f0: 010000f4 movhi r4,3 + 248f4: 210a5a84 addi r4,r4,10602 + 248f8: d9002b15 stw r4,172(sp) + 248fc: d9002b17 ldw r4,172(sp) + 24900: 1c07883a add r3,r3,r16 + 24904: 44000115 stw r16,4(r8) + 24908: 41000015 stw r4,0(r8) + 2490c: 10800044 addi r2,r2,1 + 24910: d8c02015 stw r3,128(sp) + 24914: d8801f15 stw r2,124(sp) + 24918: 010001c4 movi r4,7 + 2491c: 20be2d0e bge r4,r2,241d4 <_gp+0xfffe7368> + 24920: d9002c17 ldw r4,176(sp) + 24924: d9801e04 addi r6,sp,120 + 24928: b80b883a mov r5,r23 + 2492c: 002a7d00 call 2a7d0 <__sprint_r> + 24930: 103cb61e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24934: d8c02017 ldw r3,128(sp) + 24938: da000404 addi r8,sp,16 + 2493c: 003e2606 br 241d8 <_gp+0xfffe736c> + 24940: d9002c17 ldw r4,176(sp) + 24944: d9801e04 addi r6,sp,120 + 24948: b80b883a mov r5,r23 + 2494c: 002a7d00 call 2a7d0 <__sprint_r> + 24950: 103e5d26 beq r2,zero,242c8 <_gp+0xfffe745c> + 24954: 003cad06 br 23c0c <_gp+0xfffe6da0> + 24958: d9002c17 ldw r4,176(sp) + 2495c: d9801e04 addi r6,sp,120 + 24960: b80b883a mov r5,r23 + 24964: 002a7d00 call 2a7d0 <__sprint_r> + 24968: 103ca81e bne r2,zero,23c0c <_gp+0xfffe6da0> + 2496c: d8c02017 ldw r3,128(sp) + 24970: da000404 addi r8,sp,16 + 24974: 003e0b06 br 241a4 <_gp+0xfffe7338> + 24978: d9002c17 ldw r4,176(sp) + 2497c: d9801e04 addi r6,sp,120 + 24980: b80b883a mov r5,r23 + 24984: 002a7d00 call 2a7d0 <__sprint_r> + 24988: 103ca01e bne r2,zero,23c0c <_gp+0xfffe6da0> + 2498c: d8c02017 ldw r3,128(sp) + 24990: da000404 addi r8,sp,16 + 24994: 003dbd06 br 2408c <_gp+0xfffe7220> + 24998: d9002c17 ldw r4,176(sp) + 2499c: d9801e04 addi r6,sp,120 + 249a0: b80b883a mov r5,r23 + 249a4: 002a7d00 call 2a7d0 <__sprint_r> + 249a8: 103c981e bne r2,zero,23c0c <_gp+0xfffe6da0> + 249ac: d8c02017 ldw r3,128(sp) + 249b0: da000404 addi r8,sp,16 + 249b4: 003dc306 br 240c4 <_gp+0xfffe7258> + 249b8: d8802917 ldw r2,164(sp) + 249bc: d8002785 stb zero,158(sp) + 249c0: 103f0616 blt r2,zero,245dc <_gp+0xfffe7770> + 249c4: 00ffdfc4 movi r3,-129 + 249c8: 9d84b03a or r2,r19,r22 + 249cc: 90e4703a and r18,r18,r3 + 249d0: 103c6b26 beq r2,zero,23b80 <_gp+0xfffe6d14> + 249d4: 0039883a mov fp,zero + 249d8: 003e7406 br 243ac <_gp+0xfffe7540> + 249dc: 9080040c andi r2,r18,16 + 249e0: 1001b326 beq r2,zero,250b0 <___vfprintf_internal_r+0x18ac> + 249e4: d9002d17 ldw r4,180(sp) + 249e8: d9402917 ldw r5,164(sp) + 249ec: d8002785 stb zero,158(sp) + 249f0: 20800104 addi r2,r4,4 + 249f4: 24c00017 ldw r19,0(r4) + 249f8: 002d883a mov r22,zero + 249fc: 2801b516 blt r5,zero,250d4 <___vfprintf_internal_r+0x18d0> + 24a00: 00ffdfc4 movi r3,-129 + 24a04: d8802d15 stw r2,180(sp) + 24a08: 90e4703a and r18,r18,r3 + 24a0c: 983d2726 beq r19,zero,23eac <_gp+0xfffe7040> + 24a10: 0039883a mov fp,zero + 24a14: 003d2a06 br 23ec0 <_gp+0xfffe7054> + 24a18: dc402617 ldw r17,152(sp) + 24a1c: 0441d30e bge zero,r17,2516c <___vfprintf_internal_r+0x1968> + 24a20: dc403217 ldw r17,200(sp) + 24a24: d8803317 ldw r2,204(sp) + 24a28: 1440010e bge r2,r17,24a30 <___vfprintf_internal_r+0x122c> + 24a2c: 1023883a mov r17,r2 + 24a30: 04400a0e bge zero,r17,24a5c <___vfprintf_internal_r+0x1258> + 24a34: d8801f17 ldw r2,124(sp) + 24a38: 1c47883a add r3,r3,r17 + 24a3c: 44000015 stw r16,0(r8) + 24a40: 10800044 addi r2,r2,1 + 24a44: 44400115 stw r17,4(r8) + 24a48: d8c02015 stw r3,128(sp) + 24a4c: d8801f15 stw r2,124(sp) + 24a50: 010001c4 movi r4,7 + 24a54: 20826516 blt r4,r2,253ec <___vfprintf_internal_r+0x1be8> + 24a58: 42000204 addi r8,r8,8 + 24a5c: 88026116 blt r17,zero,253e4 <___vfprintf_internal_r+0x1be0> + 24a60: d9003217 ldw r4,200(sp) + 24a64: 2463c83a sub r17,r4,r17 + 24a68: 04407b0e bge zero,r17,24c58 <___vfprintf_internal_r+0x1454> + 24a6c: 05800404 movi r22,16 + 24a70: d8801f17 ldw r2,124(sp) + 24a74: b4419d0e bge r22,r17,250ec <___vfprintf_internal_r+0x18e8> + 24a78: 010000f4 movhi r4,3 + 24a7c: 210a5a84 addi r4,r4,10602 + 24a80: d9002b15 stw r4,172(sp) + 24a84: 070001c4 movi fp,7 + 24a88: dcc02c17 ldw r19,176(sp) + 24a8c: 00000306 br 24a9c <___vfprintf_internal_r+0x1298> + 24a90: 42000204 addi r8,r8,8 + 24a94: 8c7ffc04 addi r17,r17,-16 + 24a98: b441970e bge r22,r17,250f8 <___vfprintf_internal_r+0x18f4> + 24a9c: 18c00404 addi r3,r3,16 + 24aa0: 10800044 addi r2,r2,1 + 24aa4: 45000015 stw r20,0(r8) + 24aa8: 45800115 stw r22,4(r8) + 24aac: d8c02015 stw r3,128(sp) + 24ab0: d8801f15 stw r2,124(sp) + 24ab4: e0bff60e bge fp,r2,24a90 <_gp+0xfffe7c24> + 24ab8: d9801e04 addi r6,sp,120 + 24abc: b80b883a mov r5,r23 + 24ac0: 9809883a mov r4,r19 + 24ac4: 002a7d00 call 2a7d0 <__sprint_r> + 24ac8: 103c501e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24acc: d8c02017 ldw r3,128(sp) + 24ad0: d8801f17 ldw r2,124(sp) + 24ad4: da000404 addi r8,sp,16 + 24ad8: 003fee06 br 24a94 <_gp+0xfffe7c28> + 24adc: d9002c17 ldw r4,176(sp) + 24ae0: d9801e04 addi r6,sp,120 + 24ae4: b80b883a mov r5,r23 + 24ae8: 002a7d00 call 2a7d0 <__sprint_r> + 24aec: 103c471e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24af0: d8c02017 ldw r3,128(sp) + 24af4: df002787 ldb fp,158(sp) + 24af8: da000404 addi r8,sp,16 + 24afc: 003d5606 br 24058 <_gp+0xfffe71ec> + 24b00: 9080040c andi r2,r18,16 + 24b04: 10016126 beq r2,zero,2508c <___vfprintf_internal_r+0x1888> + 24b08: d8802d17 ldw r2,180(sp) + 24b0c: 14c00017 ldw r19,0(r2) + 24b10: 10800104 addi r2,r2,4 24b14: d8802d15 stw r2,180(sp) - 24b18: 183e4c1e bne r3,zero,2444c <_gp+0xfffe7f14> - 24b1c: 0039883a mov fp,zero - 24b20: 0005883a mov r2,zero - 24b24: 003d4006 br 24028 <_gp+0xfffe7af0> - 24b28: 014000f4 movhi r5,3 - 24b2c: 29480c84 addi r5,r5,8242 - 24b30: d9402b15 stw r5,172(sp) - 24b34: d9402b17 ldw r5,172(sp) - 24b38: 1c47883a add r3,r3,r17 - 24b3c: 10800044 addi r2,r2,1 - 24b40: 41400015 stw r5,0(r8) - 24b44: 44400115 stw r17,4(r8) - 24b48: d8c02015 stw r3,128(sp) - 24b4c: d8801f15 stw r2,124(sp) - 24b50: 010001c4 movi r4,7 - 24b54: 20bec816 blt r4,r2,24678 <_gp+0xfffe8140> - 24b58: 42000204 addi r8,r8,8 - 24b5c: 003ecd06 br 24694 <_gp+0xfffe815c> - 24b60: d9002917 ldw r4,164(sp) - 24b64: d8002785 stb zero,158(sp) - 24b68: 203d2d16 blt r4,zero,24020 <_gp+0xfffe7ae8> - 24b6c: 00bfdfc4 movi r2,-129 - 24b70: 90a4703a and r18,r18,r2 - 24b74: 003a9106 br 235bc <_gp+0xfffe7084> - 24b78: 010000f4 movhi r4,3 - 24b7c: 21080c84 addi r4,r4,8242 - 24b80: d9002b15 stw r4,172(sp) - 24b84: 003c0c06 br 23bb8 <_gp+0xfffe7680> - 24b88: d9002c17 ldw r4,176(sp) - 24b8c: d9801e04 addi r6,sp,120 - 24b90: b80b883a mov r5,r23 - 24b94: 002a01c0 call 2a01c <__sprint_r> - 24b98: 103aab1e bne r2,zero,23648 <_gp+0xfffe7110> - 24b9c: d8c02017 ldw r3,128(sp) - 24ba0: da000404 addi r8,sp,16 - 24ba4: 003d4106 br 240ac <_gp+0xfffe7b74> - 24ba8: d8801f17 ldw r2,124(sp) - 24bac: 014000f4 movhi r5,3 - 24bb0: 01000044 movi r4,1 - 24bb4: 18c00044 addi r3,r3,1 - 24bb8: 10800044 addi r2,r2,1 - 24bbc: 29480c04 addi r5,r5,8240 - 24bc0: 41000115 stw r4,4(r8) - 24bc4: 41400015 stw r5,0(r8) + 24b18: 982dd7fa srai r22,r19,31 + 24b1c: b005883a mov r2,r22 + 24b20: 003c8206 br 23d2c <_gp+0xfffe6ec0> + 24b24: 9080040c andi r2,r18,16 + 24b28: 10003526 beq r2,zero,24c00 <___vfprintf_internal_r+0x13fc> + 24b2c: d9402d17 ldw r5,180(sp) + 24b30: d8c02917 ldw r3,164(sp) + 24b34: d8002785 stb zero,158(sp) + 24b38: 28800104 addi r2,r5,4 + 24b3c: 2cc00017 ldw r19,0(r5) + 24b40: 002d883a mov r22,zero + 24b44: 18003716 blt r3,zero,24c24 <___vfprintf_internal_r+0x1420> + 24b48: 00ffdfc4 movi r3,-129 + 24b4c: d8802d15 stw r2,180(sp) + 24b50: 90e4703a and r18,r18,r3 + 24b54: 0039883a mov fp,zero + 24b58: 983df326 beq r19,zero,24328 <_gp+0xfffe74bc> + 24b5c: 00800244 movi r2,9 + 24b60: 14fc7b36 bltu r2,r19,23d50 <_gp+0xfffe6ee4> + 24b64: d8c02817 ldw r3,160(sp) + 24b68: dc001dc4 addi r16,sp,119 + 24b6c: 9cc00c04 addi r19,r19,48 + 24b70: 1c07c83a sub r3,r3,r16 + 24b74: dcc01dc5 stb r19,119(sp) + 24b78: d8c02e15 stw r3,184(sp) + 24b7c: 003ce806 br 23f20 <_gp+0xfffe70b4> + 24b80: d8803317 ldw r2,204(sp) + 24b84: 143fffc4 addi r16,r2,-1 + 24b88: 043f4d0e bge zero,r16,248c0 <_gp+0xfffe7a54> + 24b8c: 07000404 movi fp,16 + 24b90: e400810e bge fp,r16,24d98 <___vfprintf_internal_r+0x1594> + 24b94: 014000f4 movhi r5,3 + 24b98: 294a5a84 addi r5,r5,10602 + 24b9c: d9402b15 stw r5,172(sp) + 24ba0: 01c001c4 movi r7,7 + 24ba4: dcc02c17 ldw r19,176(sp) + 24ba8: 00000306 br 24bb8 <___vfprintf_internal_r+0x13b4> + 24bac: b5800204 addi r22,r22,8 + 24bb0: 843ffc04 addi r16,r16,-16 + 24bb4: e4007b0e bge fp,r16,24da4 <___vfprintf_internal_r+0x15a0> + 24bb8: 18c00404 addi r3,r3,16 + 24bbc: 8c400044 addi r17,r17,1 + 24bc0: b5000015 stw r20,0(r22) + 24bc4: b7000115 stw fp,4(r22) 24bc8: d8c02015 stw r3,128(sp) - 24bcc: d8801f15 stw r2,124(sp) - 24bd0: 010001c4 movi r4,7 - 24bd4: 20805c16 blt r4,r2,24d48 <___vfprintf_internal_r+0x1b08> - 24bd8: 42000204 addi r8,r8,8 - 24bdc: 8800041e bne r17,zero,24bf0 <___vfprintf_internal_r+0x19b0> - 24be0: d8803317 ldw r2,204(sp) - 24be4: 1000021e bne r2,zero,24bf0 <___vfprintf_internal_r+0x19b0> - 24be8: 9080004c andi r2,r18,1 - 24bec: 103c0926 beq r2,zero,23c14 <_gp+0xfffe76dc> - 24bf0: d9003717 ldw r4,220(sp) - 24bf4: d8801f17 ldw r2,124(sp) - 24bf8: d9403417 ldw r5,208(sp) - 24bfc: 20c7883a add r3,r4,r3 - 24c00: 10800044 addi r2,r2,1 - 24c04: 41000115 stw r4,4(r8) - 24c08: 41400015 stw r5,0(r8) - 24c0c: d8c02015 stw r3,128(sp) - 24c10: d8801f15 stw r2,124(sp) - 24c14: 010001c4 movi r4,7 - 24c18: 20812116 blt r4,r2,250a0 <___vfprintf_internal_r+0x1e60> - 24c1c: 42000204 addi r8,r8,8 - 24c20: 0463c83a sub r17,zero,r17 - 24c24: 0440730e bge zero,r17,24df4 <___vfprintf_internal_r+0x1bb4> - 24c28: 05800404 movi r22,16 - 24c2c: b440860e bge r22,r17,24e48 <___vfprintf_internal_r+0x1c08> - 24c30: 014000f4 movhi r5,3 - 24c34: 29480c84 addi r5,r5,8242 - 24c38: d9402b15 stw r5,172(sp) - 24c3c: 070001c4 movi fp,7 - 24c40: dcc02c17 ldw r19,176(sp) - 24c44: 00000306 br 24c54 <___vfprintf_internal_r+0x1a14> - 24c48: 42000204 addi r8,r8,8 - 24c4c: 8c7ffc04 addi r17,r17,-16 - 24c50: b440800e bge r22,r17,24e54 <___vfprintf_internal_r+0x1c14> - 24c54: 18c00404 addi r3,r3,16 - 24c58: 10800044 addi r2,r2,1 - 24c5c: 45000015 stw r20,0(r8) - 24c60: 45800115 stw r22,4(r8) - 24c64: d8c02015 stw r3,128(sp) - 24c68: d8801f15 stw r2,124(sp) - 24c6c: e0bff60e bge fp,r2,24c48 <_gp+0xfffe8710> - 24c70: d9801e04 addi r6,sp,120 - 24c74: b80b883a mov r5,r23 - 24c78: 9809883a mov r4,r19 - 24c7c: 002a01c0 call 2a01c <__sprint_r> - 24c80: 103a711e bne r2,zero,23648 <_gp+0xfffe7110> - 24c84: d8c02017 ldw r3,128(sp) - 24c88: d8801f17 ldw r2,124(sp) - 24c8c: da000404 addi r8,sp,16 - 24c90: 003fee06 br 24c4c <_gp+0xfffe8714> - 24c94: 00bfffc4 movi r2,-1 - 24c98: 003a6f06 br 23658 <_gp+0xfffe7120> - 24c9c: 008011c4 movi r2,71 - 24ca0: 1440b816 blt r2,r17,24f84 <___vfprintf_internal_r+0x1d44> - 24ca4: 040000f4 movhi r16,3 - 24ca8: 8407fe04 addi r16,r16,8184 - 24cac: 00c000c4 movi r3,3 - 24cb0: 00bfdfc4 movi r2,-129 - 24cb4: d8c02a15 stw r3,168(sp) - 24cb8: 90a4703a and r18,r18,r2 - 24cbc: df002783 ldbu fp,158(sp) - 24cc0: d8c02e15 stw r3,184(sp) - 24cc4: d8002915 stw zero,164(sp) - 24cc8: d8003215 stw zero,200(sp) - 24ccc: 003b2906 br 23974 <_gp+0xfffe743c> - 24cd0: d9002d17 ldw r4,180(sp) - 24cd4: 24c00017 ldw r19,0(r4) - 24cd8: 21000104 addi r4,r4,4 - 24cdc: d9002d15 stw r4,180(sp) - 24ce0: 982dd7fa srai r22,r19,31 - 24ce4: b005883a mov r2,r22 - 24ce8: 003a9f06 br 23768 <_gp+0xfffe7230> - 24cec: d9402d17 ldw r5,180(sp) - 24cf0: d8c02f17 ldw r3,188(sp) - 24cf4: 28800017 ldw r2,0(r5) - 24cf8: 29400104 addi r5,r5,4 - 24cfc: d9402d15 stw r5,180(sp) - 24d00: 10c00015 stw r3,0(r2) - 24d04: 00398606 br 23320 <_gp+0xfffe6de8> - 24d08: d9402d17 ldw r5,180(sp) - 24d0c: 002d883a mov r22,zero - 24d10: 2cc00017 ldw r19,0(r5) - 24d14: 29400104 addi r5,r5,4 - 24d18: d9402d15 stw r5,180(sp) - 24d1c: 003c2306 br 23dac <_gp+0xfffe7874> - 24d20: d8c02d17 ldw r3,180(sp) - 24d24: d9002917 ldw r4,164(sp) - 24d28: 002d883a mov r22,zero - 24d2c: 18800104 addi r2,r3,4 - 24d30: 1cc0000b ldhu r19,0(r3) - 24d34: 203dc10e bge r4,zero,2443c <_gp+0xfffe7f04> - 24d38: 003f7506 br 24b10 <_gp+0xfffe85d8> - 24d3c: 040000f4 movhi r16,3 - 24d40: 8407fc04 addi r16,r16,8176 - 24d44: 003acc06 br 23878 <_gp+0xfffe7340> - 24d48: d9002c17 ldw r4,176(sp) - 24d4c: d9801e04 addi r6,sp,120 - 24d50: b80b883a mov r5,r23 - 24d54: 002a01c0 call 2a01c <__sprint_r> - 24d58: 103a3b1e bne r2,zero,23648 <_gp+0xfffe7110> - 24d5c: dc402617 ldw r17,152(sp) - 24d60: d8c02017 ldw r3,128(sp) - 24d64: da000404 addi r8,sp,16 - 24d68: 003f9c06 br 24bdc <_gp+0xfffe86a4> - 24d6c: ac400043 ldbu r17,1(r21) - 24d70: 94800814 ori r18,r18,32 - 24d74: ad400044 addi r21,r21,1 - 24d78: 8c403fcc andi r17,r17,255 - 24d7c: 8c40201c xori r17,r17,128 - 24d80: 8c7fe004 addi r17,r17,-128 - 24d84: 00398f06 br 233c4 <_gp+0xfffe6e8c> - 24d88: d8c02d15 stw r3,180(sp) - 24d8c: 0039883a mov fp,zero - 24d90: 003e3506 br 24668 <_gp+0xfffe8130> - 24d94: d9002c17 ldw r4,176(sp) - 24d98: d9801e04 addi r6,sp,120 - 24d9c: b80b883a mov r5,r23 - 24da0: 002a01c0 call 2a01c <__sprint_r> - 24da4: 103a281e bne r2,zero,23648 <_gp+0xfffe7110> - 24da8: d8c02017 ldw r3,128(sp) - 24dac: da000404 addi r8,sp,16 - 24db0: 003cd006 br 240f4 <_gp+0xfffe7bbc> - 24db4: 8009883a mov r4,r16 - 24db8: da003d15 stw r8,244(sp) - 24dbc: 00231a80 call 231a8 - 24dc0: d8802e15 stw r2,184(sp) - 24dc4: da003d17 ldw r8,244(sp) - 24dc8: 103c340e bge r2,zero,23e9c <_gp+0xfffe7964> - 24dcc: 0005883a mov r2,zero - 24dd0: 003c3206 br 23e9c <_gp+0xfffe7964> - 24dd4: d9002c17 ldw r4,176(sp) - 24dd8: d9801e04 addi r6,sp,120 - 24ddc: b80b883a mov r5,r23 - 24de0: 002a01c0 call 2a01c <__sprint_r> - 24de4: 103a181e bne r2,zero,23648 <_gp+0xfffe7110> - 24de8: d8c02017 ldw r3,128(sp) - 24dec: d8801f17 ldw r2,124(sp) - 24df0: da000404 addi r8,sp,16 - 24df4: d9403317 ldw r5,204(sp) - 24df8: 10800044 addi r2,r2,1 - 24dfc: 44000015 stw r16,0(r8) - 24e00: 28c7883a add r3,r5,r3 - 24e04: 003b7d06 br 23bfc <_gp+0xfffe76c4> - 24e08: 010000f4 movhi r4,3 - 24e0c: 21081084 addi r4,r4,8258 - 24e10: d9003515 stw r4,212(sp) - 24e14: 003b1406 br 23a68 <_gp+0xfffe7530> - 24e18: 013fffc4 movi r4,-1 - 24e1c: 003a3506 br 236f4 <_gp+0xfffe71bc> - 24e20: 0023883a mov r17,zero - 24e24: 003d9d06 br 2449c <_gp+0xfffe7f64> - 24e28: d9002c17 ldw r4,176(sp) - 24e2c: d9801e04 addi r6,sp,120 - 24e30: b80b883a mov r5,r23 - 24e34: 002a01c0 call 2a01c <__sprint_r> - 24e38: 103a031e bne r2,zero,23648 <_gp+0xfffe7110> - 24e3c: d8c02017 ldw r3,128(sp) - 24e40: da000404 addi r8,sp,16 - 24e44: 003d9406 br 24498 <_gp+0xfffe7f60> - 24e48: 010000f4 movhi r4,3 - 24e4c: 21080c84 addi r4,r4,8242 - 24e50: d9002b15 stw r4,172(sp) - 24e54: d9002b17 ldw r4,172(sp) - 24e58: 1c47883a add r3,r3,r17 - 24e5c: 10800044 addi r2,r2,1 - 24e60: 41000015 stw r4,0(r8) - 24e64: 44400115 stw r17,4(r8) - 24e68: d8c02015 stw r3,128(sp) - 24e6c: d8801f15 stw r2,124(sp) - 24e70: 010001c4 movi r4,7 - 24e74: 20bfd716 blt r4,r2,24dd4 <_gp+0xfffe889c> - 24e78: 42000204 addi r8,r8,8 - 24e7c: 003fdd06 br 24df4 <_gp+0xfffe88bc> - 24e80: d9002c17 ldw r4,176(sp) - 24e84: d9801e04 addi r6,sp,120 - 24e88: b80b883a mov r5,r23 - 24e8c: 002a01c0 call 2a01c <__sprint_r> - 24e90: 1039ed1e bne r2,zero,23648 <_gp+0xfffe7110> - 24e94: d8802617 ldw r2,152(sp) - 24e98: d8c02017 ldw r3,128(sp) - 24e9c: da000404 addi r8,sp,16 - 24ea0: 003e1006 br 246e4 <_gp+0xfffe81ac> - 24ea4: 00800044 movi r2,1 - 24ea8: 10803fcc andi r2,r2,255 - 24eac: 00c00044 movi r3,1 - 24eb0: 10fa3526 beq r2,r3,23788 <_gp+0xfffe7250> - 24eb4: 00c00084 movi r3,2 - 24eb8: 10fbcb26 beq r2,r3,23de8 <_gp+0xfffe78b0> - 24ebc: 003a8f06 br 238fc <_gp+0xfffe73c4> - 24ec0: 010000f4 movhi r4,3 - 24ec4: 21081084 addi r4,r4,8258 - 24ec8: d9003515 stw r4,212(sp) - 24ecc: 003b7606 br 23ca8 <_gp+0xfffe7770> - 24ed0: d8802917 ldw r2,164(sp) - 24ed4: 00c00184 movi r3,6 - 24ed8: 1880012e bgeu r3,r2,24ee0 <___vfprintf_internal_r+0x1ca0> - 24edc: 1805883a mov r2,r3 - 24ee0: d8802e15 stw r2,184(sp) - 24ee4: 1000ef16 blt r2,zero,252a4 <___vfprintf_internal_r+0x2064> - 24ee8: 040000f4 movhi r16,3 - 24eec: d8802a15 stw r2,168(sp) - 24ef0: dcc02d15 stw r19,180(sp) - 24ef4: d8002915 stw zero,164(sp) - 24ef8: d8003215 stw zero,200(sp) - 24efc: 84080a04 addi r16,r16,8232 - 24f00: 0039883a mov fp,zero - 24f04: 003aa206 br 23990 <_gp+0xfffe7458> - 24f08: 0021883a mov r16,zero - 24f0c: 003e0706 br 2472c <_gp+0xfffe81f4> - 24f10: d9002c17 ldw r4,176(sp) - 24f14: d9801e04 addi r6,sp,120 - 24f18: b80b883a mov r5,r23 - 24f1c: 002a01c0 call 2a01c <__sprint_r> - 24f20: 1039c91e bne r2,zero,23648 <_gp+0xfffe7110> - 24f24: d8802617 ldw r2,152(sp) - 24f28: d9403317 ldw r5,204(sp) - 24f2c: d8c02017 ldw r3,128(sp) - 24f30: da000404 addi r8,sp,16 - 24f34: 2885c83a sub r2,r5,r2 - 24f38: 003dfb06 br 24728 <_gp+0xfffe81f0> - 24f3c: 9080004c andi r2,r18,1 - 24f40: 103e8f1e bne r2,zero,24980 <_gp+0xfffe8448> - 24f44: d8802117 ldw r2,132(sp) - 24f48: 003e9e06 br 249c4 <_gp+0xfffe848c> - 24f4c: 1025883a mov r18,r2 - 24f50: 0039883a mov fp,zero - 24f54: 00800084 movi r2,2 - 24f58: 003fd306 br 24ea8 <_gp+0xfffe8970> - 24f5c: 07000b44 movi fp,45 - 24f60: df002785 stb fp,158(sp) - 24f64: 003a4006 br 23868 <_gp+0xfffe7330> - 24f68: 00c00b44 movi r3,45 - 24f6c: d8c02785 stb r3,158(sp) - 24f70: d8802a15 stw r2,168(sp) - 24f74: dc802b17 ldw r18,172(sp) - 24f78: d8002915 stw zero,164(sp) - 24f7c: 07000b44 movi fp,45 - 24f80: 003a8006 br 23984 <_gp+0xfffe744c> - 24f84: 040000f4 movhi r16,3 - 24f88: 8407ff04 addi r16,r16,8188 - 24f8c: 003f4706 br 24cac <_gp+0xfffe8774> - 24f90: d8c02d17 ldw r3,180(sp) - 24f94: d9002f17 ldw r4,188(sp) - 24f98: 18800017 ldw r2,0(r3) - 24f9c: 18c00104 addi r3,r3,4 - 24fa0: d8c02d15 stw r3,180(sp) - 24fa4: 11000015 stw r4,0(r2) - 24fa8: 0038dd06 br 23320 <_gp+0xfffe6de8> - 24fac: dd802617 ldw r22,152(sp) - 24fb0: 00bfff44 movi r2,-3 - 24fb4: b0801c16 blt r22,r2,25028 <___vfprintf_internal_r+0x1de8> - 24fb8: d9402917 ldw r5,164(sp) - 24fbc: 2d801a16 blt r5,r22,25028 <___vfprintf_internal_r+0x1de8> - 24fc0: dd803215 stw r22,200(sp) - 24fc4: 003e8906 br 249ec <_gp+0xfffe84b4> - 24fc8: 010000f4 movhi r4,3 - 24fcc: 21080c84 addi r4,r4,8242 - 24fd0: d9002b15 stw r4,172(sp) - 24fd4: 003c9106 br 2421c <_gp+0xfffe7ce4> - 24fd8: e005883a mov r2,fp - 24fdc: 003e7906 br 249c4 <_gp+0xfffe848c> - 24fe0: d9402917 ldw r5,164(sp) - 24fe4: df002783 ldbu fp,158(sp) - 24fe8: dcc02d15 stw r19,180(sp) - 24fec: d9402a15 stw r5,168(sp) - 24ff0: d9402e15 stw r5,184(sp) - 24ff4: d8002915 stw zero,164(sp) - 24ff8: d8003215 stw zero,200(sp) - 24ffc: 003a5d06 br 23974 <_gp+0xfffe743c> - 25000: 9080004c andi r2,r18,1 - 25004: 0039883a mov fp,zero - 25008: 10000426 beq r2,zero,2501c <___vfprintf_internal_r+0x1ddc> - 2500c: 00800c04 movi r2,48 - 25010: dc001dc4 addi r16,sp,119 - 25014: d8801dc5 stb r2,119(sp) - 25018: 003b8006 br 23e1c <_gp+0xfffe78e4> - 2501c: d8002e15 stw zero,184(sp) - 25020: dc001e04 addi r16,sp,120 - 25024: 003a4d06 br 2395c <_gp+0xfffe7424> - 25028: 8c7fff84 addi r17,r17,-2 - 2502c: b5bfffc4 addi r22,r22,-1 - 25030: dd802615 stw r22,152(sp) - 25034: dc4022c5 stb r17,139(sp) - 25038: b000bf16 blt r22,zero,25338 <___vfprintf_internal_r+0x20f8> - 2503c: 00800ac4 movi r2,43 - 25040: d8802305 stb r2,140(sp) - 25044: 00800244 movi r2,9 - 25048: 15807016 blt r2,r22,2520c <___vfprintf_internal_r+0x1fcc> - 2504c: 00800c04 movi r2,48 - 25050: b5800c04 addi r22,r22,48 - 25054: d8802345 stb r2,141(sp) - 25058: dd802385 stb r22,142(sp) - 2505c: d88023c4 addi r2,sp,143 - 25060: df0022c4 addi fp,sp,139 - 25064: d8c03317 ldw r3,204(sp) - 25068: 1739c83a sub fp,r2,fp - 2506c: d9003317 ldw r4,204(sp) - 25070: e0c7883a add r3,fp,r3 - 25074: df003a15 stw fp,232(sp) - 25078: d8c02e15 stw r3,184(sp) - 2507c: 00800044 movi r2,1 - 25080: 1100b30e bge r2,r4,25350 <___vfprintf_internal_r+0x2110> - 25084: d8c02e17 ldw r3,184(sp) - 25088: 18c00044 addi r3,r3,1 - 2508c: d8c02e15 stw r3,184(sp) - 25090: 1805883a mov r2,r3 - 25094: 1800ac16 blt r3,zero,25348 <___vfprintf_internal_r+0x2108> - 25098: d8003215 stw zero,200(sp) - 2509c: 003e5d06 br 24a14 <_gp+0xfffe84dc> - 250a0: d9002c17 ldw r4,176(sp) - 250a4: d9801e04 addi r6,sp,120 - 250a8: b80b883a mov r5,r23 - 250ac: 002a01c0 call 2a01c <__sprint_r> - 250b0: 1039651e bne r2,zero,23648 <_gp+0xfffe7110> - 250b4: dc402617 ldw r17,152(sp) - 250b8: d8c02017 ldw r3,128(sp) - 250bc: d8801f17 ldw r2,124(sp) - 250c0: da000404 addi r8,sp,16 - 250c4: 003ed606 br 24c20 <_gp+0xfffe86e8> - 250c8: 582b883a mov r21,r11 - 250cc: d8002915 stw zero,164(sp) - 250d0: 0038bd06 br 233c8 <_gp+0xfffe6e90> - 250d4: d8802917 ldw r2,164(sp) - 250d8: 103e071e bne r2,zero,248f8 <_gp+0xfffe83c0> - 250dc: dc002915 stw r16,164(sp) - 250e0: 003e0506 br 248f8 <_gp+0xfffe83c0> - 250e4: d9002917 ldw r4,164(sp) - 250e8: 20c00044 addi r3,r4,1 - 250ec: 003e0f06 br 2492c <_gp+0xfffe83f4> - 250f0: 01400184 movi r5,6 - 250f4: d9402915 stw r5,164(sp) - 250f8: 003dff06 br 248f8 <_gp+0xfffe83c0> - 250fc: d8802104 addi r2,sp,132 - 25100: d8800315 stw r2,12(sp) - 25104: d8802504 addi r2,sp,148 - 25108: d8800215 stw r2,8(sp) - 2510c: d8802604 addi r2,sp,152 - 25110: d8800115 stw r2,4(sp) - 25114: d8802917 ldw r2,164(sp) - 25118: d9403617 ldw r5,216(sp) - 2511c: d9002c17 ldw r4,176(sp) - 25120: d8800015 stw r2,0(sp) - 25124: 01c000c4 movi r7,3 - 25128: 980d883a mov r6,r19 - 2512c: da003d15 stw r8,244(sp) - 25130: 00258640 call 25864 <_dtoa_r> - 25134: d8c02917 ldw r3,164(sp) - 25138: da003d17 ldw r8,244(sp) - 2513c: 1021883a mov r16,r2 - 25140: 10f9883a add fp,r2,r3 - 25144: 81000007 ldb r4,0(r16) - 25148: 00800c04 movi r2,48 - 2514c: 20805e26 beq r4,r2,252c8 <___vfprintf_internal_r+0x2088> - 25150: d8c02617 ldw r3,152(sp) - 25154: e0f9883a add fp,fp,r3 - 25158: 003e0a06 br 24984 <_gp+0xfffe844c> - 2515c: 00c00b44 movi r3,45 - 25160: 24e0003c xorhi r19,r4,32768 - 25164: d8c02a05 stb r3,168(sp) - 25168: 003de906 br 24910 <_gp+0xfffe83d8> - 2516c: d8c03217 ldw r3,200(sp) - 25170: 00c07a0e bge zero,r3,2535c <___vfprintf_internal_r+0x211c> - 25174: 00800044 movi r2,1 - 25178: d9003317 ldw r4,204(sp) - 2517c: 1105883a add r2,r2,r4 - 25180: d8802e15 stw r2,184(sp) - 25184: 10004e16 blt r2,zero,252c0 <___vfprintf_internal_r+0x2080> - 25188: 044019c4 movi r17,103 - 2518c: 003e2106 br 24a14 <_gp+0xfffe84dc> - 25190: d9002917 ldw r4,164(sp) - 25194: d8802104 addi r2,sp,132 - 25198: d8800315 stw r2,12(sp) - 2519c: d9000015 stw r4,0(sp) - 251a0: d8802504 addi r2,sp,148 - 251a4: d9403617 ldw r5,216(sp) - 251a8: d9002c17 ldw r4,176(sp) - 251ac: d8800215 stw r2,8(sp) - 251b0: d8802604 addi r2,sp,152 - 251b4: d8800115 stw r2,4(sp) - 251b8: 01c000c4 movi r7,3 - 251bc: 980d883a mov r6,r19 - 251c0: da003d15 stw r8,244(sp) - 251c4: 00258640 call 25864 <_dtoa_r> - 251c8: d8c02917 ldw r3,164(sp) - 251cc: da003d17 ldw r8,244(sp) - 251d0: 1021883a mov r16,r2 - 251d4: 00801184 movi r2,70 - 251d8: 80f9883a add fp,r16,r3 - 251dc: 88bfd926 beq r17,r2,25144 <_gp+0xfffe8c0c> - 251e0: 003de806 br 24984 <_gp+0xfffe844c> - 251e4: d9002917 ldw r4,164(sp) - 251e8: 00c04d0e bge zero,r3,25320 <___vfprintf_internal_r+0x20e0> - 251ec: 2000441e bne r4,zero,25300 <___vfprintf_internal_r+0x20c0> - 251f0: 9480004c andi r18,r18,1 - 251f4: 9000421e bne r18,zero,25300 <___vfprintf_internal_r+0x20c0> - 251f8: 1805883a mov r2,r3 - 251fc: 18007016 blt r3,zero,253c0 <___vfprintf_internal_r+0x2180> - 25200: d8c03217 ldw r3,200(sp) - 25204: d8c02e15 stw r3,184(sp) - 25208: 003e0206 br 24a14 <_gp+0xfffe84dc> - 2520c: df0022c4 addi fp,sp,139 - 25210: dc002915 stw r16,164(sp) - 25214: 4027883a mov r19,r8 - 25218: e021883a mov r16,fp - 2521c: b009883a mov r4,r22 - 25220: 01400284 movi r5,10 - 25224: 00225680 call 22568 <__modsi3> - 25228: 10800c04 addi r2,r2,48 - 2522c: 843fffc4 addi r16,r16,-1 - 25230: b009883a mov r4,r22 - 25234: 01400284 movi r5,10 - 25238: 80800005 stb r2,0(r16) - 2523c: 00224e40 call 224e4 <__divsi3> - 25240: 102d883a mov r22,r2 - 25244: 00800244 movi r2,9 - 25248: 15bff416 blt r2,r22,2521c <_gp+0xfffe8ce4> - 2524c: 9811883a mov r8,r19 - 25250: b0800c04 addi r2,r22,48 - 25254: 8027883a mov r19,r16 - 25258: 997fffc4 addi r5,r19,-1 - 2525c: 98bfffc5 stb r2,-1(r19) - 25260: dc002917 ldw r16,164(sp) - 25264: 2f006a2e bgeu r5,fp,25410 <___vfprintf_internal_r+0x21d0> - 25268: d9c02384 addi r7,sp,142 - 2526c: 3ccfc83a sub r7,r7,r19 - 25270: d9002344 addi r4,sp,141 - 25274: e1cf883a add r7,fp,r7 - 25278: 00000106 br 25280 <___vfprintf_internal_r+0x2040> - 2527c: 28800003 ldbu r2,0(r5) - 25280: 20800005 stb r2,0(r4) - 25284: 21000044 addi r4,r4,1 - 25288: 29400044 addi r5,r5,1 - 2528c: 393ffb1e bne r7,r4,2527c <_gp+0xfffe8d44> - 25290: d8802304 addi r2,sp,140 - 25294: 14c5c83a sub r2,r2,r19 - 25298: d8c02344 addi r3,sp,141 - 2529c: 1885883a add r2,r3,r2 - 252a0: 003f7006 br 25064 <_gp+0xfffe8b2c> - 252a4: 0005883a mov r2,zero - 252a8: 003f0f06 br 24ee8 <_gp+0xfffe89b0> - 252ac: d8c03217 ldw r3,200(sp) - 252b0: 18c00044 addi r3,r3,1 - 252b4: d8c02e15 stw r3,184(sp) - 252b8: 1805883a mov r2,r3 - 252bc: 183fb20e bge r3,zero,25188 <_gp+0xfffe8c50> - 252c0: 0005883a mov r2,zero - 252c4: 003fb006 br 25188 <_gp+0xfffe8c50> - 252c8: d9003617 ldw r4,216(sp) - 252cc: 000d883a mov r6,zero - 252d0: 000f883a mov r7,zero - 252d4: 980b883a mov r5,r19 - 252d8: d8c03c15 stw r3,240(sp) - 252dc: da003d15 stw r8,244(sp) - 252e0: 002dae00 call 2dae0 <__eqdf2> - 252e4: d8c03c17 ldw r3,240(sp) - 252e8: da003d17 ldw r8,244(sp) - 252ec: 103f9826 beq r2,zero,25150 <_gp+0xfffe8c18> - 252f0: 00800044 movi r2,1 - 252f4: 10c7c83a sub r3,r2,r3 - 252f8: d8c02615 stw r3,152(sp) - 252fc: 003f9506 br 25154 <_gp+0xfffe8c1c> - 25300: d9002917 ldw r4,164(sp) - 25304: d8c03217 ldw r3,200(sp) - 25308: 20800044 addi r2,r4,1 - 2530c: 1885883a add r2,r3,r2 - 25310: d8802e15 stw r2,184(sp) - 25314: 103dbf0e bge r2,zero,24a14 <_gp+0xfffe84dc> - 25318: 0005883a mov r2,zero - 2531c: 003dbd06 br 24a14 <_gp+0xfffe84dc> - 25320: 2000211e bne r4,zero,253a8 <___vfprintf_internal_r+0x2168> - 25324: 9480004c andi r18,r18,1 - 25328: 90001f1e bne r18,zero,253a8 <___vfprintf_internal_r+0x2168> - 2532c: 00800044 movi r2,1 - 25330: d8802e15 stw r2,184(sp) - 25334: 003db706 br 24a14 <_gp+0xfffe84dc> - 25338: 00800b44 movi r2,45 - 2533c: 05adc83a sub r22,zero,r22 - 25340: d8802305 stb r2,140(sp) - 25344: 003f3f06 br 25044 <_gp+0xfffe8b0c> - 25348: 0005883a mov r2,zero - 2534c: 003f5206 br 25098 <_gp+0xfffe8b60> - 25350: 90a4703a and r18,r18,r2 - 25354: 903f4e26 beq r18,zero,25090 <_gp+0xfffe8b58> - 25358: 003f4a06 br 25084 <_gp+0xfffe8b4c> - 2535c: 00800084 movi r2,2 - 25360: 10c5c83a sub r2,r2,r3 - 25364: 003f8406 br 25178 <_gp+0xfffe8c40> - 25368: d8802d17 ldw r2,180(sp) - 2536c: d9002d17 ldw r4,180(sp) - 25370: ac400043 ldbu r17,1(r21) - 25374: 10800017 ldw r2,0(r2) - 25378: 582b883a mov r21,r11 - 2537c: d8802915 stw r2,164(sp) - 25380: 20800104 addi r2,r4,4 - 25384: d9002917 ldw r4,164(sp) - 25388: d8802d15 stw r2,180(sp) - 2538c: 203e7a0e bge r4,zero,24d78 <_gp+0xfffe8840> - 25390: 8c403fcc andi r17,r17,255 - 25394: 00bfffc4 movi r2,-1 - 25398: 8c40201c xori r17,r17,128 - 2539c: d8802915 stw r2,164(sp) - 253a0: 8c7fe004 addi r17,r17,-128 - 253a4: 00380706 br 233c4 <_gp+0xfffe6e8c> - 253a8: d8c02917 ldw r3,164(sp) - 253ac: 18c00084 addi r3,r3,2 - 253b0: d8c02e15 stw r3,184(sp) - 253b4: 1805883a mov r2,r3 - 253b8: 183d960e bge r3,zero,24a14 <_gp+0xfffe84dc> - 253bc: 003fd606 br 25318 <_gp+0xfffe8de0> - 253c0: 0005883a mov r2,zero - 253c4: 003f8e06 br 25200 <_gp+0xfffe8cc8> - 253c8: 9080004c andi r2,r18,1 - 253cc: 103f811e bne r2,zero,251d4 <_gp+0xfffe8c9c> - 253d0: d8802117 ldw r2,132(sp) - 253d4: 1405c83a sub r2,r2,r16 - 253d8: d8803315 stw r2,204(sp) - 253dc: b47ef326 beq r22,r17,24fac <_gp+0xfffe8a74> - 253e0: dd802617 ldw r22,152(sp) - 253e4: 003f1106 br 2502c <_gp+0xfffe8af4> - 253e8: d9c02785 stb r7,158(sp) - 253ec: 00390406 br 23800 <_gp+0xfffe72c8> - 253f0: d9c02785 stb r7,158(sp) - 253f4: 0038d306 br 23744 <_gp+0xfffe720c> - 253f8: d9c02785 stb r7,158(sp) - 253fc: 003a6106 br 23d84 <_gp+0xfffe784c> - 25400: d9c02785 stb r7,158(sp) - 25404: 003af806 br 23fe8 <_gp+0xfffe7ab0> - 25408: 0005883a mov r2,zero - 2540c: 003d7e06 br 24a08 <_gp+0xfffe84d0> - 25410: d8802344 addi r2,sp,141 - 25414: 003f1306 br 25064 <_gp+0xfffe8b2c> - 25418: d9c02785 stb r7,158(sp) - 2541c: 00392306 br 238ac <_gp+0xfffe7374> - 25420: d9c02785 stb r7,158(sp) - 25424: 003aa906 br 23ecc <_gp+0xfffe7994> - 25428: d9c02785 stb r7,158(sp) - 2542c: 003a3d06 br 23d24 <_gp+0xfffe77ec> - 25430: d9c02785 stb r7,158(sp) - 25434: 003aca06 br 23f60 <_gp+0xfffe7a28> + 24bcc: dc401f15 stw r17,124(sp) + 24bd0: 3c7ff60e bge r7,r17,24bac <_gp+0xfffe7d40> + 24bd4: d9801e04 addi r6,sp,120 + 24bd8: b80b883a mov r5,r23 + 24bdc: 9809883a mov r4,r19 + 24be0: d9c03c15 stw r7,240(sp) + 24be4: 002a7d00 call 2a7d0 <__sprint_r> + 24be8: d9c03c17 ldw r7,240(sp) + 24bec: 103c071e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24bf0: d8c02017 ldw r3,128(sp) + 24bf4: dc401f17 ldw r17,124(sp) + 24bf8: dd800404 addi r22,sp,16 + 24bfc: 003fec06 br 24bb0 <_gp+0xfffe7d44> + 24c00: 9080100c andi r2,r18,64 + 24c04: d8002785 stb zero,158(sp) + 24c08: 10010e26 beq r2,zero,25044 <___vfprintf_internal_r+0x1840> + 24c0c: d9002d17 ldw r4,180(sp) + 24c10: d9402917 ldw r5,164(sp) + 24c14: 002d883a mov r22,zero + 24c18: 20800104 addi r2,r4,4 + 24c1c: 24c0000b ldhu r19,0(r4) + 24c20: 283fc90e bge r5,zero,24b48 <_gp+0xfffe7cdc> + 24c24: d8802d15 stw r2,180(sp) + 24c28: 0039883a mov fp,zero + 24c2c: 9d84b03a or r2,r19,r22 + 24c30: 103c461e bne r2,zero,23d4c <_gp+0xfffe6ee0> + 24c34: 00800044 movi r2,1 + 24c38: 003e6c06 br 245ec <_gp+0xfffe7780> + 24c3c: d9002c17 ldw r4,176(sp) + 24c40: d9801e04 addi r6,sp,120 + 24c44: b80b883a mov r5,r23 + 24c48: 002a7d00 call 2a7d0 <__sprint_r> + 24c4c: 103bef1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24c50: d8c02017 ldw r3,128(sp) + 24c54: da000404 addi r8,sp,16 + 24c58: d9003217 ldw r4,200(sp) + 24c5c: d8802617 ldw r2,152(sp) + 24c60: d9403317 ldw r5,204(sp) + 24c64: 8123883a add r17,r16,r4 + 24c68: 11400216 blt r2,r5,24c74 <___vfprintf_internal_r+0x1470> + 24c6c: 9100004c andi r4,r18,1 + 24c70: 20000d26 beq r4,zero,24ca8 <___vfprintf_internal_r+0x14a4> + 24c74: d9003717 ldw r4,220(sp) + 24c78: d9403417 ldw r5,208(sp) + 24c7c: 1907883a add r3,r3,r4 + 24c80: d9001f17 ldw r4,124(sp) + 24c84: 41400015 stw r5,0(r8) + 24c88: d9403717 ldw r5,220(sp) + 24c8c: 21000044 addi r4,r4,1 + 24c90: d8c02015 stw r3,128(sp) + 24c94: 41400115 stw r5,4(r8) + 24c98: d9001f15 stw r4,124(sp) + 24c9c: 014001c4 movi r5,7 + 24ca0: 2901e816 blt r5,r4,25444 <___vfprintf_internal_r+0x1c40> + 24ca4: 42000204 addi r8,r8,8 + 24ca8: d9003317 ldw r4,204(sp) + 24cac: 8121883a add r16,r16,r4 + 24cb0: 2085c83a sub r2,r4,r2 + 24cb4: 8461c83a sub r16,r16,r17 + 24cb8: 1400010e bge r2,r16,24cc0 <___vfprintf_internal_r+0x14bc> + 24cbc: 1021883a mov r16,r2 + 24cc0: 04000a0e bge zero,r16,24cec <___vfprintf_internal_r+0x14e8> + 24cc4: d9001f17 ldw r4,124(sp) + 24cc8: 1c07883a add r3,r3,r16 + 24ccc: 44400015 stw r17,0(r8) + 24cd0: 21000044 addi r4,r4,1 + 24cd4: 44000115 stw r16,4(r8) + 24cd8: d8c02015 stw r3,128(sp) + 24cdc: d9001f15 stw r4,124(sp) + 24ce0: 014001c4 movi r5,7 + 24ce4: 2901fb16 blt r5,r4,254d4 <___vfprintf_internal_r+0x1cd0> + 24ce8: 42000204 addi r8,r8,8 + 24cec: 8001f716 blt r16,zero,254cc <___vfprintf_internal_r+0x1cc8> + 24cf0: 1421c83a sub r16,r2,r16 + 24cf4: 043d380e bge zero,r16,241d8 <_gp+0xfffe736c> + 24cf8: 04400404 movi r17,16 + 24cfc: d8801f17 ldw r2,124(sp) + 24d00: 8c3efb0e bge r17,r16,248f0 <_gp+0xfffe7a84> + 24d04: 014000f4 movhi r5,3 + 24d08: 294a5a84 addi r5,r5,10602 + 24d0c: d9402b15 stw r5,172(sp) + 24d10: 058001c4 movi r22,7 + 24d14: dcc02c17 ldw r19,176(sp) + 24d18: 00000306 br 24d28 <___vfprintf_internal_r+0x1524> + 24d1c: 42000204 addi r8,r8,8 + 24d20: 843ffc04 addi r16,r16,-16 + 24d24: 8c3ef50e bge r17,r16,248fc <_gp+0xfffe7a90> + 24d28: 18c00404 addi r3,r3,16 + 24d2c: 10800044 addi r2,r2,1 + 24d30: 45000015 stw r20,0(r8) + 24d34: 44400115 stw r17,4(r8) + 24d38: d8c02015 stw r3,128(sp) + 24d3c: d8801f15 stw r2,124(sp) + 24d40: b0bff60e bge r22,r2,24d1c <_gp+0xfffe7eb0> + 24d44: d9801e04 addi r6,sp,120 + 24d48: b80b883a mov r5,r23 + 24d4c: 9809883a mov r4,r19 + 24d50: 002a7d00 call 2a7d0 <__sprint_r> + 24d54: 103bad1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24d58: d8c02017 ldw r3,128(sp) + 24d5c: d8801f17 ldw r2,124(sp) + 24d60: da000404 addi r8,sp,16 + 24d64: 003fee06 br 24d20 <_gp+0xfffe7eb4> + 24d68: 9088703a and r4,r18,r2 + 24d6c: 203eab1e bne r4,zero,2481c <_gp+0xfffe79b0> + 24d70: dc401f17 ldw r17,124(sp) + 24d74: 40800115 stw r2,4(r8) + 24d78: 44000015 stw r16,0(r8) + 24d7c: 8c400044 addi r17,r17,1 + 24d80: d8c02015 stw r3,128(sp) + 24d84: dc401f15 stw r17,124(sp) + 24d88: 008001c4 movi r2,7 + 24d8c: 14400e16 blt r2,r17,24dc8 <___vfprintf_internal_r+0x15c4> + 24d90: 45800204 addi r22,r8,8 + 24d94: 003eca06 br 248c0 <_gp+0xfffe7a54> + 24d98: 010000f4 movhi r4,3 + 24d9c: 210a5a84 addi r4,r4,10602 + 24da0: d9002b15 stw r4,172(sp) + 24da4: d8802b17 ldw r2,172(sp) + 24da8: 1c07883a add r3,r3,r16 + 24dac: 8c400044 addi r17,r17,1 + 24db0: b0800015 stw r2,0(r22) + 24db4: b4000115 stw r16,4(r22) + 24db8: d8c02015 stw r3,128(sp) + 24dbc: dc401f15 stw r17,124(sp) + 24dc0: 008001c4 movi r2,7 + 24dc4: 147ebd0e bge r2,r17,248bc <_gp+0xfffe7a50> + 24dc8: d9002c17 ldw r4,176(sp) + 24dcc: d9801e04 addi r6,sp,120 + 24dd0: b80b883a mov r5,r23 + 24dd4: 002a7d00 call 2a7d0 <__sprint_r> + 24dd8: 103b8c1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24ddc: d8c02017 ldw r3,128(sp) + 24de0: dc401f17 ldw r17,124(sp) + 24de4: dd800404 addi r22,sp,16 + 24de8: 003eb506 br 248c0 <_gp+0xfffe7a54> + 24dec: d9002c17 ldw r4,176(sp) + 24df0: d9801e04 addi r6,sp,120 + 24df4: b80b883a mov r5,r23 + 24df8: 002a7d00 call 2a7d0 <__sprint_r> + 24dfc: 103b831e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24e00: d8c02017 ldw r3,128(sp) + 24e04: dc401f17 ldw r17,124(sp) + 24e08: da000404 addi r8,sp,16 + 24e0c: 003e8d06 br 24844 <_gp+0xfffe79d8> + 24e10: d9002c17 ldw r4,176(sp) + 24e14: d9801e04 addi r6,sp,120 + 24e18: b80b883a mov r5,r23 + 24e1c: 002a7d00 call 2a7d0 <__sprint_r> + 24e20: 103b7a1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24e24: d8c02017 ldw r3,128(sp) + 24e28: dc401f17 ldw r17,124(sp) + 24e2c: dd800404 addi r22,sp,16 + 24e30: 003e8f06 br 24870 <_gp+0xfffe7a04> + 24e34: 0027883a mov r19,zero + 24e38: 003f4a06 br 24b64 <_gp+0xfffe7cf8> + 24e3c: d9002c17 ldw r4,176(sp) + 24e40: d9801e04 addi r6,sp,120 + 24e44: b80b883a mov r5,r23 + 24e48: 002a7d00 call 2a7d0 <__sprint_r> + 24e4c: 103b6f1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 24e50: d8c02017 ldw r3,128(sp) + 24e54: da000404 addi r8,sp,16 + 24e58: 003c9d06 br 240d0 <_gp+0xfffe7264> + 24e5c: 04e7c83a sub r19,zero,r19 + 24e60: 9804c03a cmpne r2,r19,zero + 24e64: 05adc83a sub r22,zero,r22 + 24e68: b0adc83a sub r22,r22,r2 + 24e6c: d8802917 ldw r2,164(sp) + 24e70: 07000b44 movi fp,45 + 24e74: df002785 stb fp,158(sp) + 24e78: 10017b16 blt r2,zero,25468 <___vfprintf_internal_r+0x1c64> + 24e7c: 00bfdfc4 movi r2,-129 + 24e80: 90a4703a and r18,r18,r2 + 24e84: 003bb106 br 23d4c <_gp+0xfffe6ee0> + 24e88: d9003617 ldw r4,216(sp) + 24e8c: d9403817 ldw r5,224(sp) + 24e90: da003d15 stw r8,244(sp) + 24e94: 002a4480 call 2a448 <__fpclassifyd> + 24e98: da003d17 ldw r8,244(sp) + 24e9c: 1000f026 beq r2,zero,25260 <___vfprintf_internal_r+0x1a5c> + 24ea0: d9002917 ldw r4,164(sp) + 24ea4: 05bff7c4 movi r22,-33 + 24ea8: 00bfffc4 movi r2,-1 + 24eac: 8dac703a and r22,r17,r22 + 24eb0: 20820026 beq r4,r2,256b4 <___vfprintf_internal_r+0x1eb0> + 24eb4: 008011c4 movi r2,71 + 24eb8: b081f726 beq r22,r2,25698 <___vfprintf_internal_r+0x1e94> + 24ebc: d9003817 ldw r4,224(sp) + 24ec0: 90c04014 ori r3,r18,256 + 24ec4: d8c02b15 stw r3,172(sp) + 24ec8: 20021516 blt r4,zero,25720 <___vfprintf_internal_r+0x1f1c> + 24ecc: dcc03817 ldw r19,224(sp) + 24ed0: d8002a05 stb zero,168(sp) + 24ed4: 00801984 movi r2,102 + 24ed8: 8881f926 beq r17,r2,256c0 <___vfprintf_internal_r+0x1ebc> + 24edc: 00801184 movi r2,70 + 24ee0: 88821c26 beq r17,r2,25754 <___vfprintf_internal_r+0x1f50> + 24ee4: 00801144 movi r2,69 + 24ee8: b081ef26 beq r22,r2,256a8 <___vfprintf_internal_r+0x1ea4> + 24eec: d8c02917 ldw r3,164(sp) + 24ef0: d8802104 addi r2,sp,132 + 24ef4: d8800315 stw r2,12(sp) + 24ef8: d9403617 ldw r5,216(sp) + 24efc: d8802504 addi r2,sp,148 + 24f00: d9002c17 ldw r4,176(sp) + 24f04: d8800215 stw r2,8(sp) + 24f08: d8802604 addi r2,sp,152 + 24f0c: d8c00015 stw r3,0(sp) + 24f10: d8800115 stw r2,4(sp) + 24f14: 01c00084 movi r7,2 + 24f18: 980d883a mov r6,r19 + 24f1c: d8c03c15 stw r3,240(sp) + 24f20: da003d15 stw r8,244(sp) + 24f24: 0025e280 call 25e28 <_dtoa_r> + 24f28: 1021883a mov r16,r2 + 24f2c: 008019c4 movi r2,103 + 24f30: d8c03c17 ldw r3,240(sp) + 24f34: da003d17 ldw r8,244(sp) + 24f38: 88817126 beq r17,r2,25500 <___vfprintf_internal_r+0x1cfc> + 24f3c: 008011c4 movi r2,71 + 24f40: 88829226 beq r17,r2,2598c <___vfprintf_internal_r+0x2188> + 24f44: 80f9883a add fp,r16,r3 + 24f48: d9003617 ldw r4,216(sp) + 24f4c: 000d883a mov r6,zero + 24f50: 000f883a mov r7,zero + 24f54: 980b883a mov r5,r19 + 24f58: da003d15 stw r8,244(sp) + 24f5c: 002e2940 call 2e294 <__eqdf2> + 24f60: da003d17 ldw r8,244(sp) + 24f64: 10018d26 beq r2,zero,2559c <___vfprintf_internal_r+0x1d98> + 24f68: d8802117 ldw r2,132(sp) + 24f6c: 1700062e bgeu r2,fp,24f88 <___vfprintf_internal_r+0x1784> + 24f70: 01000c04 movi r4,48 + 24f74: 10c00044 addi r3,r2,1 + 24f78: d8c02115 stw r3,132(sp) + 24f7c: 11000005 stb r4,0(r2) + 24f80: d8802117 ldw r2,132(sp) + 24f84: 173ffb36 bltu r2,fp,24f74 <_gp+0xfffe8108> + 24f88: 1405c83a sub r2,r2,r16 + 24f8c: d8803315 stw r2,204(sp) + 24f90: 008011c4 movi r2,71 + 24f94: b0817626 beq r22,r2,25570 <___vfprintf_internal_r+0x1d6c> + 24f98: 00801944 movi r2,101 + 24f9c: 1442810e bge r2,r17,259a4 <___vfprintf_internal_r+0x21a0> + 24fa0: d8c02617 ldw r3,152(sp) + 24fa4: 00801984 movi r2,102 + 24fa8: d8c03215 stw r3,200(sp) + 24fac: 8881fe26 beq r17,r2,257a8 <___vfprintf_internal_r+0x1fa4> + 24fb0: d8c03217 ldw r3,200(sp) + 24fb4: d9003317 ldw r4,204(sp) + 24fb8: 1901dd16 blt r3,r4,25730 <___vfprintf_internal_r+0x1f2c> + 24fbc: 9480004c andi r18,r18,1 + 24fc0: 90022b1e bne r18,zero,25870 <___vfprintf_internal_r+0x206c> + 24fc4: 1805883a mov r2,r3 + 24fc8: 18028016 blt r3,zero,259cc <___vfprintf_internal_r+0x21c8> + 24fcc: d8c03217 ldw r3,200(sp) + 24fd0: 044019c4 movi r17,103 + 24fd4: d8c02e15 stw r3,184(sp) + 24fd8: df002a07 ldb fp,168(sp) + 24fdc: e001531e bne fp,zero,2552c <___vfprintf_internal_r+0x1d28> + 24fe0: df002783 ldbu fp,158(sp) + 24fe4: d8802a15 stw r2,168(sp) + 24fe8: dc802b17 ldw r18,172(sp) + 24fec: d8002915 stw zero,164(sp) + 24ff0: 003bd106 br 23f38 <_gp+0xfffe70cc> + 24ff4: d8802d17 ldw r2,180(sp) + 24ff8: d8c02d17 ldw r3,180(sp) + 24ffc: d9002d17 ldw r4,180(sp) + 25000: 10800017 ldw r2,0(r2) + 25004: 18c00117 ldw r3,4(r3) + 25008: 21000204 addi r4,r4,8 + 2500c: d8803615 stw r2,216(sp) + 25010: d8c03815 stw r3,224(sp) + 25014: d9002d15 stw r4,180(sp) + 25018: 003b7506 br 23df0 <_gp+0xfffe6f84> + 2501c: ac400007 ldb r17,0(r21) + 25020: 003a5906 br 23988 <_gp+0xfffe6b1c> + 25024: 9080100c andi r2,r18,64 + 25028: 1000a826 beq r2,zero,252cc <___vfprintf_internal_r+0x1ac8> + 2502c: d9002d17 ldw r4,180(sp) + 25030: 002d883a mov r22,zero + 25034: 24c0000b ldhu r19,0(r4) + 25038: 21000104 addi r4,r4,4 + 2503c: d9002d15 stw r4,180(sp) + 25040: 003ccb06 br 24370 <_gp+0xfffe7504> + 25044: d8c02d17 ldw r3,180(sp) + 25048: d9002917 ldw r4,164(sp) + 2504c: 002d883a mov r22,zero + 25050: 18800104 addi r2,r3,4 + 25054: 1cc00017 ldw r19,0(r3) + 25058: 203ebb0e bge r4,zero,24b48 <_gp+0xfffe7cdc> + 2505c: 003ef106 br 24c24 <_gp+0xfffe7db8> + 25060: 9080040c andi r2,r18,16 + 25064: 1000921e bne r2,zero,252b0 <___vfprintf_internal_r+0x1aac> + 25068: 9480100c andi r18,r18,64 + 2506c: 90013926 beq r18,zero,25554 <___vfprintf_internal_r+0x1d50> + 25070: d9002d17 ldw r4,180(sp) + 25074: d9402f17 ldw r5,188(sp) + 25078: 20800017 ldw r2,0(r4) + 2507c: 21000104 addi r4,r4,4 + 25080: d9002d15 stw r4,180(sp) + 25084: 1140000d sth r5,0(r2) + 25088: 003a1606 br 238e4 <_gp+0xfffe6a78> + 2508c: 9080100c andi r2,r18,64 + 25090: 10008026 beq r2,zero,25294 <___vfprintf_internal_r+0x1a90> + 25094: d8c02d17 ldw r3,180(sp) + 25098: 1cc0000f ldh r19,0(r3) + 2509c: 18c00104 addi r3,r3,4 + 250a0: d8c02d15 stw r3,180(sp) + 250a4: 982dd7fa srai r22,r19,31 + 250a8: b005883a mov r2,r22 + 250ac: 003b1f06 br 23d2c <_gp+0xfffe6ec0> + 250b0: 9080100c andi r2,r18,64 + 250b4: d8002785 stb zero,158(sp) + 250b8: 10008a1e bne r2,zero,252e4 <___vfprintf_internal_r+0x1ae0> + 250bc: d9402d17 ldw r5,180(sp) + 250c0: d8c02917 ldw r3,164(sp) + 250c4: 002d883a mov r22,zero + 250c8: 28800104 addi r2,r5,4 + 250cc: 2cc00017 ldw r19,0(r5) + 250d0: 183e4b0e bge r3,zero,24a00 <_gp+0xfffe7b94> + 250d4: 9d86b03a or r3,r19,r22 + 250d8: d8802d15 stw r2,180(sp) + 250dc: 183e4c1e bne r3,zero,24a10 <_gp+0xfffe7ba4> + 250e0: 0039883a mov fp,zero + 250e4: 0005883a mov r2,zero + 250e8: 003d4006 br 245ec <_gp+0xfffe7780> + 250ec: 014000f4 movhi r5,3 + 250f0: 294a5a84 addi r5,r5,10602 + 250f4: d9402b15 stw r5,172(sp) + 250f8: d9402b17 ldw r5,172(sp) + 250fc: 1c47883a add r3,r3,r17 + 25100: 10800044 addi r2,r2,1 + 25104: 41400015 stw r5,0(r8) + 25108: 44400115 stw r17,4(r8) + 2510c: d8c02015 stw r3,128(sp) + 25110: d8801f15 stw r2,124(sp) + 25114: 010001c4 movi r4,7 + 25118: 20bec816 blt r4,r2,24c3c <_gp+0xfffe7dd0> + 2511c: 42000204 addi r8,r8,8 + 25120: 003ecd06 br 24c58 <_gp+0xfffe7dec> + 25124: d9002917 ldw r4,164(sp) + 25128: d8002785 stb zero,158(sp) + 2512c: 203d2d16 blt r4,zero,245e4 <_gp+0xfffe7778> + 25130: 00bfdfc4 movi r2,-129 + 25134: 90a4703a and r18,r18,r2 + 25138: 003a9106 br 23b80 <_gp+0xfffe6d14> + 2513c: 010000f4 movhi r4,3 + 25140: 210a5a84 addi r4,r4,10602 + 25144: d9002b15 stw r4,172(sp) + 25148: 003c0c06 br 2417c <_gp+0xfffe7310> + 2514c: d9002c17 ldw r4,176(sp) + 25150: d9801e04 addi r6,sp,120 + 25154: b80b883a mov r5,r23 + 25158: 002a7d00 call 2a7d0 <__sprint_r> + 2515c: 103aab1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25160: d8c02017 ldw r3,128(sp) + 25164: da000404 addi r8,sp,16 + 25168: 003d4106 br 24670 <_gp+0xfffe7804> + 2516c: d8801f17 ldw r2,124(sp) + 25170: 014000f4 movhi r5,3 + 25174: 01000044 movi r4,1 + 25178: 18c00044 addi r3,r3,1 + 2517c: 10800044 addi r2,r2,1 + 25180: 294a5a04 addi r5,r5,10600 + 25184: 41000115 stw r4,4(r8) + 25188: 41400015 stw r5,0(r8) + 2518c: d8c02015 stw r3,128(sp) + 25190: d8801f15 stw r2,124(sp) + 25194: 010001c4 movi r4,7 + 25198: 20805c16 blt r4,r2,2530c <___vfprintf_internal_r+0x1b08> + 2519c: 42000204 addi r8,r8,8 + 251a0: 8800041e bne r17,zero,251b4 <___vfprintf_internal_r+0x19b0> + 251a4: d8803317 ldw r2,204(sp) + 251a8: 1000021e bne r2,zero,251b4 <___vfprintf_internal_r+0x19b0> + 251ac: 9080004c andi r2,r18,1 + 251b0: 103c0926 beq r2,zero,241d8 <_gp+0xfffe736c> + 251b4: d9003717 ldw r4,220(sp) + 251b8: d8801f17 ldw r2,124(sp) + 251bc: d9403417 ldw r5,208(sp) + 251c0: 20c7883a add r3,r4,r3 + 251c4: 10800044 addi r2,r2,1 + 251c8: 41000115 stw r4,4(r8) + 251cc: 41400015 stw r5,0(r8) + 251d0: d8c02015 stw r3,128(sp) + 251d4: d8801f15 stw r2,124(sp) + 251d8: 010001c4 movi r4,7 + 251dc: 20812116 blt r4,r2,25664 <___vfprintf_internal_r+0x1e60> + 251e0: 42000204 addi r8,r8,8 + 251e4: 0463c83a sub r17,zero,r17 + 251e8: 0440730e bge zero,r17,253b8 <___vfprintf_internal_r+0x1bb4> + 251ec: 05800404 movi r22,16 + 251f0: b440860e bge r22,r17,2540c <___vfprintf_internal_r+0x1c08> + 251f4: 014000f4 movhi r5,3 + 251f8: 294a5a84 addi r5,r5,10602 + 251fc: d9402b15 stw r5,172(sp) + 25200: 070001c4 movi fp,7 + 25204: dcc02c17 ldw r19,176(sp) + 25208: 00000306 br 25218 <___vfprintf_internal_r+0x1a14> + 2520c: 42000204 addi r8,r8,8 + 25210: 8c7ffc04 addi r17,r17,-16 + 25214: b440800e bge r22,r17,25418 <___vfprintf_internal_r+0x1c14> + 25218: 18c00404 addi r3,r3,16 + 2521c: 10800044 addi r2,r2,1 + 25220: 45000015 stw r20,0(r8) + 25224: 45800115 stw r22,4(r8) + 25228: d8c02015 stw r3,128(sp) + 2522c: d8801f15 stw r2,124(sp) + 25230: e0bff60e bge fp,r2,2520c <_gp+0xfffe83a0> + 25234: d9801e04 addi r6,sp,120 + 25238: b80b883a mov r5,r23 + 2523c: 9809883a mov r4,r19 + 25240: 002a7d00 call 2a7d0 <__sprint_r> + 25244: 103a711e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25248: d8c02017 ldw r3,128(sp) + 2524c: d8801f17 ldw r2,124(sp) + 25250: da000404 addi r8,sp,16 + 25254: 003fee06 br 25210 <_gp+0xfffe83a4> + 25258: 00bfffc4 movi r2,-1 + 2525c: 003a6f06 br 23c1c <_gp+0xfffe6db0> + 25260: 008011c4 movi r2,71 + 25264: 1440b816 blt r2,r17,25548 <___vfprintf_internal_r+0x1d44> + 25268: 040000f4 movhi r16,3 + 2526c: 840a4c04 addi r16,r16,10544 + 25270: 00c000c4 movi r3,3 + 25274: 00bfdfc4 movi r2,-129 + 25278: d8c02a15 stw r3,168(sp) + 2527c: 90a4703a and r18,r18,r2 + 25280: df002783 ldbu fp,158(sp) + 25284: d8c02e15 stw r3,184(sp) + 25288: d8002915 stw zero,164(sp) + 2528c: d8003215 stw zero,200(sp) + 25290: 003b2906 br 23f38 <_gp+0xfffe70cc> + 25294: d9002d17 ldw r4,180(sp) + 25298: 24c00017 ldw r19,0(r4) + 2529c: 21000104 addi r4,r4,4 + 252a0: d9002d15 stw r4,180(sp) + 252a4: 982dd7fa srai r22,r19,31 + 252a8: b005883a mov r2,r22 + 252ac: 003a9f06 br 23d2c <_gp+0xfffe6ec0> + 252b0: d9402d17 ldw r5,180(sp) + 252b4: d8c02f17 ldw r3,188(sp) + 252b8: 28800017 ldw r2,0(r5) + 252bc: 29400104 addi r5,r5,4 + 252c0: d9402d15 stw r5,180(sp) + 252c4: 10c00015 stw r3,0(r2) + 252c8: 00398606 br 238e4 <_gp+0xfffe6a78> + 252cc: d9402d17 ldw r5,180(sp) + 252d0: 002d883a mov r22,zero + 252d4: 2cc00017 ldw r19,0(r5) + 252d8: 29400104 addi r5,r5,4 + 252dc: d9402d15 stw r5,180(sp) + 252e0: 003c2306 br 24370 <_gp+0xfffe7504> + 252e4: d8c02d17 ldw r3,180(sp) + 252e8: d9002917 ldw r4,164(sp) + 252ec: 002d883a mov r22,zero + 252f0: 18800104 addi r2,r3,4 + 252f4: 1cc0000b ldhu r19,0(r3) + 252f8: 203dc10e bge r4,zero,24a00 <_gp+0xfffe7b94> + 252fc: 003f7506 br 250d4 <_gp+0xfffe8268> + 25300: 040000f4 movhi r16,3 + 25304: 840a4a04 addi r16,r16,10536 + 25308: 003acc06 br 23e3c <_gp+0xfffe6fd0> + 2530c: d9002c17 ldw r4,176(sp) + 25310: d9801e04 addi r6,sp,120 + 25314: b80b883a mov r5,r23 + 25318: 002a7d00 call 2a7d0 <__sprint_r> + 2531c: 103a3b1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25320: dc402617 ldw r17,152(sp) + 25324: d8c02017 ldw r3,128(sp) + 25328: da000404 addi r8,sp,16 + 2532c: 003f9c06 br 251a0 <_gp+0xfffe8334> + 25330: ac400043 ldbu r17,1(r21) + 25334: 94800814 ori r18,r18,32 + 25338: ad400044 addi r21,r21,1 + 2533c: 8c403fcc andi r17,r17,255 + 25340: 8c40201c xori r17,r17,128 + 25344: 8c7fe004 addi r17,r17,-128 + 25348: 00398f06 br 23988 <_gp+0xfffe6b1c> + 2534c: d8c02d15 stw r3,180(sp) + 25350: 0039883a mov fp,zero + 25354: 003e3506 br 24c2c <_gp+0xfffe7dc0> + 25358: d9002c17 ldw r4,176(sp) + 2535c: d9801e04 addi r6,sp,120 + 25360: b80b883a mov r5,r23 + 25364: 002a7d00 call 2a7d0 <__sprint_r> + 25368: 103a281e bne r2,zero,23c0c <_gp+0xfffe6da0> + 2536c: d8c02017 ldw r3,128(sp) + 25370: da000404 addi r8,sp,16 + 25374: 003cd006 br 246b8 <_gp+0xfffe784c> + 25378: 8009883a mov r4,r16 + 2537c: da003d15 stw r8,244(sp) + 25380: 002376c0 call 2376c + 25384: d8802e15 stw r2,184(sp) + 25388: da003d17 ldw r8,244(sp) + 2538c: 103c340e bge r2,zero,24460 <_gp+0xfffe75f4> + 25390: 0005883a mov r2,zero + 25394: 003c3206 br 24460 <_gp+0xfffe75f4> + 25398: d9002c17 ldw r4,176(sp) + 2539c: d9801e04 addi r6,sp,120 + 253a0: b80b883a mov r5,r23 + 253a4: 002a7d00 call 2a7d0 <__sprint_r> + 253a8: 103a181e bne r2,zero,23c0c <_gp+0xfffe6da0> + 253ac: d8c02017 ldw r3,128(sp) + 253b0: d8801f17 ldw r2,124(sp) + 253b4: da000404 addi r8,sp,16 + 253b8: d9403317 ldw r5,204(sp) + 253bc: 10800044 addi r2,r2,1 + 253c0: 44000015 stw r16,0(r8) + 253c4: 28c7883a add r3,r5,r3 + 253c8: 003b7d06 br 241c0 <_gp+0xfffe7354> + 253cc: 010000f4 movhi r4,3 + 253d0: 210a5e84 addi r4,r4,10618 + 253d4: d9003515 stw r4,212(sp) + 253d8: 003b1406 br 2402c <_gp+0xfffe71c0> + 253dc: 013fffc4 movi r4,-1 + 253e0: 003a3506 br 23cb8 <_gp+0xfffe6e4c> + 253e4: 0023883a mov r17,zero + 253e8: 003d9d06 br 24a60 <_gp+0xfffe7bf4> + 253ec: d9002c17 ldw r4,176(sp) + 253f0: d9801e04 addi r6,sp,120 + 253f4: b80b883a mov r5,r23 + 253f8: 002a7d00 call 2a7d0 <__sprint_r> + 253fc: 103a031e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25400: d8c02017 ldw r3,128(sp) + 25404: da000404 addi r8,sp,16 + 25408: 003d9406 br 24a5c <_gp+0xfffe7bf0> + 2540c: 010000f4 movhi r4,3 + 25410: 210a5a84 addi r4,r4,10602 + 25414: d9002b15 stw r4,172(sp) + 25418: d9002b17 ldw r4,172(sp) + 2541c: 1c47883a add r3,r3,r17 + 25420: 10800044 addi r2,r2,1 + 25424: 41000015 stw r4,0(r8) + 25428: 44400115 stw r17,4(r8) + 2542c: d8c02015 stw r3,128(sp) + 25430: d8801f15 stw r2,124(sp) + 25434: 010001c4 movi r4,7 + 25438: 20bfd716 blt r4,r2,25398 <_gp+0xfffe852c> + 2543c: 42000204 addi r8,r8,8 + 25440: 003fdd06 br 253b8 <_gp+0xfffe854c> + 25444: d9002c17 ldw r4,176(sp) + 25448: d9801e04 addi r6,sp,120 + 2544c: b80b883a mov r5,r23 + 25450: 002a7d00 call 2a7d0 <__sprint_r> + 25454: 1039ed1e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25458: d8802617 ldw r2,152(sp) + 2545c: d8c02017 ldw r3,128(sp) + 25460: da000404 addi r8,sp,16 + 25464: 003e1006 br 24ca8 <_gp+0xfffe7e3c> + 25468: 00800044 movi r2,1 + 2546c: 10803fcc andi r2,r2,255 + 25470: 00c00044 movi r3,1 + 25474: 10fa3526 beq r2,r3,23d4c <_gp+0xfffe6ee0> + 25478: 00c00084 movi r3,2 + 2547c: 10fbcb26 beq r2,r3,243ac <_gp+0xfffe7540> + 25480: 003a8f06 br 23ec0 <_gp+0xfffe7054> + 25484: 010000f4 movhi r4,3 + 25488: 210a5e84 addi r4,r4,10618 + 2548c: d9003515 stw r4,212(sp) + 25490: 003b7606 br 2426c <_gp+0xfffe7400> + 25494: d8802917 ldw r2,164(sp) + 25498: 00c00184 movi r3,6 + 2549c: 1880012e bgeu r3,r2,254a4 <___vfprintf_internal_r+0x1ca0> + 254a0: 1805883a mov r2,r3 + 254a4: d8802e15 stw r2,184(sp) + 254a8: 1000ef16 blt r2,zero,25868 <___vfprintf_internal_r+0x2064> + 254ac: 040000f4 movhi r16,3 + 254b0: d8802a15 stw r2,168(sp) + 254b4: dcc02d15 stw r19,180(sp) + 254b8: d8002915 stw zero,164(sp) + 254bc: d8003215 stw zero,200(sp) + 254c0: 840a5804 addi r16,r16,10592 + 254c4: 0039883a mov fp,zero + 254c8: 003aa206 br 23f54 <_gp+0xfffe70e8> + 254cc: 0021883a mov r16,zero + 254d0: 003e0706 br 24cf0 <_gp+0xfffe7e84> + 254d4: d9002c17 ldw r4,176(sp) + 254d8: d9801e04 addi r6,sp,120 + 254dc: b80b883a mov r5,r23 + 254e0: 002a7d00 call 2a7d0 <__sprint_r> + 254e4: 1039c91e bne r2,zero,23c0c <_gp+0xfffe6da0> + 254e8: d8802617 ldw r2,152(sp) + 254ec: d9403317 ldw r5,204(sp) + 254f0: d8c02017 ldw r3,128(sp) + 254f4: da000404 addi r8,sp,16 + 254f8: 2885c83a sub r2,r5,r2 + 254fc: 003dfb06 br 24cec <_gp+0xfffe7e80> + 25500: 9080004c andi r2,r18,1 + 25504: 103e8f1e bne r2,zero,24f44 <_gp+0xfffe80d8> + 25508: d8802117 ldw r2,132(sp) + 2550c: 003e9e06 br 24f88 <_gp+0xfffe811c> + 25510: 1025883a mov r18,r2 + 25514: 0039883a mov fp,zero + 25518: 00800084 movi r2,2 + 2551c: 003fd306 br 2546c <_gp+0xfffe8600> + 25520: 07000b44 movi fp,45 + 25524: df002785 stb fp,158(sp) + 25528: 003a4006 br 23e2c <_gp+0xfffe6fc0> + 2552c: 00c00b44 movi r3,45 + 25530: d8c02785 stb r3,158(sp) + 25534: d8802a15 stw r2,168(sp) + 25538: dc802b17 ldw r18,172(sp) + 2553c: d8002915 stw zero,164(sp) + 25540: 07000b44 movi fp,45 + 25544: 003a8006 br 23f48 <_gp+0xfffe70dc> + 25548: 040000f4 movhi r16,3 + 2554c: 840a4d04 addi r16,r16,10548 + 25550: 003f4706 br 25270 <_gp+0xfffe8404> + 25554: d8c02d17 ldw r3,180(sp) + 25558: d9002f17 ldw r4,188(sp) + 2555c: 18800017 ldw r2,0(r3) + 25560: 18c00104 addi r3,r3,4 + 25564: d8c02d15 stw r3,180(sp) + 25568: 11000015 stw r4,0(r2) + 2556c: 0038dd06 br 238e4 <_gp+0xfffe6a78> + 25570: dd802617 ldw r22,152(sp) + 25574: 00bfff44 movi r2,-3 + 25578: b0801c16 blt r22,r2,255ec <___vfprintf_internal_r+0x1de8> + 2557c: d9402917 ldw r5,164(sp) + 25580: 2d801a16 blt r5,r22,255ec <___vfprintf_internal_r+0x1de8> + 25584: dd803215 stw r22,200(sp) + 25588: 003e8906 br 24fb0 <_gp+0xfffe8144> + 2558c: 010000f4 movhi r4,3 + 25590: 210a5a84 addi r4,r4,10602 + 25594: d9002b15 stw r4,172(sp) + 25598: 003c9106 br 247e0 <_gp+0xfffe7974> + 2559c: e005883a mov r2,fp + 255a0: 003e7906 br 24f88 <_gp+0xfffe811c> + 255a4: d9402917 ldw r5,164(sp) + 255a8: df002783 ldbu fp,158(sp) + 255ac: dcc02d15 stw r19,180(sp) + 255b0: d9402a15 stw r5,168(sp) + 255b4: d9402e15 stw r5,184(sp) + 255b8: d8002915 stw zero,164(sp) + 255bc: d8003215 stw zero,200(sp) + 255c0: 003a5d06 br 23f38 <_gp+0xfffe70cc> + 255c4: 9080004c andi r2,r18,1 + 255c8: 0039883a mov fp,zero + 255cc: 10000426 beq r2,zero,255e0 <___vfprintf_internal_r+0x1ddc> + 255d0: 00800c04 movi r2,48 + 255d4: dc001dc4 addi r16,sp,119 + 255d8: d8801dc5 stb r2,119(sp) + 255dc: 003b8006 br 243e0 <_gp+0xfffe7574> + 255e0: d8002e15 stw zero,184(sp) + 255e4: dc001e04 addi r16,sp,120 + 255e8: 003a4d06 br 23f20 <_gp+0xfffe70b4> + 255ec: 8c7fff84 addi r17,r17,-2 + 255f0: b5bfffc4 addi r22,r22,-1 + 255f4: dd802615 stw r22,152(sp) + 255f8: dc4022c5 stb r17,139(sp) + 255fc: b000bf16 blt r22,zero,258fc <___vfprintf_internal_r+0x20f8> + 25600: 00800ac4 movi r2,43 + 25604: d8802305 stb r2,140(sp) + 25608: 00800244 movi r2,9 + 2560c: 15807016 blt r2,r22,257d0 <___vfprintf_internal_r+0x1fcc> + 25610: 00800c04 movi r2,48 + 25614: b5800c04 addi r22,r22,48 + 25618: d8802345 stb r2,141(sp) + 2561c: dd802385 stb r22,142(sp) + 25620: d88023c4 addi r2,sp,143 + 25624: df0022c4 addi fp,sp,139 + 25628: d8c03317 ldw r3,204(sp) + 2562c: 1739c83a sub fp,r2,fp + 25630: d9003317 ldw r4,204(sp) + 25634: e0c7883a add r3,fp,r3 + 25638: df003a15 stw fp,232(sp) + 2563c: d8c02e15 stw r3,184(sp) + 25640: 00800044 movi r2,1 + 25644: 1100b30e bge r2,r4,25914 <___vfprintf_internal_r+0x2110> + 25648: d8c02e17 ldw r3,184(sp) + 2564c: 18c00044 addi r3,r3,1 + 25650: d8c02e15 stw r3,184(sp) + 25654: 1805883a mov r2,r3 + 25658: 1800ac16 blt r3,zero,2590c <___vfprintf_internal_r+0x2108> + 2565c: d8003215 stw zero,200(sp) + 25660: 003e5d06 br 24fd8 <_gp+0xfffe816c> + 25664: d9002c17 ldw r4,176(sp) + 25668: d9801e04 addi r6,sp,120 + 2566c: b80b883a mov r5,r23 + 25670: 002a7d00 call 2a7d0 <__sprint_r> + 25674: 1039651e bne r2,zero,23c0c <_gp+0xfffe6da0> + 25678: dc402617 ldw r17,152(sp) + 2567c: d8c02017 ldw r3,128(sp) + 25680: d8801f17 ldw r2,124(sp) + 25684: da000404 addi r8,sp,16 + 25688: 003ed606 br 251e4 <_gp+0xfffe8378> + 2568c: 582b883a mov r21,r11 + 25690: d8002915 stw zero,164(sp) + 25694: 0038bd06 br 2398c <_gp+0xfffe6b20> + 25698: d8802917 ldw r2,164(sp) + 2569c: 103e071e bne r2,zero,24ebc <_gp+0xfffe8050> + 256a0: dc002915 stw r16,164(sp) + 256a4: 003e0506 br 24ebc <_gp+0xfffe8050> + 256a8: d9002917 ldw r4,164(sp) + 256ac: 20c00044 addi r3,r4,1 + 256b0: 003e0f06 br 24ef0 <_gp+0xfffe8084> + 256b4: 01400184 movi r5,6 + 256b8: d9402915 stw r5,164(sp) + 256bc: 003dff06 br 24ebc <_gp+0xfffe8050> + 256c0: d8802104 addi r2,sp,132 + 256c4: d8800315 stw r2,12(sp) + 256c8: d8802504 addi r2,sp,148 + 256cc: d8800215 stw r2,8(sp) + 256d0: d8802604 addi r2,sp,152 + 256d4: d8800115 stw r2,4(sp) + 256d8: d8802917 ldw r2,164(sp) + 256dc: d9403617 ldw r5,216(sp) + 256e0: d9002c17 ldw r4,176(sp) + 256e4: d8800015 stw r2,0(sp) + 256e8: 01c000c4 movi r7,3 + 256ec: 980d883a mov r6,r19 + 256f0: da003d15 stw r8,244(sp) + 256f4: 0025e280 call 25e28 <_dtoa_r> + 256f8: d8c02917 ldw r3,164(sp) + 256fc: da003d17 ldw r8,244(sp) + 25700: 1021883a mov r16,r2 + 25704: 10f9883a add fp,r2,r3 + 25708: 81000007 ldb r4,0(r16) + 2570c: 00800c04 movi r2,48 + 25710: 20805e26 beq r4,r2,2588c <___vfprintf_internal_r+0x2088> + 25714: d8c02617 ldw r3,152(sp) + 25718: e0f9883a add fp,fp,r3 + 2571c: 003e0a06 br 24f48 <_gp+0xfffe80dc> + 25720: 00c00b44 movi r3,45 + 25724: 24e0003c xorhi r19,r4,32768 + 25728: d8c02a05 stb r3,168(sp) + 2572c: 003de906 br 24ed4 <_gp+0xfffe8068> + 25730: d8c03217 ldw r3,200(sp) + 25734: 00c07a0e bge zero,r3,25920 <___vfprintf_internal_r+0x211c> + 25738: 00800044 movi r2,1 + 2573c: d9003317 ldw r4,204(sp) + 25740: 1105883a add r2,r2,r4 + 25744: d8802e15 stw r2,184(sp) + 25748: 10004e16 blt r2,zero,25884 <___vfprintf_internal_r+0x2080> + 2574c: 044019c4 movi r17,103 + 25750: 003e2106 br 24fd8 <_gp+0xfffe816c> + 25754: d9002917 ldw r4,164(sp) + 25758: d8802104 addi r2,sp,132 + 2575c: d8800315 stw r2,12(sp) + 25760: d9000015 stw r4,0(sp) + 25764: d8802504 addi r2,sp,148 + 25768: d9403617 ldw r5,216(sp) + 2576c: d9002c17 ldw r4,176(sp) + 25770: d8800215 stw r2,8(sp) + 25774: d8802604 addi r2,sp,152 + 25778: d8800115 stw r2,4(sp) + 2577c: 01c000c4 movi r7,3 + 25780: 980d883a mov r6,r19 + 25784: da003d15 stw r8,244(sp) + 25788: 0025e280 call 25e28 <_dtoa_r> + 2578c: d8c02917 ldw r3,164(sp) + 25790: da003d17 ldw r8,244(sp) + 25794: 1021883a mov r16,r2 + 25798: 00801184 movi r2,70 + 2579c: 80f9883a add fp,r16,r3 + 257a0: 88bfd926 beq r17,r2,25708 <_gp+0xfffe889c> + 257a4: 003de806 br 24f48 <_gp+0xfffe80dc> + 257a8: d9002917 ldw r4,164(sp) + 257ac: 00c04d0e bge zero,r3,258e4 <___vfprintf_internal_r+0x20e0> + 257b0: 2000441e bne r4,zero,258c4 <___vfprintf_internal_r+0x20c0> + 257b4: 9480004c andi r18,r18,1 + 257b8: 9000421e bne r18,zero,258c4 <___vfprintf_internal_r+0x20c0> + 257bc: 1805883a mov r2,r3 + 257c0: 18007016 blt r3,zero,25984 <___vfprintf_internal_r+0x2180> + 257c4: d8c03217 ldw r3,200(sp) + 257c8: d8c02e15 stw r3,184(sp) + 257cc: 003e0206 br 24fd8 <_gp+0xfffe816c> + 257d0: df0022c4 addi fp,sp,139 + 257d4: dc002915 stw r16,164(sp) + 257d8: 4027883a mov r19,r8 + 257dc: e021883a mov r16,fp + 257e0: b009883a mov r4,r22 + 257e4: 01400284 movi r5,10 + 257e8: 00229ac0 call 229ac <__modsi3> + 257ec: 10800c04 addi r2,r2,48 + 257f0: 843fffc4 addi r16,r16,-1 + 257f4: b009883a mov r4,r22 + 257f8: 01400284 movi r5,10 + 257fc: 80800005 stb r2,0(r16) + 25800: 00229280 call 22928 <__divsi3> + 25804: 102d883a mov r22,r2 + 25808: 00800244 movi r2,9 + 2580c: 15bff416 blt r2,r22,257e0 <_gp+0xfffe8974> + 25810: 9811883a mov r8,r19 + 25814: b0800c04 addi r2,r22,48 + 25818: 8027883a mov r19,r16 + 2581c: 997fffc4 addi r5,r19,-1 + 25820: 98bfffc5 stb r2,-1(r19) + 25824: dc002917 ldw r16,164(sp) + 25828: 2f006a2e bgeu r5,fp,259d4 <___vfprintf_internal_r+0x21d0> + 2582c: d9c02384 addi r7,sp,142 + 25830: 3ccfc83a sub r7,r7,r19 + 25834: d9002344 addi r4,sp,141 + 25838: e1cf883a add r7,fp,r7 + 2583c: 00000106 br 25844 <___vfprintf_internal_r+0x2040> + 25840: 28800003 ldbu r2,0(r5) + 25844: 20800005 stb r2,0(r4) + 25848: 21000044 addi r4,r4,1 + 2584c: 29400044 addi r5,r5,1 + 25850: 393ffb1e bne r7,r4,25840 <_gp+0xfffe89d4> + 25854: d8802304 addi r2,sp,140 + 25858: 14c5c83a sub r2,r2,r19 + 2585c: d8c02344 addi r3,sp,141 + 25860: 1885883a add r2,r3,r2 + 25864: 003f7006 br 25628 <_gp+0xfffe87bc> + 25868: 0005883a mov r2,zero + 2586c: 003f0f06 br 254ac <_gp+0xfffe8640> + 25870: d8c03217 ldw r3,200(sp) + 25874: 18c00044 addi r3,r3,1 + 25878: d8c02e15 stw r3,184(sp) + 2587c: 1805883a mov r2,r3 + 25880: 183fb20e bge r3,zero,2574c <_gp+0xfffe88e0> + 25884: 0005883a mov r2,zero + 25888: 003fb006 br 2574c <_gp+0xfffe88e0> + 2588c: d9003617 ldw r4,216(sp) + 25890: 000d883a mov r6,zero + 25894: 000f883a mov r7,zero + 25898: 980b883a mov r5,r19 + 2589c: d8c03c15 stw r3,240(sp) + 258a0: da003d15 stw r8,244(sp) + 258a4: 002e2940 call 2e294 <__eqdf2> + 258a8: d8c03c17 ldw r3,240(sp) + 258ac: da003d17 ldw r8,244(sp) + 258b0: 103f9826 beq r2,zero,25714 <_gp+0xfffe88a8> + 258b4: 00800044 movi r2,1 + 258b8: 10c7c83a sub r3,r2,r3 + 258bc: d8c02615 stw r3,152(sp) + 258c0: 003f9506 br 25718 <_gp+0xfffe88ac> + 258c4: d9002917 ldw r4,164(sp) + 258c8: d8c03217 ldw r3,200(sp) + 258cc: 20800044 addi r2,r4,1 + 258d0: 1885883a add r2,r3,r2 + 258d4: d8802e15 stw r2,184(sp) + 258d8: 103dbf0e bge r2,zero,24fd8 <_gp+0xfffe816c> + 258dc: 0005883a mov r2,zero + 258e0: 003dbd06 br 24fd8 <_gp+0xfffe816c> + 258e4: 2000211e bne r4,zero,2596c <___vfprintf_internal_r+0x2168> + 258e8: 9480004c andi r18,r18,1 + 258ec: 90001f1e bne r18,zero,2596c <___vfprintf_internal_r+0x2168> + 258f0: 00800044 movi r2,1 + 258f4: d8802e15 stw r2,184(sp) + 258f8: 003db706 br 24fd8 <_gp+0xfffe816c> + 258fc: 00800b44 movi r2,45 + 25900: 05adc83a sub r22,zero,r22 + 25904: d8802305 stb r2,140(sp) + 25908: 003f3f06 br 25608 <_gp+0xfffe879c> + 2590c: 0005883a mov r2,zero + 25910: 003f5206 br 2565c <_gp+0xfffe87f0> + 25914: 90a4703a and r18,r18,r2 + 25918: 903f4e26 beq r18,zero,25654 <_gp+0xfffe87e8> + 2591c: 003f4a06 br 25648 <_gp+0xfffe87dc> + 25920: 00800084 movi r2,2 + 25924: 10c5c83a sub r2,r2,r3 + 25928: 003f8406 br 2573c <_gp+0xfffe88d0> + 2592c: d8802d17 ldw r2,180(sp) + 25930: d9002d17 ldw r4,180(sp) + 25934: ac400043 ldbu r17,1(r21) + 25938: 10800017 ldw r2,0(r2) + 2593c: 582b883a mov r21,r11 + 25940: d8802915 stw r2,164(sp) + 25944: 20800104 addi r2,r4,4 + 25948: d9002917 ldw r4,164(sp) + 2594c: d8802d15 stw r2,180(sp) + 25950: 203e7a0e bge r4,zero,2533c <_gp+0xfffe84d0> + 25954: 8c403fcc andi r17,r17,255 + 25958: 00bfffc4 movi r2,-1 + 2595c: 8c40201c xori r17,r17,128 + 25960: d8802915 stw r2,164(sp) + 25964: 8c7fe004 addi r17,r17,-128 + 25968: 00380706 br 23988 <_gp+0xfffe6b1c> + 2596c: d8c02917 ldw r3,164(sp) + 25970: 18c00084 addi r3,r3,2 + 25974: d8c02e15 stw r3,184(sp) + 25978: 1805883a mov r2,r3 + 2597c: 183d960e bge r3,zero,24fd8 <_gp+0xfffe816c> + 25980: 003fd606 br 258dc <_gp+0xfffe8a70> + 25984: 0005883a mov r2,zero + 25988: 003f8e06 br 257c4 <_gp+0xfffe8958> + 2598c: 9080004c andi r2,r18,1 + 25990: 103f811e bne r2,zero,25798 <_gp+0xfffe892c> + 25994: d8802117 ldw r2,132(sp) + 25998: 1405c83a sub r2,r2,r16 + 2599c: d8803315 stw r2,204(sp) + 259a0: b47ef326 beq r22,r17,25570 <_gp+0xfffe8704> + 259a4: dd802617 ldw r22,152(sp) + 259a8: 003f1106 br 255f0 <_gp+0xfffe8784> + 259ac: d9c02785 stb r7,158(sp) + 259b0: 00390406 br 23dc4 <_gp+0xfffe6f58> + 259b4: d9c02785 stb r7,158(sp) + 259b8: 0038d306 br 23d08 <_gp+0xfffe6e9c> + 259bc: d9c02785 stb r7,158(sp) + 259c0: 003a6106 br 24348 <_gp+0xfffe74dc> + 259c4: d9c02785 stb r7,158(sp) + 259c8: 003af806 br 245ac <_gp+0xfffe7740> + 259cc: 0005883a mov r2,zero + 259d0: 003d7e06 br 24fcc <_gp+0xfffe8160> + 259d4: d8802344 addi r2,sp,141 + 259d8: 003f1306 br 25628 <_gp+0xfffe87bc> + 259dc: d9c02785 stb r7,158(sp) + 259e0: 00392306 br 23e70 <_gp+0xfffe7004> + 259e4: d9c02785 stb r7,158(sp) + 259e8: 003aa906 br 24490 <_gp+0xfffe7624> + 259ec: d9c02785 stb r7,158(sp) + 259f0: 003a3d06 br 242e8 <_gp+0xfffe747c> + 259f4: d9c02785 stb r7,158(sp) + 259f8: 003aca06 br 24524 <_gp+0xfffe76b8> -00025438 <__vfprintf_internal>: - 25438: 008000f4 movhi r2,3 - 2543c: 10915404 addi r2,r2,17744 - 25440: 300f883a mov r7,r6 - 25444: 280d883a mov r6,r5 - 25448: 200b883a mov r5,r4 - 2544c: 11000017 ldw r4,0(r2) - 25450: 00232401 jmpi 23240 <___vfprintf_internal_r> +000259fc <__vfprintf_internal>: + 259fc: 008000f4 movhi r2,3 + 25a00: 10939f04 addi r2,r2,20092 + 25a04: 300f883a mov r7,r6 + 25a08: 280d883a mov r6,r5 + 25a0c: 200b883a mov r5,r4 + 25a10: 11000017 ldw r4,0(r2) + 25a14: 00238041 jmpi 23804 <___vfprintf_internal_r> -00025454 <__sbprintf>: - 25454: 2880030b ldhu r2,12(r5) - 25458: 2ac01917 ldw r11,100(r5) - 2545c: 2a80038b ldhu r10,14(r5) - 25460: 2a400717 ldw r9,28(r5) - 25464: 2a000917 ldw r8,36(r5) - 25468: defee204 addi sp,sp,-1144 - 2546c: 00c10004 movi r3,1024 - 25470: dc011a15 stw r16,1128(sp) - 25474: 10bfff4c andi r2,r2,65533 - 25478: 2821883a mov r16,r5 - 2547c: d8cb883a add r5,sp,r3 - 25480: dc811c15 stw r18,1136(sp) - 25484: dc411b15 stw r17,1132(sp) - 25488: dfc11d15 stw ra,1140(sp) - 2548c: 2025883a mov r18,r4 - 25490: d881030d sth r2,1036(sp) - 25494: dac11915 stw r11,1124(sp) - 25498: da81038d sth r10,1038(sp) - 2549c: da410715 stw r9,1052(sp) - 254a0: da010915 stw r8,1060(sp) - 254a4: dec10015 stw sp,1024(sp) - 254a8: dec10415 stw sp,1040(sp) - 254ac: d8c10215 stw r3,1032(sp) - 254b0: d8c10515 stw r3,1044(sp) - 254b4: d8010615 stw zero,1048(sp) - 254b8: 00232400 call 23240 <___vfprintf_internal_r> - 254bc: 1023883a mov r17,r2 - 254c0: 10000416 blt r2,zero,254d4 <__sbprintf+0x80> - 254c4: d9410004 addi r5,sp,1024 - 254c8: 9009883a mov r4,r18 - 254cc: 00271080 call 27108 <_fflush_r> - 254d0: 10000d1e bne r2,zero,25508 <__sbprintf+0xb4> - 254d4: d881030b ldhu r2,1036(sp) - 254d8: 1080100c andi r2,r2,64 - 254dc: 10000326 beq r2,zero,254ec <__sbprintf+0x98> - 254e0: 8080030b ldhu r2,12(r16) - 254e4: 10801014 ori r2,r2,64 - 254e8: 8080030d sth r2,12(r16) - 254ec: 8805883a mov r2,r17 - 254f0: dfc11d17 ldw ra,1140(sp) - 254f4: dc811c17 ldw r18,1136(sp) - 254f8: dc411b17 ldw r17,1132(sp) - 254fc: dc011a17 ldw r16,1128(sp) - 25500: dec11e04 addi sp,sp,1144 - 25504: f800283a ret - 25508: 047fffc4 movi r17,-1 - 2550c: 003ff106 br 254d4 <_gp+0xfffe8f9c> +00025a18 <__sbprintf>: + 25a18: 2880030b ldhu r2,12(r5) + 25a1c: 2ac01917 ldw r11,100(r5) + 25a20: 2a80038b ldhu r10,14(r5) + 25a24: 2a400717 ldw r9,28(r5) + 25a28: 2a000917 ldw r8,36(r5) + 25a2c: defee204 addi sp,sp,-1144 + 25a30: 00c10004 movi r3,1024 + 25a34: dc011a15 stw r16,1128(sp) + 25a38: 10bfff4c andi r2,r2,65533 + 25a3c: 2821883a mov r16,r5 + 25a40: d8cb883a add r5,sp,r3 + 25a44: dc811c15 stw r18,1136(sp) + 25a48: dc411b15 stw r17,1132(sp) + 25a4c: dfc11d15 stw ra,1140(sp) + 25a50: 2025883a mov r18,r4 + 25a54: d881030d sth r2,1036(sp) + 25a58: dac11915 stw r11,1124(sp) + 25a5c: da81038d sth r10,1038(sp) + 25a60: da410715 stw r9,1052(sp) + 25a64: da010915 stw r8,1060(sp) + 25a68: dec10015 stw sp,1024(sp) + 25a6c: dec10415 stw sp,1040(sp) + 25a70: d8c10215 stw r3,1032(sp) + 25a74: d8c10515 stw r3,1044(sp) + 25a78: d8010615 stw zero,1048(sp) + 25a7c: 00238040 call 23804 <___vfprintf_internal_r> + 25a80: 1023883a mov r17,r2 + 25a84: 10000416 blt r2,zero,25a98 <__sbprintf+0x80> + 25a88: d9410004 addi r5,sp,1024 + 25a8c: 9009883a mov r4,r18 + 25a90: 00276cc0 call 276cc <_fflush_r> + 25a94: 10000d1e bne r2,zero,25acc <__sbprintf+0xb4> + 25a98: d881030b ldhu r2,1036(sp) + 25a9c: 1080100c andi r2,r2,64 + 25aa0: 10000326 beq r2,zero,25ab0 <__sbprintf+0x98> + 25aa4: 8080030b ldhu r2,12(r16) + 25aa8: 10801014 ori r2,r2,64 + 25aac: 8080030d sth r2,12(r16) + 25ab0: 8805883a mov r2,r17 + 25ab4: dfc11d17 ldw ra,1140(sp) + 25ab8: dc811c17 ldw r18,1136(sp) + 25abc: dc411b17 ldw r17,1132(sp) + 25ac0: dc011a17 ldw r16,1128(sp) + 25ac4: dec11e04 addi sp,sp,1144 + 25ac8: f800283a ret + 25acc: 047fffc4 movi r17,-1 + 25ad0: 003ff106 br 25a98 <_gp+0xfffe8c2c> -00025510 <__swsetup_r>: - 25510: 008000f4 movhi r2,3 - 25514: defffd04 addi sp,sp,-12 - 25518: 10915404 addi r2,r2,17744 - 2551c: dc400115 stw r17,4(sp) - 25520: 2023883a mov r17,r4 - 25524: 11000017 ldw r4,0(r2) - 25528: dc000015 stw r16,0(sp) - 2552c: dfc00215 stw ra,8(sp) - 25530: 2821883a mov r16,r5 - 25534: 20000226 beq r4,zero,25540 <__swsetup_r+0x30> - 25538: 20800e17 ldw r2,56(r4) - 2553c: 10003126 beq r2,zero,25604 <__swsetup_r+0xf4> - 25540: 8080030b ldhu r2,12(r16) - 25544: 10c0020c andi r3,r2,8 - 25548: 1009883a mov r4,r2 - 2554c: 18000f26 beq r3,zero,2558c <__swsetup_r+0x7c> - 25550: 80c00417 ldw r3,16(r16) - 25554: 18001526 beq r3,zero,255ac <__swsetup_r+0x9c> - 25558: 1100004c andi r4,r2,1 - 2555c: 20001c1e bne r4,zero,255d0 <__swsetup_r+0xc0> - 25560: 1080008c andi r2,r2,2 - 25564: 1000291e bne r2,zero,2560c <__swsetup_r+0xfc> - 25568: 80800517 ldw r2,20(r16) - 2556c: 80800215 stw r2,8(r16) - 25570: 18001c26 beq r3,zero,255e4 <__swsetup_r+0xd4> - 25574: 0005883a mov r2,zero - 25578: dfc00217 ldw ra,8(sp) - 2557c: dc400117 ldw r17,4(sp) - 25580: dc000017 ldw r16,0(sp) - 25584: dec00304 addi sp,sp,12 - 25588: f800283a ret - 2558c: 2080040c andi r2,r4,16 - 25590: 10002e26 beq r2,zero,2564c <__swsetup_r+0x13c> - 25594: 2080010c andi r2,r4,4 - 25598: 10001e1e bne r2,zero,25614 <__swsetup_r+0x104> - 2559c: 80c00417 ldw r3,16(r16) - 255a0: 20800214 ori r2,r4,8 - 255a4: 8080030d sth r2,12(r16) - 255a8: 183feb1e bne r3,zero,25558 <_gp+0xfffe9020> - 255ac: 1100a00c andi r4,r2,640 - 255b0: 01408004 movi r5,512 - 255b4: 217fe826 beq r4,r5,25558 <_gp+0xfffe9020> - 255b8: 800b883a mov r5,r16 - 255bc: 8809883a mov r4,r17 - 255c0: 00280880 call 28088 <__smakebuf_r> - 255c4: 8080030b ldhu r2,12(r16) - 255c8: 80c00417 ldw r3,16(r16) - 255cc: 003fe206 br 25558 <_gp+0xfffe9020> - 255d0: 80800517 ldw r2,20(r16) - 255d4: 80000215 stw zero,8(r16) - 255d8: 0085c83a sub r2,zero,r2 - 255dc: 80800615 stw r2,24(r16) - 255e0: 183fe41e bne r3,zero,25574 <_gp+0xfffe903c> - 255e4: 80c0030b ldhu r3,12(r16) - 255e8: 0005883a mov r2,zero - 255ec: 1900200c andi r4,r3,128 - 255f0: 203fe126 beq r4,zero,25578 <_gp+0xfffe9040> - 255f4: 18c01014 ori r3,r3,64 - 255f8: 80c0030d sth r3,12(r16) - 255fc: 00bfffc4 movi r2,-1 - 25600: 003fdd06 br 25578 <_gp+0xfffe9040> - 25604: 00274e40 call 274e4 <__sinit> - 25608: 003fcd06 br 25540 <_gp+0xfffe9008> - 2560c: 0005883a mov r2,zero - 25610: 003fd606 br 2556c <_gp+0xfffe9034> - 25614: 81400c17 ldw r5,48(r16) - 25618: 28000626 beq r5,zero,25634 <__swsetup_r+0x124> - 2561c: 80801004 addi r2,r16,64 - 25620: 28800326 beq r5,r2,25630 <__swsetup_r+0x120> - 25624: 8809883a mov r4,r17 - 25628: 00276580 call 27658 <_free_r> - 2562c: 8100030b ldhu r4,12(r16) - 25630: 80000c15 stw zero,48(r16) - 25634: 80c00417 ldw r3,16(r16) - 25638: 00bff6c4 movi r2,-37 - 2563c: 1108703a and r4,r2,r4 - 25640: 80000115 stw zero,4(r16) - 25644: 80c00015 stw r3,0(r16) - 25648: 003fd506 br 255a0 <_gp+0xfffe9068> - 2564c: 00800244 movi r2,9 - 25650: 88800015 stw r2,0(r17) - 25654: 20801014 ori r2,r4,64 - 25658: 8080030d sth r2,12(r16) - 2565c: 00bfffc4 movi r2,-1 - 25660: 003fc506 br 25578 <_gp+0xfffe9040> +00025ad4 <__swsetup_r>: + 25ad4: 008000f4 movhi r2,3 + 25ad8: defffd04 addi sp,sp,-12 + 25adc: 10939f04 addi r2,r2,20092 + 25ae0: dc400115 stw r17,4(sp) + 25ae4: 2023883a mov r17,r4 + 25ae8: 11000017 ldw r4,0(r2) + 25aec: dc000015 stw r16,0(sp) + 25af0: dfc00215 stw ra,8(sp) + 25af4: 2821883a mov r16,r5 + 25af8: 20000226 beq r4,zero,25b04 <__swsetup_r+0x30> + 25afc: 20800e17 ldw r2,56(r4) + 25b00: 10003126 beq r2,zero,25bc8 <__swsetup_r+0xf4> + 25b04: 8080030b ldhu r2,12(r16) + 25b08: 10c0020c andi r3,r2,8 + 25b0c: 1009883a mov r4,r2 + 25b10: 18000f26 beq r3,zero,25b50 <__swsetup_r+0x7c> + 25b14: 80c00417 ldw r3,16(r16) + 25b18: 18001526 beq r3,zero,25b70 <__swsetup_r+0x9c> + 25b1c: 1100004c andi r4,r2,1 + 25b20: 20001c1e bne r4,zero,25b94 <__swsetup_r+0xc0> + 25b24: 1080008c andi r2,r2,2 + 25b28: 1000291e bne r2,zero,25bd0 <__swsetup_r+0xfc> + 25b2c: 80800517 ldw r2,20(r16) + 25b30: 80800215 stw r2,8(r16) + 25b34: 18001c26 beq r3,zero,25ba8 <__swsetup_r+0xd4> + 25b38: 0005883a mov r2,zero + 25b3c: dfc00217 ldw ra,8(sp) + 25b40: dc400117 ldw r17,4(sp) + 25b44: dc000017 ldw r16,0(sp) + 25b48: dec00304 addi sp,sp,12 + 25b4c: f800283a ret + 25b50: 2080040c andi r2,r4,16 + 25b54: 10002e26 beq r2,zero,25c10 <__swsetup_r+0x13c> + 25b58: 2080010c andi r2,r4,4 + 25b5c: 10001e1e bne r2,zero,25bd8 <__swsetup_r+0x104> + 25b60: 80c00417 ldw r3,16(r16) + 25b64: 20800214 ori r2,r4,8 + 25b68: 8080030d sth r2,12(r16) + 25b6c: 183feb1e bne r3,zero,25b1c <_gp+0xfffe8cb0> + 25b70: 1100a00c andi r4,r2,640 + 25b74: 01408004 movi r5,512 + 25b78: 217fe826 beq r4,r5,25b1c <_gp+0xfffe8cb0> + 25b7c: 800b883a mov r5,r16 + 25b80: 8809883a mov r4,r17 + 25b84: 002864c0 call 2864c <__smakebuf_r> + 25b88: 8080030b ldhu r2,12(r16) + 25b8c: 80c00417 ldw r3,16(r16) + 25b90: 003fe206 br 25b1c <_gp+0xfffe8cb0> + 25b94: 80800517 ldw r2,20(r16) + 25b98: 80000215 stw zero,8(r16) + 25b9c: 0085c83a sub r2,zero,r2 + 25ba0: 80800615 stw r2,24(r16) + 25ba4: 183fe41e bne r3,zero,25b38 <_gp+0xfffe8ccc> + 25ba8: 80c0030b ldhu r3,12(r16) + 25bac: 0005883a mov r2,zero + 25bb0: 1900200c andi r4,r3,128 + 25bb4: 203fe126 beq r4,zero,25b3c <_gp+0xfffe8cd0> + 25bb8: 18c01014 ori r3,r3,64 + 25bbc: 80c0030d sth r3,12(r16) + 25bc0: 00bfffc4 movi r2,-1 + 25bc4: 003fdd06 br 25b3c <_gp+0xfffe8cd0> + 25bc8: 0027aa80 call 27aa8 <__sinit> + 25bcc: 003fcd06 br 25b04 <_gp+0xfffe8c98> + 25bd0: 0005883a mov r2,zero + 25bd4: 003fd606 br 25b30 <_gp+0xfffe8cc4> + 25bd8: 81400c17 ldw r5,48(r16) + 25bdc: 28000626 beq r5,zero,25bf8 <__swsetup_r+0x124> + 25be0: 80801004 addi r2,r16,64 + 25be4: 28800326 beq r5,r2,25bf4 <__swsetup_r+0x120> + 25be8: 8809883a mov r4,r17 + 25bec: 0027c1c0 call 27c1c <_free_r> + 25bf0: 8100030b ldhu r4,12(r16) + 25bf4: 80000c15 stw zero,48(r16) + 25bf8: 80c00417 ldw r3,16(r16) + 25bfc: 00bff6c4 movi r2,-37 + 25c00: 1108703a and r4,r2,r4 + 25c04: 80000115 stw zero,4(r16) + 25c08: 80c00015 stw r3,0(r16) + 25c0c: 003fd506 br 25b64 <_gp+0xfffe8cf8> + 25c10: 00800244 movi r2,9 + 25c14: 88800015 stw r2,0(r17) + 25c18: 20801014 ori r2,r4,64 + 25c1c: 8080030d sth r2,12(r16) + 25c20: 00bfffc4 movi r2,-1 + 25c24: 003fc506 br 25b3c <_gp+0xfffe8cd0> -00025664 : - 25664: defff704 addi sp,sp,-36 - 25668: dc800215 stw r18,8(sp) - 2566c: 20800417 ldw r2,16(r4) - 25670: 2c800417 ldw r18,16(r5) - 25674: dfc00815 stw ra,32(sp) - 25678: ddc00715 stw r23,28(sp) - 2567c: dd800615 stw r22,24(sp) - 25680: dd400515 stw r21,20(sp) - 25684: dd000415 stw r20,16(sp) - 25688: dcc00315 stw r19,12(sp) - 2568c: dc400115 stw r17,4(sp) - 25690: dc000015 stw r16,0(sp) - 25694: 14807116 blt r2,r18,2585c - 25698: 94bfffc4 addi r18,r18,-1 - 2569c: 94ad883a add r22,r18,r18 - 256a0: b5ad883a add r22,r22,r22 - 256a4: 2c400504 addi r17,r5,20 - 256a8: 8da9883a add r20,r17,r22 - 256ac: 25400504 addi r21,r4,20 - 256b0: 282f883a mov r23,r5 - 256b4: adad883a add r22,r21,r22 - 256b8: a1400017 ldw r5,0(r20) - 256bc: 2021883a mov r16,r4 - 256c0: b1000017 ldw r4,0(r22) - 256c4: 29400044 addi r5,r5,1 - 256c8: 00225dc0 call 225dc <__udivsi3> - 256cc: 1027883a mov r19,r2 - 256d0: 10002c26 beq r2,zero,25784 - 256d4: a813883a mov r9,r21 - 256d8: 880b883a mov r5,r17 - 256dc: 0009883a mov r4,zero - 256e0: 000d883a mov r6,zero - 256e4: 2a000017 ldw r8,0(r5) - 256e8: 49c00017 ldw r7,0(r9) - 256ec: 29400104 addi r5,r5,4 - 256f0: 40bfffcc andi r2,r8,65535 - 256f4: 14c5383a mul r2,r2,r19 - 256f8: 4010d43a srli r8,r8,16 - 256fc: 38ffffcc andi r3,r7,65535 - 25700: 1105883a add r2,r2,r4 - 25704: 1008d43a srli r4,r2,16 - 25708: 44d1383a mul r8,r8,r19 - 2570c: 198d883a add r6,r3,r6 - 25710: 10ffffcc andi r3,r2,65535 - 25714: 30c7c83a sub r3,r6,r3 - 25718: 380ed43a srli r7,r7,16 - 2571c: 4105883a add r2,r8,r4 - 25720: 180dd43a srai r6,r3,16 - 25724: 113fffcc andi r4,r2,65535 - 25728: 390fc83a sub r7,r7,r4 - 2572c: 398d883a add r6,r7,r6 - 25730: 300e943a slli r7,r6,16 - 25734: 18ffffcc andi r3,r3,65535 - 25738: 1008d43a srli r4,r2,16 - 2573c: 38ceb03a or r7,r7,r3 - 25740: 49c00015 stw r7,0(r9) - 25744: 300dd43a srai r6,r6,16 - 25748: 4a400104 addi r9,r9,4 - 2574c: a17fe52e bgeu r20,r5,256e4 <_gp+0xfffe91ac> - 25750: b0800017 ldw r2,0(r22) - 25754: 10000b1e bne r2,zero,25784 - 25758: b0bfff04 addi r2,r22,-4 - 2575c: a880082e bgeu r21,r2,25780 - 25760: b0ffff17 ldw r3,-4(r22) - 25764: 18000326 beq r3,zero,25774 - 25768: 00000506 br 25780 - 2576c: 10c00017 ldw r3,0(r2) - 25770: 1800031e bne r3,zero,25780 - 25774: 10bfff04 addi r2,r2,-4 - 25778: 94bfffc4 addi r18,r18,-1 - 2577c: a8bffb36 bltu r21,r2,2576c <_gp+0xfffe9234> - 25780: 84800415 stw r18,16(r16) - 25784: b80b883a mov r5,r23 - 25788: 8009883a mov r4,r16 - 2578c: 0028e940 call 28e94 <__mcmp> - 25790: 10002616 blt r2,zero,2582c - 25794: 9cc00044 addi r19,r19,1 - 25798: a805883a mov r2,r21 - 2579c: 000b883a mov r5,zero - 257a0: 11000017 ldw r4,0(r2) - 257a4: 89800017 ldw r6,0(r17) - 257a8: 10800104 addi r2,r2,4 - 257ac: 20ffffcc andi r3,r4,65535 - 257b0: 194b883a add r5,r3,r5 - 257b4: 30ffffcc andi r3,r6,65535 - 257b8: 28c7c83a sub r3,r5,r3 - 257bc: 300cd43a srli r6,r6,16 - 257c0: 2008d43a srli r4,r4,16 - 257c4: 180bd43a srai r5,r3,16 - 257c8: 18ffffcc andi r3,r3,65535 - 257cc: 2189c83a sub r4,r4,r6 - 257d0: 2149883a add r4,r4,r5 - 257d4: 200c943a slli r6,r4,16 - 257d8: 8c400104 addi r17,r17,4 - 257dc: 200bd43a srai r5,r4,16 - 257e0: 30c6b03a or r3,r6,r3 - 257e4: 10ffff15 stw r3,-4(r2) - 257e8: a47fed2e bgeu r20,r17,257a0 <_gp+0xfffe9268> - 257ec: 9485883a add r2,r18,r18 - 257f0: 1085883a add r2,r2,r2 - 257f4: a887883a add r3,r21,r2 - 257f8: 18800017 ldw r2,0(r3) - 257fc: 10000b1e bne r2,zero,2582c - 25800: 18bfff04 addi r2,r3,-4 - 25804: a880082e bgeu r21,r2,25828 - 25808: 18ffff17 ldw r3,-4(r3) - 2580c: 18000326 beq r3,zero,2581c - 25810: 00000506 br 25828 - 25814: 10c00017 ldw r3,0(r2) - 25818: 1800031e bne r3,zero,25828 - 2581c: 10bfff04 addi r2,r2,-4 - 25820: 94bfffc4 addi r18,r18,-1 - 25824: a8bffb36 bltu r21,r2,25814 <_gp+0xfffe92dc> - 25828: 84800415 stw r18,16(r16) - 2582c: 9805883a mov r2,r19 - 25830: dfc00817 ldw ra,32(sp) - 25834: ddc00717 ldw r23,28(sp) - 25838: dd800617 ldw r22,24(sp) - 2583c: dd400517 ldw r21,20(sp) - 25840: dd000417 ldw r20,16(sp) - 25844: dcc00317 ldw r19,12(sp) - 25848: dc800217 ldw r18,8(sp) - 2584c: dc400117 ldw r17,4(sp) - 25850: dc000017 ldw r16,0(sp) - 25854: dec00904 addi sp,sp,36 - 25858: f800283a ret - 2585c: 0005883a mov r2,zero - 25860: 003ff306 br 25830 <_gp+0xfffe92f8> +00025c28 : + 25c28: defff704 addi sp,sp,-36 + 25c2c: dc800215 stw r18,8(sp) + 25c30: 20800417 ldw r2,16(r4) + 25c34: 2c800417 ldw r18,16(r5) + 25c38: dfc00815 stw ra,32(sp) + 25c3c: ddc00715 stw r23,28(sp) + 25c40: dd800615 stw r22,24(sp) + 25c44: dd400515 stw r21,20(sp) + 25c48: dd000415 stw r20,16(sp) + 25c4c: dcc00315 stw r19,12(sp) + 25c50: dc400115 stw r17,4(sp) + 25c54: dc000015 stw r16,0(sp) + 25c58: 14807116 blt r2,r18,25e20 + 25c5c: 94bfffc4 addi r18,r18,-1 + 25c60: 94ad883a add r22,r18,r18 + 25c64: b5ad883a add r22,r22,r22 + 25c68: 2c400504 addi r17,r5,20 + 25c6c: 8da9883a add r20,r17,r22 + 25c70: 25400504 addi r21,r4,20 + 25c74: 282f883a mov r23,r5 + 25c78: adad883a add r22,r21,r22 + 25c7c: a1400017 ldw r5,0(r20) + 25c80: 2021883a mov r16,r4 + 25c84: b1000017 ldw r4,0(r22) + 25c88: 29400044 addi r5,r5,1 + 25c8c: 0022a200 call 22a20 <__udivsi3> + 25c90: 1027883a mov r19,r2 + 25c94: 10002c26 beq r2,zero,25d48 + 25c98: a813883a mov r9,r21 + 25c9c: 880b883a mov r5,r17 + 25ca0: 0009883a mov r4,zero + 25ca4: 000d883a mov r6,zero + 25ca8: 2a000017 ldw r8,0(r5) + 25cac: 49c00017 ldw r7,0(r9) + 25cb0: 29400104 addi r5,r5,4 + 25cb4: 40bfffcc andi r2,r8,65535 + 25cb8: 14c5383a mul r2,r2,r19 + 25cbc: 4010d43a srli r8,r8,16 + 25cc0: 38ffffcc andi r3,r7,65535 + 25cc4: 1105883a add r2,r2,r4 + 25cc8: 1008d43a srli r4,r2,16 + 25ccc: 44d1383a mul r8,r8,r19 + 25cd0: 198d883a add r6,r3,r6 + 25cd4: 10ffffcc andi r3,r2,65535 + 25cd8: 30c7c83a sub r3,r6,r3 + 25cdc: 380ed43a srli r7,r7,16 + 25ce0: 4105883a add r2,r8,r4 + 25ce4: 180dd43a srai r6,r3,16 + 25ce8: 113fffcc andi r4,r2,65535 + 25cec: 390fc83a sub r7,r7,r4 + 25cf0: 398d883a add r6,r7,r6 + 25cf4: 300e943a slli r7,r6,16 + 25cf8: 18ffffcc andi r3,r3,65535 + 25cfc: 1008d43a srli r4,r2,16 + 25d00: 38ceb03a or r7,r7,r3 + 25d04: 49c00015 stw r7,0(r9) + 25d08: 300dd43a srai r6,r6,16 + 25d0c: 4a400104 addi r9,r9,4 + 25d10: a17fe52e bgeu r20,r5,25ca8 <_gp+0xfffe8e3c> + 25d14: b0800017 ldw r2,0(r22) + 25d18: 10000b1e bne r2,zero,25d48 + 25d1c: b0bfff04 addi r2,r22,-4 + 25d20: a880082e bgeu r21,r2,25d44 + 25d24: b0ffff17 ldw r3,-4(r22) + 25d28: 18000326 beq r3,zero,25d38 + 25d2c: 00000506 br 25d44 + 25d30: 10c00017 ldw r3,0(r2) + 25d34: 1800031e bne r3,zero,25d44 + 25d38: 10bfff04 addi r2,r2,-4 + 25d3c: 94bfffc4 addi r18,r18,-1 + 25d40: a8bffb36 bltu r21,r2,25d30 <_gp+0xfffe8ec4> + 25d44: 84800415 stw r18,16(r16) + 25d48: b80b883a mov r5,r23 + 25d4c: 8009883a mov r4,r16 + 25d50: 00294580 call 29458 <__mcmp> + 25d54: 10002616 blt r2,zero,25df0 + 25d58: 9cc00044 addi r19,r19,1 + 25d5c: a805883a mov r2,r21 + 25d60: 000b883a mov r5,zero + 25d64: 11000017 ldw r4,0(r2) + 25d68: 89800017 ldw r6,0(r17) + 25d6c: 10800104 addi r2,r2,4 + 25d70: 20ffffcc andi r3,r4,65535 + 25d74: 194b883a add r5,r3,r5 + 25d78: 30ffffcc andi r3,r6,65535 + 25d7c: 28c7c83a sub r3,r5,r3 + 25d80: 300cd43a srli r6,r6,16 + 25d84: 2008d43a srli r4,r4,16 + 25d88: 180bd43a srai r5,r3,16 + 25d8c: 18ffffcc andi r3,r3,65535 + 25d90: 2189c83a sub r4,r4,r6 + 25d94: 2149883a add r4,r4,r5 + 25d98: 200c943a slli r6,r4,16 + 25d9c: 8c400104 addi r17,r17,4 + 25da0: 200bd43a srai r5,r4,16 + 25da4: 30c6b03a or r3,r6,r3 + 25da8: 10ffff15 stw r3,-4(r2) + 25dac: a47fed2e bgeu r20,r17,25d64 <_gp+0xfffe8ef8> + 25db0: 9485883a add r2,r18,r18 + 25db4: 1085883a add r2,r2,r2 + 25db8: a887883a add r3,r21,r2 + 25dbc: 18800017 ldw r2,0(r3) + 25dc0: 10000b1e bne r2,zero,25df0 + 25dc4: 18bfff04 addi r2,r3,-4 + 25dc8: a880082e bgeu r21,r2,25dec + 25dcc: 18ffff17 ldw r3,-4(r3) + 25dd0: 18000326 beq r3,zero,25de0 + 25dd4: 00000506 br 25dec + 25dd8: 10c00017 ldw r3,0(r2) + 25ddc: 1800031e bne r3,zero,25dec + 25de0: 10bfff04 addi r2,r2,-4 + 25de4: 94bfffc4 addi r18,r18,-1 + 25de8: a8bffb36 bltu r21,r2,25dd8 <_gp+0xfffe8f6c> + 25dec: 84800415 stw r18,16(r16) + 25df0: 9805883a mov r2,r19 + 25df4: dfc00817 ldw ra,32(sp) + 25df8: ddc00717 ldw r23,28(sp) + 25dfc: dd800617 ldw r22,24(sp) + 25e00: dd400517 ldw r21,20(sp) + 25e04: dd000417 ldw r20,16(sp) + 25e08: dcc00317 ldw r19,12(sp) + 25e0c: dc800217 ldw r18,8(sp) + 25e10: dc400117 ldw r17,4(sp) + 25e14: dc000017 ldw r16,0(sp) + 25e18: dec00904 addi sp,sp,36 + 25e1c: f800283a ret + 25e20: 0005883a mov r2,zero + 25e24: 003ff306 br 25df4 <_gp+0xfffe8f88> -00025864 <_dtoa_r>: - 25864: 20801017 ldw r2,64(r4) - 25868: deffde04 addi sp,sp,-136 - 2586c: df002015 stw fp,128(sp) - 25870: dcc01b15 stw r19,108(sp) - 25874: dc801a15 stw r18,104(sp) - 25878: dc401915 stw r17,100(sp) - 2587c: dc001815 stw r16,96(sp) - 25880: dfc02115 stw ra,132(sp) - 25884: ddc01f15 stw r23,124(sp) - 25888: dd801e15 stw r22,120(sp) - 2588c: dd401d15 stw r21,116(sp) - 25890: dd001c15 stw r20,112(sp) - 25894: d9c00315 stw r7,12(sp) - 25898: 2039883a mov fp,r4 - 2589c: 3023883a mov r17,r6 - 258a0: 2825883a mov r18,r5 - 258a4: dc002417 ldw r16,144(sp) - 258a8: 3027883a mov r19,r6 - 258ac: 10000826 beq r2,zero,258d0 <_dtoa_r+0x6c> - 258b0: 21801117 ldw r6,68(r4) - 258b4: 00c00044 movi r3,1 - 258b8: 100b883a mov r5,r2 - 258bc: 1986983a sll r3,r3,r6 - 258c0: 11800115 stw r6,4(r2) - 258c4: 10c00215 stw r3,8(r2) - 258c8: 00286740 call 28674 <_Bfree> - 258cc: e0001015 stw zero,64(fp) - 258d0: 88002e16 blt r17,zero,2598c <_dtoa_r+0x128> - 258d4: 80000015 stw zero,0(r16) - 258d8: 889ffc2c andhi r2,r17,32752 - 258dc: 00dffc34 movhi r3,32752 - 258e0: 10c01c26 beq r2,r3,25954 <_dtoa_r+0xf0> - 258e4: 000d883a mov r6,zero - 258e8: 000f883a mov r7,zero - 258ec: 9009883a mov r4,r18 - 258f0: 980b883a mov r5,r19 - 258f4: 002dae00 call 2dae0 <__eqdf2> - 258f8: 10002b1e bne r2,zero,259a8 <_dtoa_r+0x144> - 258fc: d9c02317 ldw r7,140(sp) - 25900: 00800044 movi r2,1 - 25904: 38800015 stw r2,0(r7) - 25908: d8802517 ldw r2,148(sp) - 2590c: 10019e26 beq r2,zero,25f88 <_dtoa_r+0x724> - 25910: d8c02517 ldw r3,148(sp) - 25914: 008000f4 movhi r2,3 - 25918: 10880c44 addi r2,r2,8241 - 2591c: 18800015 stw r2,0(r3) - 25920: 10bfffc4 addi r2,r2,-1 - 25924: dfc02117 ldw ra,132(sp) - 25928: df002017 ldw fp,128(sp) - 2592c: ddc01f17 ldw r23,124(sp) - 25930: dd801e17 ldw r22,120(sp) - 25934: dd401d17 ldw r21,116(sp) - 25938: dd001c17 ldw r20,112(sp) - 2593c: dcc01b17 ldw r19,108(sp) - 25940: dc801a17 ldw r18,104(sp) - 25944: dc401917 ldw r17,100(sp) - 25948: dc001817 ldw r16,96(sp) - 2594c: dec02204 addi sp,sp,136 - 25950: f800283a ret - 25954: d8c02317 ldw r3,140(sp) - 25958: 0089c3c4 movi r2,9999 - 2595c: 18800015 stw r2,0(r3) - 25960: 90017726 beq r18,zero,25f40 <_dtoa_r+0x6dc> - 25964: 008000f4 movhi r2,3 - 25968: 10881804 addi r2,r2,8288 - 2596c: d9002517 ldw r4,148(sp) - 25970: 203fec26 beq r4,zero,25924 <_gp+0xfffe93ec> - 25974: 10c000c7 ldb r3,3(r2) - 25978: 1801781e bne r3,zero,25f5c <_dtoa_r+0x6f8> - 2597c: 10c000c4 addi r3,r2,3 - 25980: d9802517 ldw r6,148(sp) - 25984: 30c00015 stw r3,0(r6) - 25988: 003fe606 br 25924 <_gp+0xfffe93ec> - 2598c: 04e00034 movhi r19,32768 - 25990: 9cffffc4 addi r19,r19,-1 - 25994: 00800044 movi r2,1 - 25998: 8ce6703a and r19,r17,r19 - 2599c: 80800015 stw r2,0(r16) - 259a0: 9823883a mov r17,r19 - 259a4: 003fcc06 br 258d8 <_gp+0xfffe93a0> - 259a8: d8800204 addi r2,sp,8 - 259ac: d8800015 stw r2,0(sp) - 259b0: d9c00104 addi r7,sp,4 - 259b4: 900b883a mov r5,r18 - 259b8: 980d883a mov r6,r19 - 259bc: e009883a mov r4,fp - 259c0: 8820d53a srli r16,r17,20 - 259c4: 00292600 call 29260 <__d2b> - 259c8: d8800915 stw r2,36(sp) - 259cc: 8001651e bne r16,zero,25f64 <_dtoa_r+0x700> - 259d0: dd800217 ldw r22,8(sp) - 259d4: dc000117 ldw r16,4(sp) - 259d8: 00800804 movi r2,32 - 259dc: b421883a add r16,r22,r16 - 259e0: 80c10c84 addi r3,r16,1074 - 259e4: 10c2d10e bge r2,r3,2652c <_dtoa_r+0xcc8> - 259e8: 00801004 movi r2,64 - 259ec: 81010484 addi r4,r16,1042 - 259f0: 10c7c83a sub r3,r2,r3 - 259f4: 9108d83a srl r4,r18,r4 - 259f8: 88e2983a sll r17,r17,r3 - 259fc: 2448b03a or r4,r4,r17 - 25a00: 002eea80 call 2eea8 <__floatunsidf> - 25a04: 017f8434 movhi r5,65040 - 25a08: 01800044 movi r6,1 - 25a0c: 1009883a mov r4,r2 - 25a10: 194b883a add r5,r3,r5 - 25a14: 843fffc4 addi r16,r16,-1 - 25a18: d9801115 stw r6,68(sp) - 25a1c: 000d883a mov r6,zero - 25a20: 01cffe34 movhi r7,16376 - 25a24: 002e4500 call 2e450 <__subdf3> - 25a28: 0198dbf4 movhi r6,25455 - 25a2c: 01cff4f4 movhi r7,16339 - 25a30: 3190d844 addi r6,r6,17249 - 25a34: 39e1e9c4 addi r7,r7,-30809 - 25a38: 1009883a mov r4,r2 - 25a3c: 180b883a mov r5,r3 - 25a40: 002dd380 call 2dd38 <__muldf3> - 25a44: 01a2d874 movhi r6,35681 - 25a48: 01cff1f4 movhi r7,16327 - 25a4c: 31b22cc4 addi r6,r6,-14157 - 25a50: 39e28a04 addi r7,r7,-30168 - 25a54: 180b883a mov r5,r3 - 25a58: 1009883a mov r4,r2 - 25a5c: 002c94c0 call 2c94c <__adddf3> - 25a60: 8009883a mov r4,r16 - 25a64: 1029883a mov r20,r2 - 25a68: 1823883a mov r17,r3 - 25a6c: 002edcc0 call 2edcc <__floatsidf> - 25a70: 019427f4 movhi r6,20639 - 25a74: 01cff4f4 movhi r7,16339 - 25a78: 319e7ec4 addi r6,r6,31227 - 25a7c: 39d104c4 addi r7,r7,17427 - 25a80: 1009883a mov r4,r2 - 25a84: 180b883a mov r5,r3 - 25a88: 002dd380 call 2dd38 <__muldf3> - 25a8c: 100d883a mov r6,r2 - 25a90: 180f883a mov r7,r3 - 25a94: a009883a mov r4,r20 - 25a98: 880b883a mov r5,r17 - 25a9c: 002c94c0 call 2c94c <__adddf3> - 25aa0: 1009883a mov r4,r2 - 25aa4: 180b883a mov r5,r3 - 25aa8: 1029883a mov r20,r2 - 25aac: 1823883a mov r17,r3 - 25ab0: 002ed4c0 call 2ed4c <__fixdfsi> - 25ab4: 000d883a mov r6,zero - 25ab8: 000f883a mov r7,zero - 25abc: a009883a mov r4,r20 - 25ac0: 880b883a mov r5,r17 - 25ac4: d8800515 stw r2,20(sp) - 25ac8: 002dc440 call 2dc44 <__ledf2> - 25acc: 10028716 blt r2,zero,264ec <_dtoa_r+0xc88> - 25ad0: d8c00517 ldw r3,20(sp) - 25ad4: 00800584 movi r2,22 - 25ad8: 10c27536 bltu r2,r3,264b0 <_dtoa_r+0xc4c> - 25adc: 180490fa slli r2,r3,3 - 25ae0: 00c000f4 movhi r3,3 - 25ae4: 18c83404 addi r3,r3,8400 - 25ae8: 1885883a add r2,r3,r2 - 25aec: 11000017 ldw r4,0(r2) - 25af0: 11400117 ldw r5,4(r2) - 25af4: 900d883a mov r6,r18 - 25af8: 980f883a mov r7,r19 - 25afc: 002db680 call 2db68 <__gedf2> - 25b00: 00828d0e bge zero,r2,26538 <_dtoa_r+0xcd4> - 25b04: d9000517 ldw r4,20(sp) - 25b08: d8000e15 stw zero,56(sp) - 25b0c: 213fffc4 addi r4,r4,-1 - 25b10: d9000515 stw r4,20(sp) - 25b14: b42dc83a sub r22,r22,r16 - 25b18: b5bfffc4 addi r22,r22,-1 - 25b1c: b0026f16 blt r22,zero,264dc <_dtoa_r+0xc78> - 25b20: d8000815 stw zero,32(sp) - 25b24: d9c00517 ldw r7,20(sp) - 25b28: 38026416 blt r7,zero,264bc <_dtoa_r+0xc58> - 25b2c: b1ed883a add r22,r22,r7 - 25b30: d9c00d15 stw r7,52(sp) - 25b34: d8000a15 stw zero,40(sp) - 25b38: d9800317 ldw r6,12(sp) - 25b3c: 00800244 movi r2,9 - 25b40: 11811436 bltu r2,r6,25f94 <_dtoa_r+0x730> - 25b44: 00800144 movi r2,5 - 25b48: 1184e10e bge r2,r6,26ed0 <_dtoa_r+0x166c> - 25b4c: 31bfff04 addi r6,r6,-4 - 25b50: d9800315 stw r6,12(sp) - 25b54: 0023883a mov r17,zero - 25b58: d9800317 ldw r6,12(sp) - 25b5c: 008000c4 movi r2,3 - 25b60: 30836726 beq r6,r2,26900 <_dtoa_r+0x109c> - 25b64: 1183410e bge r2,r6,2686c <_dtoa_r+0x1008> - 25b68: d9c00317 ldw r7,12(sp) - 25b6c: 00800104 movi r2,4 - 25b70: 38827c26 beq r7,r2,26564 <_dtoa_r+0xd00> - 25b74: 00800144 movi r2,5 - 25b78: 3884c41e bne r7,r2,26e8c <_dtoa_r+0x1628> - 25b7c: 00800044 movi r2,1 - 25b80: d8800b15 stw r2,44(sp) - 25b84: d8c00517 ldw r3,20(sp) - 25b88: d9002217 ldw r4,136(sp) - 25b8c: 1907883a add r3,r3,r4 - 25b90: 19800044 addi r6,r3,1 - 25b94: d8c00c15 stw r3,48(sp) - 25b98: d9800615 stw r6,24(sp) - 25b9c: 0183a40e bge zero,r6,26a30 <_dtoa_r+0x11cc> - 25ba0: d9800617 ldw r6,24(sp) - 25ba4: 3021883a mov r16,r6 - 25ba8: e0001115 stw zero,68(fp) - 25bac: 008005c4 movi r2,23 - 25bb0: 1184c92e bgeu r2,r6,26ed8 <_dtoa_r+0x1674> - 25bb4: 00c00044 movi r3,1 - 25bb8: 00800104 movi r2,4 - 25bbc: 1085883a add r2,r2,r2 - 25bc0: 11000504 addi r4,r2,20 - 25bc4: 180b883a mov r5,r3 - 25bc8: 18c00044 addi r3,r3,1 - 25bcc: 313ffb2e bgeu r6,r4,25bbc <_gp+0xfffe9684> - 25bd0: e1401115 stw r5,68(fp) - 25bd4: e009883a mov r4,fp - 25bd8: 00285cc0 call 285cc <_Balloc> - 25bdc: d8800715 stw r2,28(sp) - 25be0: e0801015 stw r2,64(fp) - 25be4: 00800384 movi r2,14 - 25be8: 1400f736 bltu r2,r16,25fc8 <_dtoa_r+0x764> - 25bec: 8800f626 beq r17,zero,25fc8 <_dtoa_r+0x764> - 25bf0: d9c00517 ldw r7,20(sp) - 25bf4: 01c39a0e bge zero,r7,26a60 <_dtoa_r+0x11fc> - 25bf8: 388003cc andi r2,r7,15 - 25bfc: 100490fa slli r2,r2,3 - 25c00: 382bd13a srai r21,r7,4 - 25c04: 00c000f4 movhi r3,3 - 25c08: 18c83404 addi r3,r3,8400 - 25c0c: 1885883a add r2,r3,r2 - 25c10: a8c0040c andi r3,r21,16 - 25c14: 12400017 ldw r9,0(r2) - 25c18: 12000117 ldw r8,4(r2) - 25c1c: 18037926 beq r3,zero,26a04 <_dtoa_r+0x11a0> - 25c20: 008000f4 movhi r2,3 - 25c24: 10882a04 addi r2,r2,8360 - 25c28: 11800817 ldw r6,32(r2) - 25c2c: 11c00917 ldw r7,36(r2) - 25c30: 9009883a mov r4,r18 - 25c34: 980b883a mov r5,r19 - 25c38: da001715 stw r8,92(sp) - 25c3c: da401615 stw r9,88(sp) - 25c40: 002d1f80 call 2d1f8 <__divdf3> - 25c44: da001717 ldw r8,92(sp) - 25c48: da401617 ldw r9,88(sp) - 25c4c: ad4003cc andi r21,r21,15 - 25c50: 040000c4 movi r16,3 - 25c54: 1023883a mov r17,r2 - 25c58: 1829883a mov r20,r3 - 25c5c: a8001126 beq r21,zero,25ca4 <_dtoa_r+0x440> - 25c60: 05c000f4 movhi r23,3 - 25c64: bdc82a04 addi r23,r23,8360 - 25c68: 4805883a mov r2,r9 - 25c6c: 4007883a mov r3,r8 - 25c70: a980004c andi r6,r21,1 - 25c74: 1009883a mov r4,r2 - 25c78: a82bd07a srai r21,r21,1 - 25c7c: 180b883a mov r5,r3 - 25c80: 30000426 beq r6,zero,25c94 <_dtoa_r+0x430> - 25c84: b9800017 ldw r6,0(r23) - 25c88: b9c00117 ldw r7,4(r23) - 25c8c: 84000044 addi r16,r16,1 - 25c90: 002dd380 call 2dd38 <__muldf3> - 25c94: bdc00204 addi r23,r23,8 - 25c98: a83ff51e bne r21,zero,25c70 <_gp+0xfffe9738> - 25c9c: 1013883a mov r9,r2 - 25ca0: 1811883a mov r8,r3 - 25ca4: 480d883a mov r6,r9 - 25ca8: 400f883a mov r7,r8 - 25cac: 8809883a mov r4,r17 - 25cb0: a00b883a mov r5,r20 - 25cb4: 002d1f80 call 2d1f8 <__divdf3> - 25cb8: d8800f15 stw r2,60(sp) - 25cbc: d8c01015 stw r3,64(sp) - 25cc0: d8c00e17 ldw r3,56(sp) - 25cc4: 18000626 beq r3,zero,25ce0 <_dtoa_r+0x47c> - 25cc8: d9000f17 ldw r4,60(sp) - 25ccc: d9401017 ldw r5,64(sp) - 25cd0: 000d883a mov r6,zero - 25cd4: 01cffc34 movhi r7,16368 - 25cd8: 002dc440 call 2dc44 <__ledf2> - 25cdc: 10040b16 blt r2,zero,26d0c <_dtoa_r+0x14a8> - 25ce0: 8009883a mov r4,r16 - 25ce4: 002edcc0 call 2edcc <__floatsidf> - 25ce8: d9800f17 ldw r6,60(sp) - 25cec: d9c01017 ldw r7,64(sp) - 25cf0: 1009883a mov r4,r2 - 25cf4: 180b883a mov r5,r3 - 25cf8: 002dd380 call 2dd38 <__muldf3> - 25cfc: 000d883a mov r6,zero - 25d00: 01d00734 movhi r7,16412 - 25d04: 1009883a mov r4,r2 - 25d08: 180b883a mov r5,r3 - 25d0c: 002c94c0 call 2c94c <__adddf3> - 25d10: 1021883a mov r16,r2 - 25d14: d8800617 ldw r2,24(sp) - 25d18: 047f3034 movhi r17,64704 - 25d1c: 1c63883a add r17,r3,r17 - 25d20: 10031826 beq r2,zero,26984 <_dtoa_r+0x1120> - 25d24: d8c00517 ldw r3,20(sp) - 25d28: db000617 ldw r12,24(sp) - 25d2c: d8c01315 stw r3,76(sp) - 25d30: d9000b17 ldw r4,44(sp) - 25d34: 20038f26 beq r4,zero,26b74 <_dtoa_r+0x1310> - 25d38: 60bfffc4 addi r2,r12,-1 - 25d3c: 100490fa slli r2,r2,3 - 25d40: 00c000f4 movhi r3,3 - 25d44: 18c83404 addi r3,r3,8400 - 25d48: 1885883a add r2,r3,r2 - 25d4c: 11800017 ldw r6,0(r2) - 25d50: 11c00117 ldw r7,4(r2) - 25d54: d8800717 ldw r2,28(sp) - 25d58: 0009883a mov r4,zero - 25d5c: 014ff834 movhi r5,16352 - 25d60: db001615 stw r12,88(sp) - 25d64: 15c00044 addi r23,r2,1 - 25d68: 002d1f80 call 2d1f8 <__divdf3> - 25d6c: 800d883a mov r6,r16 - 25d70: 880f883a mov r7,r17 - 25d74: 1009883a mov r4,r2 - 25d78: 180b883a mov r5,r3 - 25d7c: 002e4500 call 2e450 <__subdf3> - 25d80: d9401017 ldw r5,64(sp) - 25d84: d9000f17 ldw r4,60(sp) - 25d88: 102b883a mov r21,r2 - 25d8c: d8c01215 stw r3,72(sp) - 25d90: 002ed4c0 call 2ed4c <__fixdfsi> - 25d94: 1009883a mov r4,r2 - 25d98: 1029883a mov r20,r2 - 25d9c: 002edcc0 call 2edcc <__floatsidf> - 25da0: d9000f17 ldw r4,60(sp) - 25da4: d9401017 ldw r5,64(sp) - 25da8: 100d883a mov r6,r2 - 25dac: 180f883a mov r7,r3 - 25db0: 002e4500 call 2e450 <__subdf3> - 25db4: 1823883a mov r17,r3 - 25db8: d8c00717 ldw r3,28(sp) - 25dbc: d9401217 ldw r5,72(sp) - 25dc0: a2000c04 addi r8,r20,48 - 25dc4: 1021883a mov r16,r2 - 25dc8: 1a000005 stb r8,0(r3) - 25dcc: 800d883a mov r6,r16 - 25dd0: 880f883a mov r7,r17 - 25dd4: a809883a mov r4,r21 - 25dd8: 4029883a mov r20,r8 - 25ddc: 002db680 call 2db68 <__gedf2> - 25de0: 00841d16 blt zero,r2,26e58 <_dtoa_r+0x15f4> - 25de4: 800d883a mov r6,r16 - 25de8: 880f883a mov r7,r17 - 25dec: 0009883a mov r4,zero - 25df0: 014ffc34 movhi r5,16368 - 25df4: 002e4500 call 2e450 <__subdf3> - 25df8: d9401217 ldw r5,72(sp) - 25dfc: 100d883a mov r6,r2 - 25e00: 180f883a mov r7,r3 - 25e04: a809883a mov r4,r21 - 25e08: 002db680 call 2db68 <__gedf2> - 25e0c: db001617 ldw r12,88(sp) - 25e10: 00840e16 blt zero,r2,26e4c <_dtoa_r+0x15e8> - 25e14: 00800044 movi r2,1 - 25e18: 13006b0e bge r2,r12,25fc8 <_dtoa_r+0x764> - 25e1c: d9000717 ldw r4,28(sp) - 25e20: dd800f15 stw r22,60(sp) - 25e24: dcc01015 stw r19,64(sp) - 25e28: 2319883a add r12,r4,r12 - 25e2c: dcc01217 ldw r19,72(sp) - 25e30: 602d883a mov r22,r12 - 25e34: dc801215 stw r18,72(sp) - 25e38: b825883a mov r18,r23 - 25e3c: 00000906 br 25e64 <_dtoa_r+0x600> - 25e40: 002e4500 call 2e450 <__subdf3> - 25e44: a80d883a mov r6,r21 - 25e48: 980f883a mov r7,r19 - 25e4c: 1009883a mov r4,r2 - 25e50: 180b883a mov r5,r3 - 25e54: 002dc440 call 2dc44 <__ledf2> - 25e58: 1003e816 blt r2,zero,26dfc <_dtoa_r+0x1598> - 25e5c: b825883a mov r18,r23 - 25e60: bd83e926 beq r23,r22,26e08 <_dtoa_r+0x15a4> - 25e64: a809883a mov r4,r21 - 25e68: 980b883a mov r5,r19 - 25e6c: 000d883a mov r6,zero - 25e70: 01d00934 movhi r7,16420 - 25e74: 002dd380 call 2dd38 <__muldf3> - 25e78: 000d883a mov r6,zero - 25e7c: 01d00934 movhi r7,16420 - 25e80: 8009883a mov r4,r16 - 25e84: 880b883a mov r5,r17 - 25e88: 102b883a mov r21,r2 - 25e8c: 1827883a mov r19,r3 - 25e90: 002dd380 call 2dd38 <__muldf3> - 25e94: 180b883a mov r5,r3 - 25e98: 1009883a mov r4,r2 - 25e9c: 1821883a mov r16,r3 - 25ea0: 1023883a mov r17,r2 - 25ea4: 002ed4c0 call 2ed4c <__fixdfsi> - 25ea8: 1009883a mov r4,r2 - 25eac: 1029883a mov r20,r2 - 25eb0: 002edcc0 call 2edcc <__floatsidf> - 25eb4: 8809883a mov r4,r17 - 25eb8: 800b883a mov r5,r16 - 25ebc: 100d883a mov r6,r2 - 25ec0: 180f883a mov r7,r3 - 25ec4: 002e4500 call 2e450 <__subdf3> - 25ec8: a5000c04 addi r20,r20,48 - 25ecc: a80d883a mov r6,r21 - 25ed0: 980f883a mov r7,r19 - 25ed4: 1009883a mov r4,r2 - 25ed8: 180b883a mov r5,r3 - 25edc: 95000005 stb r20,0(r18) - 25ee0: 1021883a mov r16,r2 - 25ee4: 1823883a mov r17,r3 - 25ee8: 002dc440 call 2dc44 <__ledf2> - 25eec: bdc00044 addi r23,r23,1 - 25ef0: 800d883a mov r6,r16 - 25ef4: 880f883a mov r7,r17 - 25ef8: 0009883a mov r4,zero - 25efc: 014ffc34 movhi r5,16368 - 25f00: 103fcf0e bge r2,zero,25e40 <_gp+0xfffe9908> - 25f04: d8c01317 ldw r3,76(sp) - 25f08: d8c00515 stw r3,20(sp) - 25f0c: d9400917 ldw r5,36(sp) - 25f10: e009883a mov r4,fp - 25f14: 00286740 call 28674 <_Bfree> - 25f18: d9000517 ldw r4,20(sp) - 25f1c: d9802317 ldw r6,140(sp) - 25f20: d9c02517 ldw r7,148(sp) - 25f24: b8000005 stb zero,0(r23) - 25f28: 20800044 addi r2,r4,1 - 25f2c: 30800015 stw r2,0(r6) - 25f30: 3802aa26 beq r7,zero,269dc <_dtoa_r+0x1178> - 25f34: 3dc00015 stw r23,0(r7) - 25f38: d8800717 ldw r2,28(sp) - 25f3c: 003e7906 br 25924 <_gp+0xfffe93ec> - 25f40: 00800434 movhi r2,16 - 25f44: 10bfffc4 addi r2,r2,-1 - 25f48: 88a2703a and r17,r17,r2 - 25f4c: 883e851e bne r17,zero,25964 <_gp+0xfffe942c> - 25f50: 008000f4 movhi r2,3 - 25f54: 10881504 addi r2,r2,8276 - 25f58: 003e8406 br 2596c <_gp+0xfffe9434> - 25f5c: 10c00204 addi r3,r2,8 - 25f60: 003e8706 br 25980 <_gp+0xfffe9448> - 25f64: 01400434 movhi r5,16 - 25f68: 297fffc4 addi r5,r5,-1 - 25f6c: 994a703a and r5,r19,r5 - 25f70: 9009883a mov r4,r18 - 25f74: 843f0044 addi r16,r16,-1023 - 25f78: 294ffc34 orhi r5,r5,16368 - 25f7c: dd800217 ldw r22,8(sp) - 25f80: d8001115 stw zero,68(sp) - 25f84: 003ea506 br 25a1c <_gp+0xfffe94e4> - 25f88: 008000f4 movhi r2,3 - 25f8c: 10880c04 addi r2,r2,8240 - 25f90: 003e6406 br 25924 <_gp+0xfffe93ec> - 25f94: e0001115 stw zero,68(fp) - 25f98: 000b883a mov r5,zero - 25f9c: e009883a mov r4,fp - 25fa0: 00285cc0 call 285cc <_Balloc> - 25fa4: 01bfffc4 movi r6,-1 - 25fa8: 01c00044 movi r7,1 - 25fac: d8800715 stw r2,28(sp) - 25fb0: d9800c15 stw r6,48(sp) - 25fb4: e0801015 stw r2,64(fp) - 25fb8: d8000315 stw zero,12(sp) - 25fbc: d9c00b15 stw r7,44(sp) - 25fc0: d9800615 stw r6,24(sp) - 25fc4: d8002215 stw zero,136(sp) - 25fc8: d8800117 ldw r2,4(sp) - 25fcc: 10008916 blt r2,zero,261f4 <_dtoa_r+0x990> - 25fd0: d9000517 ldw r4,20(sp) - 25fd4: 00c00384 movi r3,14 - 25fd8: 19008616 blt r3,r4,261f4 <_dtoa_r+0x990> - 25fdc: 200490fa slli r2,r4,3 - 25fe0: 00c000f4 movhi r3,3 - 25fe4: d9802217 ldw r6,136(sp) - 25fe8: 18c83404 addi r3,r3,8400 - 25fec: 1885883a add r2,r3,r2 - 25ff0: 14000017 ldw r16,0(r2) - 25ff4: 14400117 ldw r17,4(r2) - 25ff8: 30016316 blt r6,zero,26588 <_dtoa_r+0xd24> - 25ffc: 800d883a mov r6,r16 - 26000: 880f883a mov r7,r17 - 26004: 9009883a mov r4,r18 - 26008: 980b883a mov r5,r19 - 2600c: 002d1f80 call 2d1f8 <__divdf3> - 26010: 180b883a mov r5,r3 - 26014: 1009883a mov r4,r2 - 26018: 002ed4c0 call 2ed4c <__fixdfsi> +00025e28 <_dtoa_r>: + 25e28: 20801017 ldw r2,64(r4) + 25e2c: deffde04 addi sp,sp,-136 + 25e30: df002015 stw fp,128(sp) + 25e34: dcc01b15 stw r19,108(sp) + 25e38: dc801a15 stw r18,104(sp) + 25e3c: dc401915 stw r17,100(sp) + 25e40: dc001815 stw r16,96(sp) + 25e44: dfc02115 stw ra,132(sp) + 25e48: ddc01f15 stw r23,124(sp) + 25e4c: dd801e15 stw r22,120(sp) + 25e50: dd401d15 stw r21,116(sp) + 25e54: dd001c15 stw r20,112(sp) + 25e58: d9c00315 stw r7,12(sp) + 25e5c: 2039883a mov fp,r4 + 25e60: 3023883a mov r17,r6 + 25e64: 2825883a mov r18,r5 + 25e68: dc002417 ldw r16,144(sp) + 25e6c: 3027883a mov r19,r6 + 25e70: 10000826 beq r2,zero,25e94 <_dtoa_r+0x6c> + 25e74: 21801117 ldw r6,68(r4) + 25e78: 00c00044 movi r3,1 + 25e7c: 100b883a mov r5,r2 + 25e80: 1986983a sll r3,r3,r6 + 25e84: 11800115 stw r6,4(r2) + 25e88: 10c00215 stw r3,8(r2) + 25e8c: 0028c380 call 28c38 <_Bfree> + 25e90: e0001015 stw zero,64(fp) + 25e94: 88002e16 blt r17,zero,25f50 <_dtoa_r+0x128> + 25e98: 80000015 stw zero,0(r16) + 25e9c: 889ffc2c andhi r2,r17,32752 + 25ea0: 00dffc34 movhi r3,32752 + 25ea4: 10c01c26 beq r2,r3,25f18 <_dtoa_r+0xf0> + 25ea8: 000d883a mov r6,zero + 25eac: 000f883a mov r7,zero + 25eb0: 9009883a mov r4,r18 + 25eb4: 980b883a mov r5,r19 + 25eb8: 002e2940 call 2e294 <__eqdf2> + 25ebc: 10002b1e bne r2,zero,25f6c <_dtoa_r+0x144> + 25ec0: d9c02317 ldw r7,140(sp) + 25ec4: 00800044 movi r2,1 + 25ec8: 38800015 stw r2,0(r7) + 25ecc: d8802517 ldw r2,148(sp) + 25ed0: 10019e26 beq r2,zero,2654c <_dtoa_r+0x724> + 25ed4: d8c02517 ldw r3,148(sp) + 25ed8: 008000f4 movhi r2,3 + 25edc: 108a5a44 addi r2,r2,10601 + 25ee0: 18800015 stw r2,0(r3) + 25ee4: 10bfffc4 addi r2,r2,-1 + 25ee8: dfc02117 ldw ra,132(sp) + 25eec: df002017 ldw fp,128(sp) + 25ef0: ddc01f17 ldw r23,124(sp) + 25ef4: dd801e17 ldw r22,120(sp) + 25ef8: dd401d17 ldw r21,116(sp) + 25efc: dd001c17 ldw r20,112(sp) + 25f00: dcc01b17 ldw r19,108(sp) + 25f04: dc801a17 ldw r18,104(sp) + 25f08: dc401917 ldw r17,100(sp) + 25f0c: dc001817 ldw r16,96(sp) + 25f10: dec02204 addi sp,sp,136 + 25f14: f800283a ret + 25f18: d8c02317 ldw r3,140(sp) + 25f1c: 0089c3c4 movi r2,9999 + 25f20: 18800015 stw r2,0(r3) + 25f24: 90017726 beq r18,zero,26504 <_dtoa_r+0x6dc> + 25f28: 008000f4 movhi r2,3 + 25f2c: 108a6604 addi r2,r2,10648 + 25f30: d9002517 ldw r4,148(sp) + 25f34: 203fec26 beq r4,zero,25ee8 <_gp+0xfffe907c> + 25f38: 10c000c7 ldb r3,3(r2) + 25f3c: 1801781e bne r3,zero,26520 <_dtoa_r+0x6f8> + 25f40: 10c000c4 addi r3,r2,3 + 25f44: d9802517 ldw r6,148(sp) + 25f48: 30c00015 stw r3,0(r6) + 25f4c: 003fe606 br 25ee8 <_gp+0xfffe907c> + 25f50: 04e00034 movhi r19,32768 + 25f54: 9cffffc4 addi r19,r19,-1 + 25f58: 00800044 movi r2,1 + 25f5c: 8ce6703a and r19,r17,r19 + 25f60: 80800015 stw r2,0(r16) + 25f64: 9823883a mov r17,r19 + 25f68: 003fcc06 br 25e9c <_gp+0xfffe9030> + 25f6c: d8800204 addi r2,sp,8 + 25f70: d8800015 stw r2,0(sp) + 25f74: d9c00104 addi r7,sp,4 + 25f78: 900b883a mov r5,r18 + 25f7c: 980d883a mov r6,r19 + 25f80: e009883a mov r4,fp + 25f84: 8820d53a srli r16,r17,20 + 25f88: 00298240 call 29824 <__d2b> + 25f8c: d8800915 stw r2,36(sp) + 25f90: 8001651e bne r16,zero,26528 <_dtoa_r+0x700> + 25f94: dd800217 ldw r22,8(sp) + 25f98: dc000117 ldw r16,4(sp) + 25f9c: 00800804 movi r2,32 + 25fa0: b421883a add r16,r22,r16 + 25fa4: 80c10c84 addi r3,r16,1074 + 25fa8: 10c2d10e bge r2,r3,26af0 <_dtoa_r+0xcc8> + 25fac: 00801004 movi r2,64 + 25fb0: 81010484 addi r4,r16,1042 + 25fb4: 10c7c83a sub r3,r2,r3 + 25fb8: 9108d83a srl r4,r18,r4 + 25fbc: 88e2983a sll r17,r17,r3 + 25fc0: 2448b03a or r4,r4,r17 + 25fc4: 002f65c0 call 2f65c <__floatunsidf> + 25fc8: 017f8434 movhi r5,65040 + 25fcc: 01800044 movi r6,1 + 25fd0: 1009883a mov r4,r2 + 25fd4: 194b883a add r5,r3,r5 + 25fd8: 843fffc4 addi r16,r16,-1 + 25fdc: d9801115 stw r6,68(sp) + 25fe0: 000d883a mov r6,zero + 25fe4: 01cffe34 movhi r7,16376 + 25fe8: 002ec040 call 2ec04 <__subdf3> + 25fec: 0198dbf4 movhi r6,25455 + 25ff0: 01cff4f4 movhi r7,16339 + 25ff4: 3190d844 addi r6,r6,17249 + 25ff8: 39e1e9c4 addi r7,r7,-30809 + 25ffc: 1009883a mov r4,r2 + 26000: 180b883a mov r5,r3 + 26004: 002e4ec0 call 2e4ec <__muldf3> + 26008: 01a2d874 movhi r6,35681 + 2600c: 01cff1f4 movhi r7,16327 + 26010: 31b22cc4 addi r6,r6,-14157 + 26014: 39e28a04 addi r7,r7,-30168 + 26018: 180b883a mov r5,r3 2601c: 1009883a mov r4,r2 - 26020: 102b883a mov r21,r2 - 26024: 002edcc0 call 2edcc <__floatsidf> - 26028: 800d883a mov r6,r16 - 2602c: 880f883a mov r7,r17 - 26030: 1009883a mov r4,r2 - 26034: 180b883a mov r5,r3 - 26038: 002dd380 call 2dd38 <__muldf3> - 2603c: 100d883a mov r6,r2 - 26040: 180f883a mov r7,r3 - 26044: 9009883a mov r4,r18 - 26048: 980b883a mov r5,r19 - 2604c: 002e4500 call 2e450 <__subdf3> - 26050: d9c00717 ldw r7,28(sp) - 26054: 1009883a mov r4,r2 - 26058: a8800c04 addi r2,r21,48 - 2605c: 38800005 stb r2,0(r7) - 26060: 3dc00044 addi r23,r7,1 - 26064: d9c00617 ldw r7,24(sp) - 26068: 01800044 movi r6,1 - 2606c: 180b883a mov r5,r3 - 26070: 2005883a mov r2,r4 - 26074: 39803826 beq r7,r6,26158 <_dtoa_r+0x8f4> + 26020: 002d1000 call 2d100 <__adddf3> + 26024: 8009883a mov r4,r16 + 26028: 1029883a mov r20,r2 + 2602c: 1823883a mov r17,r3 + 26030: 002f5800 call 2f580 <__floatsidf> + 26034: 019427f4 movhi r6,20639 + 26038: 01cff4f4 movhi r7,16339 + 2603c: 319e7ec4 addi r6,r6,31227 + 26040: 39d104c4 addi r7,r7,17427 + 26044: 1009883a mov r4,r2 + 26048: 180b883a mov r5,r3 + 2604c: 002e4ec0 call 2e4ec <__muldf3> + 26050: 100d883a mov r6,r2 + 26054: 180f883a mov r7,r3 + 26058: a009883a mov r4,r20 + 2605c: 880b883a mov r5,r17 + 26060: 002d1000 call 2d100 <__adddf3> + 26064: 1009883a mov r4,r2 + 26068: 180b883a mov r5,r3 + 2606c: 1029883a mov r20,r2 + 26070: 1823883a mov r17,r3 + 26074: 002f5000 call 2f500 <__fixdfsi> 26078: 000d883a mov r6,zero - 2607c: 01d00934 movhi r7,16420 - 26080: 002dd380 call 2dd38 <__muldf3> - 26084: 000d883a mov r6,zero - 26088: 000f883a mov r7,zero - 2608c: 1009883a mov r4,r2 - 26090: 180b883a mov r5,r3 - 26094: 1025883a mov r18,r2 - 26098: 1827883a mov r19,r3 - 2609c: 002dae00 call 2dae0 <__eqdf2> - 260a0: 103f9a26 beq r2,zero,25f0c <_gp+0xfffe99d4> - 260a4: d9c00617 ldw r7,24(sp) - 260a8: d8c00717 ldw r3,28(sp) - 260ac: b829883a mov r20,r23 - 260b0: 38bfffc4 addi r2,r7,-1 - 260b4: 18ad883a add r22,r3,r2 - 260b8: 00000a06 br 260e4 <_dtoa_r+0x880> - 260bc: 002dd380 call 2dd38 <__muldf3> - 260c0: 000d883a mov r6,zero - 260c4: 000f883a mov r7,zero - 260c8: 1009883a mov r4,r2 - 260cc: 180b883a mov r5,r3 - 260d0: 1025883a mov r18,r2 - 260d4: 1827883a mov r19,r3 - 260d8: b829883a mov r20,r23 - 260dc: 002dae00 call 2dae0 <__eqdf2> - 260e0: 103f8a26 beq r2,zero,25f0c <_gp+0xfffe99d4> - 260e4: 800d883a mov r6,r16 - 260e8: 880f883a mov r7,r17 - 260ec: 9009883a mov r4,r18 - 260f0: 980b883a mov r5,r19 - 260f4: 002d1f80 call 2d1f8 <__divdf3> - 260f8: 180b883a mov r5,r3 - 260fc: 1009883a mov r4,r2 - 26100: 002ed4c0 call 2ed4c <__fixdfsi> - 26104: 1009883a mov r4,r2 - 26108: 102b883a mov r21,r2 - 2610c: 002edcc0 call 2edcc <__floatsidf> - 26110: 800d883a mov r6,r16 - 26114: 880f883a mov r7,r17 - 26118: 1009883a mov r4,r2 - 2611c: 180b883a mov r5,r3 - 26120: 002dd380 call 2dd38 <__muldf3> - 26124: 100d883a mov r6,r2 - 26128: 180f883a mov r7,r3 - 2612c: 9009883a mov r4,r18 - 26130: 980b883a mov r5,r19 - 26134: 002e4500 call 2e450 <__subdf3> - 26138: aa000c04 addi r8,r21,48 - 2613c: a2000005 stb r8,0(r20) - 26140: 000d883a mov r6,zero - 26144: 01d00934 movhi r7,16420 - 26148: 1009883a mov r4,r2 - 2614c: 180b883a mov r5,r3 - 26150: a5c00044 addi r23,r20,1 - 26154: b53fd91e bne r22,r20,260bc <_gp+0xfffe9b84> - 26158: 100d883a mov r6,r2 - 2615c: 180f883a mov r7,r3 - 26160: 1009883a mov r4,r2 - 26164: 180b883a mov r5,r3 - 26168: 002c94c0 call 2c94c <__adddf3> - 2616c: 100d883a mov r6,r2 - 26170: 180f883a mov r7,r3 - 26174: 8009883a mov r4,r16 - 26178: 880b883a mov r5,r17 - 2617c: 1027883a mov r19,r2 - 26180: 1825883a mov r18,r3 - 26184: 002dc440 call 2dc44 <__ledf2> - 26188: 10000816 blt r2,zero,261ac <_dtoa_r+0x948> - 2618c: 980d883a mov r6,r19 - 26190: 900f883a mov r7,r18 - 26194: 8009883a mov r4,r16 - 26198: 880b883a mov r5,r17 - 2619c: 002dae00 call 2dae0 <__eqdf2> - 261a0: 103f5a1e bne r2,zero,25f0c <_gp+0xfffe99d4> - 261a4: ad40004c andi r21,r21,1 - 261a8: a83f5826 beq r21,zero,25f0c <_gp+0xfffe99d4> - 261ac: bd3fffc3 ldbu r20,-1(r23) - 261b0: b8bfffc4 addi r2,r23,-1 - 261b4: 1007883a mov r3,r2 - 261b8: 01400e44 movi r5,57 - 261bc: d9800717 ldw r6,28(sp) - 261c0: 00000506 br 261d8 <_dtoa_r+0x974> - 261c4: 18ffffc4 addi r3,r3,-1 - 261c8: 11824726 beq r2,r6,26ae8 <_dtoa_r+0x1284> - 261cc: 1d000003 ldbu r20,0(r3) - 261d0: 102f883a mov r23,r2 - 261d4: 10bfffc4 addi r2,r2,-1 - 261d8: a1003fcc andi r4,r20,255 - 261dc: 2100201c xori r4,r4,128 - 261e0: 213fe004 addi r4,r4,-128 - 261e4: 217ff726 beq r4,r5,261c4 <_gp+0xfffe9c8c> - 261e8: a2000044 addi r8,r20,1 - 261ec: 12000005 stb r8,0(r2) - 261f0: 003f4606 br 25f0c <_gp+0xfffe99d4> - 261f4: d9000b17 ldw r4,44(sp) - 261f8: 2000c826 beq r4,zero,2651c <_dtoa_r+0xcb8> - 261fc: d9800317 ldw r6,12(sp) - 26200: 00c00044 movi r3,1 - 26204: 1980f90e bge r3,r6,265ec <_dtoa_r+0xd88> - 26208: d8800617 ldw r2,24(sp) - 2620c: d8c00a17 ldw r3,40(sp) - 26210: 157fffc4 addi r21,r2,-1 - 26214: 1d41f316 blt r3,r21,269e4 <_dtoa_r+0x1180> - 26218: 1d6bc83a sub r21,r3,r21 - 2621c: d9c00617 ldw r7,24(sp) - 26220: 3802aa16 blt r7,zero,26ccc <_dtoa_r+0x1468> - 26224: dd000817 ldw r20,32(sp) - 26228: d8800617 ldw r2,24(sp) - 2622c: d8c00817 ldw r3,32(sp) - 26230: 01400044 movi r5,1 - 26234: e009883a mov r4,fp - 26238: 1887883a add r3,r3,r2 - 2623c: d8c00815 stw r3,32(sp) - 26240: b0ad883a add r22,r22,r2 - 26244: 00289d80 call 289d8 <__i2b> - 26248: 1023883a mov r17,r2 - 2624c: a0000826 beq r20,zero,26270 <_dtoa_r+0xa0c> - 26250: 0580070e bge zero,r22,26270 <_dtoa_r+0xa0c> - 26254: a005883a mov r2,r20 - 26258: b500b916 blt r22,r20,26540 <_dtoa_r+0xcdc> - 2625c: d9000817 ldw r4,32(sp) - 26260: a0a9c83a sub r20,r20,r2 - 26264: b0adc83a sub r22,r22,r2 - 26268: 2089c83a sub r4,r4,r2 - 2626c: d9000815 stw r4,32(sp) - 26270: d9800a17 ldw r6,40(sp) - 26274: 0181810e bge zero,r6,2687c <_dtoa_r+0x1018> - 26278: d9c00b17 ldw r7,44(sp) - 2627c: 3800b326 beq r7,zero,2654c <_dtoa_r+0xce8> - 26280: a800b226 beq r21,zero,2654c <_dtoa_r+0xce8> - 26284: 880b883a mov r5,r17 - 26288: a80d883a mov r6,r21 - 2628c: e009883a mov r4,fp - 26290: 0028c0c0 call 28c0c <__pow5mult> - 26294: d9800917 ldw r6,36(sp) - 26298: 100b883a mov r5,r2 - 2629c: e009883a mov r4,fp - 262a0: 1023883a mov r17,r2 - 262a4: 0028a140 call 28a14 <__multiply> - 262a8: 1021883a mov r16,r2 - 262ac: d8800a17 ldw r2,40(sp) - 262b0: d9400917 ldw r5,36(sp) - 262b4: e009883a mov r4,fp - 262b8: 1545c83a sub r2,r2,r21 - 262bc: d8800a15 stw r2,40(sp) - 262c0: 00286740 call 28674 <_Bfree> - 262c4: d8c00a17 ldw r3,40(sp) - 262c8: 18009f1e bne r3,zero,26548 <_dtoa_r+0xce4> - 262cc: 05c00044 movi r23,1 - 262d0: e009883a mov r4,fp - 262d4: b80b883a mov r5,r23 - 262d8: 00289d80 call 289d8 <__i2b> - 262dc: d9000d17 ldw r4,52(sp) - 262e0: 102b883a mov r21,r2 - 262e4: 2000ce26 beq r4,zero,26620 <_dtoa_r+0xdbc> - 262e8: 200d883a mov r6,r4 - 262ec: 100b883a mov r5,r2 - 262f0: e009883a mov r4,fp - 262f4: 0028c0c0 call 28c0c <__pow5mult> - 262f8: d9800317 ldw r6,12(sp) - 262fc: 102b883a mov r21,r2 - 26300: b981810e bge r23,r6,26908 <_dtoa_r+0x10a4> - 26304: 0027883a mov r19,zero - 26308: a8800417 ldw r2,16(r21) - 2630c: 05c00804 movi r23,32 - 26310: 10800104 addi r2,r2,4 - 26314: 1085883a add r2,r2,r2 - 26318: 1085883a add r2,r2,r2 - 2631c: a885883a add r2,r21,r2 - 26320: 11000017 ldw r4,0(r2) - 26324: 00288c00 call 288c0 <__hi0bits> - 26328: b885c83a sub r2,r23,r2 - 2632c: 1585883a add r2,r2,r22 - 26330: 108007cc andi r2,r2,31 - 26334: 1000b326 beq r2,zero,26604 <_dtoa_r+0xda0> - 26338: 00c00804 movi r3,32 - 2633c: 1887c83a sub r3,r3,r2 - 26340: 01000104 movi r4,4 - 26344: 20c2cd0e bge r4,r3,26e7c <_dtoa_r+0x1618> - 26348: 00c00704 movi r3,28 - 2634c: 1885c83a sub r2,r3,r2 - 26350: d8c00817 ldw r3,32(sp) - 26354: a0a9883a add r20,r20,r2 - 26358: b0ad883a add r22,r22,r2 - 2635c: 1887883a add r3,r3,r2 - 26360: d8c00815 stw r3,32(sp) - 26364: d9800817 ldw r6,32(sp) - 26368: 0180040e bge zero,r6,2637c <_dtoa_r+0xb18> - 2636c: 800b883a mov r5,r16 - 26370: e009883a mov r4,fp - 26374: 0028d4c0 call 28d4c <__lshift> - 26378: 1021883a mov r16,r2 - 2637c: 0580050e bge zero,r22,26394 <_dtoa_r+0xb30> - 26380: a80b883a mov r5,r21 - 26384: b00d883a mov r6,r22 - 26388: e009883a mov r4,fp - 2638c: 0028d4c0 call 28d4c <__lshift> - 26390: 102b883a mov r21,r2 - 26394: d9c00e17 ldw r7,56(sp) - 26398: 3801211e bne r7,zero,26820 <_dtoa_r+0xfbc> - 2639c: d9800617 ldw r6,24(sp) - 263a0: 0181380e bge zero,r6,26884 <_dtoa_r+0x1020> - 263a4: d8c00b17 ldw r3,44(sp) - 263a8: 1800ab1e bne r3,zero,26658 <_dtoa_r+0xdf4> - 263ac: dc800717 ldw r18,28(sp) - 263b0: dcc00617 ldw r19,24(sp) - 263b4: 9029883a mov r20,r18 - 263b8: 00000206 br 263c4 <_dtoa_r+0xb60> - 263bc: 002869c0 call 2869c <__multadd> - 263c0: 1021883a mov r16,r2 - 263c4: a80b883a mov r5,r21 - 263c8: 8009883a mov r4,r16 - 263cc: 00256640 call 25664 - 263d0: 10800c04 addi r2,r2,48 - 263d4: 90800005 stb r2,0(r18) - 263d8: 94800044 addi r18,r18,1 - 263dc: 9507c83a sub r3,r18,r20 - 263e0: 000f883a mov r7,zero - 263e4: 01800284 movi r6,10 - 263e8: 800b883a mov r5,r16 - 263ec: e009883a mov r4,fp - 263f0: 1cfff216 blt r3,r19,263bc <_gp+0xfffe9e84> - 263f4: 1011883a mov r8,r2 - 263f8: d8800617 ldw r2,24(sp) - 263fc: 0082370e bge zero,r2,26cdc <_dtoa_r+0x1478> - 26400: d9000717 ldw r4,28(sp) - 26404: 0025883a mov r18,zero - 26408: 20af883a add r23,r4,r2 - 2640c: 01800044 movi r6,1 - 26410: 800b883a mov r5,r16 - 26414: e009883a mov r4,fp - 26418: da001715 stw r8,92(sp) - 2641c: 0028d4c0 call 28d4c <__lshift> - 26420: a80b883a mov r5,r21 - 26424: 1009883a mov r4,r2 - 26428: d8800915 stw r2,36(sp) - 2642c: 0028e940 call 28e94 <__mcmp> - 26430: da001717 ldw r8,92(sp) - 26434: 0081800e bge zero,r2,26a38 <_dtoa_r+0x11d4> - 26438: b93fffc3 ldbu r4,-1(r23) - 2643c: b8bfffc4 addi r2,r23,-1 - 26440: 1007883a mov r3,r2 - 26444: 01800e44 movi r6,57 - 26448: d9c00717 ldw r7,28(sp) - 2644c: 00000506 br 26464 <_dtoa_r+0xc00> - 26450: 18ffffc4 addi r3,r3,-1 - 26454: 11c12326 beq r2,r7,268e4 <_dtoa_r+0x1080> - 26458: 19000003 ldbu r4,0(r3) - 2645c: 102f883a mov r23,r2 - 26460: 10bfffc4 addi r2,r2,-1 - 26464: 21403fcc andi r5,r4,255 - 26468: 2940201c xori r5,r5,128 - 2646c: 297fe004 addi r5,r5,-128 - 26470: 29bff726 beq r5,r6,26450 <_gp+0xfffe9f18> - 26474: 21000044 addi r4,r4,1 - 26478: 11000005 stb r4,0(r2) - 2647c: a80b883a mov r5,r21 - 26480: e009883a mov r4,fp - 26484: 00286740 call 28674 <_Bfree> - 26488: 883ea026 beq r17,zero,25f0c <_gp+0xfffe99d4> - 2648c: 90000426 beq r18,zero,264a0 <_dtoa_r+0xc3c> - 26490: 94400326 beq r18,r17,264a0 <_dtoa_r+0xc3c> - 26494: 900b883a mov r5,r18 - 26498: e009883a mov r4,fp - 2649c: 00286740 call 28674 <_Bfree> - 264a0: 880b883a mov r5,r17 - 264a4: e009883a mov r4,fp - 264a8: 00286740 call 28674 <_Bfree> - 264ac: 003e9706 br 25f0c <_gp+0xfffe99d4> - 264b0: 01800044 movi r6,1 - 264b4: d9800e15 stw r6,56(sp) - 264b8: 003d9606 br 25b14 <_gp+0xfffe95dc> - 264bc: d8800817 ldw r2,32(sp) - 264c0: d8c00517 ldw r3,20(sp) - 264c4: d8000d15 stw zero,52(sp) - 264c8: 10c5c83a sub r2,r2,r3 - 264cc: 00c9c83a sub r4,zero,r3 - 264d0: d8800815 stw r2,32(sp) - 264d4: d9000a15 stw r4,40(sp) - 264d8: 003d9706 br 25b38 <_gp+0xfffe9600> - 264dc: 05adc83a sub r22,zero,r22 - 264e0: dd800815 stw r22,32(sp) - 264e4: 002d883a mov r22,zero - 264e8: 003d8e06 br 25b24 <_gp+0xfffe95ec> - 264ec: d9000517 ldw r4,20(sp) - 264f0: 002edcc0 call 2edcc <__floatsidf> - 264f4: 100d883a mov r6,r2 - 264f8: 180f883a mov r7,r3 - 264fc: a009883a mov r4,r20 - 26500: 880b883a mov r5,r17 - 26504: 002dae00 call 2dae0 <__eqdf2> - 26508: 103d7126 beq r2,zero,25ad0 <_gp+0xfffe9598> - 2650c: d9c00517 ldw r7,20(sp) - 26510: 39ffffc4 addi r7,r7,-1 - 26514: d9c00515 stw r7,20(sp) - 26518: 003d6d06 br 25ad0 <_gp+0xfffe9598> - 2651c: dd400a17 ldw r21,40(sp) - 26520: dd000817 ldw r20,32(sp) - 26524: 0023883a mov r17,zero - 26528: 003f4806 br 2624c <_gp+0xfffe9d14> - 2652c: 10e3c83a sub r17,r2,r3 - 26530: 9448983a sll r4,r18,r17 - 26534: 003d3206 br 25a00 <_gp+0xfffe94c8> - 26538: d8000e15 stw zero,56(sp) - 2653c: 003d7506 br 25b14 <_gp+0xfffe95dc> - 26540: b005883a mov r2,r22 - 26544: 003f4506 br 2625c <_gp+0xfffe9d24> - 26548: dc000915 stw r16,36(sp) - 2654c: d9800a17 ldw r6,40(sp) - 26550: d9400917 ldw r5,36(sp) - 26554: e009883a mov r4,fp - 26558: 0028c0c0 call 28c0c <__pow5mult> - 2655c: 1021883a mov r16,r2 - 26560: 003f5a06 br 262cc <_gp+0xfffe9d94> - 26564: 01c00044 movi r7,1 - 26568: d9c00b15 stw r7,44(sp) - 2656c: d8802217 ldw r2,136(sp) - 26570: 0081280e bge zero,r2,26a14 <_dtoa_r+0x11b0> - 26574: 100d883a mov r6,r2 - 26578: 1021883a mov r16,r2 - 2657c: d8800c15 stw r2,48(sp) - 26580: d8800615 stw r2,24(sp) - 26584: 003d8806 br 25ba8 <_gp+0xfffe9670> - 26588: d8800617 ldw r2,24(sp) - 2658c: 00be9b16 blt zero,r2,25ffc <_gp+0xfffe9ac4> - 26590: 10010f1e bne r2,zero,269d0 <_dtoa_r+0x116c> - 26594: 880b883a mov r5,r17 - 26598: 000d883a mov r6,zero - 2659c: 01d00534 movhi r7,16404 - 265a0: 8009883a mov r4,r16 - 265a4: 002dd380 call 2dd38 <__muldf3> - 265a8: 900d883a mov r6,r18 - 265ac: 980f883a mov r7,r19 - 265b0: 1009883a mov r4,r2 - 265b4: 180b883a mov r5,r3 - 265b8: 002db680 call 2db68 <__gedf2> - 265bc: 002b883a mov r21,zero - 265c0: 0023883a mov r17,zero - 265c4: 1000bf16 blt r2,zero,268c4 <_dtoa_r+0x1060> - 265c8: d9802217 ldw r6,136(sp) - 265cc: ddc00717 ldw r23,28(sp) - 265d0: 018c303a nor r6,zero,r6 - 265d4: d9800515 stw r6,20(sp) - 265d8: a80b883a mov r5,r21 - 265dc: e009883a mov r4,fp - 265e0: 00286740 call 28674 <_Bfree> - 265e4: 883e4926 beq r17,zero,25f0c <_gp+0xfffe99d4> - 265e8: 003fad06 br 264a0 <_gp+0xfffe9f68> - 265ec: d9c01117 ldw r7,68(sp) - 265f0: 3801bc26 beq r7,zero,26ce4 <_dtoa_r+0x1480> - 265f4: 10810cc4 addi r2,r2,1075 - 265f8: dd400a17 ldw r21,40(sp) - 265fc: dd000817 ldw r20,32(sp) - 26600: 003f0a06 br 2622c <_gp+0xfffe9cf4> - 26604: 00800704 movi r2,28 - 26608: d9000817 ldw r4,32(sp) - 2660c: a0a9883a add r20,r20,r2 - 26610: b0ad883a add r22,r22,r2 - 26614: 2089883a add r4,r4,r2 - 26618: d9000815 stw r4,32(sp) - 2661c: 003f5106 br 26364 <_gp+0xfffe9e2c> - 26620: d8c00317 ldw r3,12(sp) - 26624: b8c1fc0e bge r23,r3,26e18 <_dtoa_r+0x15b4> - 26628: 0027883a mov r19,zero - 2662c: b805883a mov r2,r23 - 26630: 003f3e06 br 2632c <_gp+0xfffe9df4> - 26634: 880b883a mov r5,r17 - 26638: e009883a mov r4,fp - 2663c: 000f883a mov r7,zero - 26640: 01800284 movi r6,10 - 26644: 002869c0 call 2869c <__multadd> - 26648: d9000c17 ldw r4,48(sp) - 2664c: 1023883a mov r17,r2 - 26650: 0102040e bge zero,r4,26e64 <_dtoa_r+0x1600> - 26654: d9000615 stw r4,24(sp) - 26658: 0500050e bge zero,r20,26670 <_dtoa_r+0xe0c> - 2665c: 880b883a mov r5,r17 - 26660: a00d883a mov r6,r20 - 26664: e009883a mov r4,fp - 26668: 0028d4c0 call 28d4c <__lshift> - 2666c: 1023883a mov r17,r2 - 26670: 9801241e bne r19,zero,26b04 <_dtoa_r+0x12a0> - 26674: 8829883a mov r20,r17 - 26678: d9000617 ldw r4,24(sp) - 2667c: dcc00717 ldw r19,28(sp) - 26680: 9480004c andi r18,r18,1 - 26684: 20bfffc4 addi r2,r4,-1 - 26688: 9885883a add r2,r19,r2 - 2668c: d8800415 stw r2,16(sp) - 26690: dc800615 stw r18,24(sp) - 26694: a80b883a mov r5,r21 - 26698: 8009883a mov r4,r16 - 2669c: 00256640 call 25664 - 266a0: 880b883a mov r5,r17 - 266a4: 8009883a mov r4,r16 - 266a8: 102f883a mov r23,r2 - 266ac: 0028e940 call 28e94 <__mcmp> - 266b0: a80b883a mov r5,r21 - 266b4: a00d883a mov r6,r20 - 266b8: e009883a mov r4,fp - 266bc: 102d883a mov r22,r2 - 266c0: 0028ef40 call 28ef4 <__mdiff> - 266c4: 1007883a mov r3,r2 - 266c8: 10800317 ldw r2,12(r2) - 266cc: bc800c04 addi r18,r23,48 - 266d0: 180b883a mov r5,r3 - 266d4: 10004e1e bne r2,zero,26810 <_dtoa_r+0xfac> - 266d8: 8009883a mov r4,r16 - 266dc: d8c01615 stw r3,88(sp) - 266e0: 0028e940 call 28e94 <__mcmp> - 266e4: d8c01617 ldw r3,88(sp) - 266e8: e009883a mov r4,fp - 266ec: d8801615 stw r2,88(sp) - 266f0: 180b883a mov r5,r3 - 266f4: 00286740 call 28674 <_Bfree> - 266f8: d8801617 ldw r2,88(sp) - 266fc: 1000041e bne r2,zero,26710 <_dtoa_r+0xeac> - 26700: d9800317 ldw r6,12(sp) - 26704: 3000021e bne r6,zero,26710 <_dtoa_r+0xeac> - 26708: d8c00617 ldw r3,24(sp) - 2670c: 18003726 beq r3,zero,267ec <_dtoa_r+0xf88> - 26710: b0002016 blt r22,zero,26794 <_dtoa_r+0xf30> - 26714: b000041e bne r22,zero,26728 <_dtoa_r+0xec4> - 26718: d9000317 ldw r4,12(sp) - 2671c: 2000021e bne r4,zero,26728 <_dtoa_r+0xec4> - 26720: d8c00617 ldw r3,24(sp) - 26724: 18001b26 beq r3,zero,26794 <_dtoa_r+0xf30> - 26728: 00810716 blt zero,r2,26b48 <_dtoa_r+0x12e4> - 2672c: d8c00417 ldw r3,16(sp) - 26730: 9d800044 addi r22,r19,1 - 26734: 9c800005 stb r18,0(r19) - 26738: b02f883a mov r23,r22 - 2673c: 98c10626 beq r19,r3,26b58 <_dtoa_r+0x12f4> - 26740: 800b883a mov r5,r16 - 26744: 000f883a mov r7,zero - 26748: 01800284 movi r6,10 - 2674c: e009883a mov r4,fp - 26750: 002869c0 call 2869c <__multadd> - 26754: 1021883a mov r16,r2 - 26758: 000f883a mov r7,zero - 2675c: 01800284 movi r6,10 - 26760: 880b883a mov r5,r17 - 26764: e009883a mov r4,fp - 26768: 8d002526 beq r17,r20,26800 <_dtoa_r+0xf9c> - 2676c: 002869c0 call 2869c <__multadd> - 26770: a00b883a mov r5,r20 - 26774: 000f883a mov r7,zero - 26778: 01800284 movi r6,10 - 2677c: e009883a mov r4,fp - 26780: 1023883a mov r17,r2 - 26784: 002869c0 call 2869c <__multadd> - 26788: 1029883a mov r20,r2 - 2678c: b027883a mov r19,r22 - 26790: 003fc006 br 26694 <_gp+0xfffea15c> - 26794: 9011883a mov r8,r18 - 26798: 00800e0e bge zero,r2,267d4 <_dtoa_r+0xf70> - 2679c: 800b883a mov r5,r16 - 267a0: 01800044 movi r6,1 - 267a4: e009883a mov r4,fp - 267a8: da001715 stw r8,92(sp) - 267ac: 0028d4c0 call 28d4c <__lshift> - 267b0: a80b883a mov r5,r21 - 267b4: 1009883a mov r4,r2 - 267b8: 1021883a mov r16,r2 - 267bc: 0028e940 call 28e94 <__mcmp> - 267c0: da001717 ldw r8,92(sp) - 267c4: 0081960e bge zero,r2,26e20 <_dtoa_r+0x15bc> - 267c8: 00800e44 movi r2,57 - 267cc: 40817026 beq r8,r2,26d90 <_dtoa_r+0x152c> - 267d0: ba000c44 addi r8,r23,49 - 267d4: 8825883a mov r18,r17 - 267d8: 9dc00044 addi r23,r19,1 - 267dc: 9a000005 stb r8,0(r19) - 267e0: a023883a mov r17,r20 - 267e4: dc000915 stw r16,36(sp) - 267e8: 003f2406 br 2647c <_gp+0xfffe9f44> - 267ec: 00800e44 movi r2,57 - 267f0: 9011883a mov r8,r18 - 267f4: 90816626 beq r18,r2,26d90 <_dtoa_r+0x152c> - 267f8: 05bff516 blt zero,r22,267d0 <_gp+0xfffea298> - 267fc: 003ff506 br 267d4 <_gp+0xfffea29c> - 26800: 002869c0 call 2869c <__multadd> - 26804: 1023883a mov r17,r2 - 26808: 1029883a mov r20,r2 - 2680c: 003fdf06 br 2678c <_gp+0xfffea254> - 26810: e009883a mov r4,fp - 26814: 00286740 call 28674 <_Bfree> - 26818: 00800044 movi r2,1 - 2681c: 003fbc06 br 26710 <_gp+0xfffea1d8> - 26820: a80b883a mov r5,r21 - 26824: 8009883a mov r4,r16 - 26828: 0028e940 call 28e94 <__mcmp> - 2682c: 103edb0e bge r2,zero,2639c <_gp+0xfffe9e64> - 26830: 800b883a mov r5,r16 - 26834: 000f883a mov r7,zero - 26838: 01800284 movi r6,10 - 2683c: e009883a mov r4,fp - 26840: 002869c0 call 2869c <__multadd> - 26844: 1021883a mov r16,r2 - 26848: d8800517 ldw r2,20(sp) - 2684c: d8c00b17 ldw r3,44(sp) - 26850: 10bfffc4 addi r2,r2,-1 - 26854: d8800515 stw r2,20(sp) - 26858: 183f761e bne r3,zero,26634 <_gp+0xfffea0fc> - 2685c: d9000c17 ldw r4,48(sp) - 26860: 0101730e bge zero,r4,26e30 <_dtoa_r+0x15cc> - 26864: d9000615 stw r4,24(sp) - 26868: 003ed006 br 263ac <_gp+0xfffe9e74> - 2686c: 00800084 movi r2,2 - 26870: 3081861e bne r6,r2,26e8c <_dtoa_r+0x1628> - 26874: d8000b15 stw zero,44(sp) - 26878: 003f3c06 br 2656c <_gp+0xfffea034> - 2687c: dc000917 ldw r16,36(sp) - 26880: 003e9206 br 262cc <_gp+0xfffe9d94> - 26884: d9c00317 ldw r7,12(sp) - 26888: 00800084 movi r2,2 - 2688c: 11fec50e bge r2,r7,263a4 <_gp+0xfffe9e6c> - 26890: d9000617 ldw r4,24(sp) - 26894: 20013c1e bne r4,zero,26d88 <_dtoa_r+0x1524> - 26898: a80b883a mov r5,r21 - 2689c: 000f883a mov r7,zero - 268a0: 01800144 movi r6,5 - 268a4: e009883a mov r4,fp - 268a8: 002869c0 call 2869c <__multadd> - 268ac: 100b883a mov r5,r2 - 268b0: 8009883a mov r4,r16 - 268b4: 102b883a mov r21,r2 - 268b8: 0028e940 call 28e94 <__mcmp> - 268bc: dc000915 stw r16,36(sp) - 268c0: 00bf410e bge zero,r2,265c8 <_gp+0xfffea090> - 268c4: d9c00717 ldw r7,28(sp) - 268c8: 00800c44 movi r2,49 - 268cc: 38800005 stb r2,0(r7) - 268d0: d8800517 ldw r2,20(sp) - 268d4: 3dc00044 addi r23,r7,1 - 268d8: 10800044 addi r2,r2,1 - 268dc: d8800515 stw r2,20(sp) - 268e0: 003f3d06 br 265d8 <_gp+0xfffea0a0> - 268e4: d9800517 ldw r6,20(sp) - 268e8: d9c00717 ldw r7,28(sp) - 268ec: 00800c44 movi r2,49 - 268f0: 31800044 addi r6,r6,1 - 268f4: d9800515 stw r6,20(sp) - 268f8: 38800005 stb r2,0(r7) - 268fc: 003edf06 br 2647c <_gp+0xfffe9f44> - 26900: d8000b15 stw zero,44(sp) - 26904: 003c9f06 br 25b84 <_gp+0xfffe964c> - 26908: 903e7e1e bne r18,zero,26304 <_gp+0xfffe9dcc> - 2690c: 00800434 movhi r2,16 - 26910: 10bfffc4 addi r2,r2,-1 - 26914: 9884703a and r2,r19,r2 - 26918: 1000ea1e bne r2,zero,26cc4 <_dtoa_r+0x1460> - 2691c: 9cdffc2c andhi r19,r19,32752 - 26920: 9800e826 beq r19,zero,26cc4 <_dtoa_r+0x1460> - 26924: d9c00817 ldw r7,32(sp) - 26928: b5800044 addi r22,r22,1 - 2692c: 04c00044 movi r19,1 - 26930: 39c00044 addi r7,r7,1 - 26934: d9c00815 stw r7,32(sp) - 26938: d8800d17 ldw r2,52(sp) - 2693c: 103e721e bne r2,zero,26308 <_gp+0xfffe9dd0> - 26940: 00800044 movi r2,1 - 26944: 003e7906 br 2632c <_gp+0xfffe9df4> - 26948: 8009883a mov r4,r16 - 2694c: 002edcc0 call 2edcc <__floatsidf> - 26950: d9800f17 ldw r6,60(sp) - 26954: d9c01017 ldw r7,64(sp) - 26958: 1009883a mov r4,r2 - 2695c: 180b883a mov r5,r3 - 26960: 002dd380 call 2dd38 <__muldf3> - 26964: 000d883a mov r6,zero - 26968: 01d00734 movhi r7,16412 - 2696c: 1009883a mov r4,r2 - 26970: 180b883a mov r5,r3 - 26974: 002c94c0 call 2c94c <__adddf3> - 26978: 047f3034 movhi r17,64704 - 2697c: 1021883a mov r16,r2 - 26980: 1c63883a add r17,r3,r17 - 26984: d9000f17 ldw r4,60(sp) - 26988: d9401017 ldw r5,64(sp) - 2698c: 000d883a mov r6,zero - 26990: 01d00534 movhi r7,16404 - 26994: 002e4500 call 2e450 <__subdf3> - 26998: 800d883a mov r6,r16 - 2699c: 880f883a mov r7,r17 - 269a0: 1009883a mov r4,r2 - 269a4: 180b883a mov r5,r3 - 269a8: 102b883a mov r21,r2 - 269ac: 1829883a mov r20,r3 - 269b0: 002db680 call 2db68 <__gedf2> - 269b4: 00806c16 blt zero,r2,26b68 <_dtoa_r+0x1304> - 269b8: 89e0003c xorhi r7,r17,32768 - 269bc: 800d883a mov r6,r16 - 269c0: a809883a mov r4,r21 - 269c4: a00b883a mov r5,r20 - 269c8: 002dc440 call 2dc44 <__ledf2> - 269cc: 103d7e0e bge r2,zero,25fc8 <_gp+0xfffe9a90> - 269d0: 002b883a mov r21,zero - 269d4: 0023883a mov r17,zero - 269d8: 003efb06 br 265c8 <_gp+0xfffea090> - 269dc: d8800717 ldw r2,28(sp) - 269e0: 003bd006 br 25924 <_gp+0xfffe93ec> - 269e4: d9000a17 ldw r4,40(sp) - 269e8: d9800d17 ldw r6,52(sp) - 269ec: dd400a15 stw r21,40(sp) - 269f0: a905c83a sub r2,r21,r4 - 269f4: 308d883a add r6,r6,r2 - 269f8: d9800d15 stw r6,52(sp) - 269fc: 002b883a mov r21,zero - 26a00: 003e0606 br 2621c <_gp+0xfffe9ce4> - 26a04: 9023883a mov r17,r18 - 26a08: 9829883a mov r20,r19 - 26a0c: 04000084 movi r16,2 - 26a10: 003c9206 br 25c5c <_gp+0xfffe9724> - 26a14: 04000044 movi r16,1 - 26a18: dc000c15 stw r16,48(sp) - 26a1c: dc000615 stw r16,24(sp) - 26a20: dc002215 stw r16,136(sp) - 26a24: e0001115 stw zero,68(fp) - 26a28: 000b883a mov r5,zero - 26a2c: 003c6906 br 25bd4 <_gp+0xfffe969c> - 26a30: 3021883a mov r16,r6 - 26a34: 003ffb06 br 26a24 <_gp+0xfffea4ec> - 26a38: 1000021e bne r2,zero,26a44 <_dtoa_r+0x11e0> - 26a3c: 4200004c andi r8,r8,1 - 26a40: 403e7d1e bne r8,zero,26438 <_gp+0xfffe9f00> - 26a44: 01000c04 movi r4,48 - 26a48: 00000106 br 26a50 <_dtoa_r+0x11ec> - 26a4c: 102f883a mov r23,r2 - 26a50: b8bfffc4 addi r2,r23,-1 - 26a54: 10c00007 ldb r3,0(r2) - 26a58: 193ffc26 beq r3,r4,26a4c <_gp+0xfffea514> - 26a5c: 003e8706 br 2647c <_gp+0xfffe9f44> - 26a60: d8800517 ldw r2,20(sp) - 26a64: 00a3c83a sub r17,zero,r2 - 26a68: 8800a426 beq r17,zero,26cfc <_dtoa_r+0x1498> - 26a6c: 888003cc andi r2,r17,15 - 26a70: 100490fa slli r2,r2,3 - 26a74: 00c000f4 movhi r3,3 - 26a78: 18c83404 addi r3,r3,8400 - 26a7c: 1885883a add r2,r3,r2 - 26a80: 11800017 ldw r6,0(r2) - 26a84: 11c00117 ldw r7,4(r2) - 26a88: 9009883a mov r4,r18 - 26a8c: 980b883a mov r5,r19 - 26a90: 8823d13a srai r17,r17,4 - 26a94: 002dd380 call 2dd38 <__muldf3> - 26a98: d8800f15 stw r2,60(sp) - 26a9c: d8c01015 stw r3,64(sp) - 26aa0: 8800e826 beq r17,zero,26e44 <_dtoa_r+0x15e0> - 26aa4: 050000f4 movhi r20,3 - 26aa8: a5082a04 addi r20,r20,8360 - 26aac: 04000084 movi r16,2 - 26ab0: 8980004c andi r6,r17,1 - 26ab4: 1009883a mov r4,r2 - 26ab8: 8823d07a srai r17,r17,1 - 26abc: 180b883a mov r5,r3 - 26ac0: 30000426 beq r6,zero,26ad4 <_dtoa_r+0x1270> - 26ac4: a1800017 ldw r6,0(r20) - 26ac8: a1c00117 ldw r7,4(r20) - 26acc: 84000044 addi r16,r16,1 - 26ad0: 002dd380 call 2dd38 <__muldf3> - 26ad4: a5000204 addi r20,r20,8 - 26ad8: 883ff51e bne r17,zero,26ab0 <_gp+0xfffea578> - 26adc: d8800f15 stw r2,60(sp) - 26ae0: d8c01015 stw r3,64(sp) - 26ae4: 003c7606 br 25cc0 <_gp+0xfffe9788> - 26ae8: 00c00c04 movi r3,48 - 26aec: 10c00005 stb r3,0(r2) - 26af0: d8c00517 ldw r3,20(sp) - 26af4: bd3fffc3 ldbu r20,-1(r23) - 26af8: 18c00044 addi r3,r3,1 - 26afc: d8c00515 stw r3,20(sp) - 26b00: 003db906 br 261e8 <_gp+0xfffe9cb0> - 26b04: 89400117 ldw r5,4(r17) - 26b08: e009883a mov r4,fp - 26b0c: 00285cc0 call 285cc <_Balloc> - 26b10: 89800417 ldw r6,16(r17) - 26b14: 89400304 addi r5,r17,12 - 26b18: 11000304 addi r4,r2,12 - 26b1c: 31800084 addi r6,r6,2 - 26b20: 318d883a add r6,r6,r6 - 26b24: 318d883a add r6,r6,r6 - 26b28: 1027883a mov r19,r2 - 26b2c: 00283280 call 28328 - 26b30: 01800044 movi r6,1 - 26b34: 980b883a mov r5,r19 - 26b38: e009883a mov r4,fp - 26b3c: 0028d4c0 call 28d4c <__lshift> - 26b40: 1029883a mov r20,r2 - 26b44: 003ecc06 br 26678 <_gp+0xfffea140> - 26b48: 00800e44 movi r2,57 - 26b4c: 90809026 beq r18,r2,26d90 <_dtoa_r+0x152c> - 26b50: 92000044 addi r8,r18,1 - 26b54: 003f1f06 br 267d4 <_gp+0xfffea29c> - 26b58: 9011883a mov r8,r18 - 26b5c: 8825883a mov r18,r17 - 26b60: a023883a mov r17,r20 - 26b64: 003e2906 br 2640c <_gp+0xfffe9ed4> - 26b68: 002b883a mov r21,zero - 26b6c: 0023883a mov r17,zero - 26b70: 003f5406 br 268c4 <_gp+0xfffea38c> - 26b74: 61bfffc4 addi r6,r12,-1 - 26b78: 300490fa slli r2,r6,3 - 26b7c: 00c000f4 movhi r3,3 - 26b80: 18c83404 addi r3,r3,8400 - 26b84: 1885883a add r2,r3,r2 - 26b88: 11000017 ldw r4,0(r2) - 26b8c: 11400117 ldw r5,4(r2) - 26b90: d8800717 ldw r2,28(sp) - 26b94: 880f883a mov r7,r17 - 26b98: d9801215 stw r6,72(sp) - 26b9c: 800d883a mov r6,r16 - 26ba0: db001615 stw r12,88(sp) - 26ba4: 15c00044 addi r23,r2,1 - 26ba8: 002dd380 call 2dd38 <__muldf3> - 26bac: d9401017 ldw r5,64(sp) - 26bb0: d9000f17 ldw r4,60(sp) - 26bb4: d8c01515 stw r3,84(sp) - 26bb8: d8801415 stw r2,80(sp) - 26bbc: 002ed4c0 call 2ed4c <__fixdfsi> - 26bc0: 1009883a mov r4,r2 - 26bc4: 1021883a mov r16,r2 - 26bc8: 002edcc0 call 2edcc <__floatsidf> - 26bcc: d9000f17 ldw r4,60(sp) - 26bd0: d9401017 ldw r5,64(sp) - 26bd4: 100d883a mov r6,r2 - 26bd8: 180f883a mov r7,r3 - 26bdc: 002e4500 call 2e450 <__subdf3> - 26be0: 1829883a mov r20,r3 - 26be4: d8c00717 ldw r3,28(sp) - 26be8: 84000c04 addi r16,r16,48 - 26bec: 1023883a mov r17,r2 - 26bf0: 1c000005 stb r16,0(r3) - 26bf4: db001617 ldw r12,88(sp) - 26bf8: 00800044 movi r2,1 - 26bfc: 60802226 beq r12,r2,26c88 <_dtoa_r+0x1424> - 26c00: d9c00717 ldw r7,28(sp) - 26c04: 8805883a mov r2,r17 - 26c08: b82b883a mov r21,r23 - 26c0c: 3b19883a add r12,r7,r12 - 26c10: 6023883a mov r17,r12 - 26c14: a007883a mov r3,r20 - 26c18: dc800f15 stw r18,60(sp) - 26c1c: 000d883a mov r6,zero - 26c20: 01d00934 movhi r7,16420 - 26c24: 1009883a mov r4,r2 - 26c28: 180b883a mov r5,r3 - 26c2c: 002dd380 call 2dd38 <__muldf3> - 26c30: 180b883a mov r5,r3 - 26c34: 1009883a mov r4,r2 - 26c38: 1829883a mov r20,r3 - 26c3c: 1025883a mov r18,r2 - 26c40: 002ed4c0 call 2ed4c <__fixdfsi> - 26c44: 1009883a mov r4,r2 - 26c48: 1021883a mov r16,r2 - 26c4c: 002edcc0 call 2edcc <__floatsidf> - 26c50: 100d883a mov r6,r2 - 26c54: 180f883a mov r7,r3 - 26c58: 9009883a mov r4,r18 - 26c5c: a00b883a mov r5,r20 - 26c60: 84000c04 addi r16,r16,48 - 26c64: 002e4500 call 2e450 <__subdf3> - 26c68: ad400044 addi r21,r21,1 - 26c6c: ac3fffc5 stb r16,-1(r21) - 26c70: ac7fea1e bne r21,r17,26c1c <_gp+0xfffea6e4> - 26c74: 1023883a mov r17,r2 - 26c78: d8801217 ldw r2,72(sp) - 26c7c: dc800f17 ldw r18,60(sp) - 26c80: 1829883a mov r20,r3 - 26c84: b8af883a add r23,r23,r2 - 26c88: d9001417 ldw r4,80(sp) - 26c8c: d9401517 ldw r5,84(sp) - 26c90: 000d883a mov r6,zero - 26c94: 01cff834 movhi r7,16352 - 26c98: 002c94c0 call 2c94c <__adddf3> - 26c9c: 880d883a mov r6,r17 - 26ca0: a00f883a mov r7,r20 - 26ca4: 1009883a mov r4,r2 - 26ca8: 180b883a mov r5,r3 - 26cac: 002dc440 call 2dc44 <__ledf2> - 26cb0: 10003e0e bge r2,zero,26dac <_dtoa_r+0x1548> - 26cb4: d9001317 ldw r4,76(sp) - 26cb8: bd3fffc3 ldbu r20,-1(r23) - 26cbc: d9000515 stw r4,20(sp) - 26cc0: 003d3b06 br 261b0 <_gp+0xfffe9c78> - 26cc4: 0027883a mov r19,zero - 26cc8: 003f1b06 br 26938 <_gp+0xfffea400> - 26ccc: d8800817 ldw r2,32(sp) - 26cd0: 11e9c83a sub r20,r2,r7 - 26cd4: 0005883a mov r2,zero - 26cd8: 003d5406 br 2622c <_gp+0xfffe9cf4> - 26cdc: 00800044 movi r2,1 - 26ce0: 003dc706 br 26400 <_gp+0xfffe9ec8> - 26ce4: d8c00217 ldw r3,8(sp) - 26ce8: 00800d84 movi r2,54 - 26cec: dd400a17 ldw r21,40(sp) - 26cf0: 10c5c83a sub r2,r2,r3 - 26cf4: dd000817 ldw r20,32(sp) - 26cf8: 003d4c06 br 2622c <_gp+0xfffe9cf4> - 26cfc: dc800f15 stw r18,60(sp) - 26d00: dcc01015 stw r19,64(sp) - 26d04: 04000084 movi r16,2 - 26d08: 003bed06 br 25cc0 <_gp+0xfffe9788> - 26d0c: d9000617 ldw r4,24(sp) - 26d10: 203f0d26 beq r4,zero,26948 <_gp+0xfffea410> - 26d14: d9800c17 ldw r6,48(sp) - 26d18: 01bcab0e bge zero,r6,25fc8 <_gp+0xfffe9a90> - 26d1c: d9401017 ldw r5,64(sp) - 26d20: d9000f17 ldw r4,60(sp) - 26d24: 000d883a mov r6,zero - 26d28: 01d00934 movhi r7,16420 - 26d2c: 002dd380 call 2dd38 <__muldf3> - 26d30: 81000044 addi r4,r16,1 - 26d34: d8800f15 stw r2,60(sp) - 26d38: d8c01015 stw r3,64(sp) - 26d3c: 002edcc0 call 2edcc <__floatsidf> - 26d40: d9800f17 ldw r6,60(sp) - 26d44: d9c01017 ldw r7,64(sp) - 26d48: 1009883a mov r4,r2 - 26d4c: 180b883a mov r5,r3 - 26d50: 002dd380 call 2dd38 <__muldf3> - 26d54: 01d00734 movhi r7,16412 - 26d58: 000d883a mov r6,zero - 26d5c: 1009883a mov r4,r2 - 26d60: 180b883a mov r5,r3 - 26d64: 002c94c0 call 2c94c <__adddf3> - 26d68: d9c00517 ldw r7,20(sp) - 26d6c: 047f3034 movhi r17,64704 - 26d70: 1021883a mov r16,r2 - 26d74: 39ffffc4 addi r7,r7,-1 - 26d78: d9c01315 stw r7,76(sp) - 26d7c: 1c63883a add r17,r3,r17 - 26d80: db000c17 ldw r12,48(sp) - 26d84: 003bea06 br 25d30 <_gp+0xfffe97f8> - 26d88: dc000915 stw r16,36(sp) - 26d8c: 003e0e06 br 265c8 <_gp+0xfffea090> - 26d90: 01000e44 movi r4,57 - 26d94: 8825883a mov r18,r17 - 26d98: 9dc00044 addi r23,r19,1 - 26d9c: 99000005 stb r4,0(r19) - 26da0: a023883a mov r17,r20 - 26da4: dc000915 stw r16,36(sp) - 26da8: 003da406 br 2643c <_gp+0xfffe9f04> - 26dac: d9801417 ldw r6,80(sp) - 26db0: d9c01517 ldw r7,84(sp) - 26db4: 0009883a mov r4,zero - 26db8: 014ff834 movhi r5,16352 - 26dbc: 002e4500 call 2e450 <__subdf3> - 26dc0: 880d883a mov r6,r17 - 26dc4: a00f883a mov r7,r20 - 26dc8: 1009883a mov r4,r2 - 26dcc: 180b883a mov r5,r3 - 26dd0: 002db680 call 2db68 <__gedf2> - 26dd4: 00bc7c0e bge zero,r2,25fc8 <_gp+0xfffe9a90> - 26dd8: 01000c04 movi r4,48 - 26ddc: 00000106 br 26de4 <_dtoa_r+0x1580> - 26de0: 102f883a mov r23,r2 - 26de4: b8bfffc4 addi r2,r23,-1 - 26de8: 10c00007 ldb r3,0(r2) - 26dec: 193ffc26 beq r3,r4,26de0 <_gp+0xfffea8a8> - 26df0: d9801317 ldw r6,76(sp) - 26df4: d9800515 stw r6,20(sp) - 26df8: 003c4406 br 25f0c <_gp+0xfffe99d4> - 26dfc: d9801317 ldw r6,76(sp) - 26e00: d9800515 stw r6,20(sp) - 26e04: 003cea06 br 261b0 <_gp+0xfffe9c78> - 26e08: dd800f17 ldw r22,60(sp) - 26e0c: dcc01017 ldw r19,64(sp) - 26e10: dc801217 ldw r18,72(sp) - 26e14: 003c6c06 br 25fc8 <_gp+0xfffe9a90> - 26e18: 903e031e bne r18,zero,26628 <_gp+0xfffea0f0> - 26e1c: 003ebb06 br 2690c <_gp+0xfffea3d4> - 26e20: 103e6c1e bne r2,zero,267d4 <_gp+0xfffea29c> - 26e24: 4080004c andi r2,r8,1 - 26e28: 103e6a26 beq r2,zero,267d4 <_gp+0xfffea29c> - 26e2c: 003e6606 br 267c8 <_gp+0xfffea290> - 26e30: d8c00317 ldw r3,12(sp) - 26e34: 00800084 movi r2,2 - 26e38: 10c02916 blt r2,r3,26ee0 <_dtoa_r+0x167c> - 26e3c: d9000c17 ldw r4,48(sp) - 26e40: 003e8806 br 26864 <_gp+0xfffea32c> - 26e44: 04000084 movi r16,2 - 26e48: 003b9d06 br 25cc0 <_gp+0xfffe9788> - 26e4c: d9001317 ldw r4,76(sp) - 26e50: d9000515 stw r4,20(sp) - 26e54: 003cd606 br 261b0 <_gp+0xfffe9c78> - 26e58: d8801317 ldw r2,76(sp) - 26e5c: d8800515 stw r2,20(sp) - 26e60: 003c2a06 br 25f0c <_gp+0xfffe99d4> - 26e64: d9800317 ldw r6,12(sp) - 26e68: 00800084 movi r2,2 - 26e6c: 11801516 blt r2,r6,26ec4 <_dtoa_r+0x1660> - 26e70: d9c00c17 ldw r7,48(sp) - 26e74: d9c00615 stw r7,24(sp) - 26e78: 003df706 br 26658 <_gp+0xfffea120> - 26e7c: 193d3926 beq r3,r4,26364 <_gp+0xfffe9e2c> - 26e80: 00c00f04 movi r3,60 - 26e84: 1885c83a sub r2,r3,r2 - 26e88: 003ddf06 br 26608 <_gp+0xfffea0d0> - 26e8c: e009883a mov r4,fp - 26e90: e0001115 stw zero,68(fp) - 26e94: 000b883a mov r5,zero - 26e98: 00285cc0 call 285cc <_Balloc> - 26e9c: d8800715 stw r2,28(sp) - 26ea0: d8c00717 ldw r3,28(sp) - 26ea4: 00bfffc4 movi r2,-1 - 26ea8: 01000044 movi r4,1 - 26eac: d8800c15 stw r2,48(sp) - 26eb0: e0c01015 stw r3,64(fp) - 26eb4: d9000b15 stw r4,44(sp) - 26eb8: d8800615 stw r2,24(sp) - 26ebc: d8002215 stw zero,136(sp) - 26ec0: 003c4106 br 25fc8 <_gp+0xfffe9a90> - 26ec4: d8c00c17 ldw r3,48(sp) - 26ec8: d8c00615 stw r3,24(sp) - 26ecc: 003e7006 br 26890 <_gp+0xfffea358> - 26ed0: 04400044 movi r17,1 - 26ed4: 003b2006 br 25b58 <_gp+0xfffe9620> - 26ed8: 000b883a mov r5,zero - 26edc: 003b3d06 br 25bd4 <_gp+0xfffe969c> - 26ee0: d8800c17 ldw r2,48(sp) - 26ee4: d8800615 stw r2,24(sp) - 26ee8: 003e6906 br 26890 <_gp+0xfffea358> + 2607c: 000f883a mov r7,zero + 26080: a009883a mov r4,r20 + 26084: 880b883a mov r5,r17 + 26088: d8800515 stw r2,20(sp) + 2608c: 002e3f80 call 2e3f8 <__ledf2> + 26090: 10028716 blt r2,zero,26ab0 <_dtoa_r+0xc88> + 26094: d8c00517 ldw r3,20(sp) + 26098: 00800584 movi r2,22 + 2609c: 10c27536 bltu r2,r3,26a74 <_dtoa_r+0xc4c> + 260a0: 180490fa slli r2,r3,3 + 260a4: 00c000f4 movhi r3,3 + 260a8: 18ca8104 addi r3,r3,10756 + 260ac: 1885883a add r2,r3,r2 + 260b0: 11000017 ldw r4,0(r2) + 260b4: 11400117 ldw r5,4(r2) + 260b8: 900d883a mov r6,r18 + 260bc: 980f883a mov r7,r19 + 260c0: 002e31c0 call 2e31c <__gedf2> + 260c4: 00828d0e bge zero,r2,26afc <_dtoa_r+0xcd4> + 260c8: d9000517 ldw r4,20(sp) + 260cc: d8000e15 stw zero,56(sp) + 260d0: 213fffc4 addi r4,r4,-1 + 260d4: d9000515 stw r4,20(sp) + 260d8: b42dc83a sub r22,r22,r16 + 260dc: b5bfffc4 addi r22,r22,-1 + 260e0: b0026f16 blt r22,zero,26aa0 <_dtoa_r+0xc78> + 260e4: d8000815 stw zero,32(sp) + 260e8: d9c00517 ldw r7,20(sp) + 260ec: 38026416 blt r7,zero,26a80 <_dtoa_r+0xc58> + 260f0: b1ed883a add r22,r22,r7 + 260f4: d9c00d15 stw r7,52(sp) + 260f8: d8000a15 stw zero,40(sp) + 260fc: d9800317 ldw r6,12(sp) + 26100: 00800244 movi r2,9 + 26104: 11811436 bltu r2,r6,26558 <_dtoa_r+0x730> + 26108: 00800144 movi r2,5 + 2610c: 1184e10e bge r2,r6,27494 <_dtoa_r+0x166c> + 26110: 31bfff04 addi r6,r6,-4 + 26114: d9800315 stw r6,12(sp) + 26118: 0023883a mov r17,zero + 2611c: d9800317 ldw r6,12(sp) + 26120: 008000c4 movi r2,3 + 26124: 30836726 beq r6,r2,26ec4 <_dtoa_r+0x109c> + 26128: 1183410e bge r2,r6,26e30 <_dtoa_r+0x1008> + 2612c: d9c00317 ldw r7,12(sp) + 26130: 00800104 movi r2,4 + 26134: 38827c26 beq r7,r2,26b28 <_dtoa_r+0xd00> + 26138: 00800144 movi r2,5 + 2613c: 3884c41e bne r7,r2,27450 <_dtoa_r+0x1628> + 26140: 00800044 movi r2,1 + 26144: d8800b15 stw r2,44(sp) + 26148: d8c00517 ldw r3,20(sp) + 2614c: d9002217 ldw r4,136(sp) + 26150: 1907883a add r3,r3,r4 + 26154: 19800044 addi r6,r3,1 + 26158: d8c00c15 stw r3,48(sp) + 2615c: d9800615 stw r6,24(sp) + 26160: 0183a40e bge zero,r6,26ff4 <_dtoa_r+0x11cc> + 26164: d9800617 ldw r6,24(sp) + 26168: 3021883a mov r16,r6 + 2616c: e0001115 stw zero,68(fp) + 26170: 008005c4 movi r2,23 + 26174: 1184c92e bgeu r2,r6,2749c <_dtoa_r+0x1674> + 26178: 00c00044 movi r3,1 + 2617c: 00800104 movi r2,4 + 26180: 1085883a add r2,r2,r2 + 26184: 11000504 addi r4,r2,20 + 26188: 180b883a mov r5,r3 + 2618c: 18c00044 addi r3,r3,1 + 26190: 313ffb2e bgeu r6,r4,26180 <_gp+0xfffe9314> + 26194: e1401115 stw r5,68(fp) + 26198: e009883a mov r4,fp + 2619c: 0028b900 call 28b90 <_Balloc> + 261a0: d8800715 stw r2,28(sp) + 261a4: e0801015 stw r2,64(fp) + 261a8: 00800384 movi r2,14 + 261ac: 1400f736 bltu r2,r16,2658c <_dtoa_r+0x764> + 261b0: 8800f626 beq r17,zero,2658c <_dtoa_r+0x764> + 261b4: d9c00517 ldw r7,20(sp) + 261b8: 01c39a0e bge zero,r7,27024 <_dtoa_r+0x11fc> + 261bc: 388003cc andi r2,r7,15 + 261c0: 100490fa slli r2,r2,3 + 261c4: 382bd13a srai r21,r7,4 + 261c8: 00c000f4 movhi r3,3 + 261cc: 18ca8104 addi r3,r3,10756 + 261d0: 1885883a add r2,r3,r2 + 261d4: a8c0040c andi r3,r21,16 + 261d8: 12400017 ldw r9,0(r2) + 261dc: 12000117 ldw r8,4(r2) + 261e0: 18037926 beq r3,zero,26fc8 <_dtoa_r+0x11a0> + 261e4: 008000f4 movhi r2,3 + 261e8: 108a7704 addi r2,r2,10716 + 261ec: 11800817 ldw r6,32(r2) + 261f0: 11c00917 ldw r7,36(r2) + 261f4: 9009883a mov r4,r18 + 261f8: 980b883a mov r5,r19 + 261fc: da001715 stw r8,92(sp) + 26200: da401615 stw r9,88(sp) + 26204: 002d9ac0 call 2d9ac <__divdf3> + 26208: da001717 ldw r8,92(sp) + 2620c: da401617 ldw r9,88(sp) + 26210: ad4003cc andi r21,r21,15 + 26214: 040000c4 movi r16,3 + 26218: 1023883a mov r17,r2 + 2621c: 1829883a mov r20,r3 + 26220: a8001126 beq r21,zero,26268 <_dtoa_r+0x440> + 26224: 05c000f4 movhi r23,3 + 26228: bdca7704 addi r23,r23,10716 + 2622c: 4805883a mov r2,r9 + 26230: 4007883a mov r3,r8 + 26234: a980004c andi r6,r21,1 + 26238: 1009883a mov r4,r2 + 2623c: a82bd07a srai r21,r21,1 + 26240: 180b883a mov r5,r3 + 26244: 30000426 beq r6,zero,26258 <_dtoa_r+0x430> + 26248: b9800017 ldw r6,0(r23) + 2624c: b9c00117 ldw r7,4(r23) + 26250: 84000044 addi r16,r16,1 + 26254: 002e4ec0 call 2e4ec <__muldf3> + 26258: bdc00204 addi r23,r23,8 + 2625c: a83ff51e bne r21,zero,26234 <_gp+0xfffe93c8> + 26260: 1013883a mov r9,r2 + 26264: 1811883a mov r8,r3 + 26268: 480d883a mov r6,r9 + 2626c: 400f883a mov r7,r8 + 26270: 8809883a mov r4,r17 + 26274: a00b883a mov r5,r20 + 26278: 002d9ac0 call 2d9ac <__divdf3> + 2627c: d8800f15 stw r2,60(sp) + 26280: d8c01015 stw r3,64(sp) + 26284: d8c00e17 ldw r3,56(sp) + 26288: 18000626 beq r3,zero,262a4 <_dtoa_r+0x47c> + 2628c: d9000f17 ldw r4,60(sp) + 26290: d9401017 ldw r5,64(sp) + 26294: 000d883a mov r6,zero + 26298: 01cffc34 movhi r7,16368 + 2629c: 002e3f80 call 2e3f8 <__ledf2> + 262a0: 10040b16 blt r2,zero,272d0 <_dtoa_r+0x14a8> + 262a4: 8009883a mov r4,r16 + 262a8: 002f5800 call 2f580 <__floatsidf> + 262ac: d9800f17 ldw r6,60(sp) + 262b0: d9c01017 ldw r7,64(sp) + 262b4: 1009883a mov r4,r2 + 262b8: 180b883a mov r5,r3 + 262bc: 002e4ec0 call 2e4ec <__muldf3> + 262c0: 000d883a mov r6,zero + 262c4: 01d00734 movhi r7,16412 + 262c8: 1009883a mov r4,r2 + 262cc: 180b883a mov r5,r3 + 262d0: 002d1000 call 2d100 <__adddf3> + 262d4: 1021883a mov r16,r2 + 262d8: d8800617 ldw r2,24(sp) + 262dc: 047f3034 movhi r17,64704 + 262e0: 1c63883a add r17,r3,r17 + 262e4: 10031826 beq r2,zero,26f48 <_dtoa_r+0x1120> + 262e8: d8c00517 ldw r3,20(sp) + 262ec: db000617 ldw r12,24(sp) + 262f0: d8c01315 stw r3,76(sp) + 262f4: d9000b17 ldw r4,44(sp) + 262f8: 20038f26 beq r4,zero,27138 <_dtoa_r+0x1310> + 262fc: 60bfffc4 addi r2,r12,-1 + 26300: 100490fa slli r2,r2,3 + 26304: 00c000f4 movhi r3,3 + 26308: 18ca8104 addi r3,r3,10756 + 2630c: 1885883a add r2,r3,r2 + 26310: 11800017 ldw r6,0(r2) + 26314: 11c00117 ldw r7,4(r2) + 26318: d8800717 ldw r2,28(sp) + 2631c: 0009883a mov r4,zero + 26320: 014ff834 movhi r5,16352 + 26324: db001615 stw r12,88(sp) + 26328: 15c00044 addi r23,r2,1 + 2632c: 002d9ac0 call 2d9ac <__divdf3> + 26330: 800d883a mov r6,r16 + 26334: 880f883a mov r7,r17 + 26338: 1009883a mov r4,r2 + 2633c: 180b883a mov r5,r3 + 26340: 002ec040 call 2ec04 <__subdf3> + 26344: d9401017 ldw r5,64(sp) + 26348: d9000f17 ldw r4,60(sp) + 2634c: 102b883a mov r21,r2 + 26350: d8c01215 stw r3,72(sp) + 26354: 002f5000 call 2f500 <__fixdfsi> + 26358: 1009883a mov r4,r2 + 2635c: 1029883a mov r20,r2 + 26360: 002f5800 call 2f580 <__floatsidf> + 26364: d9000f17 ldw r4,60(sp) + 26368: d9401017 ldw r5,64(sp) + 2636c: 100d883a mov r6,r2 + 26370: 180f883a mov r7,r3 + 26374: 002ec040 call 2ec04 <__subdf3> + 26378: 1823883a mov r17,r3 + 2637c: d8c00717 ldw r3,28(sp) + 26380: d9401217 ldw r5,72(sp) + 26384: a2000c04 addi r8,r20,48 + 26388: 1021883a mov r16,r2 + 2638c: 1a000005 stb r8,0(r3) + 26390: 800d883a mov r6,r16 + 26394: 880f883a mov r7,r17 + 26398: a809883a mov r4,r21 + 2639c: 4029883a mov r20,r8 + 263a0: 002e31c0 call 2e31c <__gedf2> + 263a4: 00841d16 blt zero,r2,2741c <_dtoa_r+0x15f4> + 263a8: 800d883a mov r6,r16 + 263ac: 880f883a mov r7,r17 + 263b0: 0009883a mov r4,zero + 263b4: 014ffc34 movhi r5,16368 + 263b8: 002ec040 call 2ec04 <__subdf3> + 263bc: d9401217 ldw r5,72(sp) + 263c0: 100d883a mov r6,r2 + 263c4: 180f883a mov r7,r3 + 263c8: a809883a mov r4,r21 + 263cc: 002e31c0 call 2e31c <__gedf2> + 263d0: db001617 ldw r12,88(sp) + 263d4: 00840e16 blt zero,r2,27410 <_dtoa_r+0x15e8> + 263d8: 00800044 movi r2,1 + 263dc: 13006b0e bge r2,r12,2658c <_dtoa_r+0x764> + 263e0: d9000717 ldw r4,28(sp) + 263e4: dd800f15 stw r22,60(sp) + 263e8: dcc01015 stw r19,64(sp) + 263ec: 2319883a add r12,r4,r12 + 263f0: dcc01217 ldw r19,72(sp) + 263f4: 602d883a mov r22,r12 + 263f8: dc801215 stw r18,72(sp) + 263fc: b825883a mov r18,r23 + 26400: 00000906 br 26428 <_dtoa_r+0x600> + 26404: 002ec040 call 2ec04 <__subdf3> + 26408: a80d883a mov r6,r21 + 2640c: 980f883a mov r7,r19 + 26410: 1009883a mov r4,r2 + 26414: 180b883a mov r5,r3 + 26418: 002e3f80 call 2e3f8 <__ledf2> + 2641c: 1003e816 blt r2,zero,273c0 <_dtoa_r+0x1598> + 26420: b825883a mov r18,r23 + 26424: bd83e926 beq r23,r22,273cc <_dtoa_r+0x15a4> + 26428: a809883a mov r4,r21 + 2642c: 980b883a mov r5,r19 + 26430: 000d883a mov r6,zero + 26434: 01d00934 movhi r7,16420 + 26438: 002e4ec0 call 2e4ec <__muldf3> + 2643c: 000d883a mov r6,zero + 26440: 01d00934 movhi r7,16420 + 26444: 8009883a mov r4,r16 + 26448: 880b883a mov r5,r17 + 2644c: 102b883a mov r21,r2 + 26450: 1827883a mov r19,r3 + 26454: 002e4ec0 call 2e4ec <__muldf3> + 26458: 180b883a mov r5,r3 + 2645c: 1009883a mov r4,r2 + 26460: 1821883a mov r16,r3 + 26464: 1023883a mov r17,r2 + 26468: 002f5000 call 2f500 <__fixdfsi> + 2646c: 1009883a mov r4,r2 + 26470: 1029883a mov r20,r2 + 26474: 002f5800 call 2f580 <__floatsidf> + 26478: 8809883a mov r4,r17 + 2647c: 800b883a mov r5,r16 + 26480: 100d883a mov r6,r2 + 26484: 180f883a mov r7,r3 + 26488: 002ec040 call 2ec04 <__subdf3> + 2648c: a5000c04 addi r20,r20,48 + 26490: a80d883a mov r6,r21 + 26494: 980f883a mov r7,r19 + 26498: 1009883a mov r4,r2 + 2649c: 180b883a mov r5,r3 + 264a0: 95000005 stb r20,0(r18) + 264a4: 1021883a mov r16,r2 + 264a8: 1823883a mov r17,r3 + 264ac: 002e3f80 call 2e3f8 <__ledf2> + 264b0: bdc00044 addi r23,r23,1 + 264b4: 800d883a mov r6,r16 + 264b8: 880f883a mov r7,r17 + 264bc: 0009883a mov r4,zero + 264c0: 014ffc34 movhi r5,16368 + 264c4: 103fcf0e bge r2,zero,26404 <_gp+0xfffe9598> + 264c8: d8c01317 ldw r3,76(sp) + 264cc: d8c00515 stw r3,20(sp) + 264d0: d9400917 ldw r5,36(sp) + 264d4: e009883a mov r4,fp + 264d8: 0028c380 call 28c38 <_Bfree> + 264dc: d9000517 ldw r4,20(sp) + 264e0: d9802317 ldw r6,140(sp) + 264e4: d9c02517 ldw r7,148(sp) + 264e8: b8000005 stb zero,0(r23) + 264ec: 20800044 addi r2,r4,1 + 264f0: 30800015 stw r2,0(r6) + 264f4: 3802aa26 beq r7,zero,26fa0 <_dtoa_r+0x1178> + 264f8: 3dc00015 stw r23,0(r7) + 264fc: d8800717 ldw r2,28(sp) + 26500: 003e7906 br 25ee8 <_gp+0xfffe907c> + 26504: 00800434 movhi r2,16 + 26508: 10bfffc4 addi r2,r2,-1 + 2650c: 88a2703a and r17,r17,r2 + 26510: 883e851e bne r17,zero,25f28 <_gp+0xfffe90bc> + 26514: 008000f4 movhi r2,3 + 26518: 108a6304 addi r2,r2,10636 + 2651c: 003e8406 br 25f30 <_gp+0xfffe90c4> + 26520: 10c00204 addi r3,r2,8 + 26524: 003e8706 br 25f44 <_gp+0xfffe90d8> + 26528: 01400434 movhi r5,16 + 2652c: 297fffc4 addi r5,r5,-1 + 26530: 994a703a and r5,r19,r5 + 26534: 9009883a mov r4,r18 + 26538: 843f0044 addi r16,r16,-1023 + 2653c: 294ffc34 orhi r5,r5,16368 + 26540: dd800217 ldw r22,8(sp) + 26544: d8001115 stw zero,68(sp) + 26548: 003ea506 br 25fe0 <_gp+0xfffe9174> + 2654c: 008000f4 movhi r2,3 + 26550: 108a5a04 addi r2,r2,10600 + 26554: 003e6406 br 25ee8 <_gp+0xfffe907c> + 26558: e0001115 stw zero,68(fp) + 2655c: 000b883a mov r5,zero + 26560: e009883a mov r4,fp + 26564: 0028b900 call 28b90 <_Balloc> + 26568: 01bfffc4 movi r6,-1 + 2656c: 01c00044 movi r7,1 + 26570: d8800715 stw r2,28(sp) + 26574: d9800c15 stw r6,48(sp) + 26578: e0801015 stw r2,64(fp) + 2657c: d8000315 stw zero,12(sp) + 26580: d9c00b15 stw r7,44(sp) + 26584: d9800615 stw r6,24(sp) + 26588: d8002215 stw zero,136(sp) + 2658c: d8800117 ldw r2,4(sp) + 26590: 10008916 blt r2,zero,267b8 <_dtoa_r+0x990> + 26594: d9000517 ldw r4,20(sp) + 26598: 00c00384 movi r3,14 + 2659c: 19008616 blt r3,r4,267b8 <_dtoa_r+0x990> + 265a0: 200490fa slli r2,r4,3 + 265a4: 00c000f4 movhi r3,3 + 265a8: d9802217 ldw r6,136(sp) + 265ac: 18ca8104 addi r3,r3,10756 + 265b0: 1885883a add r2,r3,r2 + 265b4: 14000017 ldw r16,0(r2) + 265b8: 14400117 ldw r17,4(r2) + 265bc: 30016316 blt r6,zero,26b4c <_dtoa_r+0xd24> + 265c0: 800d883a mov r6,r16 + 265c4: 880f883a mov r7,r17 + 265c8: 9009883a mov r4,r18 + 265cc: 980b883a mov r5,r19 + 265d0: 002d9ac0 call 2d9ac <__divdf3> + 265d4: 180b883a mov r5,r3 + 265d8: 1009883a mov r4,r2 + 265dc: 002f5000 call 2f500 <__fixdfsi> + 265e0: 1009883a mov r4,r2 + 265e4: 102b883a mov r21,r2 + 265e8: 002f5800 call 2f580 <__floatsidf> + 265ec: 800d883a mov r6,r16 + 265f0: 880f883a mov r7,r17 + 265f4: 1009883a mov r4,r2 + 265f8: 180b883a mov r5,r3 + 265fc: 002e4ec0 call 2e4ec <__muldf3> + 26600: 100d883a mov r6,r2 + 26604: 180f883a mov r7,r3 + 26608: 9009883a mov r4,r18 + 2660c: 980b883a mov r5,r19 + 26610: 002ec040 call 2ec04 <__subdf3> + 26614: d9c00717 ldw r7,28(sp) + 26618: 1009883a mov r4,r2 + 2661c: a8800c04 addi r2,r21,48 + 26620: 38800005 stb r2,0(r7) + 26624: 3dc00044 addi r23,r7,1 + 26628: d9c00617 ldw r7,24(sp) + 2662c: 01800044 movi r6,1 + 26630: 180b883a mov r5,r3 + 26634: 2005883a mov r2,r4 + 26638: 39803826 beq r7,r6,2671c <_dtoa_r+0x8f4> + 2663c: 000d883a mov r6,zero + 26640: 01d00934 movhi r7,16420 + 26644: 002e4ec0 call 2e4ec <__muldf3> + 26648: 000d883a mov r6,zero + 2664c: 000f883a mov r7,zero + 26650: 1009883a mov r4,r2 + 26654: 180b883a mov r5,r3 + 26658: 1025883a mov r18,r2 + 2665c: 1827883a mov r19,r3 + 26660: 002e2940 call 2e294 <__eqdf2> + 26664: 103f9a26 beq r2,zero,264d0 <_gp+0xfffe9664> + 26668: d9c00617 ldw r7,24(sp) + 2666c: d8c00717 ldw r3,28(sp) + 26670: b829883a mov r20,r23 + 26674: 38bfffc4 addi r2,r7,-1 + 26678: 18ad883a add r22,r3,r2 + 2667c: 00000a06 br 266a8 <_dtoa_r+0x880> + 26680: 002e4ec0 call 2e4ec <__muldf3> + 26684: 000d883a mov r6,zero + 26688: 000f883a mov r7,zero + 2668c: 1009883a mov r4,r2 + 26690: 180b883a mov r5,r3 + 26694: 1025883a mov r18,r2 + 26698: 1827883a mov r19,r3 + 2669c: b829883a mov r20,r23 + 266a0: 002e2940 call 2e294 <__eqdf2> + 266a4: 103f8a26 beq r2,zero,264d0 <_gp+0xfffe9664> + 266a8: 800d883a mov r6,r16 + 266ac: 880f883a mov r7,r17 + 266b0: 9009883a mov r4,r18 + 266b4: 980b883a mov r5,r19 + 266b8: 002d9ac0 call 2d9ac <__divdf3> + 266bc: 180b883a mov r5,r3 + 266c0: 1009883a mov r4,r2 + 266c4: 002f5000 call 2f500 <__fixdfsi> + 266c8: 1009883a mov r4,r2 + 266cc: 102b883a mov r21,r2 + 266d0: 002f5800 call 2f580 <__floatsidf> + 266d4: 800d883a mov r6,r16 + 266d8: 880f883a mov r7,r17 + 266dc: 1009883a mov r4,r2 + 266e0: 180b883a mov r5,r3 + 266e4: 002e4ec0 call 2e4ec <__muldf3> + 266e8: 100d883a mov r6,r2 + 266ec: 180f883a mov r7,r3 + 266f0: 9009883a mov r4,r18 + 266f4: 980b883a mov r5,r19 + 266f8: 002ec040 call 2ec04 <__subdf3> + 266fc: aa000c04 addi r8,r21,48 + 26700: a2000005 stb r8,0(r20) + 26704: 000d883a mov r6,zero + 26708: 01d00934 movhi r7,16420 + 2670c: 1009883a mov r4,r2 + 26710: 180b883a mov r5,r3 + 26714: a5c00044 addi r23,r20,1 + 26718: b53fd91e bne r22,r20,26680 <_gp+0xfffe9814> + 2671c: 100d883a mov r6,r2 + 26720: 180f883a mov r7,r3 + 26724: 1009883a mov r4,r2 + 26728: 180b883a mov r5,r3 + 2672c: 002d1000 call 2d100 <__adddf3> + 26730: 100d883a mov r6,r2 + 26734: 180f883a mov r7,r3 + 26738: 8009883a mov r4,r16 + 2673c: 880b883a mov r5,r17 + 26740: 1027883a mov r19,r2 + 26744: 1825883a mov r18,r3 + 26748: 002e3f80 call 2e3f8 <__ledf2> + 2674c: 10000816 blt r2,zero,26770 <_dtoa_r+0x948> + 26750: 980d883a mov r6,r19 + 26754: 900f883a mov r7,r18 + 26758: 8009883a mov r4,r16 + 2675c: 880b883a mov r5,r17 + 26760: 002e2940 call 2e294 <__eqdf2> + 26764: 103f5a1e bne r2,zero,264d0 <_gp+0xfffe9664> + 26768: ad40004c andi r21,r21,1 + 2676c: a83f5826 beq r21,zero,264d0 <_gp+0xfffe9664> + 26770: bd3fffc3 ldbu r20,-1(r23) + 26774: b8bfffc4 addi r2,r23,-1 + 26778: 1007883a mov r3,r2 + 2677c: 01400e44 movi r5,57 + 26780: d9800717 ldw r6,28(sp) + 26784: 00000506 br 2679c <_dtoa_r+0x974> + 26788: 18ffffc4 addi r3,r3,-1 + 2678c: 11824726 beq r2,r6,270ac <_dtoa_r+0x1284> + 26790: 1d000003 ldbu r20,0(r3) + 26794: 102f883a mov r23,r2 + 26798: 10bfffc4 addi r2,r2,-1 + 2679c: a1003fcc andi r4,r20,255 + 267a0: 2100201c xori r4,r4,128 + 267a4: 213fe004 addi r4,r4,-128 + 267a8: 217ff726 beq r4,r5,26788 <_gp+0xfffe991c> + 267ac: a2000044 addi r8,r20,1 + 267b0: 12000005 stb r8,0(r2) + 267b4: 003f4606 br 264d0 <_gp+0xfffe9664> + 267b8: d9000b17 ldw r4,44(sp) + 267bc: 2000c826 beq r4,zero,26ae0 <_dtoa_r+0xcb8> + 267c0: d9800317 ldw r6,12(sp) + 267c4: 00c00044 movi r3,1 + 267c8: 1980f90e bge r3,r6,26bb0 <_dtoa_r+0xd88> + 267cc: d8800617 ldw r2,24(sp) + 267d0: d8c00a17 ldw r3,40(sp) + 267d4: 157fffc4 addi r21,r2,-1 + 267d8: 1d41f316 blt r3,r21,26fa8 <_dtoa_r+0x1180> + 267dc: 1d6bc83a sub r21,r3,r21 + 267e0: d9c00617 ldw r7,24(sp) + 267e4: 3802aa16 blt r7,zero,27290 <_dtoa_r+0x1468> + 267e8: dd000817 ldw r20,32(sp) + 267ec: d8800617 ldw r2,24(sp) + 267f0: d8c00817 ldw r3,32(sp) + 267f4: 01400044 movi r5,1 + 267f8: e009883a mov r4,fp + 267fc: 1887883a add r3,r3,r2 + 26800: d8c00815 stw r3,32(sp) + 26804: b0ad883a add r22,r22,r2 + 26808: 0028f9c0 call 28f9c <__i2b> + 2680c: 1023883a mov r17,r2 + 26810: a0000826 beq r20,zero,26834 <_dtoa_r+0xa0c> + 26814: 0580070e bge zero,r22,26834 <_dtoa_r+0xa0c> + 26818: a005883a mov r2,r20 + 2681c: b500b916 blt r22,r20,26b04 <_dtoa_r+0xcdc> + 26820: d9000817 ldw r4,32(sp) + 26824: a0a9c83a sub r20,r20,r2 + 26828: b0adc83a sub r22,r22,r2 + 2682c: 2089c83a sub r4,r4,r2 + 26830: d9000815 stw r4,32(sp) + 26834: d9800a17 ldw r6,40(sp) + 26838: 0181810e bge zero,r6,26e40 <_dtoa_r+0x1018> + 2683c: d9c00b17 ldw r7,44(sp) + 26840: 3800b326 beq r7,zero,26b10 <_dtoa_r+0xce8> + 26844: a800b226 beq r21,zero,26b10 <_dtoa_r+0xce8> + 26848: 880b883a mov r5,r17 + 2684c: a80d883a mov r6,r21 + 26850: e009883a mov r4,fp + 26854: 00291d00 call 291d0 <__pow5mult> + 26858: d9800917 ldw r6,36(sp) + 2685c: 100b883a mov r5,r2 + 26860: e009883a mov r4,fp + 26864: 1023883a mov r17,r2 + 26868: 0028fd80 call 28fd8 <__multiply> + 2686c: 1021883a mov r16,r2 + 26870: d8800a17 ldw r2,40(sp) + 26874: d9400917 ldw r5,36(sp) + 26878: e009883a mov r4,fp + 2687c: 1545c83a sub r2,r2,r21 + 26880: d8800a15 stw r2,40(sp) + 26884: 0028c380 call 28c38 <_Bfree> + 26888: d8c00a17 ldw r3,40(sp) + 2688c: 18009f1e bne r3,zero,26b0c <_dtoa_r+0xce4> + 26890: 05c00044 movi r23,1 + 26894: e009883a mov r4,fp + 26898: b80b883a mov r5,r23 + 2689c: 0028f9c0 call 28f9c <__i2b> + 268a0: d9000d17 ldw r4,52(sp) + 268a4: 102b883a mov r21,r2 + 268a8: 2000ce26 beq r4,zero,26be4 <_dtoa_r+0xdbc> + 268ac: 200d883a mov r6,r4 + 268b0: 100b883a mov r5,r2 + 268b4: e009883a mov r4,fp + 268b8: 00291d00 call 291d0 <__pow5mult> + 268bc: d9800317 ldw r6,12(sp) + 268c0: 102b883a mov r21,r2 + 268c4: b981810e bge r23,r6,26ecc <_dtoa_r+0x10a4> + 268c8: 0027883a mov r19,zero + 268cc: a8800417 ldw r2,16(r21) + 268d0: 05c00804 movi r23,32 + 268d4: 10800104 addi r2,r2,4 + 268d8: 1085883a add r2,r2,r2 + 268dc: 1085883a add r2,r2,r2 + 268e0: a885883a add r2,r21,r2 + 268e4: 11000017 ldw r4,0(r2) + 268e8: 0028e840 call 28e84 <__hi0bits> + 268ec: b885c83a sub r2,r23,r2 + 268f0: 1585883a add r2,r2,r22 + 268f4: 108007cc andi r2,r2,31 + 268f8: 1000b326 beq r2,zero,26bc8 <_dtoa_r+0xda0> + 268fc: 00c00804 movi r3,32 + 26900: 1887c83a sub r3,r3,r2 + 26904: 01000104 movi r4,4 + 26908: 20c2cd0e bge r4,r3,27440 <_dtoa_r+0x1618> + 2690c: 00c00704 movi r3,28 + 26910: 1885c83a sub r2,r3,r2 + 26914: d8c00817 ldw r3,32(sp) + 26918: a0a9883a add r20,r20,r2 + 2691c: b0ad883a add r22,r22,r2 + 26920: 1887883a add r3,r3,r2 + 26924: d8c00815 stw r3,32(sp) + 26928: d9800817 ldw r6,32(sp) + 2692c: 0180040e bge zero,r6,26940 <_dtoa_r+0xb18> + 26930: 800b883a mov r5,r16 + 26934: e009883a mov r4,fp + 26938: 00293100 call 29310 <__lshift> + 2693c: 1021883a mov r16,r2 + 26940: 0580050e bge zero,r22,26958 <_dtoa_r+0xb30> + 26944: a80b883a mov r5,r21 + 26948: b00d883a mov r6,r22 + 2694c: e009883a mov r4,fp + 26950: 00293100 call 29310 <__lshift> + 26954: 102b883a mov r21,r2 + 26958: d9c00e17 ldw r7,56(sp) + 2695c: 3801211e bne r7,zero,26de4 <_dtoa_r+0xfbc> + 26960: d9800617 ldw r6,24(sp) + 26964: 0181380e bge zero,r6,26e48 <_dtoa_r+0x1020> + 26968: d8c00b17 ldw r3,44(sp) + 2696c: 1800ab1e bne r3,zero,26c1c <_dtoa_r+0xdf4> + 26970: dc800717 ldw r18,28(sp) + 26974: dcc00617 ldw r19,24(sp) + 26978: 9029883a mov r20,r18 + 2697c: 00000206 br 26988 <_dtoa_r+0xb60> + 26980: 0028c600 call 28c60 <__multadd> + 26984: 1021883a mov r16,r2 + 26988: a80b883a mov r5,r21 + 2698c: 8009883a mov r4,r16 + 26990: 0025c280 call 25c28 + 26994: 10800c04 addi r2,r2,48 + 26998: 90800005 stb r2,0(r18) + 2699c: 94800044 addi r18,r18,1 + 269a0: 9507c83a sub r3,r18,r20 + 269a4: 000f883a mov r7,zero + 269a8: 01800284 movi r6,10 + 269ac: 800b883a mov r5,r16 + 269b0: e009883a mov r4,fp + 269b4: 1cfff216 blt r3,r19,26980 <_gp+0xfffe9b14> + 269b8: 1011883a mov r8,r2 + 269bc: d8800617 ldw r2,24(sp) + 269c0: 0082370e bge zero,r2,272a0 <_dtoa_r+0x1478> + 269c4: d9000717 ldw r4,28(sp) + 269c8: 0025883a mov r18,zero + 269cc: 20af883a add r23,r4,r2 + 269d0: 01800044 movi r6,1 + 269d4: 800b883a mov r5,r16 + 269d8: e009883a mov r4,fp + 269dc: da001715 stw r8,92(sp) + 269e0: 00293100 call 29310 <__lshift> + 269e4: a80b883a mov r5,r21 + 269e8: 1009883a mov r4,r2 + 269ec: d8800915 stw r2,36(sp) + 269f0: 00294580 call 29458 <__mcmp> + 269f4: da001717 ldw r8,92(sp) + 269f8: 0081800e bge zero,r2,26ffc <_dtoa_r+0x11d4> + 269fc: b93fffc3 ldbu r4,-1(r23) + 26a00: b8bfffc4 addi r2,r23,-1 + 26a04: 1007883a mov r3,r2 + 26a08: 01800e44 movi r6,57 + 26a0c: d9c00717 ldw r7,28(sp) + 26a10: 00000506 br 26a28 <_dtoa_r+0xc00> + 26a14: 18ffffc4 addi r3,r3,-1 + 26a18: 11c12326 beq r2,r7,26ea8 <_dtoa_r+0x1080> + 26a1c: 19000003 ldbu r4,0(r3) + 26a20: 102f883a mov r23,r2 + 26a24: 10bfffc4 addi r2,r2,-1 + 26a28: 21403fcc andi r5,r4,255 + 26a2c: 2940201c xori r5,r5,128 + 26a30: 297fe004 addi r5,r5,-128 + 26a34: 29bff726 beq r5,r6,26a14 <_gp+0xfffe9ba8> + 26a38: 21000044 addi r4,r4,1 + 26a3c: 11000005 stb r4,0(r2) + 26a40: a80b883a mov r5,r21 + 26a44: e009883a mov r4,fp + 26a48: 0028c380 call 28c38 <_Bfree> + 26a4c: 883ea026 beq r17,zero,264d0 <_gp+0xfffe9664> + 26a50: 90000426 beq r18,zero,26a64 <_dtoa_r+0xc3c> + 26a54: 94400326 beq r18,r17,26a64 <_dtoa_r+0xc3c> + 26a58: 900b883a mov r5,r18 + 26a5c: e009883a mov r4,fp + 26a60: 0028c380 call 28c38 <_Bfree> + 26a64: 880b883a mov r5,r17 + 26a68: e009883a mov r4,fp + 26a6c: 0028c380 call 28c38 <_Bfree> + 26a70: 003e9706 br 264d0 <_gp+0xfffe9664> + 26a74: 01800044 movi r6,1 + 26a78: d9800e15 stw r6,56(sp) + 26a7c: 003d9606 br 260d8 <_gp+0xfffe926c> + 26a80: d8800817 ldw r2,32(sp) + 26a84: d8c00517 ldw r3,20(sp) + 26a88: d8000d15 stw zero,52(sp) + 26a8c: 10c5c83a sub r2,r2,r3 + 26a90: 00c9c83a sub r4,zero,r3 + 26a94: d8800815 stw r2,32(sp) + 26a98: d9000a15 stw r4,40(sp) + 26a9c: 003d9706 br 260fc <_gp+0xfffe9290> + 26aa0: 05adc83a sub r22,zero,r22 + 26aa4: dd800815 stw r22,32(sp) + 26aa8: 002d883a mov r22,zero + 26aac: 003d8e06 br 260e8 <_gp+0xfffe927c> + 26ab0: d9000517 ldw r4,20(sp) + 26ab4: 002f5800 call 2f580 <__floatsidf> + 26ab8: 100d883a mov r6,r2 + 26abc: 180f883a mov r7,r3 + 26ac0: a009883a mov r4,r20 + 26ac4: 880b883a mov r5,r17 + 26ac8: 002e2940 call 2e294 <__eqdf2> + 26acc: 103d7126 beq r2,zero,26094 <_gp+0xfffe9228> + 26ad0: d9c00517 ldw r7,20(sp) + 26ad4: 39ffffc4 addi r7,r7,-1 + 26ad8: d9c00515 stw r7,20(sp) + 26adc: 003d6d06 br 26094 <_gp+0xfffe9228> + 26ae0: dd400a17 ldw r21,40(sp) + 26ae4: dd000817 ldw r20,32(sp) + 26ae8: 0023883a mov r17,zero + 26aec: 003f4806 br 26810 <_gp+0xfffe99a4> + 26af0: 10e3c83a sub r17,r2,r3 + 26af4: 9448983a sll r4,r18,r17 + 26af8: 003d3206 br 25fc4 <_gp+0xfffe9158> + 26afc: d8000e15 stw zero,56(sp) + 26b00: 003d7506 br 260d8 <_gp+0xfffe926c> + 26b04: b005883a mov r2,r22 + 26b08: 003f4506 br 26820 <_gp+0xfffe99b4> + 26b0c: dc000915 stw r16,36(sp) + 26b10: d9800a17 ldw r6,40(sp) + 26b14: d9400917 ldw r5,36(sp) + 26b18: e009883a mov r4,fp + 26b1c: 00291d00 call 291d0 <__pow5mult> + 26b20: 1021883a mov r16,r2 + 26b24: 003f5a06 br 26890 <_gp+0xfffe9a24> + 26b28: 01c00044 movi r7,1 + 26b2c: d9c00b15 stw r7,44(sp) + 26b30: d8802217 ldw r2,136(sp) + 26b34: 0081280e bge zero,r2,26fd8 <_dtoa_r+0x11b0> + 26b38: 100d883a mov r6,r2 + 26b3c: 1021883a mov r16,r2 + 26b40: d8800c15 stw r2,48(sp) + 26b44: d8800615 stw r2,24(sp) + 26b48: 003d8806 br 2616c <_gp+0xfffe9300> + 26b4c: d8800617 ldw r2,24(sp) + 26b50: 00be9b16 blt zero,r2,265c0 <_gp+0xfffe9754> + 26b54: 10010f1e bne r2,zero,26f94 <_dtoa_r+0x116c> + 26b58: 880b883a mov r5,r17 + 26b5c: 000d883a mov r6,zero + 26b60: 01d00534 movhi r7,16404 + 26b64: 8009883a mov r4,r16 + 26b68: 002e4ec0 call 2e4ec <__muldf3> + 26b6c: 900d883a mov r6,r18 + 26b70: 980f883a mov r7,r19 + 26b74: 1009883a mov r4,r2 + 26b78: 180b883a mov r5,r3 + 26b7c: 002e31c0 call 2e31c <__gedf2> + 26b80: 002b883a mov r21,zero + 26b84: 0023883a mov r17,zero + 26b88: 1000bf16 blt r2,zero,26e88 <_dtoa_r+0x1060> + 26b8c: d9802217 ldw r6,136(sp) + 26b90: ddc00717 ldw r23,28(sp) + 26b94: 018c303a nor r6,zero,r6 + 26b98: d9800515 stw r6,20(sp) + 26b9c: a80b883a mov r5,r21 + 26ba0: e009883a mov r4,fp + 26ba4: 0028c380 call 28c38 <_Bfree> + 26ba8: 883e4926 beq r17,zero,264d0 <_gp+0xfffe9664> + 26bac: 003fad06 br 26a64 <_gp+0xfffe9bf8> + 26bb0: d9c01117 ldw r7,68(sp) + 26bb4: 3801bc26 beq r7,zero,272a8 <_dtoa_r+0x1480> + 26bb8: 10810cc4 addi r2,r2,1075 + 26bbc: dd400a17 ldw r21,40(sp) + 26bc0: dd000817 ldw r20,32(sp) + 26bc4: 003f0a06 br 267f0 <_gp+0xfffe9984> + 26bc8: 00800704 movi r2,28 + 26bcc: d9000817 ldw r4,32(sp) + 26bd0: a0a9883a add r20,r20,r2 + 26bd4: b0ad883a add r22,r22,r2 + 26bd8: 2089883a add r4,r4,r2 + 26bdc: d9000815 stw r4,32(sp) + 26be0: 003f5106 br 26928 <_gp+0xfffe9abc> + 26be4: d8c00317 ldw r3,12(sp) + 26be8: b8c1fc0e bge r23,r3,273dc <_dtoa_r+0x15b4> + 26bec: 0027883a mov r19,zero + 26bf0: b805883a mov r2,r23 + 26bf4: 003f3e06 br 268f0 <_gp+0xfffe9a84> + 26bf8: 880b883a mov r5,r17 + 26bfc: e009883a mov r4,fp + 26c00: 000f883a mov r7,zero + 26c04: 01800284 movi r6,10 + 26c08: 0028c600 call 28c60 <__multadd> + 26c0c: d9000c17 ldw r4,48(sp) + 26c10: 1023883a mov r17,r2 + 26c14: 0102040e bge zero,r4,27428 <_dtoa_r+0x1600> + 26c18: d9000615 stw r4,24(sp) + 26c1c: 0500050e bge zero,r20,26c34 <_dtoa_r+0xe0c> + 26c20: 880b883a mov r5,r17 + 26c24: a00d883a mov r6,r20 + 26c28: e009883a mov r4,fp + 26c2c: 00293100 call 29310 <__lshift> + 26c30: 1023883a mov r17,r2 + 26c34: 9801241e bne r19,zero,270c8 <_dtoa_r+0x12a0> + 26c38: 8829883a mov r20,r17 + 26c3c: d9000617 ldw r4,24(sp) + 26c40: dcc00717 ldw r19,28(sp) + 26c44: 9480004c andi r18,r18,1 + 26c48: 20bfffc4 addi r2,r4,-1 + 26c4c: 9885883a add r2,r19,r2 + 26c50: d8800415 stw r2,16(sp) + 26c54: dc800615 stw r18,24(sp) + 26c58: a80b883a mov r5,r21 + 26c5c: 8009883a mov r4,r16 + 26c60: 0025c280 call 25c28 + 26c64: 880b883a mov r5,r17 + 26c68: 8009883a mov r4,r16 + 26c6c: 102f883a mov r23,r2 + 26c70: 00294580 call 29458 <__mcmp> + 26c74: a80b883a mov r5,r21 + 26c78: a00d883a mov r6,r20 + 26c7c: e009883a mov r4,fp + 26c80: 102d883a mov r22,r2 + 26c84: 00294b80 call 294b8 <__mdiff> + 26c88: 1007883a mov r3,r2 + 26c8c: 10800317 ldw r2,12(r2) + 26c90: bc800c04 addi r18,r23,48 + 26c94: 180b883a mov r5,r3 + 26c98: 10004e1e bne r2,zero,26dd4 <_dtoa_r+0xfac> + 26c9c: 8009883a mov r4,r16 + 26ca0: d8c01615 stw r3,88(sp) + 26ca4: 00294580 call 29458 <__mcmp> + 26ca8: d8c01617 ldw r3,88(sp) + 26cac: e009883a mov r4,fp + 26cb0: d8801615 stw r2,88(sp) + 26cb4: 180b883a mov r5,r3 + 26cb8: 0028c380 call 28c38 <_Bfree> + 26cbc: d8801617 ldw r2,88(sp) + 26cc0: 1000041e bne r2,zero,26cd4 <_dtoa_r+0xeac> + 26cc4: d9800317 ldw r6,12(sp) + 26cc8: 3000021e bne r6,zero,26cd4 <_dtoa_r+0xeac> + 26ccc: d8c00617 ldw r3,24(sp) + 26cd0: 18003726 beq r3,zero,26db0 <_dtoa_r+0xf88> + 26cd4: b0002016 blt r22,zero,26d58 <_dtoa_r+0xf30> + 26cd8: b000041e bne r22,zero,26cec <_dtoa_r+0xec4> + 26cdc: d9000317 ldw r4,12(sp) + 26ce0: 2000021e bne r4,zero,26cec <_dtoa_r+0xec4> + 26ce4: d8c00617 ldw r3,24(sp) + 26ce8: 18001b26 beq r3,zero,26d58 <_dtoa_r+0xf30> + 26cec: 00810716 blt zero,r2,2710c <_dtoa_r+0x12e4> + 26cf0: d8c00417 ldw r3,16(sp) + 26cf4: 9d800044 addi r22,r19,1 + 26cf8: 9c800005 stb r18,0(r19) + 26cfc: b02f883a mov r23,r22 + 26d00: 98c10626 beq r19,r3,2711c <_dtoa_r+0x12f4> + 26d04: 800b883a mov r5,r16 + 26d08: 000f883a mov r7,zero + 26d0c: 01800284 movi r6,10 + 26d10: e009883a mov r4,fp + 26d14: 0028c600 call 28c60 <__multadd> + 26d18: 1021883a mov r16,r2 + 26d1c: 000f883a mov r7,zero + 26d20: 01800284 movi r6,10 + 26d24: 880b883a mov r5,r17 + 26d28: e009883a mov r4,fp + 26d2c: 8d002526 beq r17,r20,26dc4 <_dtoa_r+0xf9c> + 26d30: 0028c600 call 28c60 <__multadd> + 26d34: a00b883a mov r5,r20 + 26d38: 000f883a mov r7,zero + 26d3c: 01800284 movi r6,10 + 26d40: e009883a mov r4,fp + 26d44: 1023883a mov r17,r2 + 26d48: 0028c600 call 28c60 <__multadd> + 26d4c: 1029883a mov r20,r2 + 26d50: b027883a mov r19,r22 + 26d54: 003fc006 br 26c58 <_gp+0xfffe9dec> + 26d58: 9011883a mov r8,r18 + 26d5c: 00800e0e bge zero,r2,26d98 <_dtoa_r+0xf70> + 26d60: 800b883a mov r5,r16 + 26d64: 01800044 movi r6,1 + 26d68: e009883a mov r4,fp + 26d6c: da001715 stw r8,92(sp) + 26d70: 00293100 call 29310 <__lshift> + 26d74: a80b883a mov r5,r21 + 26d78: 1009883a mov r4,r2 + 26d7c: 1021883a mov r16,r2 + 26d80: 00294580 call 29458 <__mcmp> + 26d84: da001717 ldw r8,92(sp) + 26d88: 0081960e bge zero,r2,273e4 <_dtoa_r+0x15bc> + 26d8c: 00800e44 movi r2,57 + 26d90: 40817026 beq r8,r2,27354 <_dtoa_r+0x152c> + 26d94: ba000c44 addi r8,r23,49 + 26d98: 8825883a mov r18,r17 + 26d9c: 9dc00044 addi r23,r19,1 + 26da0: 9a000005 stb r8,0(r19) + 26da4: a023883a mov r17,r20 + 26da8: dc000915 stw r16,36(sp) + 26dac: 003f2406 br 26a40 <_gp+0xfffe9bd4> + 26db0: 00800e44 movi r2,57 + 26db4: 9011883a mov r8,r18 + 26db8: 90816626 beq r18,r2,27354 <_dtoa_r+0x152c> + 26dbc: 05bff516 blt zero,r22,26d94 <_gp+0xfffe9f28> + 26dc0: 003ff506 br 26d98 <_gp+0xfffe9f2c> + 26dc4: 0028c600 call 28c60 <__multadd> + 26dc8: 1023883a mov r17,r2 + 26dcc: 1029883a mov r20,r2 + 26dd0: 003fdf06 br 26d50 <_gp+0xfffe9ee4> + 26dd4: e009883a mov r4,fp + 26dd8: 0028c380 call 28c38 <_Bfree> + 26ddc: 00800044 movi r2,1 + 26de0: 003fbc06 br 26cd4 <_gp+0xfffe9e68> + 26de4: a80b883a mov r5,r21 + 26de8: 8009883a mov r4,r16 + 26dec: 00294580 call 29458 <__mcmp> + 26df0: 103edb0e bge r2,zero,26960 <_gp+0xfffe9af4> + 26df4: 800b883a mov r5,r16 + 26df8: 000f883a mov r7,zero + 26dfc: 01800284 movi r6,10 + 26e00: e009883a mov r4,fp + 26e04: 0028c600 call 28c60 <__multadd> + 26e08: 1021883a mov r16,r2 + 26e0c: d8800517 ldw r2,20(sp) + 26e10: d8c00b17 ldw r3,44(sp) + 26e14: 10bfffc4 addi r2,r2,-1 + 26e18: d8800515 stw r2,20(sp) + 26e1c: 183f761e bne r3,zero,26bf8 <_gp+0xfffe9d8c> + 26e20: d9000c17 ldw r4,48(sp) + 26e24: 0101730e bge zero,r4,273f4 <_dtoa_r+0x15cc> + 26e28: d9000615 stw r4,24(sp) + 26e2c: 003ed006 br 26970 <_gp+0xfffe9b04> + 26e30: 00800084 movi r2,2 + 26e34: 3081861e bne r6,r2,27450 <_dtoa_r+0x1628> + 26e38: d8000b15 stw zero,44(sp) + 26e3c: 003f3c06 br 26b30 <_gp+0xfffe9cc4> + 26e40: dc000917 ldw r16,36(sp) + 26e44: 003e9206 br 26890 <_gp+0xfffe9a24> + 26e48: d9c00317 ldw r7,12(sp) + 26e4c: 00800084 movi r2,2 + 26e50: 11fec50e bge r2,r7,26968 <_gp+0xfffe9afc> + 26e54: d9000617 ldw r4,24(sp) + 26e58: 20013c1e bne r4,zero,2734c <_dtoa_r+0x1524> + 26e5c: a80b883a mov r5,r21 + 26e60: 000f883a mov r7,zero + 26e64: 01800144 movi r6,5 + 26e68: e009883a mov r4,fp + 26e6c: 0028c600 call 28c60 <__multadd> + 26e70: 100b883a mov r5,r2 + 26e74: 8009883a mov r4,r16 + 26e78: 102b883a mov r21,r2 + 26e7c: 00294580 call 29458 <__mcmp> + 26e80: dc000915 stw r16,36(sp) + 26e84: 00bf410e bge zero,r2,26b8c <_gp+0xfffe9d20> + 26e88: d9c00717 ldw r7,28(sp) + 26e8c: 00800c44 movi r2,49 + 26e90: 38800005 stb r2,0(r7) + 26e94: d8800517 ldw r2,20(sp) + 26e98: 3dc00044 addi r23,r7,1 + 26e9c: 10800044 addi r2,r2,1 + 26ea0: d8800515 stw r2,20(sp) + 26ea4: 003f3d06 br 26b9c <_gp+0xfffe9d30> + 26ea8: d9800517 ldw r6,20(sp) + 26eac: d9c00717 ldw r7,28(sp) + 26eb0: 00800c44 movi r2,49 + 26eb4: 31800044 addi r6,r6,1 + 26eb8: d9800515 stw r6,20(sp) + 26ebc: 38800005 stb r2,0(r7) + 26ec0: 003edf06 br 26a40 <_gp+0xfffe9bd4> + 26ec4: d8000b15 stw zero,44(sp) + 26ec8: 003c9f06 br 26148 <_gp+0xfffe92dc> + 26ecc: 903e7e1e bne r18,zero,268c8 <_gp+0xfffe9a5c> + 26ed0: 00800434 movhi r2,16 + 26ed4: 10bfffc4 addi r2,r2,-1 + 26ed8: 9884703a and r2,r19,r2 + 26edc: 1000ea1e bne r2,zero,27288 <_dtoa_r+0x1460> + 26ee0: 9cdffc2c andhi r19,r19,32752 + 26ee4: 9800e826 beq r19,zero,27288 <_dtoa_r+0x1460> + 26ee8: d9c00817 ldw r7,32(sp) + 26eec: b5800044 addi r22,r22,1 + 26ef0: 04c00044 movi r19,1 + 26ef4: 39c00044 addi r7,r7,1 + 26ef8: d9c00815 stw r7,32(sp) + 26efc: d8800d17 ldw r2,52(sp) + 26f00: 103e721e bne r2,zero,268cc <_gp+0xfffe9a60> + 26f04: 00800044 movi r2,1 + 26f08: 003e7906 br 268f0 <_gp+0xfffe9a84> + 26f0c: 8009883a mov r4,r16 + 26f10: 002f5800 call 2f580 <__floatsidf> + 26f14: d9800f17 ldw r6,60(sp) + 26f18: d9c01017 ldw r7,64(sp) + 26f1c: 1009883a mov r4,r2 + 26f20: 180b883a mov r5,r3 + 26f24: 002e4ec0 call 2e4ec <__muldf3> + 26f28: 000d883a mov r6,zero + 26f2c: 01d00734 movhi r7,16412 + 26f30: 1009883a mov r4,r2 + 26f34: 180b883a mov r5,r3 + 26f38: 002d1000 call 2d100 <__adddf3> + 26f3c: 047f3034 movhi r17,64704 + 26f40: 1021883a mov r16,r2 + 26f44: 1c63883a add r17,r3,r17 + 26f48: d9000f17 ldw r4,60(sp) + 26f4c: d9401017 ldw r5,64(sp) + 26f50: 000d883a mov r6,zero + 26f54: 01d00534 movhi r7,16404 + 26f58: 002ec040 call 2ec04 <__subdf3> + 26f5c: 800d883a mov r6,r16 + 26f60: 880f883a mov r7,r17 + 26f64: 1009883a mov r4,r2 + 26f68: 180b883a mov r5,r3 + 26f6c: 102b883a mov r21,r2 + 26f70: 1829883a mov r20,r3 + 26f74: 002e31c0 call 2e31c <__gedf2> + 26f78: 00806c16 blt zero,r2,2712c <_dtoa_r+0x1304> + 26f7c: 89e0003c xorhi r7,r17,32768 + 26f80: 800d883a mov r6,r16 + 26f84: a809883a mov r4,r21 + 26f88: a00b883a mov r5,r20 + 26f8c: 002e3f80 call 2e3f8 <__ledf2> + 26f90: 103d7e0e bge r2,zero,2658c <_gp+0xfffe9720> + 26f94: 002b883a mov r21,zero + 26f98: 0023883a mov r17,zero + 26f9c: 003efb06 br 26b8c <_gp+0xfffe9d20> + 26fa0: d8800717 ldw r2,28(sp) + 26fa4: 003bd006 br 25ee8 <_gp+0xfffe907c> + 26fa8: d9000a17 ldw r4,40(sp) + 26fac: d9800d17 ldw r6,52(sp) + 26fb0: dd400a15 stw r21,40(sp) + 26fb4: a905c83a sub r2,r21,r4 + 26fb8: 308d883a add r6,r6,r2 + 26fbc: d9800d15 stw r6,52(sp) + 26fc0: 002b883a mov r21,zero + 26fc4: 003e0606 br 267e0 <_gp+0xfffe9974> + 26fc8: 9023883a mov r17,r18 + 26fcc: 9829883a mov r20,r19 + 26fd0: 04000084 movi r16,2 + 26fd4: 003c9206 br 26220 <_gp+0xfffe93b4> + 26fd8: 04000044 movi r16,1 + 26fdc: dc000c15 stw r16,48(sp) + 26fe0: dc000615 stw r16,24(sp) + 26fe4: dc002215 stw r16,136(sp) + 26fe8: e0001115 stw zero,68(fp) + 26fec: 000b883a mov r5,zero + 26ff0: 003c6906 br 26198 <_gp+0xfffe932c> + 26ff4: 3021883a mov r16,r6 + 26ff8: 003ffb06 br 26fe8 <_gp+0xfffea17c> + 26ffc: 1000021e bne r2,zero,27008 <_dtoa_r+0x11e0> + 27000: 4200004c andi r8,r8,1 + 27004: 403e7d1e bne r8,zero,269fc <_gp+0xfffe9b90> + 27008: 01000c04 movi r4,48 + 2700c: 00000106 br 27014 <_dtoa_r+0x11ec> + 27010: 102f883a mov r23,r2 + 27014: b8bfffc4 addi r2,r23,-1 + 27018: 10c00007 ldb r3,0(r2) + 2701c: 193ffc26 beq r3,r4,27010 <_gp+0xfffea1a4> + 27020: 003e8706 br 26a40 <_gp+0xfffe9bd4> + 27024: d8800517 ldw r2,20(sp) + 27028: 00a3c83a sub r17,zero,r2 + 2702c: 8800a426 beq r17,zero,272c0 <_dtoa_r+0x1498> + 27030: 888003cc andi r2,r17,15 + 27034: 100490fa slli r2,r2,3 + 27038: 00c000f4 movhi r3,3 + 2703c: 18ca8104 addi r3,r3,10756 + 27040: 1885883a add r2,r3,r2 + 27044: 11800017 ldw r6,0(r2) + 27048: 11c00117 ldw r7,4(r2) + 2704c: 9009883a mov r4,r18 + 27050: 980b883a mov r5,r19 + 27054: 8823d13a srai r17,r17,4 + 27058: 002e4ec0 call 2e4ec <__muldf3> + 2705c: d8800f15 stw r2,60(sp) + 27060: d8c01015 stw r3,64(sp) + 27064: 8800e826 beq r17,zero,27408 <_dtoa_r+0x15e0> + 27068: 050000f4 movhi r20,3 + 2706c: a50a7704 addi r20,r20,10716 + 27070: 04000084 movi r16,2 + 27074: 8980004c andi r6,r17,1 + 27078: 1009883a mov r4,r2 + 2707c: 8823d07a srai r17,r17,1 + 27080: 180b883a mov r5,r3 + 27084: 30000426 beq r6,zero,27098 <_dtoa_r+0x1270> + 27088: a1800017 ldw r6,0(r20) + 2708c: a1c00117 ldw r7,4(r20) + 27090: 84000044 addi r16,r16,1 + 27094: 002e4ec0 call 2e4ec <__muldf3> + 27098: a5000204 addi r20,r20,8 + 2709c: 883ff51e bne r17,zero,27074 <_gp+0xfffea208> + 270a0: d8800f15 stw r2,60(sp) + 270a4: d8c01015 stw r3,64(sp) + 270a8: 003c7606 br 26284 <_gp+0xfffe9418> + 270ac: 00c00c04 movi r3,48 + 270b0: 10c00005 stb r3,0(r2) + 270b4: d8c00517 ldw r3,20(sp) + 270b8: bd3fffc3 ldbu r20,-1(r23) + 270bc: 18c00044 addi r3,r3,1 + 270c0: d8c00515 stw r3,20(sp) + 270c4: 003db906 br 267ac <_gp+0xfffe9940> + 270c8: 89400117 ldw r5,4(r17) + 270cc: e009883a mov r4,fp + 270d0: 0028b900 call 28b90 <_Balloc> + 270d4: 89800417 ldw r6,16(r17) + 270d8: 89400304 addi r5,r17,12 + 270dc: 11000304 addi r4,r2,12 + 270e0: 31800084 addi r6,r6,2 + 270e4: 318d883a add r6,r6,r6 + 270e8: 318d883a add r6,r6,r6 + 270ec: 1027883a mov r19,r2 + 270f0: 00288ec0 call 288ec + 270f4: 01800044 movi r6,1 + 270f8: 980b883a mov r5,r19 + 270fc: e009883a mov r4,fp + 27100: 00293100 call 29310 <__lshift> + 27104: 1029883a mov r20,r2 + 27108: 003ecc06 br 26c3c <_gp+0xfffe9dd0> + 2710c: 00800e44 movi r2,57 + 27110: 90809026 beq r18,r2,27354 <_dtoa_r+0x152c> + 27114: 92000044 addi r8,r18,1 + 27118: 003f1f06 br 26d98 <_gp+0xfffe9f2c> + 2711c: 9011883a mov r8,r18 + 27120: 8825883a mov r18,r17 + 27124: a023883a mov r17,r20 + 27128: 003e2906 br 269d0 <_gp+0xfffe9b64> + 2712c: 002b883a mov r21,zero + 27130: 0023883a mov r17,zero + 27134: 003f5406 br 26e88 <_gp+0xfffea01c> + 27138: 61bfffc4 addi r6,r12,-1 + 2713c: 300490fa slli r2,r6,3 + 27140: 00c000f4 movhi r3,3 + 27144: 18ca8104 addi r3,r3,10756 + 27148: 1885883a add r2,r3,r2 + 2714c: 11000017 ldw r4,0(r2) + 27150: 11400117 ldw r5,4(r2) + 27154: d8800717 ldw r2,28(sp) + 27158: 880f883a mov r7,r17 + 2715c: d9801215 stw r6,72(sp) + 27160: 800d883a mov r6,r16 + 27164: db001615 stw r12,88(sp) + 27168: 15c00044 addi r23,r2,1 + 2716c: 002e4ec0 call 2e4ec <__muldf3> + 27170: d9401017 ldw r5,64(sp) + 27174: d9000f17 ldw r4,60(sp) + 27178: d8c01515 stw r3,84(sp) + 2717c: d8801415 stw r2,80(sp) + 27180: 002f5000 call 2f500 <__fixdfsi> + 27184: 1009883a mov r4,r2 + 27188: 1021883a mov r16,r2 + 2718c: 002f5800 call 2f580 <__floatsidf> + 27190: d9000f17 ldw r4,60(sp) + 27194: d9401017 ldw r5,64(sp) + 27198: 100d883a mov r6,r2 + 2719c: 180f883a mov r7,r3 + 271a0: 002ec040 call 2ec04 <__subdf3> + 271a4: 1829883a mov r20,r3 + 271a8: d8c00717 ldw r3,28(sp) + 271ac: 84000c04 addi r16,r16,48 + 271b0: 1023883a mov r17,r2 + 271b4: 1c000005 stb r16,0(r3) + 271b8: db001617 ldw r12,88(sp) + 271bc: 00800044 movi r2,1 + 271c0: 60802226 beq r12,r2,2724c <_dtoa_r+0x1424> + 271c4: d9c00717 ldw r7,28(sp) + 271c8: 8805883a mov r2,r17 + 271cc: b82b883a mov r21,r23 + 271d0: 3b19883a add r12,r7,r12 + 271d4: 6023883a mov r17,r12 + 271d8: a007883a mov r3,r20 + 271dc: dc800f15 stw r18,60(sp) + 271e0: 000d883a mov r6,zero + 271e4: 01d00934 movhi r7,16420 + 271e8: 1009883a mov r4,r2 + 271ec: 180b883a mov r5,r3 + 271f0: 002e4ec0 call 2e4ec <__muldf3> + 271f4: 180b883a mov r5,r3 + 271f8: 1009883a mov r4,r2 + 271fc: 1829883a mov r20,r3 + 27200: 1025883a mov r18,r2 + 27204: 002f5000 call 2f500 <__fixdfsi> + 27208: 1009883a mov r4,r2 + 2720c: 1021883a mov r16,r2 + 27210: 002f5800 call 2f580 <__floatsidf> + 27214: 100d883a mov r6,r2 + 27218: 180f883a mov r7,r3 + 2721c: 9009883a mov r4,r18 + 27220: a00b883a mov r5,r20 + 27224: 84000c04 addi r16,r16,48 + 27228: 002ec040 call 2ec04 <__subdf3> + 2722c: ad400044 addi r21,r21,1 + 27230: ac3fffc5 stb r16,-1(r21) + 27234: ac7fea1e bne r21,r17,271e0 <_gp+0xfffea374> + 27238: 1023883a mov r17,r2 + 2723c: d8801217 ldw r2,72(sp) + 27240: dc800f17 ldw r18,60(sp) + 27244: 1829883a mov r20,r3 + 27248: b8af883a add r23,r23,r2 + 2724c: d9001417 ldw r4,80(sp) + 27250: d9401517 ldw r5,84(sp) + 27254: 000d883a mov r6,zero + 27258: 01cff834 movhi r7,16352 + 2725c: 002d1000 call 2d100 <__adddf3> + 27260: 880d883a mov r6,r17 + 27264: a00f883a mov r7,r20 + 27268: 1009883a mov r4,r2 + 2726c: 180b883a mov r5,r3 + 27270: 002e3f80 call 2e3f8 <__ledf2> + 27274: 10003e0e bge r2,zero,27370 <_dtoa_r+0x1548> + 27278: d9001317 ldw r4,76(sp) + 2727c: bd3fffc3 ldbu r20,-1(r23) + 27280: d9000515 stw r4,20(sp) + 27284: 003d3b06 br 26774 <_gp+0xfffe9908> + 27288: 0027883a mov r19,zero + 2728c: 003f1b06 br 26efc <_gp+0xfffea090> + 27290: d8800817 ldw r2,32(sp) + 27294: 11e9c83a sub r20,r2,r7 + 27298: 0005883a mov r2,zero + 2729c: 003d5406 br 267f0 <_gp+0xfffe9984> + 272a0: 00800044 movi r2,1 + 272a4: 003dc706 br 269c4 <_gp+0xfffe9b58> + 272a8: d8c00217 ldw r3,8(sp) + 272ac: 00800d84 movi r2,54 + 272b0: dd400a17 ldw r21,40(sp) + 272b4: 10c5c83a sub r2,r2,r3 + 272b8: dd000817 ldw r20,32(sp) + 272bc: 003d4c06 br 267f0 <_gp+0xfffe9984> + 272c0: dc800f15 stw r18,60(sp) + 272c4: dcc01015 stw r19,64(sp) + 272c8: 04000084 movi r16,2 + 272cc: 003bed06 br 26284 <_gp+0xfffe9418> + 272d0: d9000617 ldw r4,24(sp) + 272d4: 203f0d26 beq r4,zero,26f0c <_gp+0xfffea0a0> + 272d8: d9800c17 ldw r6,48(sp) + 272dc: 01bcab0e bge zero,r6,2658c <_gp+0xfffe9720> + 272e0: d9401017 ldw r5,64(sp) + 272e4: d9000f17 ldw r4,60(sp) + 272e8: 000d883a mov r6,zero + 272ec: 01d00934 movhi r7,16420 + 272f0: 002e4ec0 call 2e4ec <__muldf3> + 272f4: 81000044 addi r4,r16,1 + 272f8: d8800f15 stw r2,60(sp) + 272fc: d8c01015 stw r3,64(sp) + 27300: 002f5800 call 2f580 <__floatsidf> + 27304: d9800f17 ldw r6,60(sp) + 27308: d9c01017 ldw r7,64(sp) + 2730c: 1009883a mov r4,r2 + 27310: 180b883a mov r5,r3 + 27314: 002e4ec0 call 2e4ec <__muldf3> + 27318: 01d00734 movhi r7,16412 + 2731c: 000d883a mov r6,zero + 27320: 1009883a mov r4,r2 + 27324: 180b883a mov r5,r3 + 27328: 002d1000 call 2d100 <__adddf3> + 2732c: d9c00517 ldw r7,20(sp) + 27330: 047f3034 movhi r17,64704 + 27334: 1021883a mov r16,r2 + 27338: 39ffffc4 addi r7,r7,-1 + 2733c: d9c01315 stw r7,76(sp) + 27340: 1c63883a add r17,r3,r17 + 27344: db000c17 ldw r12,48(sp) + 27348: 003bea06 br 262f4 <_gp+0xfffe9488> + 2734c: dc000915 stw r16,36(sp) + 27350: 003e0e06 br 26b8c <_gp+0xfffe9d20> + 27354: 01000e44 movi r4,57 + 27358: 8825883a mov r18,r17 + 2735c: 9dc00044 addi r23,r19,1 + 27360: 99000005 stb r4,0(r19) + 27364: a023883a mov r17,r20 + 27368: dc000915 stw r16,36(sp) + 2736c: 003da406 br 26a00 <_gp+0xfffe9b94> + 27370: d9801417 ldw r6,80(sp) + 27374: d9c01517 ldw r7,84(sp) + 27378: 0009883a mov r4,zero + 2737c: 014ff834 movhi r5,16352 + 27380: 002ec040 call 2ec04 <__subdf3> + 27384: 880d883a mov r6,r17 + 27388: a00f883a mov r7,r20 + 2738c: 1009883a mov r4,r2 + 27390: 180b883a mov r5,r3 + 27394: 002e31c0 call 2e31c <__gedf2> + 27398: 00bc7c0e bge zero,r2,2658c <_gp+0xfffe9720> + 2739c: 01000c04 movi r4,48 + 273a0: 00000106 br 273a8 <_dtoa_r+0x1580> + 273a4: 102f883a mov r23,r2 + 273a8: b8bfffc4 addi r2,r23,-1 + 273ac: 10c00007 ldb r3,0(r2) + 273b0: 193ffc26 beq r3,r4,273a4 <_gp+0xfffea538> + 273b4: d9801317 ldw r6,76(sp) + 273b8: d9800515 stw r6,20(sp) + 273bc: 003c4406 br 264d0 <_gp+0xfffe9664> + 273c0: d9801317 ldw r6,76(sp) + 273c4: d9800515 stw r6,20(sp) + 273c8: 003cea06 br 26774 <_gp+0xfffe9908> + 273cc: dd800f17 ldw r22,60(sp) + 273d0: dcc01017 ldw r19,64(sp) + 273d4: dc801217 ldw r18,72(sp) + 273d8: 003c6c06 br 2658c <_gp+0xfffe9720> + 273dc: 903e031e bne r18,zero,26bec <_gp+0xfffe9d80> + 273e0: 003ebb06 br 26ed0 <_gp+0xfffea064> + 273e4: 103e6c1e bne r2,zero,26d98 <_gp+0xfffe9f2c> + 273e8: 4080004c andi r2,r8,1 + 273ec: 103e6a26 beq r2,zero,26d98 <_gp+0xfffe9f2c> + 273f0: 003e6606 br 26d8c <_gp+0xfffe9f20> + 273f4: d8c00317 ldw r3,12(sp) + 273f8: 00800084 movi r2,2 + 273fc: 10c02916 blt r2,r3,274a4 <_dtoa_r+0x167c> + 27400: d9000c17 ldw r4,48(sp) + 27404: 003e8806 br 26e28 <_gp+0xfffe9fbc> + 27408: 04000084 movi r16,2 + 2740c: 003b9d06 br 26284 <_gp+0xfffe9418> + 27410: d9001317 ldw r4,76(sp) + 27414: d9000515 stw r4,20(sp) + 27418: 003cd606 br 26774 <_gp+0xfffe9908> + 2741c: d8801317 ldw r2,76(sp) + 27420: d8800515 stw r2,20(sp) + 27424: 003c2a06 br 264d0 <_gp+0xfffe9664> + 27428: d9800317 ldw r6,12(sp) + 2742c: 00800084 movi r2,2 + 27430: 11801516 blt r2,r6,27488 <_dtoa_r+0x1660> + 27434: d9c00c17 ldw r7,48(sp) + 27438: d9c00615 stw r7,24(sp) + 2743c: 003df706 br 26c1c <_gp+0xfffe9db0> + 27440: 193d3926 beq r3,r4,26928 <_gp+0xfffe9abc> + 27444: 00c00f04 movi r3,60 + 27448: 1885c83a sub r2,r3,r2 + 2744c: 003ddf06 br 26bcc <_gp+0xfffe9d60> + 27450: e009883a mov r4,fp + 27454: e0001115 stw zero,68(fp) + 27458: 000b883a mov r5,zero + 2745c: 0028b900 call 28b90 <_Balloc> + 27460: d8800715 stw r2,28(sp) + 27464: d8c00717 ldw r3,28(sp) + 27468: 00bfffc4 movi r2,-1 + 2746c: 01000044 movi r4,1 + 27470: d8800c15 stw r2,48(sp) + 27474: e0c01015 stw r3,64(fp) + 27478: d9000b15 stw r4,44(sp) + 2747c: d8800615 stw r2,24(sp) + 27480: d8002215 stw zero,136(sp) + 27484: 003c4106 br 2658c <_gp+0xfffe9720> + 27488: d8c00c17 ldw r3,48(sp) + 2748c: d8c00615 stw r3,24(sp) + 27490: 003e7006 br 26e54 <_gp+0xfffe9fe8> + 27494: 04400044 movi r17,1 + 27498: 003b2006 br 2611c <_gp+0xfffe92b0> + 2749c: 000b883a mov r5,zero + 274a0: 003b3d06 br 26198 <_gp+0xfffe932c> + 274a4: d8800c17 ldw r2,48(sp) + 274a8: d8800615 stw r2,24(sp) + 274ac: 003e6906 br 26e54 <_gp+0xfffe9fe8> -00026eec <__sflush_r>: - 26eec: 2880030b ldhu r2,12(r5) - 26ef0: defffb04 addi sp,sp,-20 - 26ef4: dcc00315 stw r19,12(sp) - 26ef8: dc400115 stw r17,4(sp) - 26efc: dfc00415 stw ra,16(sp) - 26f00: dc800215 stw r18,8(sp) - 26f04: dc000015 stw r16,0(sp) - 26f08: 10c0020c andi r3,r2,8 - 26f0c: 2823883a mov r17,r5 - 26f10: 2027883a mov r19,r4 - 26f14: 1800311e bne r3,zero,26fdc <__sflush_r+0xf0> - 26f18: 28c00117 ldw r3,4(r5) - 26f1c: 10820014 ori r2,r2,2048 - 26f20: 2880030d sth r2,12(r5) - 26f24: 00c04b0e bge zero,r3,27054 <__sflush_r+0x168> - 26f28: 8a000a17 ldw r8,40(r17) - 26f2c: 40002326 beq r8,zero,26fbc <__sflush_r+0xd0> - 26f30: 9c000017 ldw r16,0(r19) - 26f34: 10c4000c andi r3,r2,4096 - 26f38: 98000015 stw zero,0(r19) - 26f3c: 18004826 beq r3,zero,27060 <__sflush_r+0x174> - 26f40: 89801417 ldw r6,80(r17) - 26f44: 10c0010c andi r3,r2,4 - 26f48: 18000626 beq r3,zero,26f64 <__sflush_r+0x78> - 26f4c: 88c00117 ldw r3,4(r17) - 26f50: 88800c17 ldw r2,48(r17) - 26f54: 30cdc83a sub r6,r6,r3 - 26f58: 10000226 beq r2,zero,26f64 <__sflush_r+0x78> - 26f5c: 88800f17 ldw r2,60(r17) - 26f60: 308dc83a sub r6,r6,r2 - 26f64: 89400717 ldw r5,28(r17) - 26f68: 000f883a mov r7,zero - 26f6c: 9809883a mov r4,r19 - 26f70: 403ee83a callr r8 - 26f74: 00ffffc4 movi r3,-1 - 26f78: 10c04426 beq r2,r3,2708c <__sflush_r+0x1a0> - 26f7c: 88c0030b ldhu r3,12(r17) - 26f80: 89000417 ldw r4,16(r17) - 26f84: 88000115 stw zero,4(r17) - 26f88: 197dffcc andi r5,r3,63487 - 26f8c: 8940030d sth r5,12(r17) - 26f90: 89000015 stw r4,0(r17) - 26f94: 18c4000c andi r3,r3,4096 - 26f98: 18002c1e bne r3,zero,2704c <__sflush_r+0x160> - 26f9c: 89400c17 ldw r5,48(r17) - 26fa0: 9c000015 stw r16,0(r19) - 26fa4: 28000526 beq r5,zero,26fbc <__sflush_r+0xd0> - 26fa8: 88801004 addi r2,r17,64 - 26fac: 28800226 beq r5,r2,26fb8 <__sflush_r+0xcc> - 26fb0: 9809883a mov r4,r19 - 26fb4: 00276580 call 27658 <_free_r> - 26fb8: 88000c15 stw zero,48(r17) - 26fbc: 0005883a mov r2,zero - 26fc0: dfc00417 ldw ra,16(sp) - 26fc4: dcc00317 ldw r19,12(sp) - 26fc8: dc800217 ldw r18,8(sp) - 26fcc: dc400117 ldw r17,4(sp) - 26fd0: dc000017 ldw r16,0(sp) - 26fd4: dec00504 addi sp,sp,20 - 26fd8: f800283a ret - 26fdc: 2c800417 ldw r18,16(r5) - 26fe0: 903ff626 beq r18,zero,26fbc <_gp+0xfffeaa84> - 26fe4: 2c000017 ldw r16,0(r5) - 26fe8: 108000cc andi r2,r2,3 - 26fec: 2c800015 stw r18,0(r5) - 26ff0: 84a1c83a sub r16,r16,r18 - 26ff4: 1000131e bne r2,zero,27044 <__sflush_r+0x158> - 26ff8: 28800517 ldw r2,20(r5) - 26ffc: 88800215 stw r2,8(r17) - 27000: 04000316 blt zero,r16,27010 <__sflush_r+0x124> - 27004: 003fed06 br 26fbc <_gp+0xfffeaa84> - 27008: 90a5883a add r18,r18,r2 - 2700c: 043feb0e bge zero,r16,26fbc <_gp+0xfffeaa84> - 27010: 88800917 ldw r2,36(r17) - 27014: 89400717 ldw r5,28(r17) - 27018: 800f883a mov r7,r16 - 2701c: 900d883a mov r6,r18 - 27020: 9809883a mov r4,r19 - 27024: 103ee83a callr r2 - 27028: 80a1c83a sub r16,r16,r2 - 2702c: 00bff616 blt zero,r2,27008 <_gp+0xfffeaad0> - 27030: 88c0030b ldhu r3,12(r17) - 27034: 00bfffc4 movi r2,-1 - 27038: 18c01014 ori r3,r3,64 - 2703c: 88c0030d sth r3,12(r17) - 27040: 003fdf06 br 26fc0 <_gp+0xfffeaa88> - 27044: 0005883a mov r2,zero - 27048: 003fec06 br 26ffc <_gp+0xfffeaac4> - 2704c: 88801415 stw r2,80(r17) - 27050: 003fd206 br 26f9c <_gp+0xfffeaa64> - 27054: 28c00f17 ldw r3,60(r5) - 27058: 00ffb316 blt zero,r3,26f28 <_gp+0xfffea9f0> - 2705c: 003fd706 br 26fbc <_gp+0xfffeaa84> - 27060: 89400717 ldw r5,28(r17) - 27064: 000d883a mov r6,zero - 27068: 01c00044 movi r7,1 - 2706c: 9809883a mov r4,r19 - 27070: 403ee83a callr r8 - 27074: 100d883a mov r6,r2 - 27078: 00bfffc4 movi r2,-1 - 2707c: 30801426 beq r6,r2,270d0 <__sflush_r+0x1e4> - 27080: 8880030b ldhu r2,12(r17) - 27084: 8a000a17 ldw r8,40(r17) - 27088: 003fae06 br 26f44 <_gp+0xfffeaa0c> - 2708c: 98c00017 ldw r3,0(r19) - 27090: 183fba26 beq r3,zero,26f7c <_gp+0xfffeaa44> - 27094: 01000744 movi r4,29 - 27098: 19000626 beq r3,r4,270b4 <__sflush_r+0x1c8> - 2709c: 01000584 movi r4,22 - 270a0: 19000426 beq r3,r4,270b4 <__sflush_r+0x1c8> - 270a4: 88c0030b ldhu r3,12(r17) - 270a8: 18c01014 ori r3,r3,64 - 270ac: 88c0030d sth r3,12(r17) - 270b0: 003fc306 br 26fc0 <_gp+0xfffeaa88> - 270b4: 8880030b ldhu r2,12(r17) - 270b8: 88c00417 ldw r3,16(r17) - 270bc: 88000115 stw zero,4(r17) - 270c0: 10bdffcc andi r2,r2,63487 - 270c4: 8880030d sth r2,12(r17) - 270c8: 88c00015 stw r3,0(r17) - 270cc: 003fb306 br 26f9c <_gp+0xfffeaa64> - 270d0: 98800017 ldw r2,0(r19) - 270d4: 103fea26 beq r2,zero,27080 <_gp+0xfffeab48> - 270d8: 00c00744 movi r3,29 - 270dc: 10c00226 beq r2,r3,270e8 <__sflush_r+0x1fc> - 270e0: 00c00584 movi r3,22 - 270e4: 10c0031e bne r2,r3,270f4 <__sflush_r+0x208> - 270e8: 9c000015 stw r16,0(r19) - 270ec: 0005883a mov r2,zero - 270f0: 003fb306 br 26fc0 <_gp+0xfffeaa88> - 270f4: 88c0030b ldhu r3,12(r17) - 270f8: 3005883a mov r2,r6 - 270fc: 18c01014 ori r3,r3,64 - 27100: 88c0030d sth r3,12(r17) - 27104: 003fae06 br 26fc0 <_gp+0xfffeaa88> +000274b0 <__sflush_r>: + 274b0: 2880030b ldhu r2,12(r5) + 274b4: defffb04 addi sp,sp,-20 + 274b8: dcc00315 stw r19,12(sp) + 274bc: dc400115 stw r17,4(sp) + 274c0: dfc00415 stw ra,16(sp) + 274c4: dc800215 stw r18,8(sp) + 274c8: dc000015 stw r16,0(sp) + 274cc: 10c0020c andi r3,r2,8 + 274d0: 2823883a mov r17,r5 + 274d4: 2027883a mov r19,r4 + 274d8: 1800311e bne r3,zero,275a0 <__sflush_r+0xf0> + 274dc: 28c00117 ldw r3,4(r5) + 274e0: 10820014 ori r2,r2,2048 + 274e4: 2880030d sth r2,12(r5) + 274e8: 00c04b0e bge zero,r3,27618 <__sflush_r+0x168> + 274ec: 8a000a17 ldw r8,40(r17) + 274f0: 40002326 beq r8,zero,27580 <__sflush_r+0xd0> + 274f4: 9c000017 ldw r16,0(r19) + 274f8: 10c4000c andi r3,r2,4096 + 274fc: 98000015 stw zero,0(r19) + 27500: 18004826 beq r3,zero,27624 <__sflush_r+0x174> + 27504: 89801417 ldw r6,80(r17) + 27508: 10c0010c andi r3,r2,4 + 2750c: 18000626 beq r3,zero,27528 <__sflush_r+0x78> + 27510: 88c00117 ldw r3,4(r17) + 27514: 88800c17 ldw r2,48(r17) + 27518: 30cdc83a sub r6,r6,r3 + 2751c: 10000226 beq r2,zero,27528 <__sflush_r+0x78> + 27520: 88800f17 ldw r2,60(r17) + 27524: 308dc83a sub r6,r6,r2 + 27528: 89400717 ldw r5,28(r17) + 2752c: 000f883a mov r7,zero + 27530: 9809883a mov r4,r19 + 27534: 403ee83a callr r8 + 27538: 00ffffc4 movi r3,-1 + 2753c: 10c04426 beq r2,r3,27650 <__sflush_r+0x1a0> + 27540: 88c0030b ldhu r3,12(r17) + 27544: 89000417 ldw r4,16(r17) + 27548: 88000115 stw zero,4(r17) + 2754c: 197dffcc andi r5,r3,63487 + 27550: 8940030d sth r5,12(r17) + 27554: 89000015 stw r4,0(r17) + 27558: 18c4000c andi r3,r3,4096 + 2755c: 18002c1e bne r3,zero,27610 <__sflush_r+0x160> + 27560: 89400c17 ldw r5,48(r17) + 27564: 9c000015 stw r16,0(r19) + 27568: 28000526 beq r5,zero,27580 <__sflush_r+0xd0> + 2756c: 88801004 addi r2,r17,64 + 27570: 28800226 beq r5,r2,2757c <__sflush_r+0xcc> + 27574: 9809883a mov r4,r19 + 27578: 0027c1c0 call 27c1c <_free_r> + 2757c: 88000c15 stw zero,48(r17) + 27580: 0005883a mov r2,zero + 27584: dfc00417 ldw ra,16(sp) + 27588: dcc00317 ldw r19,12(sp) + 2758c: dc800217 ldw r18,8(sp) + 27590: dc400117 ldw r17,4(sp) + 27594: dc000017 ldw r16,0(sp) + 27598: dec00504 addi sp,sp,20 + 2759c: f800283a ret + 275a0: 2c800417 ldw r18,16(r5) + 275a4: 903ff626 beq r18,zero,27580 <_gp+0xfffea714> + 275a8: 2c000017 ldw r16,0(r5) + 275ac: 108000cc andi r2,r2,3 + 275b0: 2c800015 stw r18,0(r5) + 275b4: 84a1c83a sub r16,r16,r18 + 275b8: 1000131e bne r2,zero,27608 <__sflush_r+0x158> + 275bc: 28800517 ldw r2,20(r5) + 275c0: 88800215 stw r2,8(r17) + 275c4: 04000316 blt zero,r16,275d4 <__sflush_r+0x124> + 275c8: 003fed06 br 27580 <_gp+0xfffea714> + 275cc: 90a5883a add r18,r18,r2 + 275d0: 043feb0e bge zero,r16,27580 <_gp+0xfffea714> + 275d4: 88800917 ldw r2,36(r17) + 275d8: 89400717 ldw r5,28(r17) + 275dc: 800f883a mov r7,r16 + 275e0: 900d883a mov r6,r18 + 275e4: 9809883a mov r4,r19 + 275e8: 103ee83a callr r2 + 275ec: 80a1c83a sub r16,r16,r2 + 275f0: 00bff616 blt zero,r2,275cc <_gp+0xfffea760> + 275f4: 88c0030b ldhu r3,12(r17) + 275f8: 00bfffc4 movi r2,-1 + 275fc: 18c01014 ori r3,r3,64 + 27600: 88c0030d sth r3,12(r17) + 27604: 003fdf06 br 27584 <_gp+0xfffea718> + 27608: 0005883a mov r2,zero + 2760c: 003fec06 br 275c0 <_gp+0xfffea754> + 27610: 88801415 stw r2,80(r17) + 27614: 003fd206 br 27560 <_gp+0xfffea6f4> + 27618: 28c00f17 ldw r3,60(r5) + 2761c: 00ffb316 blt zero,r3,274ec <_gp+0xfffea680> + 27620: 003fd706 br 27580 <_gp+0xfffea714> + 27624: 89400717 ldw r5,28(r17) + 27628: 000d883a mov r6,zero + 2762c: 01c00044 movi r7,1 + 27630: 9809883a mov r4,r19 + 27634: 403ee83a callr r8 + 27638: 100d883a mov r6,r2 + 2763c: 00bfffc4 movi r2,-1 + 27640: 30801426 beq r6,r2,27694 <__sflush_r+0x1e4> + 27644: 8880030b ldhu r2,12(r17) + 27648: 8a000a17 ldw r8,40(r17) + 2764c: 003fae06 br 27508 <_gp+0xfffea69c> + 27650: 98c00017 ldw r3,0(r19) + 27654: 183fba26 beq r3,zero,27540 <_gp+0xfffea6d4> + 27658: 01000744 movi r4,29 + 2765c: 19000626 beq r3,r4,27678 <__sflush_r+0x1c8> + 27660: 01000584 movi r4,22 + 27664: 19000426 beq r3,r4,27678 <__sflush_r+0x1c8> + 27668: 88c0030b ldhu r3,12(r17) + 2766c: 18c01014 ori r3,r3,64 + 27670: 88c0030d sth r3,12(r17) + 27674: 003fc306 br 27584 <_gp+0xfffea718> + 27678: 8880030b ldhu r2,12(r17) + 2767c: 88c00417 ldw r3,16(r17) + 27680: 88000115 stw zero,4(r17) + 27684: 10bdffcc andi r2,r2,63487 + 27688: 8880030d sth r2,12(r17) + 2768c: 88c00015 stw r3,0(r17) + 27690: 003fb306 br 27560 <_gp+0xfffea6f4> + 27694: 98800017 ldw r2,0(r19) + 27698: 103fea26 beq r2,zero,27644 <_gp+0xfffea7d8> + 2769c: 00c00744 movi r3,29 + 276a0: 10c00226 beq r2,r3,276ac <__sflush_r+0x1fc> + 276a4: 00c00584 movi r3,22 + 276a8: 10c0031e bne r2,r3,276b8 <__sflush_r+0x208> + 276ac: 9c000015 stw r16,0(r19) + 276b0: 0005883a mov r2,zero + 276b4: 003fb306 br 27584 <_gp+0xfffea718> + 276b8: 88c0030b ldhu r3,12(r17) + 276bc: 3005883a mov r2,r6 + 276c0: 18c01014 ori r3,r3,64 + 276c4: 88c0030d sth r3,12(r17) + 276c8: 003fae06 br 27584 <_gp+0xfffea718> -00027108 <_fflush_r>: - 27108: defffd04 addi sp,sp,-12 - 2710c: dc000115 stw r16,4(sp) - 27110: dfc00215 stw ra,8(sp) - 27114: 2021883a mov r16,r4 - 27118: 20000226 beq r4,zero,27124 <_fflush_r+0x1c> - 2711c: 20800e17 ldw r2,56(r4) - 27120: 10000c26 beq r2,zero,27154 <_fflush_r+0x4c> - 27124: 2880030f ldh r2,12(r5) - 27128: 1000051e bne r2,zero,27140 <_fflush_r+0x38> - 2712c: 0005883a mov r2,zero - 27130: dfc00217 ldw ra,8(sp) - 27134: dc000117 ldw r16,4(sp) - 27138: dec00304 addi sp,sp,12 - 2713c: f800283a ret - 27140: 8009883a mov r4,r16 - 27144: dfc00217 ldw ra,8(sp) - 27148: dc000117 ldw r16,4(sp) - 2714c: dec00304 addi sp,sp,12 - 27150: 0026eec1 jmpi 26eec <__sflush_r> - 27154: d9400015 stw r5,0(sp) - 27158: 00274e40 call 274e4 <__sinit> - 2715c: d9400017 ldw r5,0(sp) - 27160: 003ff006 br 27124 <_gp+0xfffeabec> +000276cc <_fflush_r>: + 276cc: defffd04 addi sp,sp,-12 + 276d0: dc000115 stw r16,4(sp) + 276d4: dfc00215 stw ra,8(sp) + 276d8: 2021883a mov r16,r4 + 276dc: 20000226 beq r4,zero,276e8 <_fflush_r+0x1c> + 276e0: 20800e17 ldw r2,56(r4) + 276e4: 10000c26 beq r2,zero,27718 <_fflush_r+0x4c> + 276e8: 2880030f ldh r2,12(r5) + 276ec: 1000051e bne r2,zero,27704 <_fflush_r+0x38> + 276f0: 0005883a mov r2,zero + 276f4: dfc00217 ldw ra,8(sp) + 276f8: dc000117 ldw r16,4(sp) + 276fc: dec00304 addi sp,sp,12 + 27700: f800283a ret + 27704: 8009883a mov r4,r16 + 27708: dfc00217 ldw ra,8(sp) + 2770c: dc000117 ldw r16,4(sp) + 27710: dec00304 addi sp,sp,12 + 27714: 00274b01 jmpi 274b0 <__sflush_r> + 27718: d9400015 stw r5,0(sp) + 2771c: 0027aa80 call 27aa8 <__sinit> + 27720: d9400017 ldw r5,0(sp) + 27724: 003ff006 br 276e8 <_gp+0xfffea87c> -00027164 : - 27164: 20000526 beq r4,zero,2717c - 27168: 008000f4 movhi r2,3 - 2716c: 10915404 addi r2,r2,17744 - 27170: 200b883a mov r5,r4 - 27174: 11000017 ldw r4,0(r2) - 27178: 00271081 jmpi 27108 <_fflush_r> - 2717c: 008000f4 movhi r2,3 - 27180: 10915304 addi r2,r2,17740 - 27184: 11000017 ldw r4,0(r2) - 27188: 014000b4 movhi r5,2 - 2718c: 295c4204 addi r5,r5,28936 - 27190: 0027ee81 jmpi 27ee8 <_fwalk_reent> +00027728 : + 27728: 20000526 beq r4,zero,27740 + 2772c: 008000f4 movhi r2,3 + 27730: 10939f04 addi r2,r2,20092 + 27734: 200b883a mov r5,r4 + 27738: 11000017 ldw r4,0(r2) + 2773c: 00276cc1 jmpi 276cc <_fflush_r> + 27740: 008000f4 movhi r2,3 + 27744: 10939e04 addi r2,r2,20088 + 27748: 11000017 ldw r4,0(r2) + 2774c: 014000b4 movhi r5,2 + 27750: 295db304 addi r5,r5,30412 + 27754: 00284ac1 jmpi 284ac <_fwalk_reent> -00027194 <__fp_unlock>: - 27194: 0005883a mov r2,zero - 27198: f800283a ret +00027758 <__fp_unlock>: + 27758: 0005883a mov r2,zero + 2775c: f800283a ret -0002719c <_cleanup_r>: - 2719c: 014000f4 movhi r5,3 - 271a0: 296e0e04 addi r5,r5,-18376 - 271a4: 0027ee81 jmpi 27ee8 <_fwalk_reent> +00027760 <_cleanup_r>: + 27760: 014000f4 movhi r5,3 + 27764: 296ffb04 addi r5,r5,-16404 + 27768: 00284ac1 jmpi 284ac <_fwalk_reent> -000271a8 <__sinit.part.1>: - 271a8: defff704 addi sp,sp,-36 - 271ac: 00c000b4 movhi r3,2 - 271b0: dfc00815 stw ra,32(sp) - 271b4: ddc00715 stw r23,28(sp) - 271b8: dd800615 stw r22,24(sp) - 271bc: dd400515 stw r21,20(sp) - 271c0: dd000415 stw r20,16(sp) - 271c4: dcc00315 stw r19,12(sp) - 271c8: dc800215 stw r18,8(sp) - 271cc: dc400115 stw r17,4(sp) - 271d0: dc000015 stw r16,0(sp) - 271d4: 18dc6704 addi r3,r3,29084 - 271d8: 24000117 ldw r16,4(r4) - 271dc: 20c00f15 stw r3,60(r4) - 271e0: 2080bb04 addi r2,r4,748 - 271e4: 00c000c4 movi r3,3 - 271e8: 20c0b915 stw r3,740(r4) - 271ec: 2080ba15 stw r2,744(r4) - 271f0: 2000b815 stw zero,736(r4) - 271f4: 05c00204 movi r23,8 - 271f8: 00800104 movi r2,4 - 271fc: 2025883a mov r18,r4 - 27200: b80d883a mov r6,r23 - 27204: 81001704 addi r4,r16,92 - 27208: 000b883a mov r5,zero - 2720c: 80000015 stw zero,0(r16) - 27210: 80000115 stw zero,4(r16) - 27214: 80000215 stw zero,8(r16) - 27218: 8080030d sth r2,12(r16) - 2721c: 80001915 stw zero,100(r16) - 27220: 8000038d sth zero,14(r16) - 27224: 80000415 stw zero,16(r16) - 27228: 80000515 stw zero,20(r16) - 2722c: 80000615 stw zero,24(r16) - 27230: 0022ecc0 call 22ecc - 27234: 058000f4 movhi r22,3 - 27238: 94400217 ldw r17,8(r18) - 2723c: 054000f4 movhi r21,3 - 27240: 050000f4 movhi r20,3 - 27244: 04c000f4 movhi r19,3 - 27248: b5a74204 addi r22,r22,-25336 - 2724c: ad675904 addi r21,r21,-25244 - 27250: a5277804 addi r20,r20,-25120 - 27254: 9ce78f04 addi r19,r19,-25028 - 27258: 85800815 stw r22,32(r16) - 2725c: 85400915 stw r21,36(r16) - 27260: 85000a15 stw r20,40(r16) - 27264: 84c00b15 stw r19,44(r16) - 27268: 84000715 stw r16,28(r16) - 2726c: 00800284 movi r2,10 - 27270: 8880030d sth r2,12(r17) - 27274: 00800044 movi r2,1 - 27278: b80d883a mov r6,r23 - 2727c: 89001704 addi r4,r17,92 - 27280: 000b883a mov r5,zero - 27284: 88000015 stw zero,0(r17) - 27288: 88000115 stw zero,4(r17) - 2728c: 88000215 stw zero,8(r17) - 27290: 88001915 stw zero,100(r17) - 27294: 8880038d sth r2,14(r17) - 27298: 88000415 stw zero,16(r17) - 2729c: 88000515 stw zero,20(r17) - 272a0: 88000615 stw zero,24(r17) - 272a4: 0022ecc0 call 22ecc - 272a8: 94000317 ldw r16,12(r18) - 272ac: 00800484 movi r2,18 - 272b0: 8c400715 stw r17,28(r17) - 272b4: 8d800815 stw r22,32(r17) - 272b8: 8d400915 stw r21,36(r17) - 272bc: 8d000a15 stw r20,40(r17) - 272c0: 8cc00b15 stw r19,44(r17) - 272c4: 8080030d sth r2,12(r16) - 272c8: 00800084 movi r2,2 - 272cc: 80000015 stw zero,0(r16) - 272d0: 80000115 stw zero,4(r16) - 272d4: 80000215 stw zero,8(r16) - 272d8: 80001915 stw zero,100(r16) - 272dc: 8080038d sth r2,14(r16) - 272e0: 80000415 stw zero,16(r16) - 272e4: 80000515 stw zero,20(r16) - 272e8: 80000615 stw zero,24(r16) - 272ec: b80d883a mov r6,r23 - 272f0: 000b883a mov r5,zero - 272f4: 81001704 addi r4,r16,92 - 272f8: 0022ecc0 call 22ecc - 272fc: 00800044 movi r2,1 - 27300: 84000715 stw r16,28(r16) - 27304: 85800815 stw r22,32(r16) - 27308: 85400915 stw r21,36(r16) - 2730c: 85000a15 stw r20,40(r16) - 27310: 84c00b15 stw r19,44(r16) - 27314: 90800e15 stw r2,56(r18) - 27318: dfc00817 ldw ra,32(sp) - 2731c: ddc00717 ldw r23,28(sp) - 27320: dd800617 ldw r22,24(sp) - 27324: dd400517 ldw r21,20(sp) - 27328: dd000417 ldw r20,16(sp) - 2732c: dcc00317 ldw r19,12(sp) - 27330: dc800217 ldw r18,8(sp) - 27334: dc400117 ldw r17,4(sp) - 27338: dc000017 ldw r16,0(sp) - 2733c: dec00904 addi sp,sp,36 - 27340: f800283a ret +0002776c <__sinit.part.1>: + 2776c: defff704 addi sp,sp,-36 + 27770: 00c000b4 movhi r3,2 + 27774: dfc00815 stw ra,32(sp) + 27778: ddc00715 stw r23,28(sp) + 2777c: dd800615 stw r22,24(sp) + 27780: dd400515 stw r21,20(sp) + 27784: dd000415 stw r20,16(sp) + 27788: dcc00315 stw r19,12(sp) + 2778c: dc800215 stw r18,8(sp) + 27790: dc400115 stw r17,4(sp) + 27794: dc000015 stw r16,0(sp) + 27798: 18ddd804 addi r3,r3,30560 + 2779c: 24000117 ldw r16,4(r4) + 277a0: 20c00f15 stw r3,60(r4) + 277a4: 2080bb04 addi r2,r4,748 + 277a8: 00c000c4 movi r3,3 + 277ac: 20c0b915 stw r3,740(r4) + 277b0: 2080ba15 stw r2,744(r4) + 277b4: 2000b815 stw zero,736(r4) + 277b8: 05c00204 movi r23,8 + 277bc: 00800104 movi r2,4 + 277c0: 2025883a mov r18,r4 + 277c4: b80d883a mov r6,r23 + 277c8: 81001704 addi r4,r16,92 + 277cc: 000b883a mov r5,zero + 277d0: 80000015 stw zero,0(r16) + 277d4: 80000115 stw zero,4(r16) + 277d8: 80000215 stw zero,8(r16) + 277dc: 8080030d sth r2,12(r16) + 277e0: 80001915 stw zero,100(r16) + 277e4: 8000038d sth zero,14(r16) + 277e8: 80000415 stw zero,16(r16) + 277ec: 80000515 stw zero,20(r16) + 277f0: 80000615 stw zero,24(r16) + 277f4: 00234080 call 23408 + 277f8: 058000f4 movhi r22,3 + 277fc: 94400217 ldw r17,8(r18) + 27800: 054000f4 movhi r21,3 + 27804: 050000f4 movhi r20,3 + 27808: 04c000f4 movhi r19,3 + 2780c: b5a92f04 addi r22,r22,-23364 + 27810: ad694604 addi r21,r21,-23272 + 27814: a5296504 addi r20,r20,-23148 + 27818: 9ce97c04 addi r19,r19,-23056 + 2781c: 85800815 stw r22,32(r16) + 27820: 85400915 stw r21,36(r16) + 27824: 85000a15 stw r20,40(r16) + 27828: 84c00b15 stw r19,44(r16) + 2782c: 84000715 stw r16,28(r16) + 27830: 00800284 movi r2,10 + 27834: 8880030d sth r2,12(r17) + 27838: 00800044 movi r2,1 + 2783c: b80d883a mov r6,r23 + 27840: 89001704 addi r4,r17,92 + 27844: 000b883a mov r5,zero + 27848: 88000015 stw zero,0(r17) + 2784c: 88000115 stw zero,4(r17) + 27850: 88000215 stw zero,8(r17) + 27854: 88001915 stw zero,100(r17) + 27858: 8880038d sth r2,14(r17) + 2785c: 88000415 stw zero,16(r17) + 27860: 88000515 stw zero,20(r17) + 27864: 88000615 stw zero,24(r17) + 27868: 00234080 call 23408 + 2786c: 94000317 ldw r16,12(r18) + 27870: 00800484 movi r2,18 + 27874: 8c400715 stw r17,28(r17) + 27878: 8d800815 stw r22,32(r17) + 2787c: 8d400915 stw r21,36(r17) + 27880: 8d000a15 stw r20,40(r17) + 27884: 8cc00b15 stw r19,44(r17) + 27888: 8080030d sth r2,12(r16) + 2788c: 00800084 movi r2,2 + 27890: 80000015 stw zero,0(r16) + 27894: 80000115 stw zero,4(r16) + 27898: 80000215 stw zero,8(r16) + 2789c: 80001915 stw zero,100(r16) + 278a0: 8080038d sth r2,14(r16) + 278a4: 80000415 stw zero,16(r16) + 278a8: 80000515 stw zero,20(r16) + 278ac: 80000615 stw zero,24(r16) + 278b0: b80d883a mov r6,r23 + 278b4: 000b883a mov r5,zero + 278b8: 81001704 addi r4,r16,92 + 278bc: 00234080 call 23408 + 278c0: 00800044 movi r2,1 + 278c4: 84000715 stw r16,28(r16) + 278c8: 85800815 stw r22,32(r16) + 278cc: 85400915 stw r21,36(r16) + 278d0: 85000a15 stw r20,40(r16) + 278d4: 84c00b15 stw r19,44(r16) + 278d8: 90800e15 stw r2,56(r18) + 278dc: dfc00817 ldw ra,32(sp) + 278e0: ddc00717 ldw r23,28(sp) + 278e4: dd800617 ldw r22,24(sp) + 278e8: dd400517 ldw r21,20(sp) + 278ec: dd000417 ldw r20,16(sp) + 278f0: dcc00317 ldw r19,12(sp) + 278f4: dc800217 ldw r18,8(sp) + 278f8: dc400117 ldw r17,4(sp) + 278fc: dc000017 ldw r16,0(sp) + 27900: dec00904 addi sp,sp,36 + 27904: f800283a ret -00027344 <__fp_lock>: - 27344: 0005883a mov r2,zero - 27348: f800283a ret +00027908 <__fp_lock>: + 27908: 0005883a mov r2,zero + 2790c: f800283a ret -0002734c <__sfmoreglue>: - 2734c: defffc04 addi sp,sp,-16 - 27350: dc400115 stw r17,4(sp) - 27354: 2c7fffc4 addi r17,r5,-1 - 27358: 8c401a24 muli r17,r17,104 - 2735c: dc800215 stw r18,8(sp) - 27360: 2825883a mov r18,r5 - 27364: 89401d04 addi r5,r17,116 - 27368: dc000015 stw r16,0(sp) - 2736c: dfc00315 stw ra,12(sp) - 27370: 00226c00 call 226c0 <_malloc_r> - 27374: 1021883a mov r16,r2 - 27378: 10000726 beq r2,zero,27398 <__sfmoreglue+0x4c> - 2737c: 11000304 addi r4,r2,12 - 27380: 10000015 stw zero,0(r2) - 27384: 14800115 stw r18,4(r2) - 27388: 11000215 stw r4,8(r2) - 2738c: 89801a04 addi r6,r17,104 - 27390: 000b883a mov r5,zero - 27394: 0022ecc0 call 22ecc - 27398: 8005883a mov r2,r16 - 2739c: dfc00317 ldw ra,12(sp) - 273a0: dc800217 ldw r18,8(sp) - 273a4: dc400117 ldw r17,4(sp) - 273a8: dc000017 ldw r16,0(sp) - 273ac: dec00404 addi sp,sp,16 - 273b0: f800283a ret +00027910 <__sfmoreglue>: + 27910: defffc04 addi sp,sp,-16 + 27914: dc400115 stw r17,4(sp) + 27918: 2c7fffc4 addi r17,r5,-1 + 2791c: 8c401a24 muli r17,r17,104 + 27920: dc800215 stw r18,8(sp) + 27924: 2825883a mov r18,r5 + 27928: 89401d04 addi r5,r17,116 + 2792c: dc000015 stw r16,0(sp) + 27930: dfc00315 stw ra,12(sp) + 27934: 0022bfc0 call 22bfc <_malloc_r> + 27938: 1021883a mov r16,r2 + 2793c: 10000726 beq r2,zero,2795c <__sfmoreglue+0x4c> + 27940: 11000304 addi r4,r2,12 + 27944: 10000015 stw zero,0(r2) + 27948: 14800115 stw r18,4(r2) + 2794c: 11000215 stw r4,8(r2) + 27950: 89801a04 addi r6,r17,104 + 27954: 000b883a mov r5,zero + 27958: 00234080 call 23408 + 2795c: 8005883a mov r2,r16 + 27960: dfc00317 ldw ra,12(sp) + 27964: dc800217 ldw r18,8(sp) + 27968: dc400117 ldw r17,4(sp) + 2796c: dc000017 ldw r16,0(sp) + 27970: dec00404 addi sp,sp,16 + 27974: f800283a ret -000273b4 <__sfp>: - 273b4: defffb04 addi sp,sp,-20 - 273b8: dc000015 stw r16,0(sp) - 273bc: 040000f4 movhi r16,3 - 273c0: 84115304 addi r16,r16,17740 - 273c4: dcc00315 stw r19,12(sp) - 273c8: 2027883a mov r19,r4 - 273cc: 81000017 ldw r4,0(r16) - 273d0: dfc00415 stw ra,16(sp) - 273d4: dc800215 stw r18,8(sp) - 273d8: 20800e17 ldw r2,56(r4) - 273dc: dc400115 stw r17,4(sp) - 273e0: 1000021e bne r2,zero,273ec <__sfp+0x38> - 273e4: 00271a80 call 271a8 <__sinit.part.1> - 273e8: 81000017 ldw r4,0(r16) - 273ec: 2480b804 addi r18,r4,736 - 273f0: 047fffc4 movi r17,-1 - 273f4: 91000117 ldw r4,4(r18) - 273f8: 94000217 ldw r16,8(r18) - 273fc: 213fffc4 addi r4,r4,-1 - 27400: 20000a16 blt r4,zero,2742c <__sfp+0x78> - 27404: 8080030f ldh r2,12(r16) - 27408: 10000c26 beq r2,zero,2743c <__sfp+0x88> - 2740c: 80c01d04 addi r3,r16,116 - 27410: 00000206 br 2741c <__sfp+0x68> - 27414: 18bfe60f ldh r2,-104(r3) - 27418: 10000826 beq r2,zero,2743c <__sfp+0x88> - 2741c: 213fffc4 addi r4,r4,-1 - 27420: 1c3ffd04 addi r16,r3,-12 - 27424: 18c01a04 addi r3,r3,104 - 27428: 247ffa1e bne r4,r17,27414 <_gp+0xfffeaedc> - 2742c: 90800017 ldw r2,0(r18) - 27430: 10001d26 beq r2,zero,274a8 <__sfp+0xf4> - 27434: 1025883a mov r18,r2 - 27438: 003fee06 br 273f4 <_gp+0xfffeaebc> - 2743c: 00bfffc4 movi r2,-1 - 27440: 8080038d sth r2,14(r16) - 27444: 00800044 movi r2,1 - 27448: 8080030d sth r2,12(r16) - 2744c: 80001915 stw zero,100(r16) - 27450: 80000015 stw zero,0(r16) - 27454: 80000215 stw zero,8(r16) - 27458: 80000115 stw zero,4(r16) - 2745c: 80000415 stw zero,16(r16) - 27460: 80000515 stw zero,20(r16) - 27464: 80000615 stw zero,24(r16) - 27468: 01800204 movi r6,8 - 2746c: 000b883a mov r5,zero - 27470: 81001704 addi r4,r16,92 - 27474: 0022ecc0 call 22ecc - 27478: 8005883a mov r2,r16 - 2747c: 80000c15 stw zero,48(r16) - 27480: 80000d15 stw zero,52(r16) - 27484: 80001115 stw zero,68(r16) - 27488: 80001215 stw zero,72(r16) - 2748c: dfc00417 ldw ra,16(sp) - 27490: dcc00317 ldw r19,12(sp) - 27494: dc800217 ldw r18,8(sp) - 27498: dc400117 ldw r17,4(sp) - 2749c: dc000017 ldw r16,0(sp) - 274a0: dec00504 addi sp,sp,20 - 274a4: f800283a ret - 274a8: 01400104 movi r5,4 - 274ac: 9809883a mov r4,r19 - 274b0: 002734c0 call 2734c <__sfmoreglue> - 274b4: 90800015 stw r2,0(r18) - 274b8: 103fde1e bne r2,zero,27434 <_gp+0xfffeaefc> - 274bc: 00800304 movi r2,12 - 274c0: 98800015 stw r2,0(r19) - 274c4: 0005883a mov r2,zero - 274c8: 003ff006 br 2748c <_gp+0xfffeaf54> +00027978 <__sfp>: + 27978: defffb04 addi sp,sp,-20 + 2797c: dc000015 stw r16,0(sp) + 27980: 040000f4 movhi r16,3 + 27984: 84139e04 addi r16,r16,20088 + 27988: dcc00315 stw r19,12(sp) + 2798c: 2027883a mov r19,r4 + 27990: 81000017 ldw r4,0(r16) + 27994: dfc00415 stw ra,16(sp) + 27998: dc800215 stw r18,8(sp) + 2799c: 20800e17 ldw r2,56(r4) + 279a0: dc400115 stw r17,4(sp) + 279a4: 1000021e bne r2,zero,279b0 <__sfp+0x38> + 279a8: 002776c0 call 2776c <__sinit.part.1> + 279ac: 81000017 ldw r4,0(r16) + 279b0: 2480b804 addi r18,r4,736 + 279b4: 047fffc4 movi r17,-1 + 279b8: 91000117 ldw r4,4(r18) + 279bc: 94000217 ldw r16,8(r18) + 279c0: 213fffc4 addi r4,r4,-1 + 279c4: 20000a16 blt r4,zero,279f0 <__sfp+0x78> + 279c8: 8080030f ldh r2,12(r16) + 279cc: 10000c26 beq r2,zero,27a00 <__sfp+0x88> + 279d0: 80c01d04 addi r3,r16,116 + 279d4: 00000206 br 279e0 <__sfp+0x68> + 279d8: 18bfe60f ldh r2,-104(r3) + 279dc: 10000826 beq r2,zero,27a00 <__sfp+0x88> + 279e0: 213fffc4 addi r4,r4,-1 + 279e4: 1c3ffd04 addi r16,r3,-12 + 279e8: 18c01a04 addi r3,r3,104 + 279ec: 247ffa1e bne r4,r17,279d8 <_gp+0xfffeab6c> + 279f0: 90800017 ldw r2,0(r18) + 279f4: 10001d26 beq r2,zero,27a6c <__sfp+0xf4> + 279f8: 1025883a mov r18,r2 + 279fc: 003fee06 br 279b8 <_gp+0xfffeab4c> + 27a00: 00bfffc4 movi r2,-1 + 27a04: 8080038d sth r2,14(r16) + 27a08: 00800044 movi r2,1 + 27a0c: 8080030d sth r2,12(r16) + 27a10: 80001915 stw zero,100(r16) + 27a14: 80000015 stw zero,0(r16) + 27a18: 80000215 stw zero,8(r16) + 27a1c: 80000115 stw zero,4(r16) + 27a20: 80000415 stw zero,16(r16) + 27a24: 80000515 stw zero,20(r16) + 27a28: 80000615 stw zero,24(r16) + 27a2c: 01800204 movi r6,8 + 27a30: 000b883a mov r5,zero + 27a34: 81001704 addi r4,r16,92 + 27a38: 00234080 call 23408 + 27a3c: 8005883a mov r2,r16 + 27a40: 80000c15 stw zero,48(r16) + 27a44: 80000d15 stw zero,52(r16) + 27a48: 80001115 stw zero,68(r16) + 27a4c: 80001215 stw zero,72(r16) + 27a50: dfc00417 ldw ra,16(sp) + 27a54: dcc00317 ldw r19,12(sp) + 27a58: dc800217 ldw r18,8(sp) + 27a5c: dc400117 ldw r17,4(sp) + 27a60: dc000017 ldw r16,0(sp) + 27a64: dec00504 addi sp,sp,20 + 27a68: f800283a ret + 27a6c: 01400104 movi r5,4 + 27a70: 9809883a mov r4,r19 + 27a74: 00279100 call 27910 <__sfmoreglue> + 27a78: 90800015 stw r2,0(r18) + 27a7c: 103fde1e bne r2,zero,279f8 <_gp+0xfffeab8c> + 27a80: 00800304 movi r2,12 + 27a84: 98800015 stw r2,0(r19) + 27a88: 0005883a mov r2,zero + 27a8c: 003ff006 br 27a50 <_gp+0xfffeabe4> -000274cc <_cleanup>: - 274cc: 008000f4 movhi r2,3 - 274d0: 10915304 addi r2,r2,17740 - 274d4: 11000017 ldw r4,0(r2) - 274d8: 014000f4 movhi r5,3 - 274dc: 296e0e04 addi r5,r5,-18376 - 274e0: 0027ee81 jmpi 27ee8 <_fwalk_reent> +00027a90 <_cleanup>: + 27a90: 008000f4 movhi r2,3 + 27a94: 10939e04 addi r2,r2,20088 + 27a98: 11000017 ldw r4,0(r2) + 27a9c: 014000f4 movhi r5,3 + 27aa0: 296ffb04 addi r5,r5,-16404 + 27aa4: 00284ac1 jmpi 284ac <_fwalk_reent> -000274e4 <__sinit>: - 274e4: 20800e17 ldw r2,56(r4) - 274e8: 10000126 beq r2,zero,274f0 <__sinit+0xc> - 274ec: f800283a ret - 274f0: 00271a81 jmpi 271a8 <__sinit.part.1> +00027aa8 <__sinit>: + 27aa8: 20800e17 ldw r2,56(r4) + 27aac: 10000126 beq r2,zero,27ab4 <__sinit+0xc> + 27ab0: f800283a ret + 27ab4: 002776c1 jmpi 2776c <__sinit.part.1> -000274f4 <__sfp_lock_acquire>: - 274f4: f800283a ret +00027ab8 <__sfp_lock_acquire>: + 27ab8: f800283a ret -000274f8 <__sfp_lock_release>: - 274f8: f800283a ret +00027abc <__sfp_lock_release>: + 27abc: f800283a ret -000274fc <__sinit_lock_acquire>: - 274fc: f800283a ret +00027ac0 <__sinit_lock_acquire>: + 27ac0: f800283a ret -00027500 <__sinit_lock_release>: - 27500: f800283a ret +00027ac4 <__sinit_lock_release>: + 27ac4: f800283a ret -00027504 <__fp_lock_all>: - 27504: 008000f4 movhi r2,3 - 27508: 10915404 addi r2,r2,17744 - 2750c: 11000017 ldw r4,0(r2) - 27510: 014000b4 movhi r5,2 - 27514: 295cd104 addi r5,r5,29508 - 27518: 0027e241 jmpi 27e24 <_fwalk> +00027ac8 <__fp_lock_all>: + 27ac8: 008000f4 movhi r2,3 + 27acc: 10939f04 addi r2,r2,20092 + 27ad0: 11000017 ldw r4,0(r2) + 27ad4: 014000b4 movhi r5,2 + 27ad8: 295e4204 addi r5,r5,30984 + 27adc: 00283e81 jmpi 283e8 <_fwalk> -0002751c <__fp_unlock_all>: - 2751c: 008000f4 movhi r2,3 - 27520: 10915404 addi r2,r2,17744 - 27524: 11000017 ldw r4,0(r2) - 27528: 014000b4 movhi r5,2 - 2752c: 295c6504 addi r5,r5,29076 - 27530: 0027e241 jmpi 27e24 <_fwalk> +00027ae0 <__fp_unlock_all>: + 27ae0: 008000f4 movhi r2,3 + 27ae4: 10939f04 addi r2,r2,20092 + 27ae8: 11000017 ldw r4,0(r2) + 27aec: 014000b4 movhi r5,2 + 27af0: 295dd604 addi r5,r5,30552 + 27af4: 00283e81 jmpi 283e8 <_fwalk> -00027534 <_malloc_trim_r>: - 27534: defffb04 addi sp,sp,-20 - 27538: dcc00315 stw r19,12(sp) - 2753c: 04c000f4 movhi r19,3 - 27540: dc800215 stw r18,8(sp) - 27544: dc400115 stw r17,4(sp) - 27548: dc000015 stw r16,0(sp) - 2754c: dfc00415 stw ra,16(sp) - 27550: 2821883a mov r16,r5 - 27554: 9ccaa304 addi r19,r19,10892 - 27558: 2025883a mov r18,r4 - 2755c: 002f5800 call 2f580 <__malloc_lock> - 27560: 98800217 ldw r2,8(r19) - 27564: 14400117 ldw r17,4(r2) - 27568: 00bfff04 movi r2,-4 - 2756c: 88a2703a and r17,r17,r2 - 27570: 8c21c83a sub r16,r17,r16 - 27574: 8403fbc4 addi r16,r16,4079 - 27578: 8020d33a srli r16,r16,12 - 2757c: 0083ffc4 movi r2,4095 - 27580: 843fffc4 addi r16,r16,-1 - 27584: 8020933a slli r16,r16,12 - 27588: 1400060e bge r2,r16,275a4 <_malloc_trim_r+0x70> - 2758c: 000b883a mov r5,zero - 27590: 9009883a mov r4,r18 - 27594: 00231540 call 23154 <_sbrk_r> - 27598: 98c00217 ldw r3,8(r19) - 2759c: 1c47883a add r3,r3,r17 - 275a0: 10c00a26 beq r2,r3,275cc <_malloc_trim_r+0x98> - 275a4: 9009883a mov r4,r18 - 275a8: 002f5a40 call 2f5a4 <__malloc_unlock> - 275ac: 0005883a mov r2,zero - 275b0: dfc00417 ldw ra,16(sp) - 275b4: dcc00317 ldw r19,12(sp) - 275b8: dc800217 ldw r18,8(sp) - 275bc: dc400117 ldw r17,4(sp) - 275c0: dc000017 ldw r16,0(sp) - 275c4: dec00504 addi sp,sp,20 - 275c8: f800283a ret - 275cc: 040bc83a sub r5,zero,r16 - 275d0: 9009883a mov r4,r18 - 275d4: 00231540 call 23154 <_sbrk_r> - 275d8: 00ffffc4 movi r3,-1 - 275dc: 10c00d26 beq r2,r3,27614 <_malloc_trim_r+0xe0> - 275e0: 00c000f4 movhi r3,3 - 275e4: 18da1704 addi r3,r3,26716 - 275e8: 18800017 ldw r2,0(r3) - 275ec: 99000217 ldw r4,8(r19) - 275f0: 8c23c83a sub r17,r17,r16 - 275f4: 8c400054 ori r17,r17,1 - 275f8: 1421c83a sub r16,r2,r16 - 275fc: 24400115 stw r17,4(r4) - 27600: 9009883a mov r4,r18 - 27604: 1c000015 stw r16,0(r3) - 27608: 002f5a40 call 2f5a4 <__malloc_unlock> - 2760c: 00800044 movi r2,1 - 27610: 003fe706 br 275b0 <_gp+0xfffeb078> - 27614: 000b883a mov r5,zero - 27618: 9009883a mov r4,r18 - 2761c: 00231540 call 23154 <_sbrk_r> - 27620: 99000217 ldw r4,8(r19) - 27624: 014003c4 movi r5,15 - 27628: 1107c83a sub r3,r2,r4 - 2762c: 28ffdd0e bge r5,r3,275a4 <_gp+0xfffeb06c> - 27630: 014000f4 movhi r5,3 - 27634: 29515104 addi r5,r5,17732 - 27638: 29400017 ldw r5,0(r5) - 2763c: 18c00054 ori r3,r3,1 - 27640: 20c00115 stw r3,4(r4) - 27644: 00c000f4 movhi r3,3 - 27648: 1145c83a sub r2,r2,r5 - 2764c: 18da1704 addi r3,r3,26716 - 27650: 18800015 stw r2,0(r3) - 27654: 003fd306 br 275a4 <_gp+0xfffeb06c> +00027af8 <_malloc_trim_r>: + 27af8: defffb04 addi sp,sp,-20 + 27afc: dcc00315 stw r19,12(sp) + 27b00: 04c000f4 movhi r19,3 + 27b04: dc800215 stw r18,8(sp) + 27b08: dc400115 stw r17,4(sp) + 27b0c: dc000015 stw r16,0(sp) + 27b10: dfc00415 stw ra,16(sp) + 27b14: 2821883a mov r16,r5 + 27b18: 9ccdf904 addi r19,r19,14308 + 27b1c: 2025883a mov r18,r4 + 27b20: 002fea40 call 2fea4 <__malloc_lock> + 27b24: 98800217 ldw r2,8(r19) + 27b28: 14400117 ldw r17,4(r2) + 27b2c: 00bfff04 movi r2,-4 + 27b30: 88a2703a and r17,r17,r2 + 27b34: 8c21c83a sub r16,r17,r16 + 27b38: 8403fbc4 addi r16,r16,4079 + 27b3c: 8020d33a srli r16,r16,12 + 27b40: 0083ffc4 movi r2,4095 + 27b44: 843fffc4 addi r16,r16,-1 + 27b48: 8020933a slli r16,r16,12 + 27b4c: 1400060e bge r2,r16,27b68 <_malloc_trim_r+0x70> + 27b50: 000b883a mov r5,zero + 27b54: 9009883a mov r4,r18 + 27b58: 00237180 call 23718 <_sbrk_r> + 27b5c: 98c00217 ldw r3,8(r19) + 27b60: 1c47883a add r3,r3,r17 + 27b64: 10c00a26 beq r2,r3,27b90 <_malloc_trim_r+0x98> + 27b68: 9009883a mov r4,r18 + 27b6c: 002fec80 call 2fec8 <__malloc_unlock> + 27b70: 0005883a mov r2,zero + 27b74: dfc00417 ldw ra,16(sp) + 27b78: dcc00317 ldw r19,12(sp) + 27b7c: dc800217 ldw r18,8(sp) + 27b80: dc400117 ldw r17,4(sp) + 27b84: dc000017 ldw r16,0(sp) + 27b88: dec00504 addi sp,sp,20 + 27b8c: f800283a ret + 27b90: 040bc83a sub r5,zero,r16 + 27b94: 9009883a mov r4,r18 + 27b98: 00237180 call 23718 <_sbrk_r> + 27b9c: 00ffffc4 movi r3,-1 + 27ba0: 10c00d26 beq r2,r3,27bd8 <_malloc_trim_r+0xe0> + 27ba4: 00c000f4 movhi r3,3 + 27ba8: 18dc6404 addi r3,r3,29072 + 27bac: 18800017 ldw r2,0(r3) + 27bb0: 99000217 ldw r4,8(r19) + 27bb4: 8c23c83a sub r17,r17,r16 + 27bb8: 8c400054 ori r17,r17,1 + 27bbc: 1421c83a sub r16,r2,r16 + 27bc0: 24400115 stw r17,4(r4) + 27bc4: 9009883a mov r4,r18 + 27bc8: 1c000015 stw r16,0(r3) + 27bcc: 002fec80 call 2fec8 <__malloc_unlock> + 27bd0: 00800044 movi r2,1 + 27bd4: 003fe706 br 27b74 <_gp+0xfffead08> + 27bd8: 000b883a mov r5,zero + 27bdc: 9009883a mov r4,r18 + 27be0: 00237180 call 23718 <_sbrk_r> + 27be4: 99000217 ldw r4,8(r19) + 27be8: 014003c4 movi r5,15 + 27bec: 1107c83a sub r3,r2,r4 + 27bf0: 28ffdd0e bge r5,r3,27b68 <_gp+0xfffeacfc> + 27bf4: 014000f4 movhi r5,3 + 27bf8: 2953a004 addi r5,r5,20096 + 27bfc: 29400017 ldw r5,0(r5) + 27c00: 18c00054 ori r3,r3,1 + 27c04: 20c00115 stw r3,4(r4) + 27c08: 00c000f4 movhi r3,3 + 27c0c: 1145c83a sub r2,r2,r5 + 27c10: 18dc6404 addi r3,r3,29072 + 27c14: 18800015 stw r2,0(r3) + 27c18: 003fd306 br 27b68 <_gp+0xfffeacfc> -00027658 <_free_r>: - 27658: 28004126 beq r5,zero,27760 <_free_r+0x108> - 2765c: defffd04 addi sp,sp,-12 - 27660: dc400115 stw r17,4(sp) - 27664: dc000015 stw r16,0(sp) - 27668: 2023883a mov r17,r4 - 2766c: 2821883a mov r16,r5 - 27670: dfc00215 stw ra,8(sp) - 27674: 002f5800 call 2f580 <__malloc_lock> - 27678: 81ffff17 ldw r7,-4(r16) - 2767c: 00bfff84 movi r2,-2 - 27680: 010000f4 movhi r4,3 - 27684: 81bffe04 addi r6,r16,-8 - 27688: 3884703a and r2,r7,r2 - 2768c: 210aa304 addi r4,r4,10892 - 27690: 308b883a add r5,r6,r2 - 27694: 2a400117 ldw r9,4(r5) - 27698: 22000217 ldw r8,8(r4) - 2769c: 00ffff04 movi r3,-4 - 276a0: 48c6703a and r3,r9,r3 - 276a4: 2a005726 beq r5,r8,27804 <_free_r+0x1ac> - 276a8: 28c00115 stw r3,4(r5) - 276ac: 39c0004c andi r7,r7,1 - 276b0: 3800091e bne r7,zero,276d8 <_free_r+0x80> - 276b4: 823ffe17 ldw r8,-8(r16) - 276b8: 22400204 addi r9,r4,8 - 276bc: 320dc83a sub r6,r6,r8 - 276c0: 31c00217 ldw r7,8(r6) - 276c4: 1205883a add r2,r2,r8 - 276c8: 3a406526 beq r7,r9,27860 <_free_r+0x208> - 276cc: 32000317 ldw r8,12(r6) - 276d0: 3a000315 stw r8,12(r7) - 276d4: 41c00215 stw r7,8(r8) - 276d8: 28cf883a add r7,r5,r3 - 276dc: 39c00117 ldw r7,4(r7) - 276e0: 39c0004c andi r7,r7,1 - 276e4: 38003a26 beq r7,zero,277d0 <_free_r+0x178> - 276e8: 10c00054 ori r3,r2,1 - 276ec: 30c00115 stw r3,4(r6) - 276f0: 3087883a add r3,r6,r2 - 276f4: 18800015 stw r2,0(r3) - 276f8: 00c07fc4 movi r3,511 - 276fc: 18801936 bltu r3,r2,27764 <_free_r+0x10c> - 27700: 1004d0fa srli r2,r2,3 - 27704: 01c00044 movi r7,1 - 27708: 21400117 ldw r5,4(r4) - 2770c: 10c00044 addi r3,r2,1 - 27710: 18c7883a add r3,r3,r3 - 27714: 1005d0ba srai r2,r2,2 - 27718: 18c7883a add r3,r3,r3 - 2771c: 18c7883a add r3,r3,r3 - 27720: 1907883a add r3,r3,r4 - 27724: 3884983a sll r2,r7,r2 - 27728: 19c00017 ldw r7,0(r3) - 2772c: 1a3ffe04 addi r8,r3,-8 - 27730: 1144b03a or r2,r2,r5 - 27734: 32000315 stw r8,12(r6) - 27738: 31c00215 stw r7,8(r6) - 2773c: 20800115 stw r2,4(r4) - 27740: 19800015 stw r6,0(r3) - 27744: 39800315 stw r6,12(r7) - 27748: 8809883a mov r4,r17 - 2774c: dfc00217 ldw ra,8(sp) - 27750: dc400117 ldw r17,4(sp) - 27754: dc000017 ldw r16,0(sp) - 27758: dec00304 addi sp,sp,12 - 2775c: 002f5a41 jmpi 2f5a4 <__malloc_unlock> - 27760: f800283a ret - 27764: 100ad27a srli r5,r2,9 - 27768: 00c00104 movi r3,4 - 2776c: 19404a36 bltu r3,r5,27898 <_free_r+0x240> - 27770: 100ad1ba srli r5,r2,6 - 27774: 28c00e44 addi r3,r5,57 - 27778: 18c7883a add r3,r3,r3 - 2777c: 29400e04 addi r5,r5,56 - 27780: 18c7883a add r3,r3,r3 - 27784: 18c7883a add r3,r3,r3 - 27788: 1909883a add r4,r3,r4 - 2778c: 20c00017 ldw r3,0(r4) - 27790: 01c000f4 movhi r7,3 - 27794: 213ffe04 addi r4,r4,-8 - 27798: 39caa304 addi r7,r7,10892 - 2779c: 20c04426 beq r4,r3,278b0 <_free_r+0x258> - 277a0: 01ffff04 movi r7,-4 - 277a4: 19400117 ldw r5,4(r3) - 277a8: 29ca703a and r5,r5,r7 - 277ac: 1140022e bgeu r2,r5,277b8 <_free_r+0x160> - 277b0: 18c00217 ldw r3,8(r3) - 277b4: 20fffb1e bne r4,r3,277a4 <_gp+0xfffeb26c> - 277b8: 19000317 ldw r4,12(r3) - 277bc: 31000315 stw r4,12(r6) - 277c0: 30c00215 stw r3,8(r6) - 277c4: 21800215 stw r6,8(r4) - 277c8: 19800315 stw r6,12(r3) - 277cc: 003fde06 br 27748 <_gp+0xfffeb210> - 277d0: 29c00217 ldw r7,8(r5) - 277d4: 10c5883a add r2,r2,r3 - 277d8: 00c000f4 movhi r3,3 - 277dc: 18caa504 addi r3,r3,10900 - 277e0: 38c03b26 beq r7,r3,278d0 <_free_r+0x278> - 277e4: 2a000317 ldw r8,12(r5) - 277e8: 11400054 ori r5,r2,1 - 277ec: 3087883a add r3,r6,r2 - 277f0: 3a000315 stw r8,12(r7) - 277f4: 41c00215 stw r7,8(r8) - 277f8: 31400115 stw r5,4(r6) - 277fc: 18800015 stw r2,0(r3) - 27800: 003fbd06 br 276f8 <_gp+0xfffeb1c0> - 27804: 39c0004c andi r7,r7,1 - 27808: 10c5883a add r2,r2,r3 - 2780c: 3800071e bne r7,zero,2782c <_free_r+0x1d4> - 27810: 81fffe17 ldw r7,-8(r16) - 27814: 31cdc83a sub r6,r6,r7 - 27818: 30c00317 ldw r3,12(r6) - 2781c: 31400217 ldw r5,8(r6) - 27820: 11c5883a add r2,r2,r7 - 27824: 28c00315 stw r3,12(r5) - 27828: 19400215 stw r5,8(r3) - 2782c: 10c00054 ori r3,r2,1 - 27830: 30c00115 stw r3,4(r6) - 27834: 00c000f4 movhi r3,3 - 27838: 18d15204 addi r3,r3,17736 - 2783c: 18c00017 ldw r3,0(r3) - 27840: 21800215 stw r6,8(r4) - 27844: 10ffc036 bltu r2,r3,27748 <_gp+0xfffeb210> - 27848: 008000f4 movhi r2,3 - 2784c: 109a0b04 addi r2,r2,26668 - 27850: 11400017 ldw r5,0(r2) - 27854: 8809883a mov r4,r17 - 27858: 00275340 call 27534 <_malloc_trim_r> - 2785c: 003fba06 br 27748 <_gp+0xfffeb210> - 27860: 28c9883a add r4,r5,r3 - 27864: 21000117 ldw r4,4(r4) - 27868: 2100004c andi r4,r4,1 - 2786c: 2000391e bne r4,zero,27954 <_free_r+0x2fc> - 27870: 29c00217 ldw r7,8(r5) - 27874: 29000317 ldw r4,12(r5) - 27878: 1885883a add r2,r3,r2 - 2787c: 10c00054 ori r3,r2,1 - 27880: 39000315 stw r4,12(r7) - 27884: 21c00215 stw r7,8(r4) - 27888: 30c00115 stw r3,4(r6) - 2788c: 308d883a add r6,r6,r2 - 27890: 30800015 stw r2,0(r6) - 27894: 003fac06 br 27748 <_gp+0xfffeb210> - 27898: 00c00504 movi r3,20 - 2789c: 19401536 bltu r3,r5,278f4 <_free_r+0x29c> - 278a0: 28c01704 addi r3,r5,92 - 278a4: 18c7883a add r3,r3,r3 - 278a8: 294016c4 addi r5,r5,91 - 278ac: 003fb406 br 27780 <_gp+0xfffeb248> - 278b0: 280bd0ba srai r5,r5,2 - 278b4: 00c00044 movi r3,1 - 278b8: 38800117 ldw r2,4(r7) - 278bc: 194a983a sll r5,r3,r5 - 278c0: 2007883a mov r3,r4 - 278c4: 2884b03a or r2,r5,r2 - 278c8: 38800115 stw r2,4(r7) - 278cc: 003fbb06 br 277bc <_gp+0xfffeb284> - 278d0: 21800515 stw r6,20(r4) - 278d4: 21800415 stw r6,16(r4) - 278d8: 10c00054 ori r3,r2,1 - 278dc: 31c00315 stw r7,12(r6) - 278e0: 31c00215 stw r7,8(r6) - 278e4: 30c00115 stw r3,4(r6) - 278e8: 308d883a add r6,r6,r2 - 278ec: 30800015 stw r2,0(r6) - 278f0: 003f9506 br 27748 <_gp+0xfffeb210> - 278f4: 00c01504 movi r3,84 - 278f8: 19400536 bltu r3,r5,27910 <_free_r+0x2b8> - 278fc: 100ad33a srli r5,r2,12 - 27900: 28c01bc4 addi r3,r5,111 - 27904: 18c7883a add r3,r3,r3 - 27908: 29401b84 addi r5,r5,110 - 2790c: 003f9c06 br 27780 <_gp+0xfffeb248> - 27910: 00c05504 movi r3,340 - 27914: 19400536 bltu r3,r5,2792c <_free_r+0x2d4> - 27918: 100ad3fa srli r5,r2,15 - 2791c: 28c01e04 addi r3,r5,120 - 27920: 18c7883a add r3,r3,r3 - 27924: 29401dc4 addi r5,r5,119 - 27928: 003f9506 br 27780 <_gp+0xfffeb248> - 2792c: 00c15504 movi r3,1364 - 27930: 19400536 bltu r3,r5,27948 <_free_r+0x2f0> - 27934: 100ad4ba srli r5,r2,18 - 27938: 28c01f44 addi r3,r5,125 - 2793c: 18c7883a add r3,r3,r3 - 27940: 29401f04 addi r5,r5,124 - 27944: 003f8e06 br 27780 <_gp+0xfffeb248> - 27948: 00c03f84 movi r3,254 - 2794c: 01401f84 movi r5,126 - 27950: 003f8b06 br 27780 <_gp+0xfffeb248> - 27954: 10c00054 ori r3,r2,1 - 27958: 30c00115 stw r3,4(r6) - 2795c: 308d883a add r6,r6,r2 - 27960: 30800015 stw r2,0(r6) - 27964: 003f7806 br 27748 <_gp+0xfffeb210> +00027c1c <_free_r>: + 27c1c: 28004126 beq r5,zero,27d24 <_free_r+0x108> + 27c20: defffd04 addi sp,sp,-12 + 27c24: dc400115 stw r17,4(sp) + 27c28: dc000015 stw r16,0(sp) + 27c2c: 2023883a mov r17,r4 + 27c30: 2821883a mov r16,r5 + 27c34: dfc00215 stw ra,8(sp) + 27c38: 002fea40 call 2fea4 <__malloc_lock> + 27c3c: 81ffff17 ldw r7,-4(r16) + 27c40: 00bfff84 movi r2,-2 + 27c44: 010000f4 movhi r4,3 + 27c48: 81bffe04 addi r6,r16,-8 + 27c4c: 3884703a and r2,r7,r2 + 27c50: 210df904 addi r4,r4,14308 + 27c54: 308b883a add r5,r6,r2 + 27c58: 2a400117 ldw r9,4(r5) + 27c5c: 22000217 ldw r8,8(r4) + 27c60: 00ffff04 movi r3,-4 + 27c64: 48c6703a and r3,r9,r3 + 27c68: 2a005726 beq r5,r8,27dc8 <_free_r+0x1ac> + 27c6c: 28c00115 stw r3,4(r5) + 27c70: 39c0004c andi r7,r7,1 + 27c74: 3800091e bne r7,zero,27c9c <_free_r+0x80> + 27c78: 823ffe17 ldw r8,-8(r16) + 27c7c: 22400204 addi r9,r4,8 + 27c80: 320dc83a sub r6,r6,r8 + 27c84: 31c00217 ldw r7,8(r6) + 27c88: 1205883a add r2,r2,r8 + 27c8c: 3a406526 beq r7,r9,27e24 <_free_r+0x208> + 27c90: 32000317 ldw r8,12(r6) + 27c94: 3a000315 stw r8,12(r7) + 27c98: 41c00215 stw r7,8(r8) + 27c9c: 28cf883a add r7,r5,r3 + 27ca0: 39c00117 ldw r7,4(r7) + 27ca4: 39c0004c andi r7,r7,1 + 27ca8: 38003a26 beq r7,zero,27d94 <_free_r+0x178> + 27cac: 10c00054 ori r3,r2,1 + 27cb0: 30c00115 stw r3,4(r6) + 27cb4: 3087883a add r3,r6,r2 + 27cb8: 18800015 stw r2,0(r3) + 27cbc: 00c07fc4 movi r3,511 + 27cc0: 18801936 bltu r3,r2,27d28 <_free_r+0x10c> + 27cc4: 1004d0fa srli r2,r2,3 + 27cc8: 01c00044 movi r7,1 + 27ccc: 21400117 ldw r5,4(r4) + 27cd0: 10c00044 addi r3,r2,1 + 27cd4: 18c7883a add r3,r3,r3 + 27cd8: 1005d0ba srai r2,r2,2 + 27cdc: 18c7883a add r3,r3,r3 + 27ce0: 18c7883a add r3,r3,r3 + 27ce4: 1907883a add r3,r3,r4 + 27ce8: 3884983a sll r2,r7,r2 + 27cec: 19c00017 ldw r7,0(r3) + 27cf0: 1a3ffe04 addi r8,r3,-8 + 27cf4: 1144b03a or r2,r2,r5 + 27cf8: 32000315 stw r8,12(r6) + 27cfc: 31c00215 stw r7,8(r6) + 27d00: 20800115 stw r2,4(r4) + 27d04: 19800015 stw r6,0(r3) + 27d08: 39800315 stw r6,12(r7) + 27d0c: 8809883a mov r4,r17 + 27d10: dfc00217 ldw ra,8(sp) + 27d14: dc400117 ldw r17,4(sp) + 27d18: dc000017 ldw r16,0(sp) + 27d1c: dec00304 addi sp,sp,12 + 27d20: 002fec81 jmpi 2fec8 <__malloc_unlock> + 27d24: f800283a ret + 27d28: 100ad27a srli r5,r2,9 + 27d2c: 00c00104 movi r3,4 + 27d30: 19404a36 bltu r3,r5,27e5c <_free_r+0x240> + 27d34: 100ad1ba srli r5,r2,6 + 27d38: 28c00e44 addi r3,r5,57 + 27d3c: 18c7883a add r3,r3,r3 + 27d40: 29400e04 addi r5,r5,56 + 27d44: 18c7883a add r3,r3,r3 + 27d48: 18c7883a add r3,r3,r3 + 27d4c: 1909883a add r4,r3,r4 + 27d50: 20c00017 ldw r3,0(r4) + 27d54: 01c000f4 movhi r7,3 + 27d58: 213ffe04 addi r4,r4,-8 + 27d5c: 39cdf904 addi r7,r7,14308 + 27d60: 20c04426 beq r4,r3,27e74 <_free_r+0x258> + 27d64: 01ffff04 movi r7,-4 + 27d68: 19400117 ldw r5,4(r3) + 27d6c: 29ca703a and r5,r5,r7 + 27d70: 1140022e bgeu r2,r5,27d7c <_free_r+0x160> + 27d74: 18c00217 ldw r3,8(r3) + 27d78: 20fffb1e bne r4,r3,27d68 <_gp+0xfffeaefc> + 27d7c: 19000317 ldw r4,12(r3) + 27d80: 31000315 stw r4,12(r6) + 27d84: 30c00215 stw r3,8(r6) + 27d88: 21800215 stw r6,8(r4) + 27d8c: 19800315 stw r6,12(r3) + 27d90: 003fde06 br 27d0c <_gp+0xfffeaea0> + 27d94: 29c00217 ldw r7,8(r5) + 27d98: 10c5883a add r2,r2,r3 + 27d9c: 00c000f4 movhi r3,3 + 27da0: 18cdfb04 addi r3,r3,14316 + 27da4: 38c03b26 beq r7,r3,27e94 <_free_r+0x278> + 27da8: 2a000317 ldw r8,12(r5) + 27dac: 11400054 ori r5,r2,1 + 27db0: 3087883a add r3,r6,r2 + 27db4: 3a000315 stw r8,12(r7) + 27db8: 41c00215 stw r7,8(r8) + 27dbc: 31400115 stw r5,4(r6) + 27dc0: 18800015 stw r2,0(r3) + 27dc4: 003fbd06 br 27cbc <_gp+0xfffeae50> + 27dc8: 39c0004c andi r7,r7,1 + 27dcc: 10c5883a add r2,r2,r3 + 27dd0: 3800071e bne r7,zero,27df0 <_free_r+0x1d4> + 27dd4: 81fffe17 ldw r7,-8(r16) + 27dd8: 31cdc83a sub r6,r6,r7 + 27ddc: 30c00317 ldw r3,12(r6) + 27de0: 31400217 ldw r5,8(r6) + 27de4: 11c5883a add r2,r2,r7 + 27de8: 28c00315 stw r3,12(r5) + 27dec: 19400215 stw r5,8(r3) + 27df0: 10c00054 ori r3,r2,1 + 27df4: 30c00115 stw r3,4(r6) + 27df8: 00c000f4 movhi r3,3 + 27dfc: 18d3a104 addi r3,r3,20100 + 27e00: 18c00017 ldw r3,0(r3) + 27e04: 21800215 stw r6,8(r4) + 27e08: 10ffc036 bltu r2,r3,27d0c <_gp+0xfffeaea0> + 27e0c: 008000f4 movhi r2,3 + 27e10: 109c5804 addi r2,r2,29024 + 27e14: 11400017 ldw r5,0(r2) + 27e18: 8809883a mov r4,r17 + 27e1c: 0027af80 call 27af8 <_malloc_trim_r> + 27e20: 003fba06 br 27d0c <_gp+0xfffeaea0> + 27e24: 28c9883a add r4,r5,r3 + 27e28: 21000117 ldw r4,4(r4) + 27e2c: 2100004c andi r4,r4,1 + 27e30: 2000391e bne r4,zero,27f18 <_free_r+0x2fc> + 27e34: 29c00217 ldw r7,8(r5) + 27e38: 29000317 ldw r4,12(r5) + 27e3c: 1885883a add r2,r3,r2 + 27e40: 10c00054 ori r3,r2,1 + 27e44: 39000315 stw r4,12(r7) + 27e48: 21c00215 stw r7,8(r4) + 27e4c: 30c00115 stw r3,4(r6) + 27e50: 308d883a add r6,r6,r2 + 27e54: 30800015 stw r2,0(r6) + 27e58: 003fac06 br 27d0c <_gp+0xfffeaea0> + 27e5c: 00c00504 movi r3,20 + 27e60: 19401536 bltu r3,r5,27eb8 <_free_r+0x29c> + 27e64: 28c01704 addi r3,r5,92 + 27e68: 18c7883a add r3,r3,r3 + 27e6c: 294016c4 addi r5,r5,91 + 27e70: 003fb406 br 27d44 <_gp+0xfffeaed8> + 27e74: 280bd0ba srai r5,r5,2 + 27e78: 00c00044 movi r3,1 + 27e7c: 38800117 ldw r2,4(r7) + 27e80: 194a983a sll r5,r3,r5 + 27e84: 2007883a mov r3,r4 + 27e88: 2884b03a or r2,r5,r2 + 27e8c: 38800115 stw r2,4(r7) + 27e90: 003fbb06 br 27d80 <_gp+0xfffeaf14> + 27e94: 21800515 stw r6,20(r4) + 27e98: 21800415 stw r6,16(r4) + 27e9c: 10c00054 ori r3,r2,1 + 27ea0: 31c00315 stw r7,12(r6) + 27ea4: 31c00215 stw r7,8(r6) + 27ea8: 30c00115 stw r3,4(r6) + 27eac: 308d883a add r6,r6,r2 + 27eb0: 30800015 stw r2,0(r6) + 27eb4: 003f9506 br 27d0c <_gp+0xfffeaea0> + 27eb8: 00c01504 movi r3,84 + 27ebc: 19400536 bltu r3,r5,27ed4 <_free_r+0x2b8> + 27ec0: 100ad33a srli r5,r2,12 + 27ec4: 28c01bc4 addi r3,r5,111 + 27ec8: 18c7883a add r3,r3,r3 + 27ecc: 29401b84 addi r5,r5,110 + 27ed0: 003f9c06 br 27d44 <_gp+0xfffeaed8> + 27ed4: 00c05504 movi r3,340 + 27ed8: 19400536 bltu r3,r5,27ef0 <_free_r+0x2d4> + 27edc: 100ad3fa srli r5,r2,15 + 27ee0: 28c01e04 addi r3,r5,120 + 27ee4: 18c7883a add r3,r3,r3 + 27ee8: 29401dc4 addi r5,r5,119 + 27eec: 003f9506 br 27d44 <_gp+0xfffeaed8> + 27ef0: 00c15504 movi r3,1364 + 27ef4: 19400536 bltu r3,r5,27f0c <_free_r+0x2f0> + 27ef8: 100ad4ba srli r5,r2,18 + 27efc: 28c01f44 addi r3,r5,125 + 27f00: 18c7883a add r3,r3,r3 + 27f04: 29401f04 addi r5,r5,124 + 27f08: 003f8e06 br 27d44 <_gp+0xfffeaed8> + 27f0c: 00c03f84 movi r3,254 + 27f10: 01401f84 movi r5,126 + 27f14: 003f8b06 br 27d44 <_gp+0xfffeaed8> + 27f18: 10c00054 ori r3,r2,1 + 27f1c: 30c00115 stw r3,4(r6) + 27f20: 308d883a add r6,r6,r2 + 27f24: 30800015 stw r2,0(r6) + 27f28: 003f7806 br 27d0c <_gp+0xfffeaea0> -00027968 <__sfvwrite_r>: - 27968: 30800217 ldw r2,8(r6) - 2796c: 10006726 beq r2,zero,27b0c <__sfvwrite_r+0x1a4> - 27970: 28c0030b ldhu r3,12(r5) - 27974: defff404 addi sp,sp,-48 - 27978: dd400715 stw r21,28(sp) - 2797c: dd000615 stw r20,24(sp) - 27980: dc000215 stw r16,8(sp) - 27984: dfc00b15 stw ra,44(sp) - 27988: df000a15 stw fp,40(sp) - 2798c: ddc00915 stw r23,36(sp) - 27990: dd800815 stw r22,32(sp) - 27994: dcc00515 stw r19,20(sp) - 27998: dc800415 stw r18,16(sp) - 2799c: dc400315 stw r17,12(sp) - 279a0: 1880020c andi r2,r3,8 - 279a4: 2821883a mov r16,r5 - 279a8: 202b883a mov r21,r4 - 279ac: 3029883a mov r20,r6 - 279b0: 10002726 beq r2,zero,27a50 <__sfvwrite_r+0xe8> - 279b4: 28800417 ldw r2,16(r5) - 279b8: 10002526 beq r2,zero,27a50 <__sfvwrite_r+0xe8> - 279bc: 1880008c andi r2,r3,2 - 279c0: a4400017 ldw r17,0(r20) - 279c4: 10002a26 beq r2,zero,27a70 <__sfvwrite_r+0x108> - 279c8: 05a00034 movhi r22,32768 - 279cc: 0027883a mov r19,zero - 279d0: 0025883a mov r18,zero - 279d4: b5bf0004 addi r22,r22,-1024 - 279d8: 980d883a mov r6,r19 - 279dc: a809883a mov r4,r21 - 279e0: 90004626 beq r18,zero,27afc <__sfvwrite_r+0x194> - 279e4: 900f883a mov r7,r18 - 279e8: b480022e bgeu r22,r18,279f4 <__sfvwrite_r+0x8c> - 279ec: 01e00034 movhi r7,32768 - 279f0: 39ff0004 addi r7,r7,-1024 - 279f4: 80800917 ldw r2,36(r16) - 279f8: 81400717 ldw r5,28(r16) - 279fc: 103ee83a callr r2 - 27a00: 0080570e bge zero,r2,27b60 <__sfvwrite_r+0x1f8> - 27a04: a0c00217 ldw r3,8(r20) - 27a08: 98a7883a add r19,r19,r2 - 27a0c: 90a5c83a sub r18,r18,r2 - 27a10: 1885c83a sub r2,r3,r2 - 27a14: a0800215 stw r2,8(r20) - 27a18: 103fef1e bne r2,zero,279d8 <_gp+0xfffeb4a0> - 27a1c: 0005883a mov r2,zero - 27a20: dfc00b17 ldw ra,44(sp) - 27a24: df000a17 ldw fp,40(sp) - 27a28: ddc00917 ldw r23,36(sp) - 27a2c: dd800817 ldw r22,32(sp) - 27a30: dd400717 ldw r21,28(sp) - 27a34: dd000617 ldw r20,24(sp) - 27a38: dcc00517 ldw r19,20(sp) - 27a3c: dc800417 ldw r18,16(sp) - 27a40: dc400317 ldw r17,12(sp) - 27a44: dc000217 ldw r16,8(sp) - 27a48: dec00c04 addi sp,sp,48 - 27a4c: f800283a ret - 27a50: 800b883a mov r5,r16 - 27a54: a809883a mov r4,r21 - 27a58: 00255100 call 25510 <__swsetup_r> - 27a5c: 1000eb1e bne r2,zero,27e0c <__sfvwrite_r+0x4a4> - 27a60: 80c0030b ldhu r3,12(r16) - 27a64: a4400017 ldw r17,0(r20) - 27a68: 1880008c andi r2,r3,2 - 27a6c: 103fd61e bne r2,zero,279c8 <_gp+0xfffeb490> - 27a70: 1880004c andi r2,r3,1 - 27a74: 10003f1e bne r2,zero,27b74 <__sfvwrite_r+0x20c> - 27a78: 0039883a mov fp,zero - 27a7c: 0025883a mov r18,zero - 27a80: 90001a26 beq r18,zero,27aec <__sfvwrite_r+0x184> - 27a84: 1880800c andi r2,r3,512 - 27a88: 84c00217 ldw r19,8(r16) - 27a8c: 10002126 beq r2,zero,27b14 <__sfvwrite_r+0x1ac> - 27a90: 982f883a mov r23,r19 - 27a94: 94c09336 bltu r18,r19,27ce4 <__sfvwrite_r+0x37c> - 27a98: 1881200c andi r2,r3,1152 - 27a9c: 10009e1e bne r2,zero,27d18 <__sfvwrite_r+0x3b0> - 27aa0: 81000017 ldw r4,0(r16) - 27aa4: b80d883a mov r6,r23 - 27aa8: e00b883a mov r5,fp - 27aac: 00284700 call 28470 - 27ab0: 80c00217 ldw r3,8(r16) - 27ab4: 81000017 ldw r4,0(r16) - 27ab8: 9005883a mov r2,r18 - 27abc: 1ce7c83a sub r19,r3,r19 - 27ac0: 25cf883a add r7,r4,r23 - 27ac4: 84c00215 stw r19,8(r16) - 27ac8: 81c00015 stw r7,0(r16) - 27acc: a0c00217 ldw r3,8(r20) - 27ad0: e0b9883a add fp,fp,r2 - 27ad4: 90a5c83a sub r18,r18,r2 - 27ad8: 18a7c83a sub r19,r3,r2 - 27adc: a4c00215 stw r19,8(r20) - 27ae0: 983fce26 beq r19,zero,27a1c <_gp+0xfffeb4e4> - 27ae4: 80c0030b ldhu r3,12(r16) - 27ae8: 903fe61e bne r18,zero,27a84 <_gp+0xfffeb54c> - 27aec: 8f000017 ldw fp,0(r17) - 27af0: 8c800117 ldw r18,4(r17) - 27af4: 8c400204 addi r17,r17,8 - 27af8: 003fe106 br 27a80 <_gp+0xfffeb548> - 27afc: 8cc00017 ldw r19,0(r17) - 27b00: 8c800117 ldw r18,4(r17) - 27b04: 8c400204 addi r17,r17,8 - 27b08: 003fb306 br 279d8 <_gp+0xfffeb4a0> - 27b0c: 0005883a mov r2,zero - 27b10: f800283a ret - 27b14: 81000017 ldw r4,0(r16) - 27b18: 80800417 ldw r2,16(r16) - 27b1c: 11005736 bltu r2,r4,27c7c <__sfvwrite_r+0x314> - 27b20: 85c00517 ldw r23,20(r16) - 27b24: 95c05536 bltu r18,r23,27c7c <__sfvwrite_r+0x314> - 27b28: 00a00034 movhi r2,32768 - 27b2c: 10bfffc4 addi r2,r2,-1 - 27b30: 9009883a mov r4,r18 - 27b34: 1480012e bgeu r2,r18,27b3c <__sfvwrite_r+0x1d4> - 27b38: 1009883a mov r4,r2 - 27b3c: b80b883a mov r5,r23 - 27b40: 00224e40 call 224e4 <__divsi3> - 27b44: 15cf383a mul r7,r2,r23 - 27b48: 81400717 ldw r5,28(r16) - 27b4c: 80800917 ldw r2,36(r16) - 27b50: e00d883a mov r6,fp - 27b54: a809883a mov r4,r21 - 27b58: 103ee83a callr r2 - 27b5c: 00bfdb16 blt zero,r2,27acc <_gp+0xfffeb594> - 27b60: 8080030b ldhu r2,12(r16) - 27b64: 10801014 ori r2,r2,64 - 27b68: 8080030d sth r2,12(r16) - 27b6c: 00bfffc4 movi r2,-1 - 27b70: 003fab06 br 27a20 <_gp+0xfffeb4e8> - 27b74: 0027883a mov r19,zero - 27b78: 0011883a mov r8,zero - 27b7c: 0039883a mov fp,zero - 27b80: 0025883a mov r18,zero - 27b84: 90001f26 beq r18,zero,27c04 <__sfvwrite_r+0x29c> - 27b88: 40005a26 beq r8,zero,27cf4 <__sfvwrite_r+0x38c> - 27b8c: 982d883a mov r22,r19 - 27b90: 94c0012e bgeu r18,r19,27b98 <__sfvwrite_r+0x230> - 27b94: 902d883a mov r22,r18 - 27b98: 81000017 ldw r4,0(r16) - 27b9c: 80800417 ldw r2,16(r16) - 27ba0: b02f883a mov r23,r22 - 27ba4: 81c00517 ldw r7,20(r16) - 27ba8: 1100032e bgeu r2,r4,27bb8 <__sfvwrite_r+0x250> - 27bac: 80c00217 ldw r3,8(r16) - 27bb0: 38c7883a add r3,r7,r3 - 27bb4: 1d801816 blt r3,r22,27c18 <__sfvwrite_r+0x2b0> - 27bb8: b1c03e16 blt r22,r7,27cb4 <__sfvwrite_r+0x34c> - 27bbc: 80800917 ldw r2,36(r16) - 27bc0: 81400717 ldw r5,28(r16) - 27bc4: e00d883a mov r6,fp - 27bc8: da000115 stw r8,4(sp) - 27bcc: a809883a mov r4,r21 - 27bd0: 103ee83a callr r2 - 27bd4: 102f883a mov r23,r2 - 27bd8: da000117 ldw r8,4(sp) - 27bdc: 00bfe00e bge zero,r2,27b60 <_gp+0xfffeb628> - 27be0: 9de7c83a sub r19,r19,r23 - 27be4: 98001f26 beq r19,zero,27c64 <__sfvwrite_r+0x2fc> - 27be8: a0800217 ldw r2,8(r20) - 27bec: e5f9883a add fp,fp,r23 - 27bf0: 95e5c83a sub r18,r18,r23 - 27bf4: 15efc83a sub r23,r2,r23 - 27bf8: a5c00215 stw r23,8(r20) - 27bfc: b83f8726 beq r23,zero,27a1c <_gp+0xfffeb4e4> - 27c00: 903fe11e bne r18,zero,27b88 <_gp+0xfffeb650> - 27c04: 8f000017 ldw fp,0(r17) - 27c08: 8c800117 ldw r18,4(r17) - 27c0c: 0011883a mov r8,zero - 27c10: 8c400204 addi r17,r17,8 - 27c14: 003fdb06 br 27b84 <_gp+0xfffeb64c> - 27c18: 180d883a mov r6,r3 - 27c1c: e00b883a mov r5,fp - 27c20: da000115 stw r8,4(sp) - 27c24: d8c00015 stw r3,0(sp) - 27c28: 00284700 call 28470 - 27c2c: d8c00017 ldw r3,0(sp) - 27c30: 80800017 ldw r2,0(r16) - 27c34: 800b883a mov r5,r16 - 27c38: a809883a mov r4,r21 - 27c3c: 10c5883a add r2,r2,r3 - 27c40: 80800015 stw r2,0(r16) - 27c44: d8c00015 stw r3,0(sp) - 27c48: 00271080 call 27108 <_fflush_r> - 27c4c: d8c00017 ldw r3,0(sp) - 27c50: da000117 ldw r8,4(sp) - 27c54: 103fc21e bne r2,zero,27b60 <_gp+0xfffeb628> - 27c58: 182f883a mov r23,r3 - 27c5c: 9de7c83a sub r19,r19,r23 - 27c60: 983fe11e bne r19,zero,27be8 <_gp+0xfffeb6b0> - 27c64: 800b883a mov r5,r16 - 27c68: a809883a mov r4,r21 - 27c6c: 00271080 call 27108 <_fflush_r> - 27c70: 103fbb1e bne r2,zero,27b60 <_gp+0xfffeb628> - 27c74: 0011883a mov r8,zero - 27c78: 003fdb06 br 27be8 <_gp+0xfffeb6b0> - 27c7c: 94c0012e bgeu r18,r19,27c84 <__sfvwrite_r+0x31c> - 27c80: 9027883a mov r19,r18 - 27c84: 980d883a mov r6,r19 - 27c88: e00b883a mov r5,fp - 27c8c: 00284700 call 28470 - 27c90: 80800217 ldw r2,8(r16) - 27c94: 80c00017 ldw r3,0(r16) - 27c98: 14c5c83a sub r2,r2,r19 - 27c9c: 1cc7883a add r3,r3,r19 - 27ca0: 80800215 stw r2,8(r16) - 27ca4: 80c00015 stw r3,0(r16) - 27ca8: 10004326 beq r2,zero,27db8 <__sfvwrite_r+0x450> - 27cac: 9805883a mov r2,r19 - 27cb0: 003f8606 br 27acc <_gp+0xfffeb594> - 27cb4: b00d883a mov r6,r22 - 27cb8: e00b883a mov r5,fp - 27cbc: da000115 stw r8,4(sp) - 27cc0: 00284700 call 28470 - 27cc4: 80800217 ldw r2,8(r16) - 27cc8: 80c00017 ldw r3,0(r16) - 27ccc: da000117 ldw r8,4(sp) - 27cd0: 1585c83a sub r2,r2,r22 - 27cd4: 1dad883a add r22,r3,r22 - 27cd8: 80800215 stw r2,8(r16) - 27cdc: 85800015 stw r22,0(r16) - 27ce0: 003fbf06 br 27be0 <_gp+0xfffeb6a8> - 27ce4: 81000017 ldw r4,0(r16) - 27ce8: 9027883a mov r19,r18 - 27cec: 902f883a mov r23,r18 - 27cf0: 003f6c06 br 27aa4 <_gp+0xfffeb56c> - 27cf4: 900d883a mov r6,r18 - 27cf8: 01400284 movi r5,10 - 27cfc: e009883a mov r4,fp - 27d00: 00282440 call 28244 - 27d04: 10003e26 beq r2,zero,27e00 <__sfvwrite_r+0x498> - 27d08: 10800044 addi r2,r2,1 - 27d0c: 1727c83a sub r19,r2,fp - 27d10: 02000044 movi r8,1 - 27d14: 003f9d06 br 27b8c <_gp+0xfffeb654> - 27d18: 80800517 ldw r2,20(r16) - 27d1c: 81400417 ldw r5,16(r16) - 27d20: 81c00017 ldw r7,0(r16) - 27d24: 10a7883a add r19,r2,r2 - 27d28: 9885883a add r2,r19,r2 - 27d2c: 1026d7fa srli r19,r2,31 - 27d30: 396dc83a sub r22,r7,r5 - 27d34: b1000044 addi r4,r22,1 - 27d38: 9885883a add r2,r19,r2 - 27d3c: 1027d07a srai r19,r2,1 - 27d40: 2485883a add r2,r4,r18 - 27d44: 980d883a mov r6,r19 - 27d48: 9880022e bgeu r19,r2,27d54 <__sfvwrite_r+0x3ec> - 27d4c: 1027883a mov r19,r2 - 27d50: 100d883a mov r6,r2 - 27d54: 18c1000c andi r3,r3,1024 - 27d58: 18001c26 beq r3,zero,27dcc <__sfvwrite_r+0x464> - 27d5c: 300b883a mov r5,r6 - 27d60: a809883a mov r4,r21 - 27d64: 00226c00 call 226c0 <_malloc_r> - 27d68: 102f883a mov r23,r2 - 27d6c: 10002926 beq r2,zero,27e14 <__sfvwrite_r+0x4ac> - 27d70: 81400417 ldw r5,16(r16) - 27d74: b00d883a mov r6,r22 - 27d78: 1009883a mov r4,r2 - 27d7c: 00283280 call 28328 - 27d80: 8080030b ldhu r2,12(r16) - 27d84: 00fedfc4 movi r3,-1153 - 27d88: 10c4703a and r2,r2,r3 - 27d8c: 10802014 ori r2,r2,128 - 27d90: 8080030d sth r2,12(r16) - 27d94: bd89883a add r4,r23,r22 - 27d98: 9d8fc83a sub r7,r19,r22 - 27d9c: 85c00415 stw r23,16(r16) - 27da0: 84c00515 stw r19,20(r16) - 27da4: 81000015 stw r4,0(r16) - 27da8: 9027883a mov r19,r18 - 27dac: 81c00215 stw r7,8(r16) - 27db0: 902f883a mov r23,r18 - 27db4: 003f3b06 br 27aa4 <_gp+0xfffeb56c> - 27db8: 800b883a mov r5,r16 - 27dbc: a809883a mov r4,r21 - 27dc0: 00271080 call 27108 <_fflush_r> - 27dc4: 103fb926 beq r2,zero,27cac <_gp+0xfffeb774> - 27dc8: 003f6506 br 27b60 <_gp+0xfffeb628> - 27dcc: a809883a mov r4,r21 - 27dd0: 00297300 call 29730 <_realloc_r> - 27dd4: 102f883a mov r23,r2 - 27dd8: 103fee1e bne r2,zero,27d94 <_gp+0xfffeb85c> - 27ddc: 81400417 ldw r5,16(r16) - 27de0: a809883a mov r4,r21 - 27de4: 00276580 call 27658 <_free_r> - 27de8: 8080030b ldhu r2,12(r16) - 27dec: 00ffdfc4 movi r3,-129 - 27df0: 1884703a and r2,r3,r2 - 27df4: 00c00304 movi r3,12 - 27df8: a8c00015 stw r3,0(r21) - 27dfc: 003f5906 br 27b64 <_gp+0xfffeb62c> - 27e00: 94c00044 addi r19,r18,1 - 27e04: 02000044 movi r8,1 - 27e08: 003f6006 br 27b8c <_gp+0xfffeb654> - 27e0c: 00bfffc4 movi r2,-1 - 27e10: 003f0306 br 27a20 <_gp+0xfffeb4e8> - 27e14: 00800304 movi r2,12 - 27e18: a8800015 stw r2,0(r21) - 27e1c: 8080030b ldhu r2,12(r16) - 27e20: 003f5006 br 27b64 <_gp+0xfffeb62c> +00027f2c <__sfvwrite_r>: + 27f2c: 30800217 ldw r2,8(r6) + 27f30: 10006726 beq r2,zero,280d0 <__sfvwrite_r+0x1a4> + 27f34: 28c0030b ldhu r3,12(r5) + 27f38: defff404 addi sp,sp,-48 + 27f3c: dd400715 stw r21,28(sp) + 27f40: dd000615 stw r20,24(sp) + 27f44: dc000215 stw r16,8(sp) + 27f48: dfc00b15 stw ra,44(sp) + 27f4c: df000a15 stw fp,40(sp) + 27f50: ddc00915 stw r23,36(sp) + 27f54: dd800815 stw r22,32(sp) + 27f58: dcc00515 stw r19,20(sp) + 27f5c: dc800415 stw r18,16(sp) + 27f60: dc400315 stw r17,12(sp) + 27f64: 1880020c andi r2,r3,8 + 27f68: 2821883a mov r16,r5 + 27f6c: 202b883a mov r21,r4 + 27f70: 3029883a mov r20,r6 + 27f74: 10002726 beq r2,zero,28014 <__sfvwrite_r+0xe8> + 27f78: 28800417 ldw r2,16(r5) + 27f7c: 10002526 beq r2,zero,28014 <__sfvwrite_r+0xe8> + 27f80: 1880008c andi r2,r3,2 + 27f84: a4400017 ldw r17,0(r20) + 27f88: 10002a26 beq r2,zero,28034 <__sfvwrite_r+0x108> + 27f8c: 05a00034 movhi r22,32768 + 27f90: 0027883a mov r19,zero + 27f94: 0025883a mov r18,zero + 27f98: b5bf0004 addi r22,r22,-1024 + 27f9c: 980d883a mov r6,r19 + 27fa0: a809883a mov r4,r21 + 27fa4: 90004626 beq r18,zero,280c0 <__sfvwrite_r+0x194> + 27fa8: 900f883a mov r7,r18 + 27fac: b480022e bgeu r22,r18,27fb8 <__sfvwrite_r+0x8c> + 27fb0: 01e00034 movhi r7,32768 + 27fb4: 39ff0004 addi r7,r7,-1024 + 27fb8: 80800917 ldw r2,36(r16) + 27fbc: 81400717 ldw r5,28(r16) + 27fc0: 103ee83a callr r2 + 27fc4: 0080570e bge zero,r2,28124 <__sfvwrite_r+0x1f8> + 27fc8: a0c00217 ldw r3,8(r20) + 27fcc: 98a7883a add r19,r19,r2 + 27fd0: 90a5c83a sub r18,r18,r2 + 27fd4: 1885c83a sub r2,r3,r2 + 27fd8: a0800215 stw r2,8(r20) + 27fdc: 103fef1e bne r2,zero,27f9c <_gp+0xfffeb130> + 27fe0: 0005883a mov r2,zero + 27fe4: dfc00b17 ldw ra,44(sp) + 27fe8: df000a17 ldw fp,40(sp) + 27fec: ddc00917 ldw r23,36(sp) + 27ff0: dd800817 ldw r22,32(sp) + 27ff4: dd400717 ldw r21,28(sp) + 27ff8: dd000617 ldw r20,24(sp) + 27ffc: dcc00517 ldw r19,20(sp) + 28000: dc800417 ldw r18,16(sp) + 28004: dc400317 ldw r17,12(sp) + 28008: dc000217 ldw r16,8(sp) + 2800c: dec00c04 addi sp,sp,48 + 28010: f800283a ret + 28014: 800b883a mov r5,r16 + 28018: a809883a mov r4,r21 + 2801c: 0025ad40 call 25ad4 <__swsetup_r> + 28020: 1000eb1e bne r2,zero,283d0 <__sfvwrite_r+0x4a4> + 28024: 80c0030b ldhu r3,12(r16) + 28028: a4400017 ldw r17,0(r20) + 2802c: 1880008c andi r2,r3,2 + 28030: 103fd61e bne r2,zero,27f8c <_gp+0xfffeb120> + 28034: 1880004c andi r2,r3,1 + 28038: 10003f1e bne r2,zero,28138 <__sfvwrite_r+0x20c> + 2803c: 0039883a mov fp,zero + 28040: 0025883a mov r18,zero + 28044: 90001a26 beq r18,zero,280b0 <__sfvwrite_r+0x184> + 28048: 1880800c andi r2,r3,512 + 2804c: 84c00217 ldw r19,8(r16) + 28050: 10002126 beq r2,zero,280d8 <__sfvwrite_r+0x1ac> + 28054: 982f883a mov r23,r19 + 28058: 94c09336 bltu r18,r19,282a8 <__sfvwrite_r+0x37c> + 2805c: 1881200c andi r2,r3,1152 + 28060: 10009e1e bne r2,zero,282dc <__sfvwrite_r+0x3b0> + 28064: 81000017 ldw r4,0(r16) + 28068: b80d883a mov r6,r23 + 2806c: e00b883a mov r5,fp + 28070: 0028a340 call 28a34 + 28074: 80c00217 ldw r3,8(r16) + 28078: 81000017 ldw r4,0(r16) + 2807c: 9005883a mov r2,r18 + 28080: 1ce7c83a sub r19,r3,r19 + 28084: 25cf883a add r7,r4,r23 + 28088: 84c00215 stw r19,8(r16) + 2808c: 81c00015 stw r7,0(r16) + 28090: a0c00217 ldw r3,8(r20) + 28094: e0b9883a add fp,fp,r2 + 28098: 90a5c83a sub r18,r18,r2 + 2809c: 18a7c83a sub r19,r3,r2 + 280a0: a4c00215 stw r19,8(r20) + 280a4: 983fce26 beq r19,zero,27fe0 <_gp+0xfffeb174> + 280a8: 80c0030b ldhu r3,12(r16) + 280ac: 903fe61e bne r18,zero,28048 <_gp+0xfffeb1dc> + 280b0: 8f000017 ldw fp,0(r17) + 280b4: 8c800117 ldw r18,4(r17) + 280b8: 8c400204 addi r17,r17,8 + 280bc: 003fe106 br 28044 <_gp+0xfffeb1d8> + 280c0: 8cc00017 ldw r19,0(r17) + 280c4: 8c800117 ldw r18,4(r17) + 280c8: 8c400204 addi r17,r17,8 + 280cc: 003fb306 br 27f9c <_gp+0xfffeb130> + 280d0: 0005883a mov r2,zero + 280d4: f800283a ret + 280d8: 81000017 ldw r4,0(r16) + 280dc: 80800417 ldw r2,16(r16) + 280e0: 11005736 bltu r2,r4,28240 <__sfvwrite_r+0x314> + 280e4: 85c00517 ldw r23,20(r16) + 280e8: 95c05536 bltu r18,r23,28240 <__sfvwrite_r+0x314> + 280ec: 00a00034 movhi r2,32768 + 280f0: 10bfffc4 addi r2,r2,-1 + 280f4: 9009883a mov r4,r18 + 280f8: 1480012e bgeu r2,r18,28100 <__sfvwrite_r+0x1d4> + 280fc: 1009883a mov r4,r2 + 28100: b80b883a mov r5,r23 + 28104: 00229280 call 22928 <__divsi3> + 28108: 15cf383a mul r7,r2,r23 + 2810c: 81400717 ldw r5,28(r16) + 28110: 80800917 ldw r2,36(r16) + 28114: e00d883a mov r6,fp + 28118: a809883a mov r4,r21 + 2811c: 103ee83a callr r2 + 28120: 00bfdb16 blt zero,r2,28090 <_gp+0xfffeb224> + 28124: 8080030b ldhu r2,12(r16) + 28128: 10801014 ori r2,r2,64 + 2812c: 8080030d sth r2,12(r16) + 28130: 00bfffc4 movi r2,-1 + 28134: 003fab06 br 27fe4 <_gp+0xfffeb178> + 28138: 0027883a mov r19,zero + 2813c: 0011883a mov r8,zero + 28140: 0039883a mov fp,zero + 28144: 0025883a mov r18,zero + 28148: 90001f26 beq r18,zero,281c8 <__sfvwrite_r+0x29c> + 2814c: 40005a26 beq r8,zero,282b8 <__sfvwrite_r+0x38c> + 28150: 982d883a mov r22,r19 + 28154: 94c0012e bgeu r18,r19,2815c <__sfvwrite_r+0x230> + 28158: 902d883a mov r22,r18 + 2815c: 81000017 ldw r4,0(r16) + 28160: 80800417 ldw r2,16(r16) + 28164: b02f883a mov r23,r22 + 28168: 81c00517 ldw r7,20(r16) + 2816c: 1100032e bgeu r2,r4,2817c <__sfvwrite_r+0x250> + 28170: 80c00217 ldw r3,8(r16) + 28174: 38c7883a add r3,r7,r3 + 28178: 1d801816 blt r3,r22,281dc <__sfvwrite_r+0x2b0> + 2817c: b1c03e16 blt r22,r7,28278 <__sfvwrite_r+0x34c> + 28180: 80800917 ldw r2,36(r16) + 28184: 81400717 ldw r5,28(r16) + 28188: e00d883a mov r6,fp + 2818c: da000115 stw r8,4(sp) + 28190: a809883a mov r4,r21 + 28194: 103ee83a callr r2 + 28198: 102f883a mov r23,r2 + 2819c: da000117 ldw r8,4(sp) + 281a0: 00bfe00e bge zero,r2,28124 <_gp+0xfffeb2b8> + 281a4: 9de7c83a sub r19,r19,r23 + 281a8: 98001f26 beq r19,zero,28228 <__sfvwrite_r+0x2fc> + 281ac: a0800217 ldw r2,8(r20) + 281b0: e5f9883a add fp,fp,r23 + 281b4: 95e5c83a sub r18,r18,r23 + 281b8: 15efc83a sub r23,r2,r23 + 281bc: a5c00215 stw r23,8(r20) + 281c0: b83f8726 beq r23,zero,27fe0 <_gp+0xfffeb174> + 281c4: 903fe11e bne r18,zero,2814c <_gp+0xfffeb2e0> + 281c8: 8f000017 ldw fp,0(r17) + 281cc: 8c800117 ldw r18,4(r17) + 281d0: 0011883a mov r8,zero + 281d4: 8c400204 addi r17,r17,8 + 281d8: 003fdb06 br 28148 <_gp+0xfffeb2dc> + 281dc: 180d883a mov r6,r3 + 281e0: e00b883a mov r5,fp + 281e4: da000115 stw r8,4(sp) + 281e8: d8c00015 stw r3,0(sp) + 281ec: 0028a340 call 28a34 + 281f0: d8c00017 ldw r3,0(sp) + 281f4: 80800017 ldw r2,0(r16) + 281f8: 800b883a mov r5,r16 + 281fc: a809883a mov r4,r21 + 28200: 10c5883a add r2,r2,r3 + 28204: 80800015 stw r2,0(r16) + 28208: d8c00015 stw r3,0(sp) + 2820c: 00276cc0 call 276cc <_fflush_r> + 28210: d8c00017 ldw r3,0(sp) + 28214: da000117 ldw r8,4(sp) + 28218: 103fc21e bne r2,zero,28124 <_gp+0xfffeb2b8> + 2821c: 182f883a mov r23,r3 + 28220: 9de7c83a sub r19,r19,r23 + 28224: 983fe11e bne r19,zero,281ac <_gp+0xfffeb340> + 28228: 800b883a mov r5,r16 + 2822c: a809883a mov r4,r21 + 28230: 00276cc0 call 276cc <_fflush_r> + 28234: 103fbb1e bne r2,zero,28124 <_gp+0xfffeb2b8> + 28238: 0011883a mov r8,zero + 2823c: 003fdb06 br 281ac <_gp+0xfffeb340> + 28240: 94c0012e bgeu r18,r19,28248 <__sfvwrite_r+0x31c> + 28244: 9027883a mov r19,r18 + 28248: 980d883a mov r6,r19 + 2824c: e00b883a mov r5,fp + 28250: 0028a340 call 28a34 + 28254: 80800217 ldw r2,8(r16) + 28258: 80c00017 ldw r3,0(r16) + 2825c: 14c5c83a sub r2,r2,r19 + 28260: 1cc7883a add r3,r3,r19 + 28264: 80800215 stw r2,8(r16) + 28268: 80c00015 stw r3,0(r16) + 2826c: 10004326 beq r2,zero,2837c <__sfvwrite_r+0x450> + 28270: 9805883a mov r2,r19 + 28274: 003f8606 br 28090 <_gp+0xfffeb224> + 28278: b00d883a mov r6,r22 + 2827c: e00b883a mov r5,fp + 28280: da000115 stw r8,4(sp) + 28284: 0028a340 call 28a34 + 28288: 80800217 ldw r2,8(r16) + 2828c: 80c00017 ldw r3,0(r16) + 28290: da000117 ldw r8,4(sp) + 28294: 1585c83a sub r2,r2,r22 + 28298: 1dad883a add r22,r3,r22 + 2829c: 80800215 stw r2,8(r16) + 282a0: 85800015 stw r22,0(r16) + 282a4: 003fbf06 br 281a4 <_gp+0xfffeb338> + 282a8: 81000017 ldw r4,0(r16) + 282ac: 9027883a mov r19,r18 + 282b0: 902f883a mov r23,r18 + 282b4: 003f6c06 br 28068 <_gp+0xfffeb1fc> + 282b8: 900d883a mov r6,r18 + 282bc: 01400284 movi r5,10 + 282c0: e009883a mov r4,fp + 282c4: 00288080 call 28808 + 282c8: 10003e26 beq r2,zero,283c4 <__sfvwrite_r+0x498> + 282cc: 10800044 addi r2,r2,1 + 282d0: 1727c83a sub r19,r2,fp + 282d4: 02000044 movi r8,1 + 282d8: 003f9d06 br 28150 <_gp+0xfffeb2e4> + 282dc: 80800517 ldw r2,20(r16) + 282e0: 81400417 ldw r5,16(r16) + 282e4: 81c00017 ldw r7,0(r16) + 282e8: 10a7883a add r19,r2,r2 + 282ec: 9885883a add r2,r19,r2 + 282f0: 1026d7fa srli r19,r2,31 + 282f4: 396dc83a sub r22,r7,r5 + 282f8: b1000044 addi r4,r22,1 + 282fc: 9885883a add r2,r19,r2 + 28300: 1027d07a srai r19,r2,1 + 28304: 2485883a add r2,r4,r18 + 28308: 980d883a mov r6,r19 + 2830c: 9880022e bgeu r19,r2,28318 <__sfvwrite_r+0x3ec> + 28310: 1027883a mov r19,r2 + 28314: 100d883a mov r6,r2 + 28318: 18c1000c andi r3,r3,1024 + 2831c: 18001c26 beq r3,zero,28390 <__sfvwrite_r+0x464> + 28320: 300b883a mov r5,r6 + 28324: a809883a mov r4,r21 + 28328: 0022bfc0 call 22bfc <_malloc_r> + 2832c: 102f883a mov r23,r2 + 28330: 10002926 beq r2,zero,283d8 <__sfvwrite_r+0x4ac> + 28334: 81400417 ldw r5,16(r16) + 28338: b00d883a mov r6,r22 + 2833c: 1009883a mov r4,r2 + 28340: 00288ec0 call 288ec + 28344: 8080030b ldhu r2,12(r16) + 28348: 00fedfc4 movi r3,-1153 + 2834c: 10c4703a and r2,r2,r3 + 28350: 10802014 ori r2,r2,128 + 28354: 8080030d sth r2,12(r16) + 28358: bd89883a add r4,r23,r22 + 2835c: 9d8fc83a sub r7,r19,r22 + 28360: 85c00415 stw r23,16(r16) + 28364: 84c00515 stw r19,20(r16) + 28368: 81000015 stw r4,0(r16) + 2836c: 9027883a mov r19,r18 + 28370: 81c00215 stw r7,8(r16) + 28374: 902f883a mov r23,r18 + 28378: 003f3b06 br 28068 <_gp+0xfffeb1fc> + 2837c: 800b883a mov r5,r16 + 28380: a809883a mov r4,r21 + 28384: 00276cc0 call 276cc <_fflush_r> + 28388: 103fb926 beq r2,zero,28270 <_gp+0xfffeb404> + 2838c: 003f6506 br 28124 <_gp+0xfffeb2b8> + 28390: a809883a mov r4,r21 + 28394: 0029cf40 call 29cf4 <_realloc_r> + 28398: 102f883a mov r23,r2 + 2839c: 103fee1e bne r2,zero,28358 <_gp+0xfffeb4ec> + 283a0: 81400417 ldw r5,16(r16) + 283a4: a809883a mov r4,r21 + 283a8: 0027c1c0 call 27c1c <_free_r> + 283ac: 8080030b ldhu r2,12(r16) + 283b0: 00ffdfc4 movi r3,-129 + 283b4: 1884703a and r2,r3,r2 + 283b8: 00c00304 movi r3,12 + 283bc: a8c00015 stw r3,0(r21) + 283c0: 003f5906 br 28128 <_gp+0xfffeb2bc> + 283c4: 94c00044 addi r19,r18,1 + 283c8: 02000044 movi r8,1 + 283cc: 003f6006 br 28150 <_gp+0xfffeb2e4> + 283d0: 00bfffc4 movi r2,-1 + 283d4: 003f0306 br 27fe4 <_gp+0xfffeb178> + 283d8: 00800304 movi r2,12 + 283dc: a8800015 stw r2,0(r21) + 283e0: 8080030b ldhu r2,12(r16) + 283e4: 003f5006 br 28128 <_gp+0xfffeb2bc> -00027e24 <_fwalk>: - 27e24: defff704 addi sp,sp,-36 - 27e28: dd000415 stw r20,16(sp) - 27e2c: dfc00815 stw ra,32(sp) - 27e30: ddc00715 stw r23,28(sp) - 27e34: dd800615 stw r22,24(sp) - 27e38: dd400515 stw r21,20(sp) - 27e3c: dcc00315 stw r19,12(sp) - 27e40: dc800215 stw r18,8(sp) - 27e44: dc400115 stw r17,4(sp) - 27e48: dc000015 stw r16,0(sp) - 27e4c: 2500b804 addi r20,r4,736 - 27e50: a0002326 beq r20,zero,27ee0 <_fwalk+0xbc> - 27e54: 282b883a mov r21,r5 - 27e58: 002f883a mov r23,zero - 27e5c: 05800044 movi r22,1 - 27e60: 04ffffc4 movi r19,-1 - 27e64: a4400117 ldw r17,4(r20) - 27e68: a4800217 ldw r18,8(r20) - 27e6c: 8c7fffc4 addi r17,r17,-1 - 27e70: 88000d16 blt r17,zero,27ea8 <_fwalk+0x84> - 27e74: 94000304 addi r16,r18,12 - 27e78: 94800384 addi r18,r18,14 - 27e7c: 8080000b ldhu r2,0(r16) - 27e80: 8c7fffc4 addi r17,r17,-1 - 27e84: 813ffd04 addi r4,r16,-12 - 27e88: b080042e bgeu r22,r2,27e9c <_fwalk+0x78> - 27e8c: 9080000f ldh r2,0(r18) - 27e90: 14c00226 beq r2,r19,27e9c <_fwalk+0x78> - 27e94: a83ee83a callr r21 - 27e98: b8aeb03a or r23,r23,r2 - 27e9c: 84001a04 addi r16,r16,104 - 27ea0: 94801a04 addi r18,r18,104 - 27ea4: 8cfff51e bne r17,r19,27e7c <_gp+0xfffeb944> - 27ea8: a5000017 ldw r20,0(r20) - 27eac: a03fed1e bne r20,zero,27e64 <_gp+0xfffeb92c> - 27eb0: b805883a mov r2,r23 - 27eb4: dfc00817 ldw ra,32(sp) - 27eb8: ddc00717 ldw r23,28(sp) - 27ebc: dd800617 ldw r22,24(sp) - 27ec0: dd400517 ldw r21,20(sp) - 27ec4: dd000417 ldw r20,16(sp) - 27ec8: dcc00317 ldw r19,12(sp) - 27ecc: dc800217 ldw r18,8(sp) - 27ed0: dc400117 ldw r17,4(sp) - 27ed4: dc000017 ldw r16,0(sp) - 27ed8: dec00904 addi sp,sp,36 - 27edc: f800283a ret - 27ee0: 002f883a mov r23,zero - 27ee4: 003ff206 br 27eb0 <_gp+0xfffeb978> - -00027ee8 <_fwalk_reent>: - 27ee8: defff704 addi sp,sp,-36 - 27eec: dd000415 stw r20,16(sp) - 27ef0: dfc00815 stw ra,32(sp) - 27ef4: ddc00715 stw r23,28(sp) - 27ef8: dd800615 stw r22,24(sp) - 27efc: dd400515 stw r21,20(sp) - 27f00: dcc00315 stw r19,12(sp) - 27f04: dc800215 stw r18,8(sp) - 27f08: dc400115 stw r17,4(sp) - 27f0c: dc000015 stw r16,0(sp) - 27f10: 2500b804 addi r20,r4,736 - 27f14: a0002326 beq r20,zero,27fa4 <_fwalk_reent+0xbc> - 27f18: 282b883a mov r21,r5 - 27f1c: 2027883a mov r19,r4 - 27f20: 002f883a mov r23,zero - 27f24: 05800044 movi r22,1 - 27f28: 04bfffc4 movi r18,-1 - 27f2c: a4400117 ldw r17,4(r20) - 27f30: a4000217 ldw r16,8(r20) - 27f34: 8c7fffc4 addi r17,r17,-1 - 27f38: 88000c16 blt r17,zero,27f6c <_fwalk_reent+0x84> - 27f3c: 84000304 addi r16,r16,12 - 27f40: 8080000b ldhu r2,0(r16) - 27f44: 8c7fffc4 addi r17,r17,-1 - 27f48: 817ffd04 addi r5,r16,-12 - 27f4c: b080052e bgeu r22,r2,27f64 <_fwalk_reent+0x7c> - 27f50: 8080008f ldh r2,2(r16) - 27f54: 9809883a mov r4,r19 - 27f58: 14800226 beq r2,r18,27f64 <_fwalk_reent+0x7c> - 27f5c: a83ee83a callr r21 - 27f60: b8aeb03a or r23,r23,r2 - 27f64: 84001a04 addi r16,r16,104 - 27f68: 8cbff51e bne r17,r18,27f40 <_gp+0xfffeba08> - 27f6c: a5000017 ldw r20,0(r20) - 27f70: a03fee1e bne r20,zero,27f2c <_gp+0xfffeb9f4> - 27f74: b805883a mov r2,r23 - 27f78: dfc00817 ldw ra,32(sp) - 27f7c: ddc00717 ldw r23,28(sp) - 27f80: dd800617 ldw r22,24(sp) - 27f84: dd400517 ldw r21,20(sp) - 27f88: dd000417 ldw r20,16(sp) - 27f8c: dcc00317 ldw r19,12(sp) - 27f90: dc800217 ldw r18,8(sp) - 27f94: dc400117 ldw r17,4(sp) - 27f98: dc000017 ldw r16,0(sp) - 27f9c: dec00904 addi sp,sp,36 - 27fa0: f800283a ret - 27fa4: 002f883a mov r23,zero - 27fa8: 003ff206 br 27f74 <_gp+0xfffeba3c> - -00027fac <_setlocale_r>: - 27fac: 30001b26 beq r6,zero,2801c <_setlocale_r+0x70> - 27fb0: 014000f4 movhi r5,3 - 27fb4: defffe04 addi sp,sp,-8 - 27fb8: 29481a04 addi r5,r5,8296 - 27fbc: 3009883a mov r4,r6 - 27fc0: dc000015 stw r16,0(sp) - 27fc4: dfc00115 stw ra,4(sp) - 27fc8: 3021883a mov r16,r6 - 27fcc: 0029e440 call 29e44 - 27fd0: 1000061e bne r2,zero,27fec <_setlocale_r+0x40> - 27fd4: 008000f4 movhi r2,3 - 27fd8: 10881904 addi r2,r2,8292 - 27fdc: dfc00117 ldw ra,4(sp) - 27fe0: dc000017 ldw r16,0(sp) - 27fe4: dec00204 addi sp,sp,8 - 27fe8: f800283a ret - 27fec: 014000f4 movhi r5,3 - 27ff0: 29481904 addi r5,r5,8292 - 27ff4: 8009883a mov r4,r16 - 27ff8: 0029e440 call 29e44 - 27ffc: 103ff526 beq r2,zero,27fd4 <_gp+0xfffeba9c> - 28000: 014000f4 movhi r5,3 - 28004: 29480404 addi r5,r5,8208 - 28008: 8009883a mov r4,r16 - 2800c: 0029e440 call 29e44 - 28010: 103ff026 beq r2,zero,27fd4 <_gp+0xfffeba9c> - 28014: 0005883a mov r2,zero - 28018: 003ff006 br 27fdc <_gp+0xfffebaa4> - 2801c: 008000f4 movhi r2,3 - 28020: 10881904 addi r2,r2,8292 - 28024: f800283a ret - -00028028 <__locale_charset>: - 28028: 008000f4 movhi r2,3 - 2802c: 108cb604 addi r2,r2,13016 - 28030: f800283a ret - -00028034 <__locale_mb_cur_max>: - 28034: 008000f4 movhi r2,3 - 28038: 10915504 addi r2,r2,17748 - 2803c: 10800017 ldw r2,0(r2) - 28040: f800283a ret - -00028044 <__locale_msgcharset>: - 28044: 008000f4 movhi r2,3 - 28048: 108cae04 addi r2,r2,12984 - 2804c: f800283a ret - -00028050 <__locale_cjk_lang>: - 28050: 0005883a mov r2,zero - 28054: f800283a ret - -00028058 <_localeconv_r>: - 28058: 008000f4 movhi r2,3 - 2805c: 108cbe04 addi r2,r2,13048 - 28060: f800283a ret - -00028064 : - 28064: 008000f4 movhi r2,3 - 28068: 10915404 addi r2,r2,17744 - 2806c: 280d883a mov r6,r5 - 28070: 200b883a mov r5,r4 - 28074: 11000017 ldw r4,0(r2) - 28078: 0027fac1 jmpi 27fac <_setlocale_r> - -0002807c : - 2807c: 008000f4 movhi r2,3 - 28080: 108cbe04 addi r2,r2,13048 - 28084: f800283a ret - -00028088 <__smakebuf_r>: - 28088: 2880030b ldhu r2,12(r5) - 2808c: 10c0008c andi r3,r2,2 - 28090: 1800411e bne r3,zero,28198 <__smakebuf_r+0x110> - 28094: deffec04 addi sp,sp,-80 - 28098: dc000f15 stw r16,60(sp) - 2809c: 2821883a mov r16,r5 - 280a0: 2940038f ldh r5,14(r5) - 280a4: dc401015 stw r17,64(sp) - 280a8: dfc01315 stw ra,76(sp) - 280ac: dcc01215 stw r19,72(sp) - 280b0: dc801115 stw r18,68(sp) - 280b4: 2023883a mov r17,r4 - 280b8: 28001c16 blt r5,zero,2812c <__smakebuf_r+0xa4> - 280bc: d80d883a mov r6,sp - 280c0: 002bb440 call 2bb44 <_fstat_r> - 280c4: 10001816 blt r2,zero,28128 <__smakebuf_r+0xa0> - 280c8: d8800117 ldw r2,4(sp) - 280cc: 00e00014 movui r3,32768 - 280d0: 10bc000c andi r2,r2,61440 - 280d4: 14c80020 cmpeqi r19,r2,8192 - 280d8: 10c03726 beq r2,r3,281b8 <__smakebuf_r+0x130> - 280dc: 80c0030b ldhu r3,12(r16) - 280e0: 18c20014 ori r3,r3,2048 - 280e4: 80c0030d sth r3,12(r16) - 280e8: 00c80004 movi r3,8192 - 280ec: 10c0521e bne r2,r3,28238 <__smakebuf_r+0x1b0> - 280f0: 8140038f ldh r5,14(r16) - 280f4: 8809883a mov r4,r17 - 280f8: 002bba00 call 2bba0 <_isatty_r> - 280fc: 10004c26 beq r2,zero,28230 <__smakebuf_r+0x1a8> - 28100: 8080030b ldhu r2,12(r16) - 28104: 80c010c4 addi r3,r16,67 - 28108: 80c00015 stw r3,0(r16) - 2810c: 10800054 ori r2,r2,1 - 28110: 8080030d sth r2,12(r16) - 28114: 00800044 movi r2,1 - 28118: 80c00415 stw r3,16(r16) - 2811c: 80800515 stw r2,20(r16) - 28120: 04810004 movi r18,1024 - 28124: 00000706 br 28144 <__smakebuf_r+0xbc> - 28128: 8080030b ldhu r2,12(r16) - 2812c: 10c0200c andi r3,r2,128 - 28130: 18001f1e bne r3,zero,281b0 <__smakebuf_r+0x128> - 28134: 04810004 movi r18,1024 - 28138: 10820014 ori r2,r2,2048 - 2813c: 8080030d sth r2,12(r16) - 28140: 0027883a mov r19,zero - 28144: 900b883a mov r5,r18 - 28148: 8809883a mov r4,r17 - 2814c: 00226c00 call 226c0 <_malloc_r> - 28150: 10002c26 beq r2,zero,28204 <__smakebuf_r+0x17c> - 28154: 80c0030b ldhu r3,12(r16) - 28158: 010000b4 movhi r4,2 - 2815c: 211c6704 addi r4,r4,29084 - 28160: 89000f15 stw r4,60(r17) - 28164: 18c02014 ori r3,r3,128 - 28168: 80c0030d sth r3,12(r16) - 2816c: 80800015 stw r2,0(r16) - 28170: 80800415 stw r2,16(r16) - 28174: 84800515 stw r18,20(r16) - 28178: 98001a1e bne r19,zero,281e4 <__smakebuf_r+0x15c> - 2817c: dfc01317 ldw ra,76(sp) - 28180: dcc01217 ldw r19,72(sp) - 28184: dc801117 ldw r18,68(sp) - 28188: dc401017 ldw r17,64(sp) - 2818c: dc000f17 ldw r16,60(sp) - 28190: dec01404 addi sp,sp,80 - 28194: f800283a ret - 28198: 288010c4 addi r2,r5,67 - 2819c: 28800015 stw r2,0(r5) - 281a0: 28800415 stw r2,16(r5) - 281a4: 00800044 movi r2,1 - 281a8: 28800515 stw r2,20(r5) - 281ac: f800283a ret - 281b0: 04801004 movi r18,64 - 281b4: 003fe006 br 28138 <_gp+0xfffebc00> - 281b8: 81000a17 ldw r4,40(r16) - 281bc: 00c000f4 movhi r3,3 - 281c0: 18e77804 addi r3,r3,-25120 - 281c4: 20ffc51e bne r4,r3,280dc <_gp+0xfffebba4> - 281c8: 8080030b ldhu r2,12(r16) - 281cc: 04810004 movi r18,1024 - 281d0: 84801315 stw r18,76(r16) - 281d4: 1484b03a or r2,r2,r18 - 281d8: 8080030d sth r2,12(r16) - 281dc: 0027883a mov r19,zero - 281e0: 003fd806 br 28144 <_gp+0xfffebc0c> - 281e4: 8140038f ldh r5,14(r16) - 281e8: 8809883a mov r4,r17 - 281ec: 002bba00 call 2bba0 <_isatty_r> - 281f0: 103fe226 beq r2,zero,2817c <_gp+0xfffebc44> - 281f4: 8080030b ldhu r2,12(r16) - 281f8: 10800054 ori r2,r2,1 - 281fc: 8080030d sth r2,12(r16) - 28200: 003fde06 br 2817c <_gp+0xfffebc44> - 28204: 8080030b ldhu r2,12(r16) - 28208: 10c0800c andi r3,r2,512 - 2820c: 183fdb1e bne r3,zero,2817c <_gp+0xfffebc44> - 28210: 10800094 ori r2,r2,2 - 28214: 80c010c4 addi r3,r16,67 - 28218: 8080030d sth r2,12(r16) - 2821c: 00800044 movi r2,1 - 28220: 80c00015 stw r3,0(r16) - 28224: 80c00415 stw r3,16(r16) - 28228: 80800515 stw r2,20(r16) - 2822c: 003fd306 br 2817c <_gp+0xfffebc44> - 28230: 04810004 movi r18,1024 - 28234: 003fc306 br 28144 <_gp+0xfffebc0c> - 28238: 0027883a mov r19,zero - 2823c: 04810004 movi r18,1024 - 28240: 003fc006 br 28144 <_gp+0xfffebc0c> - -00028244 : - 28244: 208000cc andi r2,r4,3 - 28248: 280f883a mov r7,r5 - 2824c: 10003426 beq r2,zero,28320 - 28250: 30bfffc4 addi r2,r6,-1 - 28254: 30001a26 beq r6,zero,282c0 - 28258: 20c00003 ldbu r3,0(r4) - 2825c: 29803fcc andi r6,r5,255 - 28260: 30c0051e bne r6,r3,28278 - 28264: 00001806 br 282c8 - 28268: 10001526 beq r2,zero,282c0 - 2826c: 20c00003 ldbu r3,0(r4) - 28270: 10bfffc4 addi r2,r2,-1 - 28274: 30c01426 beq r6,r3,282c8 - 28278: 21000044 addi r4,r4,1 - 2827c: 20c000cc andi r3,r4,3 - 28280: 183ff91e bne r3,zero,28268 <_gp+0xfffebd30> - 28284: 020000c4 movi r8,3 - 28288: 40801136 bltu r8,r2,282d0 - 2828c: 10000c26 beq r2,zero,282c0 - 28290: 20c00003 ldbu r3,0(r4) - 28294: 29403fcc andi r5,r5,255 - 28298: 28c00b26 beq r5,r3,282c8 - 2829c: 20c00044 addi r3,r4,1 - 282a0: 39803fcc andi r6,r7,255 - 282a4: 2089883a add r4,r4,r2 - 282a8: 00000306 br 282b8 - 282ac: 18c00044 addi r3,r3,1 - 282b0: 197fffc3 ldbu r5,-1(r3) - 282b4: 31400526 beq r6,r5,282cc - 282b8: 1805883a mov r2,r3 - 282bc: 20fffb1e bne r4,r3,282ac <_gp+0xfffebd74> - 282c0: 0005883a mov r2,zero - 282c4: f800283a ret - 282c8: 2005883a mov r2,r4 - 282cc: f800283a ret - 282d0: 28c03fcc andi r3,r5,255 - 282d4: 1812923a slli r9,r3,8 - 282d8: 02ffbff4 movhi r11,65279 - 282dc: 02a02074 movhi r10,32897 - 282e0: 48d2b03a or r9,r9,r3 - 282e4: 4806943a slli r3,r9,16 - 282e8: 5affbfc4 addi r11,r11,-257 - 282ec: 52a02004 addi r10,r10,-32640 - 282f0: 48d2b03a or r9,r9,r3 - 282f4: 20c00017 ldw r3,0(r4) - 282f8: 48c6f03a xor r3,r9,r3 - 282fc: 1acd883a add r6,r3,r11 - 28300: 00c6303a nor r3,zero,r3 - 28304: 30c6703a and r3,r6,r3 - 28308: 1a86703a and r3,r3,r10 - 2830c: 183fe01e bne r3,zero,28290 <_gp+0xfffebd58> - 28310: 10bfff04 addi r2,r2,-4 - 28314: 21000104 addi r4,r4,4 - 28318: 40bff636 bltu r8,r2,282f4 <_gp+0xfffebdbc> - 2831c: 003fdb06 br 2828c <_gp+0xfffebd54> - 28320: 3005883a mov r2,r6 - 28324: 003fd706 br 28284 <_gp+0xfffebd4c> - -00028328 : - 28328: defffd04 addi sp,sp,-12 - 2832c: dfc00215 stw ra,8(sp) - 28330: dc400115 stw r17,4(sp) - 28334: dc000015 stw r16,0(sp) - 28338: 00c003c4 movi r3,15 - 2833c: 2005883a mov r2,r4 - 28340: 1980452e bgeu r3,r6,28458 - 28344: 2906b03a or r3,r5,r4 - 28348: 18c000cc andi r3,r3,3 - 2834c: 1800441e bne r3,zero,28460 - 28350: 347ffc04 addi r17,r6,-16 - 28354: 8822d13a srli r17,r17,4 - 28358: 28c00104 addi r3,r5,4 - 2835c: 23400104 addi r13,r4,4 - 28360: 8820913a slli r16,r17,4 - 28364: 2b000204 addi r12,r5,8 - 28368: 22c00204 addi r11,r4,8 - 2836c: 84000504 addi r16,r16,20 - 28370: 2a800304 addi r10,r5,12 - 28374: 22400304 addi r9,r4,12 - 28378: 2c21883a add r16,r5,r16 - 2837c: 2811883a mov r8,r5 - 28380: 200f883a mov r7,r4 - 28384: 41000017 ldw r4,0(r8) - 28388: 1fc00017 ldw ra,0(r3) - 2838c: 63c00017 ldw r15,0(r12) - 28390: 39000015 stw r4,0(r7) - 28394: 53800017 ldw r14,0(r10) - 28398: 6fc00015 stw ra,0(r13) - 2839c: 5bc00015 stw r15,0(r11) - 283a0: 4b800015 stw r14,0(r9) - 283a4: 18c00404 addi r3,r3,16 - 283a8: 39c00404 addi r7,r7,16 - 283ac: 42000404 addi r8,r8,16 - 283b0: 6b400404 addi r13,r13,16 - 283b4: 63000404 addi r12,r12,16 - 283b8: 5ac00404 addi r11,r11,16 - 283bc: 52800404 addi r10,r10,16 - 283c0: 4a400404 addi r9,r9,16 - 283c4: 1c3fef1e bne r3,r16,28384 <_gp+0xfffebe4c> - 283c8: 89c00044 addi r7,r17,1 - 283cc: 380e913a slli r7,r7,4 - 283d0: 310003cc andi r4,r6,15 - 283d4: 02c000c4 movi r11,3 - 283d8: 11c7883a add r3,r2,r7 - 283dc: 29cb883a add r5,r5,r7 - 283e0: 5900212e bgeu r11,r4,28468 - 283e4: 1813883a mov r9,r3 - 283e8: 2811883a mov r8,r5 - 283ec: 200f883a mov r7,r4 - 283f0: 42800017 ldw r10,0(r8) - 283f4: 4a400104 addi r9,r9,4 - 283f8: 39ffff04 addi r7,r7,-4 - 283fc: 4abfff15 stw r10,-4(r9) - 28400: 42000104 addi r8,r8,4 - 28404: 59fffa36 bltu r11,r7,283f0 <_gp+0xfffebeb8> - 28408: 213fff04 addi r4,r4,-4 - 2840c: 2008d0ba srli r4,r4,2 - 28410: 318000cc andi r6,r6,3 - 28414: 21000044 addi r4,r4,1 - 28418: 2109883a add r4,r4,r4 - 2841c: 2109883a add r4,r4,r4 - 28420: 1907883a add r3,r3,r4 - 28424: 290b883a add r5,r5,r4 - 28428: 30000626 beq r6,zero,28444 - 2842c: 198d883a add r6,r3,r6 - 28430: 29c00003 ldbu r7,0(r5) - 28434: 18c00044 addi r3,r3,1 - 28438: 29400044 addi r5,r5,1 - 2843c: 19ffffc5 stb r7,-1(r3) - 28440: 19bffb1e bne r3,r6,28430 <_gp+0xfffebef8> - 28444: dfc00217 ldw ra,8(sp) - 28448: dc400117 ldw r17,4(sp) - 2844c: dc000017 ldw r16,0(sp) - 28450: dec00304 addi sp,sp,12 - 28454: f800283a ret - 28458: 2007883a mov r3,r4 - 2845c: 003ff206 br 28428 <_gp+0xfffebef0> - 28460: 2007883a mov r3,r4 - 28464: 003ff106 br 2842c <_gp+0xfffebef4> - 28468: 200d883a mov r6,r4 - 2846c: 003fee06 br 28428 <_gp+0xfffebef0> - -00028470 : - 28470: 2005883a mov r2,r4 - 28474: 29000b2e bgeu r5,r4,284a4 - 28478: 298f883a add r7,r5,r6 - 2847c: 21c0092e bgeu r4,r7,284a4 - 28480: 2187883a add r3,r4,r6 - 28484: 198bc83a sub r5,r3,r6 - 28488: 30004826 beq r6,zero,285ac - 2848c: 39ffffc4 addi r7,r7,-1 - 28490: 39000003 ldbu r4,0(r7) - 28494: 18ffffc4 addi r3,r3,-1 - 28498: 19000005 stb r4,0(r3) - 2849c: 28fffb1e bne r5,r3,2848c <_gp+0xfffebf54> +000283e8 <_fwalk>: + 283e8: defff704 addi sp,sp,-36 + 283ec: dd000415 stw r20,16(sp) + 283f0: dfc00815 stw ra,32(sp) + 283f4: ddc00715 stw r23,28(sp) + 283f8: dd800615 stw r22,24(sp) + 283fc: dd400515 stw r21,20(sp) + 28400: dcc00315 stw r19,12(sp) + 28404: dc800215 stw r18,8(sp) + 28408: dc400115 stw r17,4(sp) + 2840c: dc000015 stw r16,0(sp) + 28410: 2500b804 addi r20,r4,736 + 28414: a0002326 beq r20,zero,284a4 <_fwalk+0xbc> + 28418: 282b883a mov r21,r5 + 2841c: 002f883a mov r23,zero + 28420: 05800044 movi r22,1 + 28424: 04ffffc4 movi r19,-1 + 28428: a4400117 ldw r17,4(r20) + 2842c: a4800217 ldw r18,8(r20) + 28430: 8c7fffc4 addi r17,r17,-1 + 28434: 88000d16 blt r17,zero,2846c <_fwalk+0x84> + 28438: 94000304 addi r16,r18,12 + 2843c: 94800384 addi r18,r18,14 + 28440: 8080000b ldhu r2,0(r16) + 28444: 8c7fffc4 addi r17,r17,-1 + 28448: 813ffd04 addi r4,r16,-12 + 2844c: b080042e bgeu r22,r2,28460 <_fwalk+0x78> + 28450: 9080000f ldh r2,0(r18) + 28454: 14c00226 beq r2,r19,28460 <_fwalk+0x78> + 28458: a83ee83a callr r21 + 2845c: b8aeb03a or r23,r23,r2 + 28460: 84001a04 addi r16,r16,104 + 28464: 94801a04 addi r18,r18,104 + 28468: 8cfff51e bne r17,r19,28440 <_gp+0xfffeb5d4> + 2846c: a5000017 ldw r20,0(r20) + 28470: a03fed1e bne r20,zero,28428 <_gp+0xfffeb5bc> + 28474: b805883a mov r2,r23 + 28478: dfc00817 ldw ra,32(sp) + 2847c: ddc00717 ldw r23,28(sp) + 28480: dd800617 ldw r22,24(sp) + 28484: dd400517 ldw r21,20(sp) + 28488: dd000417 ldw r20,16(sp) + 2848c: dcc00317 ldw r19,12(sp) + 28490: dc800217 ldw r18,8(sp) + 28494: dc400117 ldw r17,4(sp) + 28498: dc000017 ldw r16,0(sp) + 2849c: dec00904 addi sp,sp,36 284a0: f800283a ret - 284a4: 00c003c4 movi r3,15 - 284a8: 1980412e bgeu r3,r6,285b0 - 284ac: 2886b03a or r3,r5,r2 - 284b0: 18c000cc andi r3,r3,3 - 284b4: 1800401e bne r3,zero,285b8 - 284b8: 33fffc04 addi r15,r6,-16 - 284bc: 781ed13a srli r15,r15,4 - 284c0: 28c00104 addi r3,r5,4 - 284c4: 13400104 addi r13,r2,4 - 284c8: 781c913a slli r14,r15,4 - 284cc: 2b000204 addi r12,r5,8 - 284d0: 12c00204 addi r11,r2,8 - 284d4: 73800504 addi r14,r14,20 - 284d8: 2a800304 addi r10,r5,12 - 284dc: 12400304 addi r9,r2,12 - 284e0: 2b9d883a add r14,r5,r14 - 284e4: 2811883a mov r8,r5 - 284e8: 100f883a mov r7,r2 - 284ec: 41000017 ldw r4,0(r8) - 284f0: 39c00404 addi r7,r7,16 - 284f4: 18c00404 addi r3,r3,16 - 284f8: 393ffc15 stw r4,-16(r7) - 284fc: 193ffc17 ldw r4,-16(r3) - 28500: 6b400404 addi r13,r13,16 - 28504: 5ac00404 addi r11,r11,16 - 28508: 693ffc15 stw r4,-16(r13) - 2850c: 61000017 ldw r4,0(r12) - 28510: 4a400404 addi r9,r9,16 - 28514: 42000404 addi r8,r8,16 - 28518: 593ffc15 stw r4,-16(r11) - 2851c: 51000017 ldw r4,0(r10) - 28520: 63000404 addi r12,r12,16 - 28524: 52800404 addi r10,r10,16 - 28528: 493ffc15 stw r4,-16(r9) - 2852c: 1bbfef1e bne r3,r14,284ec <_gp+0xfffebfb4> - 28530: 79000044 addi r4,r15,1 - 28534: 2008913a slli r4,r4,4 - 28538: 328003cc andi r10,r6,15 - 2853c: 02c000c4 movi r11,3 - 28540: 1107883a add r3,r2,r4 - 28544: 290b883a add r5,r5,r4 - 28548: 5a801e2e bgeu r11,r10,285c4 - 2854c: 1813883a mov r9,r3 - 28550: 2811883a mov r8,r5 - 28554: 500f883a mov r7,r10 - 28558: 41000017 ldw r4,0(r8) - 2855c: 4a400104 addi r9,r9,4 - 28560: 39ffff04 addi r7,r7,-4 - 28564: 493fff15 stw r4,-4(r9) - 28568: 42000104 addi r8,r8,4 - 2856c: 59fffa36 bltu r11,r7,28558 <_gp+0xfffec020> - 28570: 513fff04 addi r4,r10,-4 - 28574: 2008d0ba srli r4,r4,2 - 28578: 318000cc andi r6,r6,3 - 2857c: 21000044 addi r4,r4,1 - 28580: 2109883a add r4,r4,r4 - 28584: 2109883a add r4,r4,r4 - 28588: 1907883a add r3,r3,r4 - 2858c: 290b883a add r5,r5,r4 - 28590: 30000b26 beq r6,zero,285c0 - 28594: 198d883a add r6,r3,r6 - 28598: 29c00003 ldbu r7,0(r5) - 2859c: 18c00044 addi r3,r3,1 - 285a0: 29400044 addi r5,r5,1 - 285a4: 19ffffc5 stb r7,-1(r3) - 285a8: 19bffb1e bne r3,r6,28598 <_gp+0xfffec060> + 284a4: 002f883a mov r23,zero + 284a8: 003ff206 br 28474 <_gp+0xfffeb608> + +000284ac <_fwalk_reent>: + 284ac: defff704 addi sp,sp,-36 + 284b0: dd000415 stw r20,16(sp) + 284b4: dfc00815 stw ra,32(sp) + 284b8: ddc00715 stw r23,28(sp) + 284bc: dd800615 stw r22,24(sp) + 284c0: dd400515 stw r21,20(sp) + 284c4: dcc00315 stw r19,12(sp) + 284c8: dc800215 stw r18,8(sp) + 284cc: dc400115 stw r17,4(sp) + 284d0: dc000015 stw r16,0(sp) + 284d4: 2500b804 addi r20,r4,736 + 284d8: a0002326 beq r20,zero,28568 <_fwalk_reent+0xbc> + 284dc: 282b883a mov r21,r5 + 284e0: 2027883a mov r19,r4 + 284e4: 002f883a mov r23,zero + 284e8: 05800044 movi r22,1 + 284ec: 04bfffc4 movi r18,-1 + 284f0: a4400117 ldw r17,4(r20) + 284f4: a4000217 ldw r16,8(r20) + 284f8: 8c7fffc4 addi r17,r17,-1 + 284fc: 88000c16 blt r17,zero,28530 <_fwalk_reent+0x84> + 28500: 84000304 addi r16,r16,12 + 28504: 8080000b ldhu r2,0(r16) + 28508: 8c7fffc4 addi r17,r17,-1 + 2850c: 817ffd04 addi r5,r16,-12 + 28510: b080052e bgeu r22,r2,28528 <_fwalk_reent+0x7c> + 28514: 8080008f ldh r2,2(r16) + 28518: 9809883a mov r4,r19 + 2851c: 14800226 beq r2,r18,28528 <_fwalk_reent+0x7c> + 28520: a83ee83a callr r21 + 28524: b8aeb03a or r23,r23,r2 + 28528: 84001a04 addi r16,r16,104 + 2852c: 8cbff51e bne r17,r18,28504 <_gp+0xfffeb698> + 28530: a5000017 ldw r20,0(r20) + 28534: a03fee1e bne r20,zero,284f0 <_gp+0xfffeb684> + 28538: b805883a mov r2,r23 + 2853c: dfc00817 ldw ra,32(sp) + 28540: ddc00717 ldw r23,28(sp) + 28544: dd800617 ldw r22,24(sp) + 28548: dd400517 ldw r21,20(sp) + 2854c: dd000417 ldw r20,16(sp) + 28550: dcc00317 ldw r19,12(sp) + 28554: dc800217 ldw r18,8(sp) + 28558: dc400117 ldw r17,4(sp) + 2855c: dc000017 ldw r16,0(sp) + 28560: dec00904 addi sp,sp,36 + 28564: f800283a ret + 28568: 002f883a mov r23,zero + 2856c: 003ff206 br 28538 <_gp+0xfffeb6cc> + +00028570 <_setlocale_r>: + 28570: 30001b26 beq r6,zero,285e0 <_setlocale_r+0x70> + 28574: 014000f4 movhi r5,3 + 28578: defffe04 addi sp,sp,-8 + 2857c: 294a6704 addi r5,r5,10652 + 28580: 3009883a mov r4,r6 + 28584: dc000015 stw r16,0(sp) + 28588: dfc00115 stw ra,4(sp) + 2858c: 3021883a mov r16,r6 + 28590: 002a5f80 call 2a5f8 + 28594: 1000061e bne r2,zero,285b0 <_setlocale_r+0x40> + 28598: 008000f4 movhi r2,3 + 2859c: 108a4804 addi r2,r2,10528 + 285a0: dfc00117 ldw ra,4(sp) + 285a4: dc000017 ldw r16,0(sp) + 285a8: dec00204 addi sp,sp,8 285ac: f800283a ret - 285b0: 1007883a mov r3,r2 - 285b4: 003ff606 br 28590 <_gp+0xfffec058> - 285b8: 1007883a mov r3,r2 - 285bc: 003ff506 br 28594 <_gp+0xfffec05c> - 285c0: f800283a ret - 285c4: 500d883a mov r6,r10 - 285c8: 003ff106 br 28590 <_gp+0xfffec058> + 285b0: 014000f4 movhi r5,3 + 285b4: 294a4804 addi r5,r5,10528 + 285b8: 8009883a mov r4,r16 + 285bc: 002a5f80 call 2a5f8 + 285c0: 103ff526 beq r2,zero,28598 <_gp+0xfffeb72c> + 285c4: 014000f4 movhi r5,3 + 285c8: 294a5204 addi r5,r5,10568 + 285cc: 8009883a mov r4,r16 + 285d0: 002a5f80 call 2a5f8 + 285d4: 103ff026 beq r2,zero,28598 <_gp+0xfffeb72c> + 285d8: 0005883a mov r2,zero + 285dc: 003ff006 br 285a0 <_gp+0xfffeb734> + 285e0: 008000f4 movhi r2,3 + 285e4: 108a4804 addi r2,r2,10528 + 285e8: f800283a ret -000285cc <_Balloc>: - 285cc: 20801317 ldw r2,76(r4) - 285d0: defffc04 addi sp,sp,-16 - 285d4: dc400115 stw r17,4(sp) - 285d8: dc000015 stw r16,0(sp) - 285dc: dfc00315 stw ra,12(sp) - 285e0: dc800215 stw r18,8(sp) - 285e4: 2023883a mov r17,r4 - 285e8: 2821883a mov r16,r5 - 285ec: 10000f26 beq r2,zero,2862c <_Balloc+0x60> - 285f0: 8407883a add r3,r16,r16 - 285f4: 18c7883a add r3,r3,r3 - 285f8: 10c7883a add r3,r2,r3 - 285fc: 18800017 ldw r2,0(r3) - 28600: 10001126 beq r2,zero,28648 <_Balloc+0x7c> - 28604: 11000017 ldw r4,0(r2) - 28608: 19000015 stw r4,0(r3) - 2860c: 10000415 stw zero,16(r2) - 28610: 10000315 stw zero,12(r2) - 28614: dfc00317 ldw ra,12(sp) - 28618: dc800217 ldw r18,8(sp) - 2861c: dc400117 ldw r17,4(sp) - 28620: dc000017 ldw r16,0(sp) - 28624: dec00404 addi sp,sp,16 - 28628: f800283a ret - 2862c: 01800844 movi r6,33 - 28630: 01400104 movi r5,4 - 28634: 002b7740 call 2b774 <_calloc_r> - 28638: 88801315 stw r2,76(r17) - 2863c: 103fec1e bne r2,zero,285f0 <_gp+0xfffec0b8> - 28640: 0005883a mov r2,zero - 28644: 003ff306 br 28614 <_gp+0xfffec0dc> - 28648: 01400044 movi r5,1 - 2864c: 2c24983a sll r18,r5,r16 - 28650: 8809883a mov r4,r17 - 28654: 91800144 addi r6,r18,5 - 28658: 318d883a add r6,r6,r6 - 2865c: 318d883a add r6,r6,r6 - 28660: 002b7740 call 2b774 <_calloc_r> - 28664: 103ff626 beq r2,zero,28640 <_gp+0xfffec108> - 28668: 14000115 stw r16,4(r2) - 2866c: 14800215 stw r18,8(r2) - 28670: 003fe606 br 2860c <_gp+0xfffec0d4> +000285ec <__locale_charset>: + 285ec: 008000f4 movhi r2,3 + 285f0: 108f0304 addi r2,r2,15372 + 285f4: f800283a ret -00028674 <_Bfree>: - 28674: 28000826 beq r5,zero,28698 <_Bfree+0x24> - 28678: 28c00117 ldw r3,4(r5) - 2867c: 20801317 ldw r2,76(r4) - 28680: 18c7883a add r3,r3,r3 - 28684: 18c7883a add r3,r3,r3 - 28688: 10c5883a add r2,r2,r3 - 2868c: 10c00017 ldw r3,0(r2) - 28690: 28c00015 stw r3,0(r5) - 28694: 11400015 stw r5,0(r2) - 28698: f800283a ret +000285f8 <__locale_mb_cur_max>: + 285f8: 008000f4 movhi r2,3 + 285fc: 1093a204 addi r2,r2,20104 + 28600: 10800017 ldw r2,0(r2) + 28604: f800283a ret -0002869c <__multadd>: - 2869c: defffa04 addi sp,sp,-24 - 286a0: dc800315 stw r18,12(sp) - 286a4: dc400215 stw r17,8(sp) - 286a8: dc000115 stw r16,4(sp) - 286ac: 2823883a mov r17,r5 - 286b0: 2c000417 ldw r16,16(r5) - 286b4: dfc00515 stw ra,20(sp) - 286b8: dcc00415 stw r19,16(sp) - 286bc: 2025883a mov r18,r4 - 286c0: 29400504 addi r5,r5,20 - 286c4: 0011883a mov r8,zero - 286c8: 28c00017 ldw r3,0(r5) - 286cc: 29400104 addi r5,r5,4 - 286d0: 42000044 addi r8,r8,1 - 286d4: 18bfffcc andi r2,r3,65535 - 286d8: 1185383a mul r2,r2,r6 - 286dc: 1806d43a srli r3,r3,16 - 286e0: 11cf883a add r7,r2,r7 - 286e4: 3808d43a srli r4,r7,16 - 286e8: 1987383a mul r3,r3,r6 - 286ec: 38bfffcc andi r2,r7,65535 - 286f0: 1907883a add r3,r3,r4 - 286f4: 1808943a slli r4,r3,16 - 286f8: 180ed43a srli r7,r3,16 - 286fc: 2085883a add r2,r4,r2 - 28700: 28bfff15 stw r2,-4(r5) - 28704: 443ff016 blt r8,r16,286c8 <_gp+0xfffec190> - 28708: 38000926 beq r7,zero,28730 <__multadd+0x94> - 2870c: 88800217 ldw r2,8(r17) - 28710: 80800f0e bge r16,r2,28750 <__multadd+0xb4> - 28714: 80800144 addi r2,r16,5 - 28718: 1085883a add r2,r2,r2 - 2871c: 1085883a add r2,r2,r2 - 28720: 8885883a add r2,r17,r2 - 28724: 11c00015 stw r7,0(r2) - 28728: 84000044 addi r16,r16,1 - 2872c: 8c000415 stw r16,16(r17) - 28730: 8805883a mov r2,r17 - 28734: dfc00517 ldw ra,20(sp) - 28738: dcc00417 ldw r19,16(sp) - 2873c: dc800317 ldw r18,12(sp) - 28740: dc400217 ldw r17,8(sp) - 28744: dc000117 ldw r16,4(sp) - 28748: dec00604 addi sp,sp,24 - 2874c: f800283a ret - 28750: 89400117 ldw r5,4(r17) - 28754: 9009883a mov r4,r18 - 28758: d9c00015 stw r7,0(sp) - 2875c: 29400044 addi r5,r5,1 - 28760: 00285cc0 call 285cc <_Balloc> - 28764: 89800417 ldw r6,16(r17) - 28768: 89400304 addi r5,r17,12 - 2876c: 11000304 addi r4,r2,12 - 28770: 31800084 addi r6,r6,2 - 28774: 318d883a add r6,r6,r6 - 28778: 318d883a add r6,r6,r6 - 2877c: 1027883a mov r19,r2 - 28780: 00283280 call 28328 - 28784: d9c00017 ldw r7,0(sp) - 28788: 88000a26 beq r17,zero,287b4 <__multadd+0x118> - 2878c: 88c00117 ldw r3,4(r17) - 28790: 90801317 ldw r2,76(r18) - 28794: 18c7883a add r3,r3,r3 - 28798: 18c7883a add r3,r3,r3 - 2879c: 10c5883a add r2,r2,r3 - 287a0: 10c00017 ldw r3,0(r2) - 287a4: 88c00015 stw r3,0(r17) - 287a8: 14400015 stw r17,0(r2) - 287ac: 9823883a mov r17,r19 - 287b0: 003fd806 br 28714 <_gp+0xfffec1dc> - 287b4: 9823883a mov r17,r19 - 287b8: 003fd606 br 28714 <_gp+0xfffec1dc> +00028608 <__locale_msgcharset>: + 28608: 008000f4 movhi r2,3 + 2860c: 108efb04 addi r2,r2,15340 + 28610: f800283a ret -000287bc <__s2b>: - 287bc: defff904 addi sp,sp,-28 - 287c0: dc400115 stw r17,4(sp) - 287c4: dc000015 stw r16,0(sp) - 287c8: 2023883a mov r17,r4 - 287cc: 2821883a mov r16,r5 - 287d0: 39000204 addi r4,r7,8 - 287d4: 01400244 movi r5,9 - 287d8: dcc00315 stw r19,12(sp) - 287dc: dc800215 stw r18,8(sp) - 287e0: dfc00615 stw ra,24(sp) - 287e4: dd400515 stw r21,20(sp) - 287e8: dd000415 stw r20,16(sp) - 287ec: 3825883a mov r18,r7 - 287f0: 3027883a mov r19,r6 - 287f4: 00224e40 call 224e4 <__divsi3> - 287f8: 00c00044 movi r3,1 - 287fc: 000b883a mov r5,zero - 28800: 1880030e bge r3,r2,28810 <__s2b+0x54> - 28804: 18c7883a add r3,r3,r3 - 28808: 29400044 addi r5,r5,1 - 2880c: 18bffd16 blt r3,r2,28804 <_gp+0xfffec2cc> - 28810: 8809883a mov r4,r17 - 28814: 00285cc0 call 285cc <_Balloc> - 28818: d8c00717 ldw r3,28(sp) - 2881c: 10c00515 stw r3,20(r2) - 28820: 00c00044 movi r3,1 - 28824: 10c00415 stw r3,16(r2) - 28828: 00c00244 movi r3,9 - 2882c: 1cc0210e bge r3,r19,288b4 <__s2b+0xf8> - 28830: 80eb883a add r21,r16,r3 - 28834: a829883a mov r20,r21 - 28838: 84e1883a add r16,r16,r19 - 2883c: a1c00007 ldb r7,0(r20) - 28840: 01800284 movi r6,10 - 28844: a5000044 addi r20,r20,1 - 28848: 100b883a mov r5,r2 - 2884c: 39fff404 addi r7,r7,-48 - 28850: 8809883a mov r4,r17 - 28854: 002869c0 call 2869c <__multadd> - 28858: a43ff81e bne r20,r16,2883c <_gp+0xfffec304> - 2885c: ace1883a add r16,r21,r19 - 28860: 843ffe04 addi r16,r16,-8 - 28864: 9c800a0e bge r19,r18,28890 <__s2b+0xd4> - 28868: 94e5c83a sub r18,r18,r19 - 2886c: 84a5883a add r18,r16,r18 - 28870: 81c00007 ldb r7,0(r16) - 28874: 01800284 movi r6,10 - 28878: 84000044 addi r16,r16,1 - 2887c: 100b883a mov r5,r2 - 28880: 39fff404 addi r7,r7,-48 - 28884: 8809883a mov r4,r17 - 28888: 002869c0 call 2869c <__multadd> - 2888c: 84bff81e bne r16,r18,28870 <_gp+0xfffec338> - 28890: dfc00617 ldw ra,24(sp) - 28894: dd400517 ldw r21,20(sp) - 28898: dd000417 ldw r20,16(sp) - 2889c: dcc00317 ldw r19,12(sp) - 288a0: dc800217 ldw r18,8(sp) - 288a4: dc400117 ldw r17,4(sp) - 288a8: dc000017 ldw r16,0(sp) - 288ac: dec00704 addi sp,sp,28 - 288b0: f800283a ret - 288b4: 84000284 addi r16,r16,10 - 288b8: 1827883a mov r19,r3 - 288bc: 003fe906 br 28864 <_gp+0xfffec32c> +00028614 <__locale_cjk_lang>: + 28614: 0005883a mov r2,zero + 28618: f800283a ret -000288c0 <__hi0bits>: - 288c0: 20bfffec andhi r2,r4,65535 - 288c4: 1000141e bne r2,zero,28918 <__hi0bits+0x58> - 288c8: 2008943a slli r4,r4,16 - 288cc: 00800404 movi r2,16 - 288d0: 20ffc02c andhi r3,r4,65280 - 288d4: 1800021e bne r3,zero,288e0 <__hi0bits+0x20> - 288d8: 2008923a slli r4,r4,8 - 288dc: 10800204 addi r2,r2,8 - 288e0: 20fc002c andhi r3,r4,61440 - 288e4: 1800021e bne r3,zero,288f0 <__hi0bits+0x30> - 288e8: 2008913a slli r4,r4,4 - 288ec: 10800104 addi r2,r2,4 - 288f0: 20f0002c andhi r3,r4,49152 - 288f4: 1800031e bne r3,zero,28904 <__hi0bits+0x44> - 288f8: 2109883a add r4,r4,r4 - 288fc: 10800084 addi r2,r2,2 - 28900: 2109883a add r4,r4,r4 - 28904: 20000316 blt r4,zero,28914 <__hi0bits+0x54> - 28908: 2110002c andhi r4,r4,16384 - 2890c: 2000041e bne r4,zero,28920 <__hi0bits+0x60> - 28910: 00800804 movi r2,32 - 28914: f800283a ret - 28918: 0005883a mov r2,zero - 2891c: 003fec06 br 288d0 <_gp+0xfffec398> - 28920: 10800044 addi r2,r2,1 - 28924: f800283a ret +0002861c <_localeconv_r>: + 2861c: 008000f4 movhi r2,3 + 28620: 108f0b04 addi r2,r2,15404 + 28624: f800283a ret -00028928 <__lo0bits>: - 28928: 20c00017 ldw r3,0(r4) - 2892c: 188001cc andi r2,r3,7 - 28930: 10000826 beq r2,zero,28954 <__lo0bits+0x2c> - 28934: 1880004c andi r2,r3,1 - 28938: 1000211e bne r2,zero,289c0 <__lo0bits+0x98> - 2893c: 1880008c andi r2,r3,2 - 28940: 1000211e bne r2,zero,289c8 <__lo0bits+0xa0> - 28944: 1806d0ba srli r3,r3,2 - 28948: 00800084 movi r2,2 - 2894c: 20c00015 stw r3,0(r4) - 28950: f800283a ret - 28954: 18bfffcc andi r2,r3,65535 - 28958: 10001326 beq r2,zero,289a8 <__lo0bits+0x80> - 2895c: 0005883a mov r2,zero - 28960: 19403fcc andi r5,r3,255 - 28964: 2800021e bne r5,zero,28970 <__lo0bits+0x48> - 28968: 1806d23a srli r3,r3,8 - 2896c: 10800204 addi r2,r2,8 - 28970: 194003cc andi r5,r3,15 - 28974: 2800021e bne r5,zero,28980 <__lo0bits+0x58> - 28978: 1806d13a srli r3,r3,4 - 2897c: 10800104 addi r2,r2,4 - 28980: 194000cc andi r5,r3,3 - 28984: 2800021e bne r5,zero,28990 <__lo0bits+0x68> - 28988: 1806d0ba srli r3,r3,2 - 2898c: 10800084 addi r2,r2,2 - 28990: 1940004c andi r5,r3,1 - 28994: 2800081e bne r5,zero,289b8 <__lo0bits+0x90> - 28998: 1806d07a srli r3,r3,1 - 2899c: 1800051e bne r3,zero,289b4 <__lo0bits+0x8c> - 289a0: 00800804 movi r2,32 - 289a4: f800283a ret - 289a8: 1806d43a srli r3,r3,16 - 289ac: 00800404 movi r2,16 - 289b0: 003feb06 br 28960 <_gp+0xfffec428> - 289b4: 10800044 addi r2,r2,1 - 289b8: 20c00015 stw r3,0(r4) - 289bc: f800283a ret - 289c0: 0005883a mov r2,zero - 289c4: f800283a ret - 289c8: 1806d07a srli r3,r3,1 - 289cc: 00800044 movi r2,1 - 289d0: 20c00015 stw r3,0(r4) - 289d4: f800283a ret +00028628 : + 28628: 008000f4 movhi r2,3 + 2862c: 10939f04 addi r2,r2,20092 + 28630: 280d883a mov r6,r5 + 28634: 200b883a mov r5,r4 + 28638: 11000017 ldw r4,0(r2) + 2863c: 00285701 jmpi 28570 <_setlocale_r> -000289d8 <__i2b>: - 289d8: defffd04 addi sp,sp,-12 - 289dc: dc000015 stw r16,0(sp) - 289e0: 04000044 movi r16,1 - 289e4: dc400115 stw r17,4(sp) - 289e8: 2823883a mov r17,r5 - 289ec: 800b883a mov r5,r16 - 289f0: dfc00215 stw ra,8(sp) - 289f4: 00285cc0 call 285cc <_Balloc> - 289f8: 14400515 stw r17,20(r2) - 289fc: 14000415 stw r16,16(r2) - 28a00: dfc00217 ldw ra,8(sp) - 28a04: dc400117 ldw r17,4(sp) - 28a08: dc000017 ldw r16,0(sp) - 28a0c: dec00304 addi sp,sp,12 - 28a10: f800283a ret +00028640 : + 28640: 008000f4 movhi r2,3 + 28644: 108f0b04 addi r2,r2,15404 + 28648: f800283a ret -00028a14 <__multiply>: - 28a14: defffa04 addi sp,sp,-24 - 28a18: dcc00315 stw r19,12(sp) - 28a1c: dc800215 stw r18,8(sp) - 28a20: 34c00417 ldw r19,16(r6) - 28a24: 2c800417 ldw r18,16(r5) - 28a28: dd000415 stw r20,16(sp) - 28a2c: dc400115 stw r17,4(sp) - 28a30: dfc00515 stw ra,20(sp) - 28a34: dc000015 stw r16,0(sp) - 28a38: 2829883a mov r20,r5 - 28a3c: 3023883a mov r17,r6 - 28a40: 94c0050e bge r18,r19,28a58 <__multiply+0x44> - 28a44: 9007883a mov r3,r18 - 28a48: 3029883a mov r20,r6 - 28a4c: 9825883a mov r18,r19 - 28a50: 2823883a mov r17,r5 - 28a54: 1827883a mov r19,r3 - 28a58: a0800217 ldw r2,8(r20) - 28a5c: 94e1883a add r16,r18,r19 - 28a60: a1400117 ldw r5,4(r20) - 28a64: 1400010e bge r2,r16,28a6c <__multiply+0x58> - 28a68: 29400044 addi r5,r5,1 - 28a6c: 00285cc0 call 285cc <_Balloc> - 28a70: 8415883a add r10,r16,r16 - 28a74: 12c00504 addi r11,r2,20 - 28a78: 5295883a add r10,r10,r10 - 28a7c: 5a95883a add r10,r11,r10 - 28a80: 5807883a mov r3,r11 - 28a84: 5a80032e bgeu r11,r10,28a94 <__multiply+0x80> - 28a88: 18000015 stw zero,0(r3) - 28a8c: 18c00104 addi r3,r3,4 - 28a90: 1abffd36 bltu r3,r10,28a88 <_gp+0xfffec550> - 28a94: 9ce7883a add r19,r19,r19 - 28a98: 94a5883a add r18,r18,r18 - 28a9c: 89800504 addi r6,r17,20 - 28aa0: 9ce7883a add r19,r19,r19 - 28aa4: a3400504 addi r13,r20,20 - 28aa8: 94a5883a add r18,r18,r18 - 28aac: 34d9883a add r12,r6,r19 - 28ab0: 6c93883a add r9,r13,r18 - 28ab4: 3300422e bgeu r6,r12,28bc0 <__multiply+0x1ac> - 28ab8: 37c00017 ldw ra,0(r6) - 28abc: fbffffcc andi r15,ra,65535 - 28ac0: 78001b26 beq r15,zero,28b30 <__multiply+0x11c> - 28ac4: 5811883a mov r8,r11 - 28ac8: 681d883a mov r14,r13 - 28acc: 000f883a mov r7,zero - 28ad0: 71000017 ldw r4,0(r14) - 28ad4: 40c00017 ldw r3,0(r8) - 28ad8: 73800104 addi r14,r14,4 - 28adc: 217fffcc andi r5,r4,65535 - 28ae0: 2bcb383a mul r5,r5,r15 - 28ae4: 2008d43a srli r4,r4,16 - 28ae8: 1c7fffcc andi r17,r3,65535 - 28aec: 2c4b883a add r5,r5,r17 - 28af0: 29cb883a add r5,r5,r7 - 28af4: 23c9383a mul r4,r4,r15 - 28af8: 1806d43a srli r3,r3,16 - 28afc: 280ed43a srli r7,r5,16 - 28b00: 297fffcc andi r5,r5,65535 - 28b04: 20c7883a add r3,r4,r3 - 28b08: 19c7883a add r3,r3,r7 - 28b0c: 1808943a slli r4,r3,16 - 28b10: 4023883a mov r17,r8 - 28b14: 180ed43a srli r7,r3,16 - 28b18: 214ab03a or r5,r4,r5 - 28b1c: 41400015 stw r5,0(r8) - 28b20: 42000104 addi r8,r8,4 - 28b24: 727fea36 bltu r14,r9,28ad0 <_gp+0xfffec598> - 28b28: 89c00115 stw r7,4(r17) - 28b2c: 37c00017 ldw ra,0(r6) - 28b30: f83ed43a srli ra,ra,16 - 28b34: f8001f26 beq ra,zero,28bb4 <__multiply+0x1a0> - 28b38: 58c00017 ldw r3,0(r11) - 28b3c: 681d883a mov r14,r13 - 28b40: 581f883a mov r15,r11 - 28b44: 1811883a mov r8,r3 - 28b48: 5825883a mov r18,r11 - 28b4c: 000f883a mov r7,zero - 28b50: 00000106 br 28b58 <__multiply+0x144> - 28b54: 8825883a mov r18,r17 - 28b58: 7140000b ldhu r5,0(r14) - 28b5c: 4010d43a srli r8,r8,16 - 28b60: 193fffcc andi r4,r3,65535 - 28b64: 2fcb383a mul r5,r5,ra - 28b68: 7bc00104 addi r15,r15,4 - 28b6c: 73800104 addi r14,r14,4 - 28b70: 2a0b883a add r5,r5,r8 - 28b74: 29cb883a add r5,r5,r7 - 28b78: 2806943a slli r3,r5,16 - 28b7c: 94400104 addi r17,r18,4 - 28b80: 280ad43a srli r5,r5,16 - 28b84: 1908b03a or r4,r3,r4 - 28b88: 793fff15 stw r4,-4(r15) - 28b8c: 70ffff17 ldw r3,-4(r14) - 28b90: 8a000017 ldw r8,0(r17) - 28b94: 1806d43a srli r3,r3,16 - 28b98: 413fffcc andi r4,r8,65535 - 28b9c: 1fc7383a mul r3,r3,ra - 28ba0: 1907883a add r3,r3,r4 - 28ba4: 1947883a add r3,r3,r5 - 28ba8: 180ed43a srli r7,r3,16 - 28bac: 727fe936 bltu r14,r9,28b54 <_gp+0xfffec61c> - 28bb0: 90c00115 stw r3,4(r18) - 28bb4: 31800104 addi r6,r6,4 - 28bb8: 5ac00104 addi r11,r11,4 - 28bbc: 333fbe36 bltu r6,r12,28ab8 <_gp+0xfffec580> - 28bc0: 0400090e bge zero,r16,28be8 <__multiply+0x1d4> - 28bc4: 50ffff17 ldw r3,-4(r10) - 28bc8: 52bfff04 addi r10,r10,-4 - 28bcc: 18000326 beq r3,zero,28bdc <__multiply+0x1c8> - 28bd0: 00000506 br 28be8 <__multiply+0x1d4> - 28bd4: 50c00017 ldw r3,0(r10) - 28bd8: 1800031e bne r3,zero,28be8 <__multiply+0x1d4> - 28bdc: 843fffc4 addi r16,r16,-1 - 28be0: 52bfff04 addi r10,r10,-4 - 28be4: 803ffb1e bne r16,zero,28bd4 <_gp+0xfffec69c> - 28be8: 14000415 stw r16,16(r2) - 28bec: dfc00517 ldw ra,20(sp) - 28bf0: dd000417 ldw r20,16(sp) - 28bf4: dcc00317 ldw r19,12(sp) - 28bf8: dc800217 ldw r18,8(sp) - 28bfc: dc400117 ldw r17,4(sp) - 28c00: dc000017 ldw r16,0(sp) - 28c04: dec00604 addi sp,sp,24 - 28c08: f800283a ret +0002864c <__smakebuf_r>: + 2864c: 2880030b ldhu r2,12(r5) + 28650: 10c0008c andi r3,r2,2 + 28654: 1800411e bne r3,zero,2875c <__smakebuf_r+0x110> + 28658: deffec04 addi sp,sp,-80 + 2865c: dc000f15 stw r16,60(sp) + 28660: 2821883a mov r16,r5 + 28664: 2940038f ldh r5,14(r5) + 28668: dc401015 stw r17,64(sp) + 2866c: dfc01315 stw ra,76(sp) + 28670: dcc01215 stw r19,72(sp) + 28674: dc801115 stw r18,68(sp) + 28678: 2023883a mov r17,r4 + 2867c: 28001c16 blt r5,zero,286f0 <__smakebuf_r+0xa4> + 28680: d80d883a mov r6,sp + 28684: 002c2f80 call 2c2f8 <_fstat_r> + 28688: 10001816 blt r2,zero,286ec <__smakebuf_r+0xa0> + 2868c: d8800117 ldw r2,4(sp) + 28690: 00e00014 movui r3,32768 + 28694: 10bc000c andi r2,r2,61440 + 28698: 14c80020 cmpeqi r19,r2,8192 + 2869c: 10c03726 beq r2,r3,2877c <__smakebuf_r+0x130> + 286a0: 80c0030b ldhu r3,12(r16) + 286a4: 18c20014 ori r3,r3,2048 + 286a8: 80c0030d sth r3,12(r16) + 286ac: 00c80004 movi r3,8192 + 286b0: 10c0521e bne r2,r3,287fc <__smakebuf_r+0x1b0> + 286b4: 8140038f ldh r5,14(r16) + 286b8: 8809883a mov r4,r17 + 286bc: 002c3540 call 2c354 <_isatty_r> + 286c0: 10004c26 beq r2,zero,287f4 <__smakebuf_r+0x1a8> + 286c4: 8080030b ldhu r2,12(r16) + 286c8: 80c010c4 addi r3,r16,67 + 286cc: 80c00015 stw r3,0(r16) + 286d0: 10800054 ori r2,r2,1 + 286d4: 8080030d sth r2,12(r16) + 286d8: 00800044 movi r2,1 + 286dc: 80c00415 stw r3,16(r16) + 286e0: 80800515 stw r2,20(r16) + 286e4: 04810004 movi r18,1024 + 286e8: 00000706 br 28708 <__smakebuf_r+0xbc> + 286ec: 8080030b ldhu r2,12(r16) + 286f0: 10c0200c andi r3,r2,128 + 286f4: 18001f1e bne r3,zero,28774 <__smakebuf_r+0x128> + 286f8: 04810004 movi r18,1024 + 286fc: 10820014 ori r2,r2,2048 + 28700: 8080030d sth r2,12(r16) + 28704: 0027883a mov r19,zero + 28708: 900b883a mov r5,r18 + 2870c: 8809883a mov r4,r17 + 28710: 0022bfc0 call 22bfc <_malloc_r> + 28714: 10002c26 beq r2,zero,287c8 <__smakebuf_r+0x17c> + 28718: 80c0030b ldhu r3,12(r16) + 2871c: 010000b4 movhi r4,2 + 28720: 211dd804 addi r4,r4,30560 + 28724: 89000f15 stw r4,60(r17) + 28728: 18c02014 ori r3,r3,128 + 2872c: 80c0030d sth r3,12(r16) + 28730: 80800015 stw r2,0(r16) + 28734: 80800415 stw r2,16(r16) + 28738: 84800515 stw r18,20(r16) + 2873c: 98001a1e bne r19,zero,287a8 <__smakebuf_r+0x15c> + 28740: dfc01317 ldw ra,76(sp) + 28744: dcc01217 ldw r19,72(sp) + 28748: dc801117 ldw r18,68(sp) + 2874c: dc401017 ldw r17,64(sp) + 28750: dc000f17 ldw r16,60(sp) + 28754: dec01404 addi sp,sp,80 + 28758: f800283a ret + 2875c: 288010c4 addi r2,r5,67 + 28760: 28800015 stw r2,0(r5) + 28764: 28800415 stw r2,16(r5) + 28768: 00800044 movi r2,1 + 2876c: 28800515 stw r2,20(r5) + 28770: f800283a ret + 28774: 04801004 movi r18,64 + 28778: 003fe006 br 286fc <_gp+0xfffeb890> + 2877c: 81000a17 ldw r4,40(r16) + 28780: 00c000f4 movhi r3,3 + 28784: 18e96504 addi r3,r3,-23148 + 28788: 20ffc51e bne r4,r3,286a0 <_gp+0xfffeb834> + 2878c: 8080030b ldhu r2,12(r16) + 28790: 04810004 movi r18,1024 + 28794: 84801315 stw r18,76(r16) + 28798: 1484b03a or r2,r2,r18 + 2879c: 8080030d sth r2,12(r16) + 287a0: 0027883a mov r19,zero + 287a4: 003fd806 br 28708 <_gp+0xfffeb89c> + 287a8: 8140038f ldh r5,14(r16) + 287ac: 8809883a mov r4,r17 + 287b0: 002c3540 call 2c354 <_isatty_r> + 287b4: 103fe226 beq r2,zero,28740 <_gp+0xfffeb8d4> + 287b8: 8080030b ldhu r2,12(r16) + 287bc: 10800054 ori r2,r2,1 + 287c0: 8080030d sth r2,12(r16) + 287c4: 003fde06 br 28740 <_gp+0xfffeb8d4> + 287c8: 8080030b ldhu r2,12(r16) + 287cc: 10c0800c andi r3,r2,512 + 287d0: 183fdb1e bne r3,zero,28740 <_gp+0xfffeb8d4> + 287d4: 10800094 ori r2,r2,2 + 287d8: 80c010c4 addi r3,r16,67 + 287dc: 8080030d sth r2,12(r16) + 287e0: 00800044 movi r2,1 + 287e4: 80c00015 stw r3,0(r16) + 287e8: 80c00415 stw r3,16(r16) + 287ec: 80800515 stw r2,20(r16) + 287f0: 003fd306 br 28740 <_gp+0xfffeb8d4> + 287f4: 04810004 movi r18,1024 + 287f8: 003fc306 br 28708 <_gp+0xfffeb89c> + 287fc: 0027883a mov r19,zero + 28800: 04810004 movi r18,1024 + 28804: 003fc006 br 28708 <_gp+0xfffeb89c> -00028c0c <__pow5mult>: - 28c0c: defffa04 addi sp,sp,-24 - 28c10: dcc00315 stw r19,12(sp) - 28c14: dc000015 stw r16,0(sp) - 28c18: dfc00515 stw ra,20(sp) - 28c1c: dd000415 stw r20,16(sp) - 28c20: dc800215 stw r18,8(sp) - 28c24: dc400115 stw r17,4(sp) - 28c28: 308000cc andi r2,r6,3 - 28c2c: 3021883a mov r16,r6 - 28c30: 2027883a mov r19,r4 - 28c34: 10002f1e bne r2,zero,28cf4 <__pow5mult+0xe8> - 28c38: 2825883a mov r18,r5 - 28c3c: 8021d0ba srai r16,r16,2 - 28c40: 80001a26 beq r16,zero,28cac <__pow5mult+0xa0> - 28c44: 9c401217 ldw r17,72(r19) - 28c48: 8800061e bne r17,zero,28c64 <__pow5mult+0x58> - 28c4c: 00003406 br 28d20 <__pow5mult+0x114> - 28c50: 8021d07a srai r16,r16,1 - 28c54: 80001526 beq r16,zero,28cac <__pow5mult+0xa0> - 28c58: 88800017 ldw r2,0(r17) - 28c5c: 10001c26 beq r2,zero,28cd0 <__pow5mult+0xc4> - 28c60: 1023883a mov r17,r2 - 28c64: 8080004c andi r2,r16,1 - 28c68: 103ff926 beq r2,zero,28c50 <_gp+0xfffec718> - 28c6c: 880d883a mov r6,r17 - 28c70: 900b883a mov r5,r18 - 28c74: 9809883a mov r4,r19 - 28c78: 0028a140 call 28a14 <__multiply> - 28c7c: 90001b26 beq r18,zero,28cec <__pow5mult+0xe0> - 28c80: 91000117 ldw r4,4(r18) - 28c84: 98c01317 ldw r3,76(r19) - 28c88: 8021d07a srai r16,r16,1 - 28c8c: 2109883a add r4,r4,r4 - 28c90: 2109883a add r4,r4,r4 - 28c94: 1907883a add r3,r3,r4 - 28c98: 19000017 ldw r4,0(r3) - 28c9c: 91000015 stw r4,0(r18) - 28ca0: 1c800015 stw r18,0(r3) - 28ca4: 1025883a mov r18,r2 - 28ca8: 803feb1e bne r16,zero,28c58 <_gp+0xfffec720> - 28cac: 9005883a mov r2,r18 - 28cb0: dfc00517 ldw ra,20(sp) - 28cb4: dd000417 ldw r20,16(sp) - 28cb8: dcc00317 ldw r19,12(sp) - 28cbc: dc800217 ldw r18,8(sp) - 28cc0: dc400117 ldw r17,4(sp) - 28cc4: dc000017 ldw r16,0(sp) - 28cc8: dec00604 addi sp,sp,24 - 28ccc: f800283a ret - 28cd0: 880d883a mov r6,r17 - 28cd4: 880b883a mov r5,r17 - 28cd8: 9809883a mov r4,r19 - 28cdc: 0028a140 call 28a14 <__multiply> - 28ce0: 88800015 stw r2,0(r17) - 28ce4: 10000015 stw zero,0(r2) - 28ce8: 003fdd06 br 28c60 <_gp+0xfffec728> - 28cec: 1025883a mov r18,r2 - 28cf0: 003fd706 br 28c50 <_gp+0xfffec718> - 28cf4: 10bfffc4 addi r2,r2,-1 - 28cf8: 1085883a add r2,r2,r2 - 28cfc: 00c000f4 movhi r3,3 - 28d00: 18c81d04 addi r3,r3,8308 - 28d04: 1085883a add r2,r2,r2 - 28d08: 1885883a add r2,r3,r2 - 28d0c: 11800017 ldw r6,0(r2) - 28d10: 000f883a mov r7,zero - 28d14: 002869c0 call 2869c <__multadd> - 28d18: 1025883a mov r18,r2 - 28d1c: 003fc706 br 28c3c <_gp+0xfffec704> - 28d20: 05000044 movi r20,1 - 28d24: a00b883a mov r5,r20 - 28d28: 9809883a mov r4,r19 - 28d2c: 00285cc0 call 285cc <_Balloc> - 28d30: 1023883a mov r17,r2 - 28d34: 00809c44 movi r2,625 - 28d38: 88800515 stw r2,20(r17) - 28d3c: 8d000415 stw r20,16(r17) - 28d40: 9c401215 stw r17,72(r19) - 28d44: 88000015 stw zero,0(r17) - 28d48: 003fc606 br 28c64 <_gp+0xfffec72c> +00028808 : + 28808: 208000cc andi r2,r4,3 + 2880c: 280f883a mov r7,r5 + 28810: 10003426 beq r2,zero,288e4 + 28814: 30bfffc4 addi r2,r6,-1 + 28818: 30001a26 beq r6,zero,28884 + 2881c: 20c00003 ldbu r3,0(r4) + 28820: 29803fcc andi r6,r5,255 + 28824: 30c0051e bne r6,r3,2883c + 28828: 00001806 br 2888c + 2882c: 10001526 beq r2,zero,28884 + 28830: 20c00003 ldbu r3,0(r4) + 28834: 10bfffc4 addi r2,r2,-1 + 28838: 30c01426 beq r6,r3,2888c + 2883c: 21000044 addi r4,r4,1 + 28840: 20c000cc andi r3,r4,3 + 28844: 183ff91e bne r3,zero,2882c <_gp+0xfffeb9c0> + 28848: 020000c4 movi r8,3 + 2884c: 40801136 bltu r8,r2,28894 + 28850: 10000c26 beq r2,zero,28884 + 28854: 20c00003 ldbu r3,0(r4) + 28858: 29403fcc andi r5,r5,255 + 2885c: 28c00b26 beq r5,r3,2888c + 28860: 20c00044 addi r3,r4,1 + 28864: 39803fcc andi r6,r7,255 + 28868: 2089883a add r4,r4,r2 + 2886c: 00000306 br 2887c + 28870: 18c00044 addi r3,r3,1 + 28874: 197fffc3 ldbu r5,-1(r3) + 28878: 31400526 beq r6,r5,28890 + 2887c: 1805883a mov r2,r3 + 28880: 20fffb1e bne r4,r3,28870 <_gp+0xfffeba04> + 28884: 0005883a mov r2,zero + 28888: f800283a ret + 2888c: 2005883a mov r2,r4 + 28890: f800283a ret + 28894: 28c03fcc andi r3,r5,255 + 28898: 1812923a slli r9,r3,8 + 2889c: 02ffbff4 movhi r11,65279 + 288a0: 02a02074 movhi r10,32897 + 288a4: 48d2b03a or r9,r9,r3 + 288a8: 4806943a slli r3,r9,16 + 288ac: 5affbfc4 addi r11,r11,-257 + 288b0: 52a02004 addi r10,r10,-32640 + 288b4: 48d2b03a or r9,r9,r3 + 288b8: 20c00017 ldw r3,0(r4) + 288bc: 48c6f03a xor r3,r9,r3 + 288c0: 1acd883a add r6,r3,r11 + 288c4: 00c6303a nor r3,zero,r3 + 288c8: 30c6703a and r3,r6,r3 + 288cc: 1a86703a and r3,r3,r10 + 288d0: 183fe01e bne r3,zero,28854 <_gp+0xfffeb9e8> + 288d4: 10bfff04 addi r2,r2,-4 + 288d8: 21000104 addi r4,r4,4 + 288dc: 40bff636 bltu r8,r2,288b8 <_gp+0xfffeba4c> + 288e0: 003fdb06 br 28850 <_gp+0xfffeb9e4> + 288e4: 3005883a mov r2,r6 + 288e8: 003fd706 br 28848 <_gp+0xfffeb9dc> -00028d4c <__lshift>: - 28d4c: defff904 addi sp,sp,-28 - 28d50: dd400515 stw r21,20(sp) - 28d54: dcc00315 stw r19,12(sp) - 28d58: 302bd17a srai r21,r6,5 - 28d5c: 2cc00417 ldw r19,16(r5) - 28d60: 28800217 ldw r2,8(r5) - 28d64: dd000415 stw r20,16(sp) - 28d68: ace7883a add r19,r21,r19 - 28d6c: dc800215 stw r18,8(sp) - 28d70: dc400115 stw r17,4(sp) - 28d74: dc000015 stw r16,0(sp) - 28d78: dfc00615 stw ra,24(sp) - 28d7c: 9c000044 addi r16,r19,1 - 28d80: 2823883a mov r17,r5 - 28d84: 3029883a mov r20,r6 - 28d88: 2025883a mov r18,r4 - 28d8c: 29400117 ldw r5,4(r5) - 28d90: 1400030e bge r2,r16,28da0 <__lshift+0x54> - 28d94: 1085883a add r2,r2,r2 - 28d98: 29400044 addi r5,r5,1 - 28d9c: 143ffd16 blt r2,r16,28d94 <_gp+0xfffec85c> - 28da0: 9009883a mov r4,r18 - 28da4: 00285cc0 call 285cc <_Balloc> - 28da8: 10c00504 addi r3,r2,20 - 28dac: 0540070e bge zero,r21,28dcc <__lshift+0x80> - 28db0: ad6b883a add r21,r21,r21 - 28db4: ad6b883a add r21,r21,r21 - 28db8: 1809883a mov r4,r3 - 28dbc: 1d47883a add r3,r3,r21 - 28dc0: 20000015 stw zero,0(r4) - 28dc4: 21000104 addi r4,r4,4 - 28dc8: 193ffd1e bne r3,r4,28dc0 <_gp+0xfffec888> - 28dcc: 8a000417 ldw r8,16(r17) - 28dd0: 89000504 addi r4,r17,20 - 28dd4: a18007cc andi r6,r20,31 - 28dd8: 4211883a add r8,r8,r8 - 28ddc: 4211883a add r8,r8,r8 - 28de0: 2211883a add r8,r4,r8 - 28de4: 30002326 beq r6,zero,28e74 <__lshift+0x128> - 28de8: 02400804 movi r9,32 - 28dec: 4993c83a sub r9,r9,r6 - 28df0: 000b883a mov r5,zero - 28df4: 21c00017 ldw r7,0(r4) - 28df8: 1815883a mov r10,r3 - 28dfc: 18c00104 addi r3,r3,4 - 28e00: 398e983a sll r7,r7,r6 - 28e04: 21000104 addi r4,r4,4 - 28e08: 394ab03a or r5,r7,r5 - 28e0c: 197fff15 stw r5,-4(r3) - 28e10: 217fff17 ldw r5,-4(r4) - 28e14: 2a4ad83a srl r5,r5,r9 - 28e18: 223ff636 bltu r4,r8,28df4 <_gp+0xfffec8bc> - 28e1c: 51400115 stw r5,4(r10) - 28e20: 28001a1e bne r5,zero,28e8c <__lshift+0x140> - 28e24: 843fffc4 addi r16,r16,-1 - 28e28: 14000415 stw r16,16(r2) - 28e2c: 88000826 beq r17,zero,28e50 <__lshift+0x104> - 28e30: 89000117 ldw r4,4(r17) - 28e34: 90c01317 ldw r3,76(r18) - 28e38: 2109883a add r4,r4,r4 - 28e3c: 2109883a add r4,r4,r4 - 28e40: 1907883a add r3,r3,r4 - 28e44: 19000017 ldw r4,0(r3) - 28e48: 89000015 stw r4,0(r17) - 28e4c: 1c400015 stw r17,0(r3) - 28e50: dfc00617 ldw ra,24(sp) - 28e54: dd400517 ldw r21,20(sp) - 28e58: dd000417 ldw r20,16(sp) - 28e5c: dcc00317 ldw r19,12(sp) - 28e60: dc800217 ldw r18,8(sp) - 28e64: dc400117 ldw r17,4(sp) - 28e68: dc000017 ldw r16,0(sp) - 28e6c: dec00704 addi sp,sp,28 - 28e70: f800283a ret - 28e74: 21400017 ldw r5,0(r4) - 28e78: 18c00104 addi r3,r3,4 - 28e7c: 21000104 addi r4,r4,4 - 28e80: 197fff15 stw r5,-4(r3) - 28e84: 223ffb36 bltu r4,r8,28e74 <_gp+0xfffec93c> - 28e88: 003fe606 br 28e24 <_gp+0xfffec8ec> - 28e8c: 9c000084 addi r16,r19,2 - 28e90: 003fe406 br 28e24 <_gp+0xfffec8ec> +000288ec : + 288ec: defffd04 addi sp,sp,-12 + 288f0: dfc00215 stw ra,8(sp) + 288f4: dc400115 stw r17,4(sp) + 288f8: dc000015 stw r16,0(sp) + 288fc: 00c003c4 movi r3,15 + 28900: 2005883a mov r2,r4 + 28904: 1980452e bgeu r3,r6,28a1c + 28908: 2906b03a or r3,r5,r4 + 2890c: 18c000cc andi r3,r3,3 + 28910: 1800441e bne r3,zero,28a24 + 28914: 347ffc04 addi r17,r6,-16 + 28918: 8822d13a srli r17,r17,4 + 2891c: 28c00104 addi r3,r5,4 + 28920: 23400104 addi r13,r4,4 + 28924: 8820913a slli r16,r17,4 + 28928: 2b000204 addi r12,r5,8 + 2892c: 22c00204 addi r11,r4,8 + 28930: 84000504 addi r16,r16,20 + 28934: 2a800304 addi r10,r5,12 + 28938: 22400304 addi r9,r4,12 + 2893c: 2c21883a add r16,r5,r16 + 28940: 2811883a mov r8,r5 + 28944: 200f883a mov r7,r4 + 28948: 41000017 ldw r4,0(r8) + 2894c: 1fc00017 ldw ra,0(r3) + 28950: 63c00017 ldw r15,0(r12) + 28954: 39000015 stw r4,0(r7) + 28958: 53800017 ldw r14,0(r10) + 2895c: 6fc00015 stw ra,0(r13) + 28960: 5bc00015 stw r15,0(r11) + 28964: 4b800015 stw r14,0(r9) + 28968: 18c00404 addi r3,r3,16 + 2896c: 39c00404 addi r7,r7,16 + 28970: 42000404 addi r8,r8,16 + 28974: 6b400404 addi r13,r13,16 + 28978: 63000404 addi r12,r12,16 + 2897c: 5ac00404 addi r11,r11,16 + 28980: 52800404 addi r10,r10,16 + 28984: 4a400404 addi r9,r9,16 + 28988: 1c3fef1e bne r3,r16,28948 <_gp+0xfffebadc> + 2898c: 89c00044 addi r7,r17,1 + 28990: 380e913a slli r7,r7,4 + 28994: 310003cc andi r4,r6,15 + 28998: 02c000c4 movi r11,3 + 2899c: 11c7883a add r3,r2,r7 + 289a0: 29cb883a add r5,r5,r7 + 289a4: 5900212e bgeu r11,r4,28a2c + 289a8: 1813883a mov r9,r3 + 289ac: 2811883a mov r8,r5 + 289b0: 200f883a mov r7,r4 + 289b4: 42800017 ldw r10,0(r8) + 289b8: 4a400104 addi r9,r9,4 + 289bc: 39ffff04 addi r7,r7,-4 + 289c0: 4abfff15 stw r10,-4(r9) + 289c4: 42000104 addi r8,r8,4 + 289c8: 59fffa36 bltu r11,r7,289b4 <_gp+0xfffebb48> + 289cc: 213fff04 addi r4,r4,-4 + 289d0: 2008d0ba srli r4,r4,2 + 289d4: 318000cc andi r6,r6,3 + 289d8: 21000044 addi r4,r4,1 + 289dc: 2109883a add r4,r4,r4 + 289e0: 2109883a add r4,r4,r4 + 289e4: 1907883a add r3,r3,r4 + 289e8: 290b883a add r5,r5,r4 + 289ec: 30000626 beq r6,zero,28a08 + 289f0: 198d883a add r6,r3,r6 + 289f4: 29c00003 ldbu r7,0(r5) + 289f8: 18c00044 addi r3,r3,1 + 289fc: 29400044 addi r5,r5,1 + 28a00: 19ffffc5 stb r7,-1(r3) + 28a04: 19bffb1e bne r3,r6,289f4 <_gp+0xfffebb88> + 28a08: dfc00217 ldw ra,8(sp) + 28a0c: dc400117 ldw r17,4(sp) + 28a10: dc000017 ldw r16,0(sp) + 28a14: dec00304 addi sp,sp,12 + 28a18: f800283a ret + 28a1c: 2007883a mov r3,r4 + 28a20: 003ff206 br 289ec <_gp+0xfffebb80> + 28a24: 2007883a mov r3,r4 + 28a28: 003ff106 br 289f0 <_gp+0xfffebb84> + 28a2c: 200d883a mov r6,r4 + 28a30: 003fee06 br 289ec <_gp+0xfffebb80> -00028e94 <__mcmp>: - 28e94: 20800417 ldw r2,16(r4) - 28e98: 28c00417 ldw r3,16(r5) - 28e9c: 10c5c83a sub r2,r2,r3 - 28ea0: 1000111e bne r2,zero,28ee8 <__mcmp+0x54> - 28ea4: 18c7883a add r3,r3,r3 - 28ea8: 18c7883a add r3,r3,r3 - 28eac: 21000504 addi r4,r4,20 - 28eb0: 29400504 addi r5,r5,20 - 28eb4: 20c5883a add r2,r4,r3 - 28eb8: 28cb883a add r5,r5,r3 - 28ebc: 00000106 br 28ec4 <__mcmp+0x30> - 28ec0: 20800a2e bgeu r4,r2,28eec <__mcmp+0x58> - 28ec4: 10bfff04 addi r2,r2,-4 - 28ec8: 297fff04 addi r5,r5,-4 - 28ecc: 11800017 ldw r6,0(r2) - 28ed0: 28c00017 ldw r3,0(r5) - 28ed4: 30fffa26 beq r6,r3,28ec0 <_gp+0xfffec988> - 28ed8: 30c00236 bltu r6,r3,28ee4 <__mcmp+0x50> - 28edc: 00800044 movi r2,1 - 28ee0: f800283a ret - 28ee4: 00bfffc4 movi r2,-1 +00028a34 : + 28a34: 2005883a mov r2,r4 + 28a38: 29000b2e bgeu r5,r4,28a68 + 28a3c: 298f883a add r7,r5,r6 + 28a40: 21c0092e bgeu r4,r7,28a68 + 28a44: 2187883a add r3,r4,r6 + 28a48: 198bc83a sub r5,r3,r6 + 28a4c: 30004826 beq r6,zero,28b70 + 28a50: 39ffffc4 addi r7,r7,-1 + 28a54: 39000003 ldbu r4,0(r7) + 28a58: 18ffffc4 addi r3,r3,-1 + 28a5c: 19000005 stb r4,0(r3) + 28a60: 28fffb1e bne r5,r3,28a50 <_gp+0xfffebbe4> + 28a64: f800283a ret + 28a68: 00c003c4 movi r3,15 + 28a6c: 1980412e bgeu r3,r6,28b74 + 28a70: 2886b03a or r3,r5,r2 + 28a74: 18c000cc andi r3,r3,3 + 28a78: 1800401e bne r3,zero,28b7c + 28a7c: 33fffc04 addi r15,r6,-16 + 28a80: 781ed13a srli r15,r15,4 + 28a84: 28c00104 addi r3,r5,4 + 28a88: 13400104 addi r13,r2,4 + 28a8c: 781c913a slli r14,r15,4 + 28a90: 2b000204 addi r12,r5,8 + 28a94: 12c00204 addi r11,r2,8 + 28a98: 73800504 addi r14,r14,20 + 28a9c: 2a800304 addi r10,r5,12 + 28aa0: 12400304 addi r9,r2,12 + 28aa4: 2b9d883a add r14,r5,r14 + 28aa8: 2811883a mov r8,r5 + 28aac: 100f883a mov r7,r2 + 28ab0: 41000017 ldw r4,0(r8) + 28ab4: 39c00404 addi r7,r7,16 + 28ab8: 18c00404 addi r3,r3,16 + 28abc: 393ffc15 stw r4,-16(r7) + 28ac0: 193ffc17 ldw r4,-16(r3) + 28ac4: 6b400404 addi r13,r13,16 + 28ac8: 5ac00404 addi r11,r11,16 + 28acc: 693ffc15 stw r4,-16(r13) + 28ad0: 61000017 ldw r4,0(r12) + 28ad4: 4a400404 addi r9,r9,16 + 28ad8: 42000404 addi r8,r8,16 + 28adc: 593ffc15 stw r4,-16(r11) + 28ae0: 51000017 ldw r4,0(r10) + 28ae4: 63000404 addi r12,r12,16 + 28ae8: 52800404 addi r10,r10,16 + 28aec: 493ffc15 stw r4,-16(r9) + 28af0: 1bbfef1e bne r3,r14,28ab0 <_gp+0xfffebc44> + 28af4: 79000044 addi r4,r15,1 + 28af8: 2008913a slli r4,r4,4 + 28afc: 328003cc andi r10,r6,15 + 28b00: 02c000c4 movi r11,3 + 28b04: 1107883a add r3,r2,r4 + 28b08: 290b883a add r5,r5,r4 + 28b0c: 5a801e2e bgeu r11,r10,28b88 + 28b10: 1813883a mov r9,r3 + 28b14: 2811883a mov r8,r5 + 28b18: 500f883a mov r7,r10 + 28b1c: 41000017 ldw r4,0(r8) + 28b20: 4a400104 addi r9,r9,4 + 28b24: 39ffff04 addi r7,r7,-4 + 28b28: 493fff15 stw r4,-4(r9) + 28b2c: 42000104 addi r8,r8,4 + 28b30: 59fffa36 bltu r11,r7,28b1c <_gp+0xfffebcb0> + 28b34: 513fff04 addi r4,r10,-4 + 28b38: 2008d0ba srli r4,r4,2 + 28b3c: 318000cc andi r6,r6,3 + 28b40: 21000044 addi r4,r4,1 + 28b44: 2109883a add r4,r4,r4 + 28b48: 2109883a add r4,r4,r4 + 28b4c: 1907883a add r3,r3,r4 + 28b50: 290b883a add r5,r5,r4 + 28b54: 30000b26 beq r6,zero,28b84 + 28b58: 198d883a add r6,r3,r6 + 28b5c: 29c00003 ldbu r7,0(r5) + 28b60: 18c00044 addi r3,r3,1 + 28b64: 29400044 addi r5,r5,1 + 28b68: 19ffffc5 stb r7,-1(r3) + 28b6c: 19bffb1e bne r3,r6,28b5c <_gp+0xfffebcf0> + 28b70: f800283a ret + 28b74: 1007883a mov r3,r2 + 28b78: 003ff606 br 28b54 <_gp+0xfffebce8> + 28b7c: 1007883a mov r3,r2 + 28b80: 003ff506 br 28b58 <_gp+0xfffebcec> + 28b84: f800283a ret + 28b88: 500d883a mov r6,r10 + 28b8c: 003ff106 br 28b54 <_gp+0xfffebce8> + +00028b90 <_Balloc>: + 28b90: 20801317 ldw r2,76(r4) + 28b94: defffc04 addi sp,sp,-16 + 28b98: dc400115 stw r17,4(sp) + 28b9c: dc000015 stw r16,0(sp) + 28ba0: dfc00315 stw ra,12(sp) + 28ba4: dc800215 stw r18,8(sp) + 28ba8: 2023883a mov r17,r4 + 28bac: 2821883a mov r16,r5 + 28bb0: 10000f26 beq r2,zero,28bf0 <_Balloc+0x60> + 28bb4: 8407883a add r3,r16,r16 + 28bb8: 18c7883a add r3,r3,r3 + 28bbc: 10c7883a add r3,r2,r3 + 28bc0: 18800017 ldw r2,0(r3) + 28bc4: 10001126 beq r2,zero,28c0c <_Balloc+0x7c> + 28bc8: 11000017 ldw r4,0(r2) + 28bcc: 19000015 stw r4,0(r3) + 28bd0: 10000415 stw zero,16(r2) + 28bd4: 10000315 stw zero,12(r2) + 28bd8: dfc00317 ldw ra,12(sp) + 28bdc: dc800217 ldw r18,8(sp) + 28be0: dc400117 ldw r17,4(sp) + 28be4: dc000017 ldw r16,0(sp) + 28be8: dec00404 addi sp,sp,16 + 28bec: f800283a ret + 28bf0: 01800844 movi r6,33 + 28bf4: 01400104 movi r5,4 + 28bf8: 002bf280 call 2bf28 <_calloc_r> + 28bfc: 88801315 stw r2,76(r17) + 28c00: 103fec1e bne r2,zero,28bb4 <_gp+0xfffebd48> + 28c04: 0005883a mov r2,zero + 28c08: 003ff306 br 28bd8 <_gp+0xfffebd6c> + 28c0c: 01400044 movi r5,1 + 28c10: 2c24983a sll r18,r5,r16 + 28c14: 8809883a mov r4,r17 + 28c18: 91800144 addi r6,r18,5 + 28c1c: 318d883a add r6,r6,r6 + 28c20: 318d883a add r6,r6,r6 + 28c24: 002bf280 call 2bf28 <_calloc_r> + 28c28: 103ff626 beq r2,zero,28c04 <_gp+0xfffebd98> + 28c2c: 14000115 stw r16,4(r2) + 28c30: 14800215 stw r18,8(r2) + 28c34: 003fe606 br 28bd0 <_gp+0xfffebd64> + +00028c38 <_Bfree>: + 28c38: 28000826 beq r5,zero,28c5c <_Bfree+0x24> + 28c3c: 28c00117 ldw r3,4(r5) + 28c40: 20801317 ldw r2,76(r4) + 28c44: 18c7883a add r3,r3,r3 + 28c48: 18c7883a add r3,r3,r3 + 28c4c: 10c5883a add r2,r2,r3 + 28c50: 10c00017 ldw r3,0(r2) + 28c54: 28c00015 stw r3,0(r5) + 28c58: 11400015 stw r5,0(r2) + 28c5c: f800283a ret + +00028c60 <__multadd>: + 28c60: defffa04 addi sp,sp,-24 + 28c64: dc800315 stw r18,12(sp) + 28c68: dc400215 stw r17,8(sp) + 28c6c: dc000115 stw r16,4(sp) + 28c70: 2823883a mov r17,r5 + 28c74: 2c000417 ldw r16,16(r5) + 28c78: dfc00515 stw ra,20(sp) + 28c7c: dcc00415 stw r19,16(sp) + 28c80: 2025883a mov r18,r4 + 28c84: 29400504 addi r5,r5,20 + 28c88: 0011883a mov r8,zero + 28c8c: 28c00017 ldw r3,0(r5) + 28c90: 29400104 addi r5,r5,4 + 28c94: 42000044 addi r8,r8,1 + 28c98: 18bfffcc andi r2,r3,65535 + 28c9c: 1185383a mul r2,r2,r6 + 28ca0: 1806d43a srli r3,r3,16 + 28ca4: 11cf883a add r7,r2,r7 + 28ca8: 3808d43a srli r4,r7,16 + 28cac: 1987383a mul r3,r3,r6 + 28cb0: 38bfffcc andi r2,r7,65535 + 28cb4: 1907883a add r3,r3,r4 + 28cb8: 1808943a slli r4,r3,16 + 28cbc: 180ed43a srli r7,r3,16 + 28cc0: 2085883a add r2,r4,r2 + 28cc4: 28bfff15 stw r2,-4(r5) + 28cc8: 443ff016 blt r8,r16,28c8c <_gp+0xfffebe20> + 28ccc: 38000926 beq r7,zero,28cf4 <__multadd+0x94> + 28cd0: 88800217 ldw r2,8(r17) + 28cd4: 80800f0e bge r16,r2,28d14 <__multadd+0xb4> + 28cd8: 80800144 addi r2,r16,5 + 28cdc: 1085883a add r2,r2,r2 + 28ce0: 1085883a add r2,r2,r2 + 28ce4: 8885883a add r2,r17,r2 + 28ce8: 11c00015 stw r7,0(r2) + 28cec: 84000044 addi r16,r16,1 + 28cf0: 8c000415 stw r16,16(r17) + 28cf4: 8805883a mov r2,r17 + 28cf8: dfc00517 ldw ra,20(sp) + 28cfc: dcc00417 ldw r19,16(sp) + 28d00: dc800317 ldw r18,12(sp) + 28d04: dc400217 ldw r17,8(sp) + 28d08: dc000117 ldw r16,4(sp) + 28d0c: dec00604 addi sp,sp,24 + 28d10: f800283a ret + 28d14: 89400117 ldw r5,4(r17) + 28d18: 9009883a mov r4,r18 + 28d1c: d9c00015 stw r7,0(sp) + 28d20: 29400044 addi r5,r5,1 + 28d24: 0028b900 call 28b90 <_Balloc> + 28d28: 89800417 ldw r6,16(r17) + 28d2c: 89400304 addi r5,r17,12 + 28d30: 11000304 addi r4,r2,12 + 28d34: 31800084 addi r6,r6,2 + 28d38: 318d883a add r6,r6,r6 + 28d3c: 318d883a add r6,r6,r6 + 28d40: 1027883a mov r19,r2 + 28d44: 00288ec0 call 288ec + 28d48: d9c00017 ldw r7,0(sp) + 28d4c: 88000a26 beq r17,zero,28d78 <__multadd+0x118> + 28d50: 88c00117 ldw r3,4(r17) + 28d54: 90801317 ldw r2,76(r18) + 28d58: 18c7883a add r3,r3,r3 + 28d5c: 18c7883a add r3,r3,r3 + 28d60: 10c5883a add r2,r2,r3 + 28d64: 10c00017 ldw r3,0(r2) + 28d68: 88c00015 stw r3,0(r17) + 28d6c: 14400015 stw r17,0(r2) + 28d70: 9823883a mov r17,r19 + 28d74: 003fd806 br 28cd8 <_gp+0xfffebe6c> + 28d78: 9823883a mov r17,r19 + 28d7c: 003fd606 br 28cd8 <_gp+0xfffebe6c> + +00028d80 <__s2b>: + 28d80: defff904 addi sp,sp,-28 + 28d84: dc400115 stw r17,4(sp) + 28d88: dc000015 stw r16,0(sp) + 28d8c: 2023883a mov r17,r4 + 28d90: 2821883a mov r16,r5 + 28d94: 39000204 addi r4,r7,8 + 28d98: 01400244 movi r5,9 + 28d9c: dcc00315 stw r19,12(sp) + 28da0: dc800215 stw r18,8(sp) + 28da4: dfc00615 stw ra,24(sp) + 28da8: dd400515 stw r21,20(sp) + 28dac: dd000415 stw r20,16(sp) + 28db0: 3825883a mov r18,r7 + 28db4: 3027883a mov r19,r6 + 28db8: 00229280 call 22928 <__divsi3> + 28dbc: 00c00044 movi r3,1 + 28dc0: 000b883a mov r5,zero + 28dc4: 1880030e bge r3,r2,28dd4 <__s2b+0x54> + 28dc8: 18c7883a add r3,r3,r3 + 28dcc: 29400044 addi r5,r5,1 + 28dd0: 18bffd16 blt r3,r2,28dc8 <_gp+0xfffebf5c> + 28dd4: 8809883a mov r4,r17 + 28dd8: 0028b900 call 28b90 <_Balloc> + 28ddc: d8c00717 ldw r3,28(sp) + 28de0: 10c00515 stw r3,20(r2) + 28de4: 00c00044 movi r3,1 + 28de8: 10c00415 stw r3,16(r2) + 28dec: 00c00244 movi r3,9 + 28df0: 1cc0210e bge r3,r19,28e78 <__s2b+0xf8> + 28df4: 80eb883a add r21,r16,r3 + 28df8: a829883a mov r20,r21 + 28dfc: 84e1883a add r16,r16,r19 + 28e00: a1c00007 ldb r7,0(r20) + 28e04: 01800284 movi r6,10 + 28e08: a5000044 addi r20,r20,1 + 28e0c: 100b883a mov r5,r2 + 28e10: 39fff404 addi r7,r7,-48 + 28e14: 8809883a mov r4,r17 + 28e18: 0028c600 call 28c60 <__multadd> + 28e1c: a43ff81e bne r20,r16,28e00 <_gp+0xfffebf94> + 28e20: ace1883a add r16,r21,r19 + 28e24: 843ffe04 addi r16,r16,-8 + 28e28: 9c800a0e bge r19,r18,28e54 <__s2b+0xd4> + 28e2c: 94e5c83a sub r18,r18,r19 + 28e30: 84a5883a add r18,r16,r18 + 28e34: 81c00007 ldb r7,0(r16) + 28e38: 01800284 movi r6,10 + 28e3c: 84000044 addi r16,r16,1 + 28e40: 100b883a mov r5,r2 + 28e44: 39fff404 addi r7,r7,-48 + 28e48: 8809883a mov r4,r17 + 28e4c: 0028c600 call 28c60 <__multadd> + 28e50: 84bff81e bne r16,r18,28e34 <_gp+0xfffebfc8> + 28e54: dfc00617 ldw ra,24(sp) + 28e58: dd400517 ldw r21,20(sp) + 28e5c: dd000417 ldw r20,16(sp) + 28e60: dcc00317 ldw r19,12(sp) + 28e64: dc800217 ldw r18,8(sp) + 28e68: dc400117 ldw r17,4(sp) + 28e6c: dc000017 ldw r16,0(sp) + 28e70: dec00704 addi sp,sp,28 + 28e74: f800283a ret + 28e78: 84000284 addi r16,r16,10 + 28e7c: 1827883a mov r19,r3 + 28e80: 003fe906 br 28e28 <_gp+0xfffebfbc> + +00028e84 <__hi0bits>: + 28e84: 20bfffec andhi r2,r4,65535 + 28e88: 1000141e bne r2,zero,28edc <__hi0bits+0x58> + 28e8c: 2008943a slli r4,r4,16 + 28e90: 00800404 movi r2,16 + 28e94: 20ffc02c andhi r3,r4,65280 + 28e98: 1800021e bne r3,zero,28ea4 <__hi0bits+0x20> + 28e9c: 2008923a slli r4,r4,8 + 28ea0: 10800204 addi r2,r2,8 + 28ea4: 20fc002c andhi r3,r4,61440 + 28ea8: 1800021e bne r3,zero,28eb4 <__hi0bits+0x30> + 28eac: 2008913a slli r4,r4,4 + 28eb0: 10800104 addi r2,r2,4 + 28eb4: 20f0002c andhi r3,r4,49152 + 28eb8: 1800031e bne r3,zero,28ec8 <__hi0bits+0x44> + 28ebc: 2109883a add r4,r4,r4 + 28ec0: 10800084 addi r2,r2,2 + 28ec4: 2109883a add r4,r4,r4 + 28ec8: 20000316 blt r4,zero,28ed8 <__hi0bits+0x54> + 28ecc: 2110002c andhi r4,r4,16384 + 28ed0: 2000041e bne r4,zero,28ee4 <__hi0bits+0x60> + 28ed4: 00800804 movi r2,32 + 28ed8: f800283a ret + 28edc: 0005883a mov r2,zero + 28ee0: 003fec06 br 28e94 <_gp+0xfffec028> + 28ee4: 10800044 addi r2,r2,1 28ee8: f800283a ret - 28eec: 0005883a mov r2,zero - 28ef0: f800283a ret -00028ef4 <__mdiff>: - 28ef4: 28c00417 ldw r3,16(r5) - 28ef8: 30800417 ldw r2,16(r6) - 28efc: defffa04 addi sp,sp,-24 - 28f00: dcc00315 stw r19,12(sp) - 28f04: dc800215 stw r18,8(sp) - 28f08: dfc00515 stw ra,20(sp) - 28f0c: dd000415 stw r20,16(sp) - 28f10: dc400115 stw r17,4(sp) - 28f14: dc000015 stw r16,0(sp) - 28f18: 1887c83a sub r3,r3,r2 - 28f1c: 2825883a mov r18,r5 - 28f20: 3027883a mov r19,r6 - 28f24: 1800141e bne r3,zero,28f78 <__mdiff+0x84> - 28f28: 1085883a add r2,r2,r2 - 28f2c: 1085883a add r2,r2,r2 - 28f30: 2a000504 addi r8,r5,20 - 28f34: 34000504 addi r16,r6,20 - 28f38: 4087883a add r3,r8,r2 - 28f3c: 8085883a add r2,r16,r2 - 28f40: 00000106 br 28f48 <__mdiff+0x54> - 28f44: 40c0592e bgeu r8,r3,290ac <__mdiff+0x1b8> - 28f48: 18ffff04 addi r3,r3,-4 - 28f4c: 10bfff04 addi r2,r2,-4 - 28f50: 19c00017 ldw r7,0(r3) - 28f54: 11400017 ldw r5,0(r2) - 28f58: 397ffa26 beq r7,r5,28f44 <_gp+0xfffeca0c> - 28f5c: 3940592e bgeu r7,r5,290c4 <__mdiff+0x1d0> - 28f60: 9005883a mov r2,r18 - 28f64: 4023883a mov r17,r8 - 28f68: 9825883a mov r18,r19 - 28f6c: 05000044 movi r20,1 - 28f70: 1027883a mov r19,r2 - 28f74: 00000406 br 28f88 <__mdiff+0x94> - 28f78: 18005616 blt r3,zero,290d4 <__mdiff+0x1e0> - 28f7c: 34400504 addi r17,r6,20 - 28f80: 2c000504 addi r16,r5,20 - 28f84: 0029883a mov r20,zero - 28f88: 91400117 ldw r5,4(r18) - 28f8c: 00285cc0 call 285cc <_Balloc> - 28f90: 92400417 ldw r9,16(r18) - 28f94: 9b000417 ldw r12,16(r19) - 28f98: 12c00504 addi r11,r2,20 - 28f9c: 4a51883a add r8,r9,r9 - 28fa0: 6319883a add r12,r12,r12 - 28fa4: 4211883a add r8,r8,r8 - 28fa8: 6319883a add r12,r12,r12 - 28fac: 15000315 stw r20,12(r2) - 28fb0: 8211883a add r8,r16,r8 - 28fb4: 8b19883a add r12,r17,r12 - 28fb8: 0007883a mov r3,zero - 28fbc: 81400017 ldw r5,0(r16) - 28fc0: 89c00017 ldw r7,0(r17) - 28fc4: 59800104 addi r6,r11,4 - 28fc8: 293fffcc andi r4,r5,65535 - 28fcc: 20c7883a add r3,r4,r3 - 28fd0: 393fffcc andi r4,r7,65535 - 28fd4: 1909c83a sub r4,r3,r4 - 28fd8: 280ad43a srli r5,r5,16 - 28fdc: 380ed43a srli r7,r7,16 - 28fe0: 2007d43a srai r3,r4,16 - 28fe4: 213fffcc andi r4,r4,65535 - 28fe8: 29cbc83a sub r5,r5,r7 - 28fec: 28c7883a add r3,r5,r3 - 28ff0: 180a943a slli r5,r3,16 - 28ff4: 8c400104 addi r17,r17,4 - 28ff8: 84000104 addi r16,r16,4 - 28ffc: 2908b03a or r4,r5,r4 - 29000: 59000015 stw r4,0(r11) - 29004: 1807d43a srai r3,r3,16 - 29008: 3015883a mov r10,r6 - 2900c: 3017883a mov r11,r6 - 29010: 8b3fea36 bltu r17,r12,28fbc <_gp+0xfffeca84> - 29014: 8200162e bgeu r16,r8,29070 <__mdiff+0x17c> - 29018: 8017883a mov r11,r16 - 2901c: 59400017 ldw r5,0(r11) - 29020: 31800104 addi r6,r6,4 - 29024: 5ac00104 addi r11,r11,4 - 29028: 293fffcc andi r4,r5,65535 - 2902c: 20c7883a add r3,r4,r3 - 29030: 280ed43a srli r7,r5,16 - 29034: 180bd43a srai r5,r3,16 - 29038: 193fffcc andi r4,r3,65535 - 2903c: 3947883a add r3,r7,r5 - 29040: 180a943a slli r5,r3,16 - 29044: 1807d43a srai r3,r3,16 - 29048: 2908b03a or r4,r5,r4 - 2904c: 313fff15 stw r4,-4(r6) - 29050: 5a3ff236 bltu r11,r8,2901c <_gp+0xfffecae4> - 29054: 0406303a nor r3,zero,r16 - 29058: 1a07883a add r3,r3,r8 - 2905c: 1806d0ba srli r3,r3,2 - 29060: 18c00044 addi r3,r3,1 - 29064: 18c7883a add r3,r3,r3 - 29068: 18c7883a add r3,r3,r3 - 2906c: 50d5883a add r10,r10,r3 - 29070: 50ffff04 addi r3,r10,-4 - 29074: 2000041e bne r4,zero,29088 <__mdiff+0x194> - 29078: 18ffff04 addi r3,r3,-4 - 2907c: 19000017 ldw r4,0(r3) - 29080: 4a7fffc4 addi r9,r9,-1 - 29084: 203ffc26 beq r4,zero,29078 <_gp+0xfffecb40> - 29088: 12400415 stw r9,16(r2) - 2908c: dfc00517 ldw ra,20(sp) - 29090: dd000417 ldw r20,16(sp) - 29094: dcc00317 ldw r19,12(sp) - 29098: dc800217 ldw r18,8(sp) - 2909c: dc400117 ldw r17,4(sp) - 290a0: dc000017 ldw r16,0(sp) - 290a4: dec00604 addi sp,sp,24 - 290a8: f800283a ret - 290ac: 000b883a mov r5,zero - 290b0: 00285cc0 call 285cc <_Balloc> - 290b4: 00c00044 movi r3,1 - 290b8: 10c00415 stw r3,16(r2) - 290bc: 10000515 stw zero,20(r2) - 290c0: 003ff206 br 2908c <_gp+0xfffecb54> - 290c4: 8023883a mov r17,r16 - 290c8: 0029883a mov r20,zero - 290cc: 4021883a mov r16,r8 - 290d0: 003fad06 br 28f88 <_gp+0xfffeca50> - 290d4: 9005883a mov r2,r18 - 290d8: 94400504 addi r17,r18,20 - 290dc: 9c000504 addi r16,r19,20 - 290e0: 9825883a mov r18,r19 - 290e4: 05000044 movi r20,1 - 290e8: 1027883a mov r19,r2 - 290ec: 003fa606 br 28f88 <_gp+0xfffeca50> +00028eec <__lo0bits>: + 28eec: 20c00017 ldw r3,0(r4) + 28ef0: 188001cc andi r2,r3,7 + 28ef4: 10000826 beq r2,zero,28f18 <__lo0bits+0x2c> + 28ef8: 1880004c andi r2,r3,1 + 28efc: 1000211e bne r2,zero,28f84 <__lo0bits+0x98> + 28f00: 1880008c andi r2,r3,2 + 28f04: 1000211e bne r2,zero,28f8c <__lo0bits+0xa0> + 28f08: 1806d0ba srli r3,r3,2 + 28f0c: 00800084 movi r2,2 + 28f10: 20c00015 stw r3,0(r4) + 28f14: f800283a ret + 28f18: 18bfffcc andi r2,r3,65535 + 28f1c: 10001326 beq r2,zero,28f6c <__lo0bits+0x80> + 28f20: 0005883a mov r2,zero + 28f24: 19403fcc andi r5,r3,255 + 28f28: 2800021e bne r5,zero,28f34 <__lo0bits+0x48> + 28f2c: 1806d23a srli r3,r3,8 + 28f30: 10800204 addi r2,r2,8 + 28f34: 194003cc andi r5,r3,15 + 28f38: 2800021e bne r5,zero,28f44 <__lo0bits+0x58> + 28f3c: 1806d13a srli r3,r3,4 + 28f40: 10800104 addi r2,r2,4 + 28f44: 194000cc andi r5,r3,3 + 28f48: 2800021e bne r5,zero,28f54 <__lo0bits+0x68> + 28f4c: 1806d0ba srli r3,r3,2 + 28f50: 10800084 addi r2,r2,2 + 28f54: 1940004c andi r5,r3,1 + 28f58: 2800081e bne r5,zero,28f7c <__lo0bits+0x90> + 28f5c: 1806d07a srli r3,r3,1 + 28f60: 1800051e bne r3,zero,28f78 <__lo0bits+0x8c> + 28f64: 00800804 movi r2,32 + 28f68: f800283a ret + 28f6c: 1806d43a srli r3,r3,16 + 28f70: 00800404 movi r2,16 + 28f74: 003feb06 br 28f24 <_gp+0xfffec0b8> + 28f78: 10800044 addi r2,r2,1 + 28f7c: 20c00015 stw r3,0(r4) + 28f80: f800283a ret + 28f84: 0005883a mov r2,zero + 28f88: f800283a ret + 28f8c: 1806d07a srli r3,r3,1 + 28f90: 00800044 movi r2,1 + 28f94: 20c00015 stw r3,0(r4) + 28f98: f800283a ret -000290f0 <__ulp>: - 290f0: 295ffc2c andhi r5,r5,32752 - 290f4: 00bf3034 movhi r2,64704 - 290f8: 2887883a add r3,r5,r2 - 290fc: 00c0020e bge zero,r3,29108 <__ulp+0x18> - 29100: 0005883a mov r2,zero - 29104: f800283a ret - 29108: 00c7c83a sub r3,zero,r3 - 2910c: 1807d53a srai r3,r3,20 - 29110: 008004c4 movi r2,19 - 29114: 10c00b0e bge r2,r3,29144 <__ulp+0x54> - 29118: 18bffb04 addi r2,r3,-20 - 2911c: 01000784 movi r4,30 - 29120: 0007883a mov r3,zero - 29124: 20800516 blt r4,r2,2913c <__ulp+0x4c> - 29128: 010007c4 movi r4,31 - 2912c: 2089c83a sub r4,r4,r2 - 29130: 00800044 movi r2,1 - 29134: 1104983a sll r2,r2,r4 - 29138: f800283a ret - 2913c: 00800044 movi r2,1 - 29140: f800283a ret - 29144: 01400234 movhi r5,8 - 29148: 28c7d83a sra r3,r5,r3 - 2914c: 0005883a mov r2,zero - 29150: f800283a ret +00028f9c <__i2b>: + 28f9c: defffd04 addi sp,sp,-12 + 28fa0: dc000015 stw r16,0(sp) + 28fa4: 04000044 movi r16,1 + 28fa8: dc400115 stw r17,4(sp) + 28fac: 2823883a mov r17,r5 + 28fb0: 800b883a mov r5,r16 + 28fb4: dfc00215 stw ra,8(sp) + 28fb8: 0028b900 call 28b90 <_Balloc> + 28fbc: 14400515 stw r17,20(r2) + 28fc0: 14000415 stw r16,16(r2) + 28fc4: dfc00217 ldw ra,8(sp) + 28fc8: dc400117 ldw r17,4(sp) + 28fcc: dc000017 ldw r16,0(sp) + 28fd0: dec00304 addi sp,sp,12 + 28fd4: f800283a ret -00029154 <__b2d>: - 29154: defffa04 addi sp,sp,-24 - 29158: dc000015 stw r16,0(sp) - 2915c: 24000417 ldw r16,16(r4) - 29160: dc400115 stw r17,4(sp) - 29164: 24400504 addi r17,r4,20 - 29168: 8421883a add r16,r16,r16 - 2916c: 8421883a add r16,r16,r16 - 29170: 8c21883a add r16,r17,r16 - 29174: dc800215 stw r18,8(sp) - 29178: 84bfff17 ldw r18,-4(r16) - 2917c: dd000415 stw r20,16(sp) - 29180: dcc00315 stw r19,12(sp) - 29184: 9009883a mov r4,r18 - 29188: 2829883a mov r20,r5 - 2918c: dfc00515 stw ra,20(sp) - 29190: 00288c00 call 288c0 <__hi0bits> - 29194: 00c00804 movi r3,32 - 29198: 1889c83a sub r4,r3,r2 - 2919c: a1000015 stw r4,0(r20) - 291a0: 01000284 movi r4,10 - 291a4: 84ffff04 addi r19,r16,-4 - 291a8: 20801216 blt r4,r2,291f4 <__b2d+0xa0> - 291ac: 018002c4 movi r6,11 - 291b0: 308dc83a sub r6,r6,r2 - 291b4: 9186d83a srl r3,r18,r6 - 291b8: 18cffc34 orhi r3,r3,16368 - 291bc: 8cc0212e bgeu r17,r19,29244 <__b2d+0xf0> - 291c0: 813ffe17 ldw r4,-8(r16) - 291c4: 218cd83a srl r6,r4,r6 - 291c8: 10800544 addi r2,r2,21 - 291cc: 9084983a sll r2,r18,r2 - 291d0: 1184b03a or r2,r2,r6 - 291d4: dfc00517 ldw ra,20(sp) - 291d8: dd000417 ldw r20,16(sp) - 291dc: dcc00317 ldw r19,12(sp) - 291e0: dc800217 ldw r18,8(sp) - 291e4: dc400117 ldw r17,4(sp) - 291e8: dc000017 ldw r16,0(sp) - 291ec: dec00604 addi sp,sp,24 - 291f0: f800283a ret - 291f4: 8cc00f2e bgeu r17,r19,29234 <__b2d+0xe0> - 291f8: 117ffd44 addi r5,r2,-11 - 291fc: 80bffe17 ldw r2,-8(r16) - 29200: 28000e26 beq r5,zero,2923c <__b2d+0xe8> - 29204: 1949c83a sub r4,r3,r5 - 29208: 9164983a sll r18,r18,r5 - 2920c: 1106d83a srl r3,r2,r4 - 29210: 81bffe04 addi r6,r16,-8 - 29214: 948ffc34 orhi r18,r18,16368 - 29218: 90c6b03a or r3,r18,r3 - 2921c: 89800e2e bgeu r17,r6,29258 <__b2d+0x104> - 29220: 81bffd17 ldw r6,-12(r16) - 29224: 1144983a sll r2,r2,r5 - 29228: 310ad83a srl r5,r6,r4 - 2922c: 2884b03a or r2,r5,r2 - 29230: 003fe806 br 291d4 <_gp+0xfffecc9c> - 29234: 10bffd44 addi r2,r2,-11 - 29238: 1000041e bne r2,zero,2924c <__b2d+0xf8> - 2923c: 90cffc34 orhi r3,r18,16368 - 29240: 003fe406 br 291d4 <_gp+0xfffecc9c> - 29244: 000d883a mov r6,zero - 29248: 003fdf06 br 291c8 <_gp+0xfffecc90> - 2924c: 90a4983a sll r18,r18,r2 - 29250: 0005883a mov r2,zero - 29254: 003ff906 br 2923c <_gp+0xfffecd04> - 29258: 1144983a sll r2,r2,r5 - 2925c: 003fdd06 br 291d4 <_gp+0xfffecc9c> +00028fd8 <__multiply>: + 28fd8: defffa04 addi sp,sp,-24 + 28fdc: dcc00315 stw r19,12(sp) + 28fe0: dc800215 stw r18,8(sp) + 28fe4: 34c00417 ldw r19,16(r6) + 28fe8: 2c800417 ldw r18,16(r5) + 28fec: dd000415 stw r20,16(sp) + 28ff0: dc400115 stw r17,4(sp) + 28ff4: dfc00515 stw ra,20(sp) + 28ff8: dc000015 stw r16,0(sp) + 28ffc: 2829883a mov r20,r5 + 29000: 3023883a mov r17,r6 + 29004: 94c0050e bge r18,r19,2901c <__multiply+0x44> + 29008: 9007883a mov r3,r18 + 2900c: 3029883a mov r20,r6 + 29010: 9825883a mov r18,r19 + 29014: 2823883a mov r17,r5 + 29018: 1827883a mov r19,r3 + 2901c: a0800217 ldw r2,8(r20) + 29020: 94e1883a add r16,r18,r19 + 29024: a1400117 ldw r5,4(r20) + 29028: 1400010e bge r2,r16,29030 <__multiply+0x58> + 2902c: 29400044 addi r5,r5,1 + 29030: 0028b900 call 28b90 <_Balloc> + 29034: 8415883a add r10,r16,r16 + 29038: 12c00504 addi r11,r2,20 + 2903c: 5295883a add r10,r10,r10 + 29040: 5a95883a add r10,r11,r10 + 29044: 5807883a mov r3,r11 + 29048: 5a80032e bgeu r11,r10,29058 <__multiply+0x80> + 2904c: 18000015 stw zero,0(r3) + 29050: 18c00104 addi r3,r3,4 + 29054: 1abffd36 bltu r3,r10,2904c <_gp+0xfffec1e0> + 29058: 9ce7883a add r19,r19,r19 + 2905c: 94a5883a add r18,r18,r18 + 29060: 89800504 addi r6,r17,20 + 29064: 9ce7883a add r19,r19,r19 + 29068: a3400504 addi r13,r20,20 + 2906c: 94a5883a add r18,r18,r18 + 29070: 34d9883a add r12,r6,r19 + 29074: 6c93883a add r9,r13,r18 + 29078: 3300422e bgeu r6,r12,29184 <__multiply+0x1ac> + 2907c: 37c00017 ldw ra,0(r6) + 29080: fbffffcc andi r15,ra,65535 + 29084: 78001b26 beq r15,zero,290f4 <__multiply+0x11c> + 29088: 5811883a mov r8,r11 + 2908c: 681d883a mov r14,r13 + 29090: 000f883a mov r7,zero + 29094: 71000017 ldw r4,0(r14) + 29098: 40c00017 ldw r3,0(r8) + 2909c: 73800104 addi r14,r14,4 + 290a0: 217fffcc andi r5,r4,65535 + 290a4: 2bcb383a mul r5,r5,r15 + 290a8: 2008d43a srli r4,r4,16 + 290ac: 1c7fffcc andi r17,r3,65535 + 290b0: 2c4b883a add r5,r5,r17 + 290b4: 29cb883a add r5,r5,r7 + 290b8: 23c9383a mul r4,r4,r15 + 290bc: 1806d43a srli r3,r3,16 + 290c0: 280ed43a srli r7,r5,16 + 290c4: 297fffcc andi r5,r5,65535 + 290c8: 20c7883a add r3,r4,r3 + 290cc: 19c7883a add r3,r3,r7 + 290d0: 1808943a slli r4,r3,16 + 290d4: 4023883a mov r17,r8 + 290d8: 180ed43a srli r7,r3,16 + 290dc: 214ab03a or r5,r4,r5 + 290e0: 41400015 stw r5,0(r8) + 290e4: 42000104 addi r8,r8,4 + 290e8: 727fea36 bltu r14,r9,29094 <_gp+0xfffec228> + 290ec: 89c00115 stw r7,4(r17) + 290f0: 37c00017 ldw ra,0(r6) + 290f4: f83ed43a srli ra,ra,16 + 290f8: f8001f26 beq ra,zero,29178 <__multiply+0x1a0> + 290fc: 58c00017 ldw r3,0(r11) + 29100: 681d883a mov r14,r13 + 29104: 581f883a mov r15,r11 + 29108: 1811883a mov r8,r3 + 2910c: 5825883a mov r18,r11 + 29110: 000f883a mov r7,zero + 29114: 00000106 br 2911c <__multiply+0x144> + 29118: 8825883a mov r18,r17 + 2911c: 7140000b ldhu r5,0(r14) + 29120: 4010d43a srli r8,r8,16 + 29124: 193fffcc andi r4,r3,65535 + 29128: 2fcb383a mul r5,r5,ra + 2912c: 7bc00104 addi r15,r15,4 + 29130: 73800104 addi r14,r14,4 + 29134: 2a0b883a add r5,r5,r8 + 29138: 29cb883a add r5,r5,r7 + 2913c: 2806943a slli r3,r5,16 + 29140: 94400104 addi r17,r18,4 + 29144: 280ad43a srli r5,r5,16 + 29148: 1908b03a or r4,r3,r4 + 2914c: 793fff15 stw r4,-4(r15) + 29150: 70ffff17 ldw r3,-4(r14) + 29154: 8a000017 ldw r8,0(r17) + 29158: 1806d43a srli r3,r3,16 + 2915c: 413fffcc andi r4,r8,65535 + 29160: 1fc7383a mul r3,r3,ra + 29164: 1907883a add r3,r3,r4 + 29168: 1947883a add r3,r3,r5 + 2916c: 180ed43a srli r7,r3,16 + 29170: 727fe936 bltu r14,r9,29118 <_gp+0xfffec2ac> + 29174: 90c00115 stw r3,4(r18) + 29178: 31800104 addi r6,r6,4 + 2917c: 5ac00104 addi r11,r11,4 + 29180: 333fbe36 bltu r6,r12,2907c <_gp+0xfffec210> + 29184: 0400090e bge zero,r16,291ac <__multiply+0x1d4> + 29188: 50ffff17 ldw r3,-4(r10) + 2918c: 52bfff04 addi r10,r10,-4 + 29190: 18000326 beq r3,zero,291a0 <__multiply+0x1c8> + 29194: 00000506 br 291ac <__multiply+0x1d4> + 29198: 50c00017 ldw r3,0(r10) + 2919c: 1800031e bne r3,zero,291ac <__multiply+0x1d4> + 291a0: 843fffc4 addi r16,r16,-1 + 291a4: 52bfff04 addi r10,r10,-4 + 291a8: 803ffb1e bne r16,zero,29198 <_gp+0xfffec32c> + 291ac: 14000415 stw r16,16(r2) + 291b0: dfc00517 ldw ra,20(sp) + 291b4: dd000417 ldw r20,16(sp) + 291b8: dcc00317 ldw r19,12(sp) + 291bc: dc800217 ldw r18,8(sp) + 291c0: dc400117 ldw r17,4(sp) + 291c4: dc000017 ldw r16,0(sp) + 291c8: dec00604 addi sp,sp,24 + 291cc: f800283a ret -00029260 <__d2b>: - 29260: defff804 addi sp,sp,-32 - 29264: dc000215 stw r16,8(sp) - 29268: 3021883a mov r16,r6 - 2926c: dc400315 stw r17,12(sp) - 29270: 8022907a slli r17,r16,1 - 29274: dd000615 stw r20,24(sp) - 29278: 2829883a mov r20,r5 - 2927c: 01400044 movi r5,1 - 29280: dcc00515 stw r19,20(sp) - 29284: dc800415 stw r18,16(sp) - 29288: dfc00715 stw ra,28(sp) - 2928c: 3825883a mov r18,r7 - 29290: 8822d57a srli r17,r17,21 - 29294: 00285cc0 call 285cc <_Balloc> - 29298: 1027883a mov r19,r2 - 2929c: 00800434 movhi r2,16 - 292a0: 10bfffc4 addi r2,r2,-1 - 292a4: 808c703a and r6,r16,r2 - 292a8: 88000126 beq r17,zero,292b0 <__d2b+0x50> - 292ac: 31800434 orhi r6,r6,16 - 292b0: d9800015 stw r6,0(sp) - 292b4: a0002426 beq r20,zero,29348 <__d2b+0xe8> - 292b8: d9000104 addi r4,sp,4 - 292bc: dd000115 stw r20,4(sp) - 292c0: 00289280 call 28928 <__lo0bits> - 292c4: d8c00017 ldw r3,0(sp) - 292c8: 10002f1e bne r2,zero,29388 <__d2b+0x128> - 292cc: d9000117 ldw r4,4(sp) - 292d0: 99000515 stw r4,20(r19) - 292d4: 1821003a cmpeq r16,r3,zero - 292d8: 01000084 movi r4,2 - 292dc: 2421c83a sub r16,r4,r16 - 292e0: 98c00615 stw r3,24(r19) - 292e4: 9c000415 stw r16,16(r19) - 292e8: 88001f1e bne r17,zero,29368 <__d2b+0x108> - 292ec: 10bef384 addi r2,r2,-1074 - 292f0: 90800015 stw r2,0(r18) - 292f4: 00900034 movhi r2,16384 - 292f8: 10bfffc4 addi r2,r2,-1 - 292fc: 8085883a add r2,r16,r2 - 29300: 1085883a add r2,r2,r2 - 29304: 1085883a add r2,r2,r2 - 29308: 9885883a add r2,r19,r2 - 2930c: 11000517 ldw r4,20(r2) - 29310: 8020917a slli r16,r16,5 - 29314: 00288c00 call 288c0 <__hi0bits> - 29318: d8c00817 ldw r3,32(sp) - 2931c: 8085c83a sub r2,r16,r2 - 29320: 18800015 stw r2,0(r3) - 29324: 9805883a mov r2,r19 - 29328: dfc00717 ldw ra,28(sp) - 2932c: dd000617 ldw r20,24(sp) - 29330: dcc00517 ldw r19,20(sp) - 29334: dc800417 ldw r18,16(sp) - 29338: dc400317 ldw r17,12(sp) - 2933c: dc000217 ldw r16,8(sp) - 29340: dec00804 addi sp,sp,32 - 29344: f800283a ret - 29348: d809883a mov r4,sp - 2934c: 00289280 call 28928 <__lo0bits> - 29350: d8c00017 ldw r3,0(sp) - 29354: 04000044 movi r16,1 - 29358: 9c000415 stw r16,16(r19) - 2935c: 98c00515 stw r3,20(r19) - 29360: 10800804 addi r2,r2,32 - 29364: 883fe126 beq r17,zero,292ec <_gp+0xfffecdb4> - 29368: 00c00d44 movi r3,53 - 2936c: 8c7ef344 addi r17,r17,-1075 - 29370: 88a3883a add r17,r17,r2 - 29374: 1885c83a sub r2,r3,r2 - 29378: d8c00817 ldw r3,32(sp) - 2937c: 94400015 stw r17,0(r18) - 29380: 18800015 stw r2,0(r3) - 29384: 003fe706 br 29324 <_gp+0xfffecdec> - 29388: 01000804 movi r4,32 - 2938c: 2089c83a sub r4,r4,r2 - 29390: 1908983a sll r4,r3,r4 - 29394: d9400117 ldw r5,4(sp) - 29398: 1886d83a srl r3,r3,r2 - 2939c: 2148b03a or r4,r4,r5 - 293a0: 99000515 stw r4,20(r19) - 293a4: d8c00015 stw r3,0(sp) - 293a8: 003fca06 br 292d4 <_gp+0xfffecd9c> +000291d0 <__pow5mult>: + 291d0: defffa04 addi sp,sp,-24 + 291d4: dcc00315 stw r19,12(sp) + 291d8: dc000015 stw r16,0(sp) + 291dc: dfc00515 stw ra,20(sp) + 291e0: dd000415 stw r20,16(sp) + 291e4: dc800215 stw r18,8(sp) + 291e8: dc400115 stw r17,4(sp) + 291ec: 308000cc andi r2,r6,3 + 291f0: 3021883a mov r16,r6 + 291f4: 2027883a mov r19,r4 + 291f8: 10002f1e bne r2,zero,292b8 <__pow5mult+0xe8> + 291fc: 2825883a mov r18,r5 + 29200: 8021d0ba srai r16,r16,2 + 29204: 80001a26 beq r16,zero,29270 <__pow5mult+0xa0> + 29208: 9c401217 ldw r17,72(r19) + 2920c: 8800061e bne r17,zero,29228 <__pow5mult+0x58> + 29210: 00003406 br 292e4 <__pow5mult+0x114> + 29214: 8021d07a srai r16,r16,1 + 29218: 80001526 beq r16,zero,29270 <__pow5mult+0xa0> + 2921c: 88800017 ldw r2,0(r17) + 29220: 10001c26 beq r2,zero,29294 <__pow5mult+0xc4> + 29224: 1023883a mov r17,r2 + 29228: 8080004c andi r2,r16,1 + 2922c: 103ff926 beq r2,zero,29214 <_gp+0xfffec3a8> + 29230: 880d883a mov r6,r17 + 29234: 900b883a mov r5,r18 + 29238: 9809883a mov r4,r19 + 2923c: 0028fd80 call 28fd8 <__multiply> + 29240: 90001b26 beq r18,zero,292b0 <__pow5mult+0xe0> + 29244: 91000117 ldw r4,4(r18) + 29248: 98c01317 ldw r3,76(r19) + 2924c: 8021d07a srai r16,r16,1 + 29250: 2109883a add r4,r4,r4 + 29254: 2109883a add r4,r4,r4 + 29258: 1907883a add r3,r3,r4 + 2925c: 19000017 ldw r4,0(r3) + 29260: 91000015 stw r4,0(r18) + 29264: 1c800015 stw r18,0(r3) + 29268: 1025883a mov r18,r2 + 2926c: 803feb1e bne r16,zero,2921c <_gp+0xfffec3b0> + 29270: 9005883a mov r2,r18 + 29274: dfc00517 ldw ra,20(sp) + 29278: dd000417 ldw r20,16(sp) + 2927c: dcc00317 ldw r19,12(sp) + 29280: dc800217 ldw r18,8(sp) + 29284: dc400117 ldw r17,4(sp) + 29288: dc000017 ldw r16,0(sp) + 2928c: dec00604 addi sp,sp,24 + 29290: f800283a ret + 29294: 880d883a mov r6,r17 + 29298: 880b883a mov r5,r17 + 2929c: 9809883a mov r4,r19 + 292a0: 0028fd80 call 28fd8 <__multiply> + 292a4: 88800015 stw r2,0(r17) + 292a8: 10000015 stw zero,0(r2) + 292ac: 003fdd06 br 29224 <_gp+0xfffec3b8> + 292b0: 1025883a mov r18,r2 + 292b4: 003fd706 br 29214 <_gp+0xfffec3a8> + 292b8: 10bfffc4 addi r2,r2,-1 + 292bc: 1085883a add r2,r2,r2 + 292c0: 00c000f4 movhi r3,3 + 292c4: 18ca6a04 addi r3,r3,10664 + 292c8: 1085883a add r2,r2,r2 + 292cc: 1885883a add r2,r3,r2 + 292d0: 11800017 ldw r6,0(r2) + 292d4: 000f883a mov r7,zero + 292d8: 0028c600 call 28c60 <__multadd> + 292dc: 1025883a mov r18,r2 + 292e0: 003fc706 br 29200 <_gp+0xfffec394> + 292e4: 05000044 movi r20,1 + 292e8: a00b883a mov r5,r20 + 292ec: 9809883a mov r4,r19 + 292f0: 0028b900 call 28b90 <_Balloc> + 292f4: 1023883a mov r17,r2 + 292f8: 00809c44 movi r2,625 + 292fc: 88800515 stw r2,20(r17) + 29300: 8d000415 stw r20,16(r17) + 29304: 9c401215 stw r17,72(r19) + 29308: 88000015 stw zero,0(r17) + 2930c: 003fc606 br 29228 <_gp+0xfffec3bc> -000293ac <__ratio>: - 293ac: defff904 addi sp,sp,-28 - 293b0: dc400315 stw r17,12(sp) - 293b4: 2823883a mov r17,r5 - 293b8: d9400104 addi r5,sp,4 - 293bc: dfc00615 stw ra,24(sp) - 293c0: dcc00515 stw r19,20(sp) - 293c4: dc800415 stw r18,16(sp) - 293c8: 2027883a mov r19,r4 - 293cc: dc000215 stw r16,8(sp) - 293d0: 00291540 call 29154 <__b2d> - 293d4: d80b883a mov r5,sp - 293d8: 8809883a mov r4,r17 - 293dc: 1025883a mov r18,r2 - 293e0: 1821883a mov r16,r3 - 293e4: 00291540 call 29154 <__b2d> - 293e8: 8a000417 ldw r8,16(r17) - 293ec: 99000417 ldw r4,16(r19) - 293f0: d9400117 ldw r5,4(sp) - 293f4: 2209c83a sub r4,r4,r8 - 293f8: 2010917a slli r8,r4,5 - 293fc: d9000017 ldw r4,0(sp) - 29400: 2909c83a sub r4,r5,r4 - 29404: 4109883a add r4,r8,r4 - 29408: 01000e0e bge zero,r4,29444 <__ratio+0x98> - 2940c: 2008953a slli r4,r4,20 - 29410: 2421883a add r16,r4,r16 - 29414: 100d883a mov r6,r2 - 29418: 180f883a mov r7,r3 - 2941c: 9009883a mov r4,r18 - 29420: 800b883a mov r5,r16 - 29424: 002d1f80 call 2d1f8 <__divdf3> - 29428: dfc00617 ldw ra,24(sp) - 2942c: dcc00517 ldw r19,20(sp) - 29430: dc800417 ldw r18,16(sp) - 29434: dc400317 ldw r17,12(sp) - 29438: dc000217 ldw r16,8(sp) - 2943c: dec00704 addi sp,sp,28 - 29440: f800283a ret - 29444: 2008953a slli r4,r4,20 - 29448: 1907c83a sub r3,r3,r4 - 2944c: 003ff106 br 29414 <_gp+0xfffecedc> +00029310 <__lshift>: + 29310: defff904 addi sp,sp,-28 + 29314: dd400515 stw r21,20(sp) + 29318: dcc00315 stw r19,12(sp) + 2931c: 302bd17a srai r21,r6,5 + 29320: 2cc00417 ldw r19,16(r5) + 29324: 28800217 ldw r2,8(r5) + 29328: dd000415 stw r20,16(sp) + 2932c: ace7883a add r19,r21,r19 + 29330: dc800215 stw r18,8(sp) + 29334: dc400115 stw r17,4(sp) + 29338: dc000015 stw r16,0(sp) + 2933c: dfc00615 stw ra,24(sp) + 29340: 9c000044 addi r16,r19,1 + 29344: 2823883a mov r17,r5 + 29348: 3029883a mov r20,r6 + 2934c: 2025883a mov r18,r4 + 29350: 29400117 ldw r5,4(r5) + 29354: 1400030e bge r2,r16,29364 <__lshift+0x54> + 29358: 1085883a add r2,r2,r2 + 2935c: 29400044 addi r5,r5,1 + 29360: 143ffd16 blt r2,r16,29358 <_gp+0xfffec4ec> + 29364: 9009883a mov r4,r18 + 29368: 0028b900 call 28b90 <_Balloc> + 2936c: 10c00504 addi r3,r2,20 + 29370: 0540070e bge zero,r21,29390 <__lshift+0x80> + 29374: ad6b883a add r21,r21,r21 + 29378: ad6b883a add r21,r21,r21 + 2937c: 1809883a mov r4,r3 + 29380: 1d47883a add r3,r3,r21 + 29384: 20000015 stw zero,0(r4) + 29388: 21000104 addi r4,r4,4 + 2938c: 193ffd1e bne r3,r4,29384 <_gp+0xfffec518> + 29390: 8a000417 ldw r8,16(r17) + 29394: 89000504 addi r4,r17,20 + 29398: a18007cc andi r6,r20,31 + 2939c: 4211883a add r8,r8,r8 + 293a0: 4211883a add r8,r8,r8 + 293a4: 2211883a add r8,r4,r8 + 293a8: 30002326 beq r6,zero,29438 <__lshift+0x128> + 293ac: 02400804 movi r9,32 + 293b0: 4993c83a sub r9,r9,r6 + 293b4: 000b883a mov r5,zero + 293b8: 21c00017 ldw r7,0(r4) + 293bc: 1815883a mov r10,r3 + 293c0: 18c00104 addi r3,r3,4 + 293c4: 398e983a sll r7,r7,r6 + 293c8: 21000104 addi r4,r4,4 + 293cc: 394ab03a or r5,r7,r5 + 293d0: 197fff15 stw r5,-4(r3) + 293d4: 217fff17 ldw r5,-4(r4) + 293d8: 2a4ad83a srl r5,r5,r9 + 293dc: 223ff636 bltu r4,r8,293b8 <_gp+0xfffec54c> + 293e0: 51400115 stw r5,4(r10) + 293e4: 28001a1e bne r5,zero,29450 <__lshift+0x140> + 293e8: 843fffc4 addi r16,r16,-1 + 293ec: 14000415 stw r16,16(r2) + 293f0: 88000826 beq r17,zero,29414 <__lshift+0x104> + 293f4: 89000117 ldw r4,4(r17) + 293f8: 90c01317 ldw r3,76(r18) + 293fc: 2109883a add r4,r4,r4 + 29400: 2109883a add r4,r4,r4 + 29404: 1907883a add r3,r3,r4 + 29408: 19000017 ldw r4,0(r3) + 2940c: 89000015 stw r4,0(r17) + 29410: 1c400015 stw r17,0(r3) + 29414: dfc00617 ldw ra,24(sp) + 29418: dd400517 ldw r21,20(sp) + 2941c: dd000417 ldw r20,16(sp) + 29420: dcc00317 ldw r19,12(sp) + 29424: dc800217 ldw r18,8(sp) + 29428: dc400117 ldw r17,4(sp) + 2942c: dc000017 ldw r16,0(sp) + 29430: dec00704 addi sp,sp,28 + 29434: f800283a ret + 29438: 21400017 ldw r5,0(r4) + 2943c: 18c00104 addi r3,r3,4 + 29440: 21000104 addi r4,r4,4 + 29444: 197fff15 stw r5,-4(r3) + 29448: 223ffb36 bltu r4,r8,29438 <_gp+0xfffec5cc> + 2944c: 003fe606 br 293e8 <_gp+0xfffec57c> + 29450: 9c000084 addi r16,r19,2 + 29454: 003fe406 br 293e8 <_gp+0xfffec57c> -00029450 <_mprec_log10>: - 29450: defffe04 addi sp,sp,-8 - 29454: dc000015 stw r16,0(sp) - 29458: dfc00115 stw ra,4(sp) - 2945c: 008005c4 movi r2,23 - 29460: 2021883a mov r16,r4 - 29464: 11000d0e bge r2,r4,2949c <_mprec_log10+0x4c> - 29468: 0005883a mov r2,zero - 2946c: 00cffc34 movhi r3,16368 - 29470: 843fffc4 addi r16,r16,-1 - 29474: 000d883a mov r6,zero - 29478: 01d00934 movhi r7,16420 - 2947c: 1009883a mov r4,r2 - 29480: 180b883a mov r5,r3 - 29484: 002dd380 call 2dd38 <__muldf3> - 29488: 803ff91e bne r16,zero,29470 <_gp+0xfffecf38> - 2948c: dfc00117 ldw ra,4(sp) - 29490: dc000017 ldw r16,0(sp) - 29494: dec00204 addi sp,sp,8 - 29498: f800283a ret - 2949c: 202090fa slli r16,r4,3 - 294a0: 008000f4 movhi r2,3 - 294a4: 10883404 addi r2,r2,8400 - 294a8: 1421883a add r16,r2,r16 - 294ac: 80800017 ldw r2,0(r16) - 294b0: 80c00117 ldw r3,4(r16) - 294b4: dfc00117 ldw ra,4(sp) - 294b8: dc000017 ldw r16,0(sp) - 294bc: dec00204 addi sp,sp,8 - 294c0: f800283a ret +00029458 <__mcmp>: + 29458: 20800417 ldw r2,16(r4) + 2945c: 28c00417 ldw r3,16(r5) + 29460: 10c5c83a sub r2,r2,r3 + 29464: 1000111e bne r2,zero,294ac <__mcmp+0x54> + 29468: 18c7883a add r3,r3,r3 + 2946c: 18c7883a add r3,r3,r3 + 29470: 21000504 addi r4,r4,20 + 29474: 29400504 addi r5,r5,20 + 29478: 20c5883a add r2,r4,r3 + 2947c: 28cb883a add r5,r5,r3 + 29480: 00000106 br 29488 <__mcmp+0x30> + 29484: 20800a2e bgeu r4,r2,294b0 <__mcmp+0x58> + 29488: 10bfff04 addi r2,r2,-4 + 2948c: 297fff04 addi r5,r5,-4 + 29490: 11800017 ldw r6,0(r2) + 29494: 28c00017 ldw r3,0(r5) + 29498: 30fffa26 beq r6,r3,29484 <_gp+0xfffec618> + 2949c: 30c00236 bltu r6,r3,294a8 <__mcmp+0x50> + 294a0: 00800044 movi r2,1 + 294a4: f800283a ret + 294a8: 00bfffc4 movi r2,-1 + 294ac: f800283a ret + 294b0: 0005883a mov r2,zero + 294b4: f800283a ret -000294c4 <__copybits>: - 294c4: 297fffc4 addi r5,r5,-1 - 294c8: 280fd17a srai r7,r5,5 - 294cc: 30c00417 ldw r3,16(r6) - 294d0: 30800504 addi r2,r6,20 - 294d4: 39c00044 addi r7,r7,1 - 294d8: 18c7883a add r3,r3,r3 - 294dc: 39cf883a add r7,r7,r7 - 294e0: 18c7883a add r3,r3,r3 - 294e4: 39cf883a add r7,r7,r7 - 294e8: 10c7883a add r3,r2,r3 - 294ec: 21cf883a add r7,r4,r7 - 294f0: 10c00d2e bgeu r2,r3,29528 <__copybits+0x64> - 294f4: 200b883a mov r5,r4 - 294f8: 12000017 ldw r8,0(r2) - 294fc: 29400104 addi r5,r5,4 - 29500: 10800104 addi r2,r2,4 - 29504: 2a3fff15 stw r8,-4(r5) - 29508: 10fffb36 bltu r2,r3,294f8 <_gp+0xfffecfc0> - 2950c: 1985c83a sub r2,r3,r6 - 29510: 10bffac4 addi r2,r2,-21 - 29514: 1004d0ba srli r2,r2,2 - 29518: 10800044 addi r2,r2,1 - 2951c: 1085883a add r2,r2,r2 - 29520: 1085883a add r2,r2,r2 - 29524: 2089883a add r4,r4,r2 - 29528: 21c0032e bgeu r4,r7,29538 <__copybits+0x74> - 2952c: 20000015 stw zero,0(r4) - 29530: 21000104 addi r4,r4,4 - 29534: 21fffd36 bltu r4,r7,2952c <_gp+0xfffecff4> - 29538: f800283a ret +000294b8 <__mdiff>: + 294b8: 28c00417 ldw r3,16(r5) + 294bc: 30800417 ldw r2,16(r6) + 294c0: defffa04 addi sp,sp,-24 + 294c4: dcc00315 stw r19,12(sp) + 294c8: dc800215 stw r18,8(sp) + 294cc: dfc00515 stw ra,20(sp) + 294d0: dd000415 stw r20,16(sp) + 294d4: dc400115 stw r17,4(sp) + 294d8: dc000015 stw r16,0(sp) + 294dc: 1887c83a sub r3,r3,r2 + 294e0: 2825883a mov r18,r5 + 294e4: 3027883a mov r19,r6 + 294e8: 1800141e bne r3,zero,2953c <__mdiff+0x84> + 294ec: 1085883a add r2,r2,r2 + 294f0: 1085883a add r2,r2,r2 + 294f4: 2a000504 addi r8,r5,20 + 294f8: 34000504 addi r16,r6,20 + 294fc: 4087883a add r3,r8,r2 + 29500: 8085883a add r2,r16,r2 + 29504: 00000106 br 2950c <__mdiff+0x54> + 29508: 40c0592e bgeu r8,r3,29670 <__mdiff+0x1b8> + 2950c: 18ffff04 addi r3,r3,-4 + 29510: 10bfff04 addi r2,r2,-4 + 29514: 19c00017 ldw r7,0(r3) + 29518: 11400017 ldw r5,0(r2) + 2951c: 397ffa26 beq r7,r5,29508 <_gp+0xfffec69c> + 29520: 3940592e bgeu r7,r5,29688 <__mdiff+0x1d0> + 29524: 9005883a mov r2,r18 + 29528: 4023883a mov r17,r8 + 2952c: 9825883a mov r18,r19 + 29530: 05000044 movi r20,1 + 29534: 1027883a mov r19,r2 + 29538: 00000406 br 2954c <__mdiff+0x94> + 2953c: 18005616 blt r3,zero,29698 <__mdiff+0x1e0> + 29540: 34400504 addi r17,r6,20 + 29544: 2c000504 addi r16,r5,20 + 29548: 0029883a mov r20,zero + 2954c: 91400117 ldw r5,4(r18) + 29550: 0028b900 call 28b90 <_Balloc> + 29554: 92400417 ldw r9,16(r18) + 29558: 9b000417 ldw r12,16(r19) + 2955c: 12c00504 addi r11,r2,20 + 29560: 4a51883a add r8,r9,r9 + 29564: 6319883a add r12,r12,r12 + 29568: 4211883a add r8,r8,r8 + 2956c: 6319883a add r12,r12,r12 + 29570: 15000315 stw r20,12(r2) + 29574: 8211883a add r8,r16,r8 + 29578: 8b19883a add r12,r17,r12 + 2957c: 0007883a mov r3,zero + 29580: 81400017 ldw r5,0(r16) + 29584: 89c00017 ldw r7,0(r17) + 29588: 59800104 addi r6,r11,4 + 2958c: 293fffcc andi r4,r5,65535 + 29590: 20c7883a add r3,r4,r3 + 29594: 393fffcc andi r4,r7,65535 + 29598: 1909c83a sub r4,r3,r4 + 2959c: 280ad43a srli r5,r5,16 + 295a0: 380ed43a srli r7,r7,16 + 295a4: 2007d43a srai r3,r4,16 + 295a8: 213fffcc andi r4,r4,65535 + 295ac: 29cbc83a sub r5,r5,r7 + 295b0: 28c7883a add r3,r5,r3 + 295b4: 180a943a slli r5,r3,16 + 295b8: 8c400104 addi r17,r17,4 + 295bc: 84000104 addi r16,r16,4 + 295c0: 2908b03a or r4,r5,r4 + 295c4: 59000015 stw r4,0(r11) + 295c8: 1807d43a srai r3,r3,16 + 295cc: 3015883a mov r10,r6 + 295d0: 3017883a mov r11,r6 + 295d4: 8b3fea36 bltu r17,r12,29580 <_gp+0xfffec714> + 295d8: 8200162e bgeu r16,r8,29634 <__mdiff+0x17c> + 295dc: 8017883a mov r11,r16 + 295e0: 59400017 ldw r5,0(r11) + 295e4: 31800104 addi r6,r6,4 + 295e8: 5ac00104 addi r11,r11,4 + 295ec: 293fffcc andi r4,r5,65535 + 295f0: 20c7883a add r3,r4,r3 + 295f4: 280ed43a srli r7,r5,16 + 295f8: 180bd43a srai r5,r3,16 + 295fc: 193fffcc andi r4,r3,65535 + 29600: 3947883a add r3,r7,r5 + 29604: 180a943a slli r5,r3,16 + 29608: 1807d43a srai r3,r3,16 + 2960c: 2908b03a or r4,r5,r4 + 29610: 313fff15 stw r4,-4(r6) + 29614: 5a3ff236 bltu r11,r8,295e0 <_gp+0xfffec774> + 29618: 0406303a nor r3,zero,r16 + 2961c: 1a07883a add r3,r3,r8 + 29620: 1806d0ba srli r3,r3,2 + 29624: 18c00044 addi r3,r3,1 + 29628: 18c7883a add r3,r3,r3 + 2962c: 18c7883a add r3,r3,r3 + 29630: 50d5883a add r10,r10,r3 + 29634: 50ffff04 addi r3,r10,-4 + 29638: 2000041e bne r4,zero,2964c <__mdiff+0x194> + 2963c: 18ffff04 addi r3,r3,-4 + 29640: 19000017 ldw r4,0(r3) + 29644: 4a7fffc4 addi r9,r9,-1 + 29648: 203ffc26 beq r4,zero,2963c <_gp+0xfffec7d0> + 2964c: 12400415 stw r9,16(r2) + 29650: dfc00517 ldw ra,20(sp) + 29654: dd000417 ldw r20,16(sp) + 29658: dcc00317 ldw r19,12(sp) + 2965c: dc800217 ldw r18,8(sp) + 29660: dc400117 ldw r17,4(sp) + 29664: dc000017 ldw r16,0(sp) + 29668: dec00604 addi sp,sp,24 + 2966c: f800283a ret + 29670: 000b883a mov r5,zero + 29674: 0028b900 call 28b90 <_Balloc> + 29678: 00c00044 movi r3,1 + 2967c: 10c00415 stw r3,16(r2) + 29680: 10000515 stw zero,20(r2) + 29684: 003ff206 br 29650 <_gp+0xfffec7e4> + 29688: 8023883a mov r17,r16 + 2968c: 0029883a mov r20,zero + 29690: 4021883a mov r16,r8 + 29694: 003fad06 br 2954c <_gp+0xfffec6e0> + 29698: 9005883a mov r2,r18 + 2969c: 94400504 addi r17,r18,20 + 296a0: 9c000504 addi r16,r19,20 + 296a4: 9825883a mov r18,r19 + 296a8: 05000044 movi r20,1 + 296ac: 1027883a mov r19,r2 + 296b0: 003fa606 br 2954c <_gp+0xfffec6e0> -0002953c <__any_on>: - 2953c: 20c00417 ldw r3,16(r4) - 29540: 2805d17a srai r2,r5,5 - 29544: 21000504 addi r4,r4,20 - 29548: 18800d0e bge r3,r2,29580 <__any_on+0x44> - 2954c: 18c7883a add r3,r3,r3 - 29550: 18c7883a add r3,r3,r3 - 29554: 20c7883a add r3,r4,r3 - 29558: 20c0192e bgeu r4,r3,295c0 <__any_on+0x84> - 2955c: 18bfff17 ldw r2,-4(r3) - 29560: 18ffff04 addi r3,r3,-4 - 29564: 1000041e bne r2,zero,29578 <__any_on+0x3c> - 29568: 20c0142e bgeu r4,r3,295bc <__any_on+0x80> - 2956c: 18ffff04 addi r3,r3,-4 - 29570: 19400017 ldw r5,0(r3) - 29574: 283ffc26 beq r5,zero,29568 <_gp+0xfffed030> - 29578: 00800044 movi r2,1 - 2957c: f800283a ret - 29580: 10c00a0e bge r2,r3,295ac <__any_on+0x70> - 29584: 1085883a add r2,r2,r2 - 29588: 1085883a add r2,r2,r2 - 2958c: 294007cc andi r5,r5,31 - 29590: 2087883a add r3,r4,r2 - 29594: 283ff026 beq r5,zero,29558 <_gp+0xfffed020> - 29598: 19800017 ldw r6,0(r3) - 2959c: 3144d83a srl r2,r6,r5 - 295a0: 114a983a sll r5,r2,r5 - 295a4: 317ff41e bne r6,r5,29578 <_gp+0xfffed040> - 295a8: 003feb06 br 29558 <_gp+0xfffed020> - 295ac: 1085883a add r2,r2,r2 - 295b0: 1085883a add r2,r2,r2 - 295b4: 2087883a add r3,r4,r2 - 295b8: 003fe706 br 29558 <_gp+0xfffed020> - 295bc: f800283a ret - 295c0: 0005883a mov r2,zero - 295c4: f800283a ret +000296b4 <__ulp>: + 296b4: 295ffc2c andhi r5,r5,32752 + 296b8: 00bf3034 movhi r2,64704 + 296bc: 2887883a add r3,r5,r2 + 296c0: 00c0020e bge zero,r3,296cc <__ulp+0x18> + 296c4: 0005883a mov r2,zero + 296c8: f800283a ret + 296cc: 00c7c83a sub r3,zero,r3 + 296d0: 1807d53a srai r3,r3,20 + 296d4: 008004c4 movi r2,19 + 296d8: 10c00b0e bge r2,r3,29708 <__ulp+0x54> + 296dc: 18bffb04 addi r2,r3,-20 + 296e0: 01000784 movi r4,30 + 296e4: 0007883a mov r3,zero + 296e8: 20800516 blt r4,r2,29700 <__ulp+0x4c> + 296ec: 010007c4 movi r4,31 + 296f0: 2089c83a sub r4,r4,r2 + 296f4: 00800044 movi r2,1 + 296f8: 1104983a sll r2,r2,r4 + 296fc: f800283a ret + 29700: 00800044 movi r2,1 + 29704: f800283a ret + 29708: 01400234 movhi r5,8 + 2970c: 28c7d83a sra r3,r5,r3 + 29710: 0005883a mov r2,zero + 29714: f800283a ret -000295c8 <_putc_r>: - 295c8: defffc04 addi sp,sp,-16 - 295cc: dc000215 stw r16,8(sp) - 295d0: dfc00315 stw ra,12(sp) - 295d4: 2021883a mov r16,r4 - 295d8: 20000226 beq r4,zero,295e4 <_putc_r+0x1c> - 295dc: 20800e17 ldw r2,56(r4) - 295e0: 10001b26 beq r2,zero,29650 <_putc_r+0x88> - 295e4: 30800217 ldw r2,8(r6) - 295e8: 10bfffc4 addi r2,r2,-1 - 295ec: 30800215 stw r2,8(r6) - 295f0: 10000a16 blt r2,zero,2961c <_putc_r+0x54> - 295f4: 30800017 ldw r2,0(r6) - 295f8: 11400005 stb r5,0(r2) - 295fc: 30800017 ldw r2,0(r6) - 29600: 10c00044 addi r3,r2,1 - 29604: 30c00015 stw r3,0(r6) - 29608: 10800003 ldbu r2,0(r2) - 2960c: dfc00317 ldw ra,12(sp) - 29610: dc000217 ldw r16,8(sp) - 29614: dec00404 addi sp,sp,16 - 29618: f800283a ret - 2961c: 30c00617 ldw r3,24(r6) - 29620: 10c00616 blt r2,r3,2963c <_putc_r+0x74> - 29624: 30800017 ldw r2,0(r6) - 29628: 00c00284 movi r3,10 - 2962c: 11400005 stb r5,0(r2) - 29630: 30800017 ldw r2,0(r6) - 29634: 11400003 ldbu r5,0(r2) - 29638: 28fff11e bne r5,r3,29600 <_gp+0xfffed0c8> - 2963c: 8009883a mov r4,r16 - 29640: dfc00317 ldw ra,12(sp) - 29644: dc000217 ldw r16,8(sp) - 29648: dec00404 addi sp,sp,16 - 2964c: 002b5681 jmpi 2b568 <__swbuf_r> - 29650: d9400015 stw r5,0(sp) - 29654: d9800115 stw r6,4(sp) - 29658: 00274e40 call 274e4 <__sinit> - 2965c: d9800117 ldw r6,4(sp) - 29660: d9400017 ldw r5,0(sp) - 29664: 003fdf06 br 295e4 <_gp+0xfffed0ac> +00029718 <__b2d>: + 29718: defffa04 addi sp,sp,-24 + 2971c: dc000015 stw r16,0(sp) + 29720: 24000417 ldw r16,16(r4) + 29724: dc400115 stw r17,4(sp) + 29728: 24400504 addi r17,r4,20 + 2972c: 8421883a add r16,r16,r16 + 29730: 8421883a add r16,r16,r16 + 29734: 8c21883a add r16,r17,r16 + 29738: dc800215 stw r18,8(sp) + 2973c: 84bfff17 ldw r18,-4(r16) + 29740: dd000415 stw r20,16(sp) + 29744: dcc00315 stw r19,12(sp) + 29748: 9009883a mov r4,r18 + 2974c: 2829883a mov r20,r5 + 29750: dfc00515 stw ra,20(sp) + 29754: 0028e840 call 28e84 <__hi0bits> + 29758: 00c00804 movi r3,32 + 2975c: 1889c83a sub r4,r3,r2 + 29760: a1000015 stw r4,0(r20) + 29764: 01000284 movi r4,10 + 29768: 84ffff04 addi r19,r16,-4 + 2976c: 20801216 blt r4,r2,297b8 <__b2d+0xa0> + 29770: 018002c4 movi r6,11 + 29774: 308dc83a sub r6,r6,r2 + 29778: 9186d83a srl r3,r18,r6 + 2977c: 18cffc34 orhi r3,r3,16368 + 29780: 8cc0212e bgeu r17,r19,29808 <__b2d+0xf0> + 29784: 813ffe17 ldw r4,-8(r16) + 29788: 218cd83a srl r6,r4,r6 + 2978c: 10800544 addi r2,r2,21 + 29790: 9084983a sll r2,r18,r2 + 29794: 1184b03a or r2,r2,r6 + 29798: dfc00517 ldw ra,20(sp) + 2979c: dd000417 ldw r20,16(sp) + 297a0: dcc00317 ldw r19,12(sp) + 297a4: dc800217 ldw r18,8(sp) + 297a8: dc400117 ldw r17,4(sp) + 297ac: dc000017 ldw r16,0(sp) + 297b0: dec00604 addi sp,sp,24 + 297b4: f800283a ret + 297b8: 8cc00f2e bgeu r17,r19,297f8 <__b2d+0xe0> + 297bc: 117ffd44 addi r5,r2,-11 + 297c0: 80bffe17 ldw r2,-8(r16) + 297c4: 28000e26 beq r5,zero,29800 <__b2d+0xe8> + 297c8: 1949c83a sub r4,r3,r5 + 297cc: 9164983a sll r18,r18,r5 + 297d0: 1106d83a srl r3,r2,r4 + 297d4: 81bffe04 addi r6,r16,-8 + 297d8: 948ffc34 orhi r18,r18,16368 + 297dc: 90c6b03a or r3,r18,r3 + 297e0: 89800e2e bgeu r17,r6,2981c <__b2d+0x104> + 297e4: 81bffd17 ldw r6,-12(r16) + 297e8: 1144983a sll r2,r2,r5 + 297ec: 310ad83a srl r5,r6,r4 + 297f0: 2884b03a or r2,r5,r2 + 297f4: 003fe806 br 29798 <_gp+0xfffec92c> + 297f8: 10bffd44 addi r2,r2,-11 + 297fc: 1000041e bne r2,zero,29810 <__b2d+0xf8> + 29800: 90cffc34 orhi r3,r18,16368 + 29804: 003fe406 br 29798 <_gp+0xfffec92c> + 29808: 000d883a mov r6,zero + 2980c: 003fdf06 br 2978c <_gp+0xfffec920> + 29810: 90a4983a sll r18,r18,r2 + 29814: 0005883a mov r2,zero + 29818: 003ff906 br 29800 <_gp+0xfffec994> + 2981c: 1144983a sll r2,r2,r5 + 29820: 003fdd06 br 29798 <_gp+0xfffec92c> -00029668 : - 29668: 008000f4 movhi r2,3 - 2966c: defffc04 addi sp,sp,-16 - 29670: 10915404 addi r2,r2,17744 - 29674: dc000115 stw r16,4(sp) - 29678: 14000017 ldw r16,0(r2) - 2967c: dc400215 stw r17,8(sp) - 29680: dfc00315 stw ra,12(sp) - 29684: 2023883a mov r17,r4 - 29688: 80000226 beq r16,zero,29694 - 2968c: 80800e17 ldw r2,56(r16) - 29690: 10001a26 beq r2,zero,296fc - 29694: 28800217 ldw r2,8(r5) - 29698: 10bfffc4 addi r2,r2,-1 - 2969c: 28800215 stw r2,8(r5) - 296a0: 10000b16 blt r2,zero,296d0 - 296a4: 28800017 ldw r2,0(r5) - 296a8: 14400005 stb r17,0(r2) - 296ac: 28800017 ldw r2,0(r5) - 296b0: 10c00044 addi r3,r2,1 - 296b4: 28c00015 stw r3,0(r5) - 296b8: 10800003 ldbu r2,0(r2) - 296bc: dfc00317 ldw ra,12(sp) - 296c0: dc400217 ldw r17,8(sp) - 296c4: dc000117 ldw r16,4(sp) - 296c8: dec00404 addi sp,sp,16 - 296cc: f800283a ret - 296d0: 28c00617 ldw r3,24(r5) - 296d4: 10c00e16 blt r2,r3,29710 - 296d8: 28800017 ldw r2,0(r5) - 296dc: 01000284 movi r4,10 - 296e0: 14400005 stb r17,0(r2) - 296e4: 28800017 ldw r2,0(r5) - 296e8: 10c00003 ldbu r3,0(r2) - 296ec: 193ff01e bne r3,r4,296b0 <_gp+0xfffed178> - 296f0: 280d883a mov r6,r5 - 296f4: 180b883a mov r5,r3 - 296f8: 00000706 br 29718 - 296fc: 8009883a mov r4,r16 - 29700: d9400015 stw r5,0(sp) - 29704: 00274e40 call 274e4 <__sinit> - 29708: d9400017 ldw r5,0(sp) - 2970c: 003fe106 br 29694 <_gp+0xfffed15c> - 29710: 280d883a mov r6,r5 - 29714: 880b883a mov r5,r17 - 29718: 8009883a mov r4,r16 - 2971c: dfc00317 ldw ra,12(sp) - 29720: dc400217 ldw r17,8(sp) - 29724: dc000117 ldw r16,4(sp) - 29728: dec00404 addi sp,sp,16 - 2972c: 002b5681 jmpi 2b568 <__swbuf_r> +00029824 <__d2b>: + 29824: defff804 addi sp,sp,-32 + 29828: dc000215 stw r16,8(sp) + 2982c: 3021883a mov r16,r6 + 29830: dc400315 stw r17,12(sp) + 29834: 8022907a slli r17,r16,1 + 29838: dd000615 stw r20,24(sp) + 2983c: 2829883a mov r20,r5 + 29840: 01400044 movi r5,1 + 29844: dcc00515 stw r19,20(sp) + 29848: dc800415 stw r18,16(sp) + 2984c: dfc00715 stw ra,28(sp) + 29850: 3825883a mov r18,r7 + 29854: 8822d57a srli r17,r17,21 + 29858: 0028b900 call 28b90 <_Balloc> + 2985c: 1027883a mov r19,r2 + 29860: 00800434 movhi r2,16 + 29864: 10bfffc4 addi r2,r2,-1 + 29868: 808c703a and r6,r16,r2 + 2986c: 88000126 beq r17,zero,29874 <__d2b+0x50> + 29870: 31800434 orhi r6,r6,16 + 29874: d9800015 stw r6,0(sp) + 29878: a0002426 beq r20,zero,2990c <__d2b+0xe8> + 2987c: d9000104 addi r4,sp,4 + 29880: dd000115 stw r20,4(sp) + 29884: 0028eec0 call 28eec <__lo0bits> + 29888: d8c00017 ldw r3,0(sp) + 2988c: 10002f1e bne r2,zero,2994c <__d2b+0x128> + 29890: d9000117 ldw r4,4(sp) + 29894: 99000515 stw r4,20(r19) + 29898: 1821003a cmpeq r16,r3,zero + 2989c: 01000084 movi r4,2 + 298a0: 2421c83a sub r16,r4,r16 + 298a4: 98c00615 stw r3,24(r19) + 298a8: 9c000415 stw r16,16(r19) + 298ac: 88001f1e bne r17,zero,2992c <__d2b+0x108> + 298b0: 10bef384 addi r2,r2,-1074 + 298b4: 90800015 stw r2,0(r18) + 298b8: 00900034 movhi r2,16384 + 298bc: 10bfffc4 addi r2,r2,-1 + 298c0: 8085883a add r2,r16,r2 + 298c4: 1085883a add r2,r2,r2 + 298c8: 1085883a add r2,r2,r2 + 298cc: 9885883a add r2,r19,r2 + 298d0: 11000517 ldw r4,20(r2) + 298d4: 8020917a slli r16,r16,5 + 298d8: 0028e840 call 28e84 <__hi0bits> + 298dc: d8c00817 ldw r3,32(sp) + 298e0: 8085c83a sub r2,r16,r2 + 298e4: 18800015 stw r2,0(r3) + 298e8: 9805883a mov r2,r19 + 298ec: dfc00717 ldw ra,28(sp) + 298f0: dd000617 ldw r20,24(sp) + 298f4: dcc00517 ldw r19,20(sp) + 298f8: dc800417 ldw r18,16(sp) + 298fc: dc400317 ldw r17,12(sp) + 29900: dc000217 ldw r16,8(sp) + 29904: dec00804 addi sp,sp,32 + 29908: f800283a ret + 2990c: d809883a mov r4,sp + 29910: 0028eec0 call 28eec <__lo0bits> + 29914: d8c00017 ldw r3,0(sp) + 29918: 04000044 movi r16,1 + 2991c: 9c000415 stw r16,16(r19) + 29920: 98c00515 stw r3,20(r19) + 29924: 10800804 addi r2,r2,32 + 29928: 883fe126 beq r17,zero,298b0 <_gp+0xfffeca44> + 2992c: 00c00d44 movi r3,53 + 29930: 8c7ef344 addi r17,r17,-1075 + 29934: 88a3883a add r17,r17,r2 + 29938: 1885c83a sub r2,r3,r2 + 2993c: d8c00817 ldw r3,32(sp) + 29940: 94400015 stw r17,0(r18) + 29944: 18800015 stw r2,0(r3) + 29948: 003fe706 br 298e8 <_gp+0xfffeca7c> + 2994c: 01000804 movi r4,32 + 29950: 2089c83a sub r4,r4,r2 + 29954: 1908983a sll r4,r3,r4 + 29958: d9400117 ldw r5,4(sp) + 2995c: 1886d83a srl r3,r3,r2 + 29960: 2148b03a or r4,r4,r5 + 29964: 99000515 stw r4,20(r19) + 29968: d8c00015 stw r3,0(sp) + 2996c: 003fca06 br 29898 <_gp+0xfffeca2c> -00029730 <_realloc_r>: - 29730: defff604 addi sp,sp,-40 - 29734: dc800215 stw r18,8(sp) - 29738: dfc00915 stw ra,36(sp) - 2973c: df000815 stw fp,32(sp) - 29740: ddc00715 stw r23,28(sp) - 29744: dd800615 stw r22,24(sp) - 29748: dd400515 stw r21,20(sp) - 2974c: dd000415 stw r20,16(sp) - 29750: dcc00315 stw r19,12(sp) - 29754: dc400115 stw r17,4(sp) - 29758: dc000015 stw r16,0(sp) - 2975c: 3025883a mov r18,r6 - 29760: 2800b726 beq r5,zero,29a40 <_realloc_r+0x310> - 29764: 282b883a mov r21,r5 - 29768: 2029883a mov r20,r4 - 2976c: 002f5800 call 2f580 <__malloc_lock> - 29770: a8bfff17 ldw r2,-4(r21) - 29774: 043fff04 movi r16,-4 - 29778: 90c002c4 addi r3,r18,11 - 2977c: 01000584 movi r4,22 - 29780: acfffe04 addi r19,r21,-8 - 29784: 1420703a and r16,r2,r16 - 29788: 20c0332e bgeu r4,r3,29858 <_realloc_r+0x128> - 2978c: 047ffe04 movi r17,-8 - 29790: 1c62703a and r17,r3,r17 - 29794: 8807883a mov r3,r17 - 29798: 88005816 blt r17,zero,298fc <_realloc_r+0x1cc> - 2979c: 8c805736 bltu r17,r18,298fc <_realloc_r+0x1cc> - 297a0: 80c0300e bge r16,r3,29864 <_realloc_r+0x134> - 297a4: 070000f4 movhi fp,3 - 297a8: e70aa304 addi fp,fp,10892 - 297ac: e1c00217 ldw r7,8(fp) - 297b0: 9c09883a add r4,r19,r16 - 297b4: 22000117 ldw r8,4(r4) - 297b8: 21c06326 beq r4,r7,29948 <_realloc_r+0x218> - 297bc: 017fff84 movi r5,-2 - 297c0: 414a703a and r5,r8,r5 - 297c4: 214b883a add r5,r4,r5 - 297c8: 29800117 ldw r6,4(r5) - 297cc: 3180004c andi r6,r6,1 - 297d0: 30003f26 beq r6,zero,298d0 <_realloc_r+0x1a0> - 297d4: 1080004c andi r2,r2,1 - 297d8: 10008326 beq r2,zero,299e8 <_realloc_r+0x2b8> - 297dc: 900b883a mov r5,r18 - 297e0: a009883a mov r4,r20 - 297e4: 00226c00 call 226c0 <_malloc_r> - 297e8: 1025883a mov r18,r2 - 297ec: 10011e26 beq r2,zero,29c68 <_realloc_r+0x538> - 297f0: a93fff17 ldw r4,-4(r21) - 297f4: 10fffe04 addi r3,r2,-8 - 297f8: 00bfff84 movi r2,-2 - 297fc: 2084703a and r2,r4,r2 - 29800: 9885883a add r2,r19,r2 - 29804: 1880ee26 beq r3,r2,29bc0 <_realloc_r+0x490> - 29808: 81bfff04 addi r6,r16,-4 - 2980c: 00800904 movi r2,36 - 29810: 1180b836 bltu r2,r6,29af4 <_realloc_r+0x3c4> - 29814: 00c004c4 movi r3,19 - 29818: 19809636 bltu r3,r6,29a74 <_realloc_r+0x344> - 2981c: 9005883a mov r2,r18 - 29820: a807883a mov r3,r21 - 29824: 19000017 ldw r4,0(r3) - 29828: 11000015 stw r4,0(r2) - 2982c: 19000117 ldw r4,4(r3) - 29830: 11000115 stw r4,4(r2) - 29834: 18c00217 ldw r3,8(r3) - 29838: 10c00215 stw r3,8(r2) - 2983c: a80b883a mov r5,r21 - 29840: a009883a mov r4,r20 - 29844: 00276580 call 27658 <_free_r> - 29848: a009883a mov r4,r20 - 2984c: 002f5a40 call 2f5a4 <__malloc_unlock> - 29850: 9005883a mov r2,r18 - 29854: 00001206 br 298a0 <_realloc_r+0x170> - 29858: 00c00404 movi r3,16 - 2985c: 1823883a mov r17,r3 - 29860: 003fce06 br 2979c <_gp+0xfffed264> - 29864: a825883a mov r18,r21 - 29868: 8445c83a sub r2,r16,r17 - 2986c: 00c003c4 movi r3,15 - 29870: 18802636 bltu r3,r2,2990c <_realloc_r+0x1dc> - 29874: 99800117 ldw r6,4(r19) - 29878: 9c07883a add r3,r19,r16 - 2987c: 3180004c andi r6,r6,1 - 29880: 3420b03a or r16,r6,r16 - 29884: 9c000115 stw r16,4(r19) - 29888: 18800117 ldw r2,4(r3) - 2988c: 10800054 ori r2,r2,1 - 29890: 18800115 stw r2,4(r3) - 29894: a009883a mov r4,r20 - 29898: 002f5a40 call 2f5a4 <__malloc_unlock> - 2989c: 9005883a mov r2,r18 - 298a0: dfc00917 ldw ra,36(sp) - 298a4: df000817 ldw fp,32(sp) - 298a8: ddc00717 ldw r23,28(sp) - 298ac: dd800617 ldw r22,24(sp) - 298b0: dd400517 ldw r21,20(sp) - 298b4: dd000417 ldw r20,16(sp) - 298b8: dcc00317 ldw r19,12(sp) - 298bc: dc800217 ldw r18,8(sp) - 298c0: dc400117 ldw r17,4(sp) - 298c4: dc000017 ldw r16,0(sp) - 298c8: dec00a04 addi sp,sp,40 - 298cc: f800283a ret - 298d0: 017fff04 movi r5,-4 - 298d4: 414a703a and r5,r8,r5 - 298d8: 814d883a add r6,r16,r5 - 298dc: 30c01f16 blt r6,r3,2995c <_realloc_r+0x22c> - 298e0: 20800317 ldw r2,12(r4) - 298e4: 20c00217 ldw r3,8(r4) - 298e8: a825883a mov r18,r21 - 298ec: 3021883a mov r16,r6 - 298f0: 18800315 stw r2,12(r3) - 298f4: 10c00215 stw r3,8(r2) - 298f8: 003fdb06 br 29868 <_gp+0xfffed330> - 298fc: 00800304 movi r2,12 - 29900: a0800015 stw r2,0(r20) - 29904: 0005883a mov r2,zero - 29908: 003fe506 br 298a0 <_gp+0xfffed368> - 2990c: 98c00117 ldw r3,4(r19) - 29910: 9c4b883a add r5,r19,r17 - 29914: 11000054 ori r4,r2,1 - 29918: 18c0004c andi r3,r3,1 - 2991c: 1c62b03a or r17,r3,r17 - 29920: 9c400115 stw r17,4(r19) - 29924: 29000115 stw r4,4(r5) - 29928: 2885883a add r2,r5,r2 - 2992c: 10c00117 ldw r3,4(r2) - 29930: 29400204 addi r5,r5,8 - 29934: a009883a mov r4,r20 - 29938: 18c00054 ori r3,r3,1 - 2993c: 10c00115 stw r3,4(r2) - 29940: 00276580 call 27658 <_free_r> - 29944: 003fd306 br 29894 <_gp+0xfffed35c> - 29948: 017fff04 movi r5,-4 - 2994c: 414a703a and r5,r8,r5 - 29950: 89800404 addi r6,r17,16 - 29954: 8151883a add r8,r16,r5 - 29958: 4180590e bge r8,r6,29ac0 <_realloc_r+0x390> - 2995c: 1080004c andi r2,r2,1 - 29960: 103f9e1e bne r2,zero,297dc <_gp+0xfffed2a4> - 29964: adbffe17 ldw r22,-8(r21) - 29968: 00bfff04 movi r2,-4 - 2996c: 9dadc83a sub r22,r19,r22 - 29970: b1800117 ldw r6,4(r22) - 29974: 3084703a and r2,r6,r2 - 29978: 20002026 beq r4,zero,299fc <_realloc_r+0x2cc> - 2997c: 80af883a add r23,r16,r2 - 29980: b96f883a add r23,r23,r5 - 29984: 21c05f26 beq r4,r7,29b04 <_realloc_r+0x3d4> - 29988: b8c01c16 blt r23,r3,299fc <_realloc_r+0x2cc> - 2998c: 20800317 ldw r2,12(r4) - 29990: 20c00217 ldw r3,8(r4) - 29994: 81bfff04 addi r6,r16,-4 - 29998: 01000904 movi r4,36 - 2999c: 18800315 stw r2,12(r3) - 299a0: 10c00215 stw r3,8(r2) - 299a4: b0c00217 ldw r3,8(r22) - 299a8: b0800317 ldw r2,12(r22) - 299ac: b4800204 addi r18,r22,8 - 299b0: 18800315 stw r2,12(r3) - 299b4: 10c00215 stw r3,8(r2) - 299b8: 21801b36 bltu r4,r6,29a28 <_realloc_r+0x2f8> - 299bc: 008004c4 movi r2,19 - 299c0: 1180352e bgeu r2,r6,29a98 <_realloc_r+0x368> - 299c4: a8800017 ldw r2,0(r21) - 299c8: b0800215 stw r2,8(r22) - 299cc: a8800117 ldw r2,4(r21) - 299d0: b0800315 stw r2,12(r22) - 299d4: 008006c4 movi r2,27 - 299d8: 11807f36 bltu r2,r6,29bd8 <_realloc_r+0x4a8> - 299dc: b0800404 addi r2,r22,16 - 299e0: ad400204 addi r21,r21,8 - 299e4: 00002d06 br 29a9c <_realloc_r+0x36c> - 299e8: adbffe17 ldw r22,-8(r21) - 299ec: 00bfff04 movi r2,-4 - 299f0: 9dadc83a sub r22,r19,r22 - 299f4: b1000117 ldw r4,4(r22) - 299f8: 2084703a and r2,r4,r2 - 299fc: b03f7726 beq r22,zero,297dc <_gp+0xfffed2a4> - 29a00: 80af883a add r23,r16,r2 - 29a04: b8ff7516 blt r23,r3,297dc <_gp+0xfffed2a4> - 29a08: b0800317 ldw r2,12(r22) - 29a0c: b0c00217 ldw r3,8(r22) - 29a10: 81bfff04 addi r6,r16,-4 - 29a14: 01000904 movi r4,36 - 29a18: 18800315 stw r2,12(r3) - 29a1c: 10c00215 stw r3,8(r2) - 29a20: b4800204 addi r18,r22,8 - 29a24: 21bfe52e bgeu r4,r6,299bc <_gp+0xfffed484> - 29a28: a80b883a mov r5,r21 - 29a2c: 9009883a mov r4,r18 - 29a30: 00284700 call 28470 - 29a34: b821883a mov r16,r23 - 29a38: b027883a mov r19,r22 - 29a3c: 003f8a06 br 29868 <_gp+0xfffed330> - 29a40: 300b883a mov r5,r6 - 29a44: dfc00917 ldw ra,36(sp) - 29a48: df000817 ldw fp,32(sp) - 29a4c: ddc00717 ldw r23,28(sp) - 29a50: dd800617 ldw r22,24(sp) - 29a54: dd400517 ldw r21,20(sp) - 29a58: dd000417 ldw r20,16(sp) - 29a5c: dcc00317 ldw r19,12(sp) - 29a60: dc800217 ldw r18,8(sp) - 29a64: dc400117 ldw r17,4(sp) - 29a68: dc000017 ldw r16,0(sp) - 29a6c: dec00a04 addi sp,sp,40 - 29a70: 00226c01 jmpi 226c0 <_malloc_r> - 29a74: a8c00017 ldw r3,0(r21) - 29a78: 90c00015 stw r3,0(r18) - 29a7c: a8c00117 ldw r3,4(r21) - 29a80: 90c00115 stw r3,4(r18) - 29a84: 00c006c4 movi r3,27 - 29a88: 19804536 bltu r3,r6,29ba0 <_realloc_r+0x470> - 29a8c: 90800204 addi r2,r18,8 - 29a90: a8c00204 addi r3,r21,8 - 29a94: 003f6306 br 29824 <_gp+0xfffed2ec> - 29a98: 9005883a mov r2,r18 - 29a9c: a8c00017 ldw r3,0(r21) - 29aa0: b821883a mov r16,r23 - 29aa4: b027883a mov r19,r22 - 29aa8: 10c00015 stw r3,0(r2) - 29aac: a8c00117 ldw r3,4(r21) - 29ab0: 10c00115 stw r3,4(r2) - 29ab4: a8c00217 ldw r3,8(r21) - 29ab8: 10c00215 stw r3,8(r2) - 29abc: 003f6a06 br 29868 <_gp+0xfffed330> - 29ac0: 9c67883a add r19,r19,r17 - 29ac4: 4445c83a sub r2,r8,r17 - 29ac8: e4c00215 stw r19,8(fp) - 29acc: 10800054 ori r2,r2,1 - 29ad0: 98800115 stw r2,4(r19) - 29ad4: a8bfff17 ldw r2,-4(r21) - 29ad8: a009883a mov r4,r20 - 29adc: 1080004c andi r2,r2,1 - 29ae0: 1462b03a or r17,r2,r17 - 29ae4: ac7fff15 stw r17,-4(r21) - 29ae8: 002f5a40 call 2f5a4 <__malloc_unlock> - 29aec: a805883a mov r2,r21 - 29af0: 003f6b06 br 298a0 <_gp+0xfffed368> - 29af4: a80b883a mov r5,r21 - 29af8: 9009883a mov r4,r18 - 29afc: 00284700 call 28470 - 29b00: 003f4e06 br 2983c <_gp+0xfffed304> - 29b04: 89000404 addi r4,r17,16 - 29b08: b93fbc16 blt r23,r4,299fc <_gp+0xfffed4c4> - 29b0c: b0800317 ldw r2,12(r22) - 29b10: b0c00217 ldw r3,8(r22) - 29b14: 81bfff04 addi r6,r16,-4 - 29b18: 01000904 movi r4,36 - 29b1c: 18800315 stw r2,12(r3) - 29b20: 10c00215 stw r3,8(r2) - 29b24: b4800204 addi r18,r22,8 - 29b28: 21804336 bltu r4,r6,29c38 <_realloc_r+0x508> - 29b2c: 008004c4 movi r2,19 - 29b30: 11803f2e bgeu r2,r6,29c30 <_realloc_r+0x500> - 29b34: a8800017 ldw r2,0(r21) - 29b38: b0800215 stw r2,8(r22) - 29b3c: a8800117 ldw r2,4(r21) - 29b40: b0800315 stw r2,12(r22) - 29b44: 008006c4 movi r2,27 - 29b48: 11803f36 bltu r2,r6,29c48 <_realloc_r+0x518> - 29b4c: b0800404 addi r2,r22,16 - 29b50: ad400204 addi r21,r21,8 - 29b54: a8c00017 ldw r3,0(r21) - 29b58: 10c00015 stw r3,0(r2) - 29b5c: a8c00117 ldw r3,4(r21) - 29b60: 10c00115 stw r3,4(r2) - 29b64: a8c00217 ldw r3,8(r21) - 29b68: 10c00215 stw r3,8(r2) - 29b6c: b447883a add r3,r22,r17 - 29b70: bc45c83a sub r2,r23,r17 - 29b74: e0c00215 stw r3,8(fp) - 29b78: 10800054 ori r2,r2,1 - 29b7c: 18800115 stw r2,4(r3) - 29b80: b0800117 ldw r2,4(r22) - 29b84: a009883a mov r4,r20 - 29b88: 1080004c andi r2,r2,1 - 29b8c: 1462b03a or r17,r2,r17 - 29b90: b4400115 stw r17,4(r22) - 29b94: 002f5a40 call 2f5a4 <__malloc_unlock> - 29b98: 9005883a mov r2,r18 - 29b9c: 003f4006 br 298a0 <_gp+0xfffed368> - 29ba0: a8c00217 ldw r3,8(r21) - 29ba4: 90c00215 stw r3,8(r18) - 29ba8: a8c00317 ldw r3,12(r21) - 29bac: 90c00315 stw r3,12(r18) - 29bb0: 30801126 beq r6,r2,29bf8 <_realloc_r+0x4c8> - 29bb4: 90800404 addi r2,r18,16 - 29bb8: a8c00404 addi r3,r21,16 - 29bbc: 003f1906 br 29824 <_gp+0xfffed2ec> - 29bc0: 90ffff17 ldw r3,-4(r18) - 29bc4: 00bfff04 movi r2,-4 - 29bc8: a825883a mov r18,r21 - 29bcc: 1884703a and r2,r3,r2 - 29bd0: 80a1883a add r16,r16,r2 - 29bd4: 003f2406 br 29868 <_gp+0xfffed330> - 29bd8: a8800217 ldw r2,8(r21) - 29bdc: b0800415 stw r2,16(r22) - 29be0: a8800317 ldw r2,12(r21) - 29be4: b0800515 stw r2,20(r22) - 29be8: 31000a26 beq r6,r4,29c14 <_realloc_r+0x4e4> - 29bec: b0800604 addi r2,r22,24 - 29bf0: ad400404 addi r21,r21,16 - 29bf4: 003fa906 br 29a9c <_gp+0xfffed564> - 29bf8: a9000417 ldw r4,16(r21) - 29bfc: 90800604 addi r2,r18,24 - 29c00: a8c00604 addi r3,r21,24 - 29c04: 91000415 stw r4,16(r18) - 29c08: a9000517 ldw r4,20(r21) - 29c0c: 91000515 stw r4,20(r18) - 29c10: 003f0406 br 29824 <_gp+0xfffed2ec> - 29c14: a8c00417 ldw r3,16(r21) - 29c18: ad400604 addi r21,r21,24 - 29c1c: b0800804 addi r2,r22,32 - 29c20: b0c00615 stw r3,24(r22) - 29c24: a8ffff17 ldw r3,-4(r21) - 29c28: b0c00715 stw r3,28(r22) - 29c2c: 003f9b06 br 29a9c <_gp+0xfffed564> - 29c30: 9005883a mov r2,r18 - 29c34: 003fc706 br 29b54 <_gp+0xfffed61c> - 29c38: a80b883a mov r5,r21 - 29c3c: 9009883a mov r4,r18 - 29c40: 00284700 call 28470 - 29c44: 003fc906 br 29b6c <_gp+0xfffed634> - 29c48: a8800217 ldw r2,8(r21) - 29c4c: b0800415 stw r2,16(r22) - 29c50: a8800317 ldw r2,12(r21) - 29c54: b0800515 stw r2,20(r22) - 29c58: 31000726 beq r6,r4,29c78 <_realloc_r+0x548> - 29c5c: b0800604 addi r2,r22,24 - 29c60: ad400404 addi r21,r21,16 - 29c64: 003fbb06 br 29b54 <_gp+0xfffed61c> - 29c68: a009883a mov r4,r20 - 29c6c: 002f5a40 call 2f5a4 <__malloc_unlock> - 29c70: 0005883a mov r2,zero - 29c74: 003f0a06 br 298a0 <_gp+0xfffed368> - 29c78: a8c00417 ldw r3,16(r21) - 29c7c: ad400604 addi r21,r21,24 - 29c80: b0800804 addi r2,r22,32 - 29c84: b0c00615 stw r3,24(r22) - 29c88: a8ffff17 ldw r3,-4(r21) - 29c8c: b0c00715 stw r3,28(r22) - 29c90: 003fb006 br 29b54 <_gp+0xfffed61c> +00029970 <__ratio>: + 29970: defff904 addi sp,sp,-28 + 29974: dc400315 stw r17,12(sp) + 29978: 2823883a mov r17,r5 + 2997c: d9400104 addi r5,sp,4 + 29980: dfc00615 stw ra,24(sp) + 29984: dcc00515 stw r19,20(sp) + 29988: dc800415 stw r18,16(sp) + 2998c: 2027883a mov r19,r4 + 29990: dc000215 stw r16,8(sp) + 29994: 00297180 call 29718 <__b2d> + 29998: d80b883a mov r5,sp + 2999c: 8809883a mov r4,r17 + 299a0: 1025883a mov r18,r2 + 299a4: 1821883a mov r16,r3 + 299a8: 00297180 call 29718 <__b2d> + 299ac: 8a000417 ldw r8,16(r17) + 299b0: 99000417 ldw r4,16(r19) + 299b4: d9400117 ldw r5,4(sp) + 299b8: 2209c83a sub r4,r4,r8 + 299bc: 2010917a slli r8,r4,5 + 299c0: d9000017 ldw r4,0(sp) + 299c4: 2909c83a sub r4,r5,r4 + 299c8: 4109883a add r4,r8,r4 + 299cc: 01000e0e bge zero,r4,29a08 <__ratio+0x98> + 299d0: 2008953a slli r4,r4,20 + 299d4: 2421883a add r16,r4,r16 + 299d8: 100d883a mov r6,r2 + 299dc: 180f883a mov r7,r3 + 299e0: 9009883a mov r4,r18 + 299e4: 800b883a mov r5,r16 + 299e8: 002d9ac0 call 2d9ac <__divdf3> + 299ec: dfc00617 ldw ra,24(sp) + 299f0: dcc00517 ldw r19,20(sp) + 299f4: dc800417 ldw r18,16(sp) + 299f8: dc400317 ldw r17,12(sp) + 299fc: dc000217 ldw r16,8(sp) + 29a00: dec00704 addi sp,sp,28 + 29a04: f800283a ret + 29a08: 2008953a slli r4,r4,20 + 29a0c: 1907c83a sub r3,r3,r4 + 29a10: 003ff106 br 299d8 <_gp+0xfffecb6c> -00029c94 <__fpclassifyd>: - 29c94: 00a00034 movhi r2,32768 - 29c98: 10bfffc4 addi r2,r2,-1 - 29c9c: 2884703a and r2,r5,r2 - 29ca0: 10000726 beq r2,zero,29cc0 <__fpclassifyd+0x2c> - 29ca4: 00fffc34 movhi r3,65520 - 29ca8: 019ff834 movhi r6,32736 - 29cac: 28c7883a add r3,r5,r3 - 29cb0: 31bfffc4 addi r6,r6,-1 - 29cb4: 30c00536 bltu r6,r3,29ccc <__fpclassifyd+0x38> - 29cb8: 00800104 movi r2,4 - 29cbc: f800283a ret - 29cc0: 2000021e bne r4,zero,29ccc <__fpclassifyd+0x38> - 29cc4: 00800084 movi r2,2 - 29cc8: f800283a ret - 29ccc: 00dffc34 movhi r3,32752 - 29cd0: 019ff834 movhi r6,32736 - 29cd4: 28cb883a add r5,r5,r3 - 29cd8: 31bfffc4 addi r6,r6,-1 - 29cdc: 317ff62e bgeu r6,r5,29cb8 <_gp+0xfffed780> - 29ce0: 01400434 movhi r5,16 - 29ce4: 297fffc4 addi r5,r5,-1 - 29ce8: 28800236 bltu r5,r2,29cf4 <__fpclassifyd+0x60> - 29cec: 008000c4 movi r2,3 - 29cf0: f800283a ret - 29cf4: 10c00226 beq r2,r3,29d00 <__fpclassifyd+0x6c> - 29cf8: 0005883a mov r2,zero - 29cfc: f800283a ret - 29d00: 2005003a cmpeq r2,r4,zero - 29d04: f800283a ret +00029a14 <_mprec_log10>: + 29a14: defffe04 addi sp,sp,-8 + 29a18: dc000015 stw r16,0(sp) + 29a1c: dfc00115 stw ra,4(sp) + 29a20: 008005c4 movi r2,23 + 29a24: 2021883a mov r16,r4 + 29a28: 11000d0e bge r2,r4,29a60 <_mprec_log10+0x4c> + 29a2c: 0005883a mov r2,zero + 29a30: 00cffc34 movhi r3,16368 + 29a34: 843fffc4 addi r16,r16,-1 + 29a38: 000d883a mov r6,zero + 29a3c: 01d00934 movhi r7,16420 + 29a40: 1009883a mov r4,r2 + 29a44: 180b883a mov r5,r3 + 29a48: 002e4ec0 call 2e4ec <__muldf3> + 29a4c: 803ff91e bne r16,zero,29a34 <_gp+0xfffecbc8> + 29a50: dfc00117 ldw ra,4(sp) + 29a54: dc000017 ldw r16,0(sp) + 29a58: dec00204 addi sp,sp,8 + 29a5c: f800283a ret + 29a60: 202090fa slli r16,r4,3 + 29a64: 008000f4 movhi r2,3 + 29a68: 108a8104 addi r2,r2,10756 + 29a6c: 1421883a add r16,r2,r16 + 29a70: 80800017 ldw r2,0(r16) + 29a74: 80c00117 ldw r3,4(r16) + 29a78: dfc00117 ldw ra,4(sp) + 29a7c: dc000017 ldw r16,0(sp) + 29a80: dec00204 addi sp,sp,8 + 29a84: f800283a ret -00029d08 <__sread>: - 29d08: defffe04 addi sp,sp,-8 - 29d0c: dc000015 stw r16,0(sp) - 29d10: 2821883a mov r16,r5 - 29d14: 2940038f ldh r5,14(r5) - 29d18: dfc00115 stw ra,4(sp) - 29d1c: 002bc540 call 2bc54 <_read_r> - 29d20: 10000716 blt r2,zero,29d40 <__sread+0x38> - 29d24: 80c01417 ldw r3,80(r16) - 29d28: 1887883a add r3,r3,r2 - 29d2c: 80c01415 stw r3,80(r16) - 29d30: dfc00117 ldw ra,4(sp) - 29d34: dc000017 ldw r16,0(sp) - 29d38: dec00204 addi sp,sp,8 - 29d3c: f800283a ret - 29d40: 80c0030b ldhu r3,12(r16) - 29d44: 18fbffcc andi r3,r3,61439 - 29d48: 80c0030d sth r3,12(r16) - 29d4c: dfc00117 ldw ra,4(sp) - 29d50: dc000017 ldw r16,0(sp) - 29d54: dec00204 addi sp,sp,8 - 29d58: f800283a ret +00029a88 <__copybits>: + 29a88: 297fffc4 addi r5,r5,-1 + 29a8c: 280fd17a srai r7,r5,5 + 29a90: 30c00417 ldw r3,16(r6) + 29a94: 30800504 addi r2,r6,20 + 29a98: 39c00044 addi r7,r7,1 + 29a9c: 18c7883a add r3,r3,r3 + 29aa0: 39cf883a add r7,r7,r7 + 29aa4: 18c7883a add r3,r3,r3 + 29aa8: 39cf883a add r7,r7,r7 + 29aac: 10c7883a add r3,r2,r3 + 29ab0: 21cf883a add r7,r4,r7 + 29ab4: 10c00d2e bgeu r2,r3,29aec <__copybits+0x64> + 29ab8: 200b883a mov r5,r4 + 29abc: 12000017 ldw r8,0(r2) + 29ac0: 29400104 addi r5,r5,4 + 29ac4: 10800104 addi r2,r2,4 + 29ac8: 2a3fff15 stw r8,-4(r5) + 29acc: 10fffb36 bltu r2,r3,29abc <_gp+0xfffecc50> + 29ad0: 1985c83a sub r2,r3,r6 + 29ad4: 10bffac4 addi r2,r2,-21 + 29ad8: 1004d0ba srli r2,r2,2 + 29adc: 10800044 addi r2,r2,1 + 29ae0: 1085883a add r2,r2,r2 + 29ae4: 1085883a add r2,r2,r2 + 29ae8: 2089883a add r4,r4,r2 + 29aec: 21c0032e bgeu r4,r7,29afc <__copybits+0x74> + 29af0: 20000015 stw zero,0(r4) + 29af4: 21000104 addi r4,r4,4 + 29af8: 21fffd36 bltu r4,r7,29af0 <_gp+0xfffecc84> + 29afc: f800283a ret -00029d5c <__seofread>: - 29d5c: 0005883a mov r2,zero - 29d60: f800283a ret +00029b00 <__any_on>: + 29b00: 20c00417 ldw r3,16(r4) + 29b04: 2805d17a srai r2,r5,5 + 29b08: 21000504 addi r4,r4,20 + 29b0c: 18800d0e bge r3,r2,29b44 <__any_on+0x44> + 29b10: 18c7883a add r3,r3,r3 + 29b14: 18c7883a add r3,r3,r3 + 29b18: 20c7883a add r3,r4,r3 + 29b1c: 20c0192e bgeu r4,r3,29b84 <__any_on+0x84> + 29b20: 18bfff17 ldw r2,-4(r3) + 29b24: 18ffff04 addi r3,r3,-4 + 29b28: 1000041e bne r2,zero,29b3c <__any_on+0x3c> + 29b2c: 20c0142e bgeu r4,r3,29b80 <__any_on+0x80> + 29b30: 18ffff04 addi r3,r3,-4 + 29b34: 19400017 ldw r5,0(r3) + 29b38: 283ffc26 beq r5,zero,29b2c <_gp+0xfffeccc0> + 29b3c: 00800044 movi r2,1 + 29b40: f800283a ret + 29b44: 10c00a0e bge r2,r3,29b70 <__any_on+0x70> + 29b48: 1085883a add r2,r2,r2 + 29b4c: 1085883a add r2,r2,r2 + 29b50: 294007cc andi r5,r5,31 + 29b54: 2087883a add r3,r4,r2 + 29b58: 283ff026 beq r5,zero,29b1c <_gp+0xfffeccb0> + 29b5c: 19800017 ldw r6,0(r3) + 29b60: 3144d83a srl r2,r6,r5 + 29b64: 114a983a sll r5,r2,r5 + 29b68: 317ff41e bne r6,r5,29b3c <_gp+0xfffeccd0> + 29b6c: 003feb06 br 29b1c <_gp+0xfffeccb0> + 29b70: 1085883a add r2,r2,r2 + 29b74: 1085883a add r2,r2,r2 + 29b78: 2087883a add r3,r4,r2 + 29b7c: 003fe706 br 29b1c <_gp+0xfffeccb0> + 29b80: f800283a ret + 29b84: 0005883a mov r2,zero + 29b88: f800283a ret -00029d64 <__swrite>: - 29d64: 2880030b ldhu r2,12(r5) - 29d68: defffb04 addi sp,sp,-20 - 29d6c: dcc00315 stw r19,12(sp) - 29d70: dc800215 stw r18,8(sp) - 29d74: dc400115 stw r17,4(sp) - 29d78: dc000015 stw r16,0(sp) - 29d7c: dfc00415 stw ra,16(sp) - 29d80: 10c0400c andi r3,r2,256 - 29d84: 2821883a mov r16,r5 - 29d88: 2023883a mov r17,r4 - 29d8c: 3025883a mov r18,r6 - 29d90: 3827883a mov r19,r7 - 29d94: 18000526 beq r3,zero,29dac <__swrite+0x48> - 29d98: 2940038f ldh r5,14(r5) - 29d9c: 01c00084 movi r7,2 - 29da0: 000d883a mov r6,zero - 29da4: 002bbf40 call 2bbf4 <_lseek_r> - 29da8: 8080030b ldhu r2,12(r16) - 29dac: 8140038f ldh r5,14(r16) - 29db0: 10bbffcc andi r2,r2,61439 - 29db4: 980f883a mov r7,r19 - 29db8: 900d883a mov r6,r18 - 29dbc: 8809883a mov r4,r17 - 29dc0: 8080030d sth r2,12(r16) - 29dc4: dfc00417 ldw ra,16(sp) - 29dc8: dcc00317 ldw r19,12(sp) - 29dcc: dc800217 ldw r18,8(sp) - 29dd0: dc400117 ldw r17,4(sp) - 29dd4: dc000017 ldw r16,0(sp) - 29dd8: dec00504 addi sp,sp,20 - 29ddc: 002b6c01 jmpi 2b6c0 <_write_r> +00029b8c <_putc_r>: + 29b8c: defffc04 addi sp,sp,-16 + 29b90: dc000215 stw r16,8(sp) + 29b94: dfc00315 stw ra,12(sp) + 29b98: 2021883a mov r16,r4 + 29b9c: 20000226 beq r4,zero,29ba8 <_putc_r+0x1c> + 29ba0: 20800e17 ldw r2,56(r4) + 29ba4: 10001b26 beq r2,zero,29c14 <_putc_r+0x88> + 29ba8: 30800217 ldw r2,8(r6) + 29bac: 10bfffc4 addi r2,r2,-1 + 29bb0: 30800215 stw r2,8(r6) + 29bb4: 10000a16 blt r2,zero,29be0 <_putc_r+0x54> + 29bb8: 30800017 ldw r2,0(r6) + 29bbc: 11400005 stb r5,0(r2) + 29bc0: 30800017 ldw r2,0(r6) + 29bc4: 10c00044 addi r3,r2,1 + 29bc8: 30c00015 stw r3,0(r6) + 29bcc: 10800003 ldbu r2,0(r2) + 29bd0: dfc00317 ldw ra,12(sp) + 29bd4: dc000217 ldw r16,8(sp) + 29bd8: dec00404 addi sp,sp,16 + 29bdc: f800283a ret + 29be0: 30c00617 ldw r3,24(r6) + 29be4: 10c00616 blt r2,r3,29c00 <_putc_r+0x74> + 29be8: 30800017 ldw r2,0(r6) + 29bec: 00c00284 movi r3,10 + 29bf0: 11400005 stb r5,0(r2) + 29bf4: 30800017 ldw r2,0(r6) + 29bf8: 11400003 ldbu r5,0(r2) + 29bfc: 28fff11e bne r5,r3,29bc4 <_gp+0xfffecd58> + 29c00: 8009883a mov r4,r16 + 29c04: dfc00317 ldw ra,12(sp) + 29c08: dc000217 ldw r16,8(sp) + 29c0c: dec00404 addi sp,sp,16 + 29c10: 002bd1c1 jmpi 2bd1c <__swbuf_r> + 29c14: d9400015 stw r5,0(sp) + 29c18: d9800115 stw r6,4(sp) + 29c1c: 0027aa80 call 27aa8 <__sinit> + 29c20: d9800117 ldw r6,4(sp) + 29c24: d9400017 ldw r5,0(sp) + 29c28: 003fdf06 br 29ba8 <_gp+0xfffecd3c> -00029de0 <__sseek>: - 29de0: defffe04 addi sp,sp,-8 - 29de4: dc000015 stw r16,0(sp) - 29de8: 2821883a mov r16,r5 - 29dec: 2940038f ldh r5,14(r5) - 29df0: dfc00115 stw ra,4(sp) - 29df4: 002bbf40 call 2bbf4 <_lseek_r> - 29df8: 00ffffc4 movi r3,-1 - 29dfc: 10c00826 beq r2,r3,29e20 <__sseek+0x40> - 29e00: 80c0030b ldhu r3,12(r16) - 29e04: 80801415 stw r2,80(r16) - 29e08: 18c40014 ori r3,r3,4096 - 29e0c: 80c0030d sth r3,12(r16) - 29e10: dfc00117 ldw ra,4(sp) - 29e14: dc000017 ldw r16,0(sp) - 29e18: dec00204 addi sp,sp,8 - 29e1c: f800283a ret - 29e20: 80c0030b ldhu r3,12(r16) - 29e24: 18fbffcc andi r3,r3,61439 - 29e28: 80c0030d sth r3,12(r16) - 29e2c: dfc00117 ldw ra,4(sp) - 29e30: dc000017 ldw r16,0(sp) - 29e34: dec00204 addi sp,sp,8 - 29e38: f800283a ret +00029c2c : + 29c2c: 008000f4 movhi r2,3 + 29c30: defffc04 addi sp,sp,-16 + 29c34: 10939f04 addi r2,r2,20092 + 29c38: dc000115 stw r16,4(sp) + 29c3c: 14000017 ldw r16,0(r2) + 29c40: dc400215 stw r17,8(sp) + 29c44: dfc00315 stw ra,12(sp) + 29c48: 2023883a mov r17,r4 + 29c4c: 80000226 beq r16,zero,29c58 + 29c50: 80800e17 ldw r2,56(r16) + 29c54: 10001a26 beq r2,zero,29cc0 + 29c58: 28800217 ldw r2,8(r5) + 29c5c: 10bfffc4 addi r2,r2,-1 + 29c60: 28800215 stw r2,8(r5) + 29c64: 10000b16 blt r2,zero,29c94 + 29c68: 28800017 ldw r2,0(r5) + 29c6c: 14400005 stb r17,0(r2) + 29c70: 28800017 ldw r2,0(r5) + 29c74: 10c00044 addi r3,r2,1 + 29c78: 28c00015 stw r3,0(r5) + 29c7c: 10800003 ldbu r2,0(r2) + 29c80: dfc00317 ldw ra,12(sp) + 29c84: dc400217 ldw r17,8(sp) + 29c88: dc000117 ldw r16,4(sp) + 29c8c: dec00404 addi sp,sp,16 + 29c90: f800283a ret + 29c94: 28c00617 ldw r3,24(r5) + 29c98: 10c00e16 blt r2,r3,29cd4 + 29c9c: 28800017 ldw r2,0(r5) + 29ca0: 01000284 movi r4,10 + 29ca4: 14400005 stb r17,0(r2) + 29ca8: 28800017 ldw r2,0(r5) + 29cac: 10c00003 ldbu r3,0(r2) + 29cb0: 193ff01e bne r3,r4,29c74 <_gp+0xfffece08> + 29cb4: 280d883a mov r6,r5 + 29cb8: 180b883a mov r5,r3 + 29cbc: 00000706 br 29cdc + 29cc0: 8009883a mov r4,r16 + 29cc4: d9400015 stw r5,0(sp) + 29cc8: 0027aa80 call 27aa8 <__sinit> + 29ccc: d9400017 ldw r5,0(sp) + 29cd0: 003fe106 br 29c58 <_gp+0xfffecdec> + 29cd4: 280d883a mov r6,r5 + 29cd8: 880b883a mov r5,r17 + 29cdc: 8009883a mov r4,r16 + 29ce0: dfc00317 ldw ra,12(sp) + 29ce4: dc400217 ldw r17,8(sp) + 29ce8: dc000117 ldw r16,4(sp) + 29cec: dec00404 addi sp,sp,16 + 29cf0: 002bd1c1 jmpi 2bd1c <__swbuf_r> -00029e3c <__sclose>: - 29e3c: 2940038f ldh r5,14(r5) - 29e40: 002b7201 jmpi 2b720 <_close_r> +00029cf4 <_realloc_r>: + 29cf4: defff604 addi sp,sp,-40 + 29cf8: dc800215 stw r18,8(sp) + 29cfc: dfc00915 stw ra,36(sp) + 29d00: df000815 stw fp,32(sp) + 29d04: ddc00715 stw r23,28(sp) + 29d08: dd800615 stw r22,24(sp) + 29d0c: dd400515 stw r21,20(sp) + 29d10: dd000415 stw r20,16(sp) + 29d14: dcc00315 stw r19,12(sp) + 29d18: dc400115 stw r17,4(sp) + 29d1c: dc000015 stw r16,0(sp) + 29d20: 3025883a mov r18,r6 + 29d24: 2800b726 beq r5,zero,2a004 <_realloc_r+0x310> + 29d28: 282b883a mov r21,r5 + 29d2c: 2029883a mov r20,r4 + 29d30: 002fea40 call 2fea4 <__malloc_lock> + 29d34: a8bfff17 ldw r2,-4(r21) + 29d38: 043fff04 movi r16,-4 + 29d3c: 90c002c4 addi r3,r18,11 + 29d40: 01000584 movi r4,22 + 29d44: acfffe04 addi r19,r21,-8 + 29d48: 1420703a and r16,r2,r16 + 29d4c: 20c0332e bgeu r4,r3,29e1c <_realloc_r+0x128> + 29d50: 047ffe04 movi r17,-8 + 29d54: 1c62703a and r17,r3,r17 + 29d58: 8807883a mov r3,r17 + 29d5c: 88005816 blt r17,zero,29ec0 <_realloc_r+0x1cc> + 29d60: 8c805736 bltu r17,r18,29ec0 <_realloc_r+0x1cc> + 29d64: 80c0300e bge r16,r3,29e28 <_realloc_r+0x134> + 29d68: 070000f4 movhi fp,3 + 29d6c: e70df904 addi fp,fp,14308 + 29d70: e1c00217 ldw r7,8(fp) + 29d74: 9c09883a add r4,r19,r16 + 29d78: 22000117 ldw r8,4(r4) + 29d7c: 21c06326 beq r4,r7,29f0c <_realloc_r+0x218> + 29d80: 017fff84 movi r5,-2 + 29d84: 414a703a and r5,r8,r5 + 29d88: 214b883a add r5,r4,r5 + 29d8c: 29800117 ldw r6,4(r5) + 29d90: 3180004c andi r6,r6,1 + 29d94: 30003f26 beq r6,zero,29e94 <_realloc_r+0x1a0> + 29d98: 1080004c andi r2,r2,1 + 29d9c: 10008326 beq r2,zero,29fac <_realloc_r+0x2b8> + 29da0: 900b883a mov r5,r18 + 29da4: a009883a mov r4,r20 + 29da8: 0022bfc0 call 22bfc <_malloc_r> + 29dac: 1025883a mov r18,r2 + 29db0: 10011e26 beq r2,zero,2a22c <_realloc_r+0x538> + 29db4: a93fff17 ldw r4,-4(r21) + 29db8: 10fffe04 addi r3,r2,-8 + 29dbc: 00bfff84 movi r2,-2 + 29dc0: 2084703a and r2,r4,r2 + 29dc4: 9885883a add r2,r19,r2 + 29dc8: 1880ee26 beq r3,r2,2a184 <_realloc_r+0x490> + 29dcc: 81bfff04 addi r6,r16,-4 + 29dd0: 00800904 movi r2,36 + 29dd4: 1180b836 bltu r2,r6,2a0b8 <_realloc_r+0x3c4> + 29dd8: 00c004c4 movi r3,19 + 29ddc: 19809636 bltu r3,r6,2a038 <_realloc_r+0x344> + 29de0: 9005883a mov r2,r18 + 29de4: a807883a mov r3,r21 + 29de8: 19000017 ldw r4,0(r3) + 29dec: 11000015 stw r4,0(r2) + 29df0: 19000117 ldw r4,4(r3) + 29df4: 11000115 stw r4,4(r2) + 29df8: 18c00217 ldw r3,8(r3) + 29dfc: 10c00215 stw r3,8(r2) + 29e00: a80b883a mov r5,r21 + 29e04: a009883a mov r4,r20 + 29e08: 0027c1c0 call 27c1c <_free_r> + 29e0c: a009883a mov r4,r20 + 29e10: 002fec80 call 2fec8 <__malloc_unlock> + 29e14: 9005883a mov r2,r18 + 29e18: 00001206 br 29e64 <_realloc_r+0x170> + 29e1c: 00c00404 movi r3,16 + 29e20: 1823883a mov r17,r3 + 29e24: 003fce06 br 29d60 <_gp+0xfffecef4> + 29e28: a825883a mov r18,r21 + 29e2c: 8445c83a sub r2,r16,r17 + 29e30: 00c003c4 movi r3,15 + 29e34: 18802636 bltu r3,r2,29ed0 <_realloc_r+0x1dc> + 29e38: 99800117 ldw r6,4(r19) + 29e3c: 9c07883a add r3,r19,r16 + 29e40: 3180004c andi r6,r6,1 + 29e44: 3420b03a or r16,r6,r16 + 29e48: 9c000115 stw r16,4(r19) + 29e4c: 18800117 ldw r2,4(r3) + 29e50: 10800054 ori r2,r2,1 + 29e54: 18800115 stw r2,4(r3) + 29e58: a009883a mov r4,r20 + 29e5c: 002fec80 call 2fec8 <__malloc_unlock> + 29e60: 9005883a mov r2,r18 + 29e64: dfc00917 ldw ra,36(sp) + 29e68: df000817 ldw fp,32(sp) + 29e6c: ddc00717 ldw r23,28(sp) + 29e70: dd800617 ldw r22,24(sp) + 29e74: dd400517 ldw r21,20(sp) + 29e78: dd000417 ldw r20,16(sp) + 29e7c: dcc00317 ldw r19,12(sp) + 29e80: dc800217 ldw r18,8(sp) + 29e84: dc400117 ldw r17,4(sp) + 29e88: dc000017 ldw r16,0(sp) + 29e8c: dec00a04 addi sp,sp,40 + 29e90: f800283a ret + 29e94: 017fff04 movi r5,-4 + 29e98: 414a703a and r5,r8,r5 + 29e9c: 814d883a add r6,r16,r5 + 29ea0: 30c01f16 blt r6,r3,29f20 <_realloc_r+0x22c> + 29ea4: 20800317 ldw r2,12(r4) + 29ea8: 20c00217 ldw r3,8(r4) + 29eac: a825883a mov r18,r21 + 29eb0: 3021883a mov r16,r6 + 29eb4: 18800315 stw r2,12(r3) + 29eb8: 10c00215 stw r3,8(r2) + 29ebc: 003fdb06 br 29e2c <_gp+0xfffecfc0> + 29ec0: 00800304 movi r2,12 + 29ec4: a0800015 stw r2,0(r20) + 29ec8: 0005883a mov r2,zero + 29ecc: 003fe506 br 29e64 <_gp+0xfffecff8> + 29ed0: 98c00117 ldw r3,4(r19) + 29ed4: 9c4b883a add r5,r19,r17 + 29ed8: 11000054 ori r4,r2,1 + 29edc: 18c0004c andi r3,r3,1 + 29ee0: 1c62b03a or r17,r3,r17 + 29ee4: 9c400115 stw r17,4(r19) + 29ee8: 29000115 stw r4,4(r5) + 29eec: 2885883a add r2,r5,r2 + 29ef0: 10c00117 ldw r3,4(r2) + 29ef4: 29400204 addi r5,r5,8 + 29ef8: a009883a mov r4,r20 + 29efc: 18c00054 ori r3,r3,1 + 29f00: 10c00115 stw r3,4(r2) + 29f04: 0027c1c0 call 27c1c <_free_r> + 29f08: 003fd306 br 29e58 <_gp+0xfffecfec> + 29f0c: 017fff04 movi r5,-4 + 29f10: 414a703a and r5,r8,r5 + 29f14: 89800404 addi r6,r17,16 + 29f18: 8151883a add r8,r16,r5 + 29f1c: 4180590e bge r8,r6,2a084 <_realloc_r+0x390> + 29f20: 1080004c andi r2,r2,1 + 29f24: 103f9e1e bne r2,zero,29da0 <_gp+0xfffecf34> + 29f28: adbffe17 ldw r22,-8(r21) + 29f2c: 00bfff04 movi r2,-4 + 29f30: 9dadc83a sub r22,r19,r22 + 29f34: b1800117 ldw r6,4(r22) + 29f38: 3084703a and r2,r6,r2 + 29f3c: 20002026 beq r4,zero,29fc0 <_realloc_r+0x2cc> + 29f40: 80af883a add r23,r16,r2 + 29f44: b96f883a add r23,r23,r5 + 29f48: 21c05f26 beq r4,r7,2a0c8 <_realloc_r+0x3d4> + 29f4c: b8c01c16 blt r23,r3,29fc0 <_realloc_r+0x2cc> + 29f50: 20800317 ldw r2,12(r4) + 29f54: 20c00217 ldw r3,8(r4) + 29f58: 81bfff04 addi r6,r16,-4 + 29f5c: 01000904 movi r4,36 + 29f60: 18800315 stw r2,12(r3) + 29f64: 10c00215 stw r3,8(r2) + 29f68: b0c00217 ldw r3,8(r22) + 29f6c: b0800317 ldw r2,12(r22) + 29f70: b4800204 addi r18,r22,8 + 29f74: 18800315 stw r2,12(r3) + 29f78: 10c00215 stw r3,8(r2) + 29f7c: 21801b36 bltu r4,r6,29fec <_realloc_r+0x2f8> + 29f80: 008004c4 movi r2,19 + 29f84: 1180352e bgeu r2,r6,2a05c <_realloc_r+0x368> + 29f88: a8800017 ldw r2,0(r21) + 29f8c: b0800215 stw r2,8(r22) + 29f90: a8800117 ldw r2,4(r21) + 29f94: b0800315 stw r2,12(r22) + 29f98: 008006c4 movi r2,27 + 29f9c: 11807f36 bltu r2,r6,2a19c <_realloc_r+0x4a8> + 29fa0: b0800404 addi r2,r22,16 + 29fa4: ad400204 addi r21,r21,8 + 29fa8: 00002d06 br 2a060 <_realloc_r+0x36c> + 29fac: adbffe17 ldw r22,-8(r21) + 29fb0: 00bfff04 movi r2,-4 + 29fb4: 9dadc83a sub r22,r19,r22 + 29fb8: b1000117 ldw r4,4(r22) + 29fbc: 2084703a and r2,r4,r2 + 29fc0: b03f7726 beq r22,zero,29da0 <_gp+0xfffecf34> + 29fc4: 80af883a add r23,r16,r2 + 29fc8: b8ff7516 blt r23,r3,29da0 <_gp+0xfffecf34> + 29fcc: b0800317 ldw r2,12(r22) + 29fd0: b0c00217 ldw r3,8(r22) + 29fd4: 81bfff04 addi r6,r16,-4 + 29fd8: 01000904 movi r4,36 + 29fdc: 18800315 stw r2,12(r3) + 29fe0: 10c00215 stw r3,8(r2) + 29fe4: b4800204 addi r18,r22,8 + 29fe8: 21bfe52e bgeu r4,r6,29f80 <_gp+0xfffed114> + 29fec: a80b883a mov r5,r21 + 29ff0: 9009883a mov r4,r18 + 29ff4: 0028a340 call 28a34 + 29ff8: b821883a mov r16,r23 + 29ffc: b027883a mov r19,r22 + 2a000: 003f8a06 br 29e2c <_gp+0xfffecfc0> + 2a004: 300b883a mov r5,r6 + 2a008: dfc00917 ldw ra,36(sp) + 2a00c: df000817 ldw fp,32(sp) + 2a010: ddc00717 ldw r23,28(sp) + 2a014: dd800617 ldw r22,24(sp) + 2a018: dd400517 ldw r21,20(sp) + 2a01c: dd000417 ldw r20,16(sp) + 2a020: dcc00317 ldw r19,12(sp) + 2a024: dc800217 ldw r18,8(sp) + 2a028: dc400117 ldw r17,4(sp) + 2a02c: dc000017 ldw r16,0(sp) + 2a030: dec00a04 addi sp,sp,40 + 2a034: 0022bfc1 jmpi 22bfc <_malloc_r> + 2a038: a8c00017 ldw r3,0(r21) + 2a03c: 90c00015 stw r3,0(r18) + 2a040: a8c00117 ldw r3,4(r21) + 2a044: 90c00115 stw r3,4(r18) + 2a048: 00c006c4 movi r3,27 + 2a04c: 19804536 bltu r3,r6,2a164 <_realloc_r+0x470> + 2a050: 90800204 addi r2,r18,8 + 2a054: a8c00204 addi r3,r21,8 + 2a058: 003f6306 br 29de8 <_gp+0xfffecf7c> + 2a05c: 9005883a mov r2,r18 + 2a060: a8c00017 ldw r3,0(r21) + 2a064: b821883a mov r16,r23 + 2a068: b027883a mov r19,r22 + 2a06c: 10c00015 stw r3,0(r2) + 2a070: a8c00117 ldw r3,4(r21) + 2a074: 10c00115 stw r3,4(r2) + 2a078: a8c00217 ldw r3,8(r21) + 2a07c: 10c00215 stw r3,8(r2) + 2a080: 003f6a06 br 29e2c <_gp+0xfffecfc0> + 2a084: 9c67883a add r19,r19,r17 + 2a088: 4445c83a sub r2,r8,r17 + 2a08c: e4c00215 stw r19,8(fp) + 2a090: 10800054 ori r2,r2,1 + 2a094: 98800115 stw r2,4(r19) + 2a098: a8bfff17 ldw r2,-4(r21) + 2a09c: a009883a mov r4,r20 + 2a0a0: 1080004c andi r2,r2,1 + 2a0a4: 1462b03a or r17,r2,r17 + 2a0a8: ac7fff15 stw r17,-4(r21) + 2a0ac: 002fec80 call 2fec8 <__malloc_unlock> + 2a0b0: a805883a mov r2,r21 + 2a0b4: 003f6b06 br 29e64 <_gp+0xfffecff8> + 2a0b8: a80b883a mov r5,r21 + 2a0bc: 9009883a mov r4,r18 + 2a0c0: 0028a340 call 28a34 + 2a0c4: 003f4e06 br 29e00 <_gp+0xfffecf94> + 2a0c8: 89000404 addi r4,r17,16 + 2a0cc: b93fbc16 blt r23,r4,29fc0 <_gp+0xfffed154> + 2a0d0: b0800317 ldw r2,12(r22) + 2a0d4: b0c00217 ldw r3,8(r22) + 2a0d8: 81bfff04 addi r6,r16,-4 + 2a0dc: 01000904 movi r4,36 + 2a0e0: 18800315 stw r2,12(r3) + 2a0e4: 10c00215 stw r3,8(r2) + 2a0e8: b4800204 addi r18,r22,8 + 2a0ec: 21804336 bltu r4,r6,2a1fc <_realloc_r+0x508> + 2a0f0: 008004c4 movi r2,19 + 2a0f4: 11803f2e bgeu r2,r6,2a1f4 <_realloc_r+0x500> + 2a0f8: a8800017 ldw r2,0(r21) + 2a0fc: b0800215 stw r2,8(r22) + 2a100: a8800117 ldw r2,4(r21) + 2a104: b0800315 stw r2,12(r22) + 2a108: 008006c4 movi r2,27 + 2a10c: 11803f36 bltu r2,r6,2a20c <_realloc_r+0x518> + 2a110: b0800404 addi r2,r22,16 + 2a114: ad400204 addi r21,r21,8 + 2a118: a8c00017 ldw r3,0(r21) + 2a11c: 10c00015 stw r3,0(r2) + 2a120: a8c00117 ldw r3,4(r21) + 2a124: 10c00115 stw r3,4(r2) + 2a128: a8c00217 ldw r3,8(r21) + 2a12c: 10c00215 stw r3,8(r2) + 2a130: b447883a add r3,r22,r17 + 2a134: bc45c83a sub r2,r23,r17 + 2a138: e0c00215 stw r3,8(fp) + 2a13c: 10800054 ori r2,r2,1 + 2a140: 18800115 stw r2,4(r3) + 2a144: b0800117 ldw r2,4(r22) + 2a148: a009883a mov r4,r20 + 2a14c: 1080004c andi r2,r2,1 + 2a150: 1462b03a or r17,r2,r17 + 2a154: b4400115 stw r17,4(r22) + 2a158: 002fec80 call 2fec8 <__malloc_unlock> + 2a15c: 9005883a mov r2,r18 + 2a160: 003f4006 br 29e64 <_gp+0xfffecff8> + 2a164: a8c00217 ldw r3,8(r21) + 2a168: 90c00215 stw r3,8(r18) + 2a16c: a8c00317 ldw r3,12(r21) + 2a170: 90c00315 stw r3,12(r18) + 2a174: 30801126 beq r6,r2,2a1bc <_realloc_r+0x4c8> + 2a178: 90800404 addi r2,r18,16 + 2a17c: a8c00404 addi r3,r21,16 + 2a180: 003f1906 br 29de8 <_gp+0xfffecf7c> + 2a184: 90ffff17 ldw r3,-4(r18) + 2a188: 00bfff04 movi r2,-4 + 2a18c: a825883a mov r18,r21 + 2a190: 1884703a and r2,r3,r2 + 2a194: 80a1883a add r16,r16,r2 + 2a198: 003f2406 br 29e2c <_gp+0xfffecfc0> + 2a19c: a8800217 ldw r2,8(r21) + 2a1a0: b0800415 stw r2,16(r22) + 2a1a4: a8800317 ldw r2,12(r21) + 2a1a8: b0800515 stw r2,20(r22) + 2a1ac: 31000a26 beq r6,r4,2a1d8 <_realloc_r+0x4e4> + 2a1b0: b0800604 addi r2,r22,24 + 2a1b4: ad400404 addi r21,r21,16 + 2a1b8: 003fa906 br 2a060 <_gp+0xfffed1f4> + 2a1bc: a9000417 ldw r4,16(r21) + 2a1c0: 90800604 addi r2,r18,24 + 2a1c4: a8c00604 addi r3,r21,24 + 2a1c8: 91000415 stw r4,16(r18) + 2a1cc: a9000517 ldw r4,20(r21) + 2a1d0: 91000515 stw r4,20(r18) + 2a1d4: 003f0406 br 29de8 <_gp+0xfffecf7c> + 2a1d8: a8c00417 ldw r3,16(r21) + 2a1dc: ad400604 addi r21,r21,24 + 2a1e0: b0800804 addi r2,r22,32 + 2a1e4: b0c00615 stw r3,24(r22) + 2a1e8: a8ffff17 ldw r3,-4(r21) + 2a1ec: b0c00715 stw r3,28(r22) + 2a1f0: 003f9b06 br 2a060 <_gp+0xfffed1f4> + 2a1f4: 9005883a mov r2,r18 + 2a1f8: 003fc706 br 2a118 <_gp+0xfffed2ac> + 2a1fc: a80b883a mov r5,r21 + 2a200: 9009883a mov r4,r18 + 2a204: 0028a340 call 28a34 + 2a208: 003fc906 br 2a130 <_gp+0xfffed2c4> + 2a20c: a8800217 ldw r2,8(r21) + 2a210: b0800415 stw r2,16(r22) + 2a214: a8800317 ldw r2,12(r21) + 2a218: b0800515 stw r2,20(r22) + 2a21c: 31000726 beq r6,r4,2a23c <_realloc_r+0x548> + 2a220: b0800604 addi r2,r22,24 + 2a224: ad400404 addi r21,r21,16 + 2a228: 003fbb06 br 2a118 <_gp+0xfffed2ac> + 2a22c: a009883a mov r4,r20 + 2a230: 002fec80 call 2fec8 <__malloc_unlock> + 2a234: 0005883a mov r2,zero + 2a238: 003f0a06 br 29e64 <_gp+0xfffecff8> + 2a23c: a8c00417 ldw r3,16(r21) + 2a240: ad400604 addi r21,r21,24 + 2a244: b0800804 addi r2,r22,32 + 2a248: b0c00615 stw r3,24(r22) + 2a24c: a8ffff17 ldw r3,-4(r21) + 2a250: b0c00715 stw r3,28(r22) + 2a254: 003fb006 br 2a118 <_gp+0xfffed2ac> -00029e44 : - 29e44: 2144b03a or r2,r4,r5 - 29e48: 108000cc andi r2,r2,3 - 29e4c: 1000171e bne r2,zero,29eac - 29e50: 20800017 ldw r2,0(r4) - 29e54: 28c00017 ldw r3,0(r5) - 29e58: 10c0141e bne r2,r3,29eac - 29e5c: 027fbff4 movhi r9,65279 - 29e60: 4a7fbfc4 addi r9,r9,-257 - 29e64: 0086303a nor r3,zero,r2 - 29e68: 02202074 movhi r8,32897 - 29e6c: 1245883a add r2,r2,r9 - 29e70: 42202004 addi r8,r8,-32640 - 29e74: 10c4703a and r2,r2,r3 - 29e78: 1204703a and r2,r2,r8 - 29e7c: 10000226 beq r2,zero,29e88 - 29e80: 00002306 br 29f10 - 29e84: 1000221e bne r2,zero,29f10 - 29e88: 21000104 addi r4,r4,4 - 29e8c: 20c00017 ldw r3,0(r4) - 29e90: 29400104 addi r5,r5,4 - 29e94: 29800017 ldw r6,0(r5) - 29e98: 1a4f883a add r7,r3,r9 - 29e9c: 00c4303a nor r2,zero,r3 - 29ea0: 3884703a and r2,r7,r2 - 29ea4: 1204703a and r2,r2,r8 - 29ea8: 19bff626 beq r3,r6,29e84 <_gp+0xfffed94c> - 29eac: 20800003 ldbu r2,0(r4) - 29eb0: 10c03fcc andi r3,r2,255 - 29eb4: 18c0201c xori r3,r3,128 - 29eb8: 18ffe004 addi r3,r3,-128 - 29ebc: 18000c26 beq r3,zero,29ef0 - 29ec0: 29800007 ldb r6,0(r5) - 29ec4: 19800326 beq r3,r6,29ed4 - 29ec8: 00001306 br 29f18 - 29ecc: 29800007 ldb r6,0(r5) - 29ed0: 11800b1e bne r2,r6,29f00 - 29ed4: 21000044 addi r4,r4,1 - 29ed8: 20c00003 ldbu r3,0(r4) - 29edc: 29400044 addi r5,r5,1 - 29ee0: 18803fcc andi r2,r3,255 - 29ee4: 1080201c xori r2,r2,128 - 29ee8: 10bfe004 addi r2,r2,-128 - 29eec: 103ff71e bne r2,zero,29ecc <_gp+0xfffed994> - 29ef0: 0007883a mov r3,zero - 29ef4: 28800003 ldbu r2,0(r5) - 29ef8: 1885c83a sub r2,r3,r2 - 29efc: f800283a ret - 29f00: 28800003 ldbu r2,0(r5) - 29f04: 18c03fcc andi r3,r3,255 - 29f08: 1885c83a sub r2,r3,r2 - 29f0c: f800283a ret - 29f10: 0005883a mov r2,zero - 29f14: f800283a ret - 29f18: 10c03fcc andi r3,r2,255 - 29f1c: 003ff506 br 29ef4 <_gp+0xfffed9bc> +0002a258 : + 2a258: 2080030b ldhu r2,12(r4) + 2a25c: 00c00244 movi r3,9 + 2a260: 1080024c andi r2,r2,9 + 2a264: 10c00226 beq r2,r3,2a270 + 2a268: 0005883a mov r2,zero + 2a26c: f800283a ret + 2a270: 00277281 jmpi 27728 -00029f20 <__sprint_r.part.0>: - 29f20: 28801917 ldw r2,100(r5) - 29f24: defff604 addi sp,sp,-40 - 29f28: dd400515 stw r21,20(sp) - 29f2c: dfc00915 stw ra,36(sp) - 29f30: df000815 stw fp,32(sp) - 29f34: ddc00715 stw r23,28(sp) - 29f38: dd800615 stw r22,24(sp) - 29f3c: dd000415 stw r20,16(sp) - 29f40: dcc00315 stw r19,12(sp) - 29f44: dc800215 stw r18,8(sp) - 29f48: dc400115 stw r17,4(sp) - 29f4c: dc000015 stw r16,0(sp) - 29f50: 1088000c andi r2,r2,8192 - 29f54: 302b883a mov r21,r6 - 29f58: 10002e26 beq r2,zero,2a014 <__sprint_r.part.0+0xf4> - 29f5c: 30800217 ldw r2,8(r6) - 29f60: 35800017 ldw r22,0(r6) - 29f64: 10002926 beq r2,zero,2a00c <__sprint_r.part.0+0xec> - 29f68: 2827883a mov r19,r5 - 29f6c: 2029883a mov r20,r4 - 29f70: b5c00104 addi r23,r22,4 - 29f74: 04bfffc4 movi r18,-1 - 29f78: bc400017 ldw r17,0(r23) - 29f7c: b4000017 ldw r16,0(r22) - 29f80: 0039883a mov fp,zero - 29f84: 8822d0ba srli r17,r17,2 - 29f88: 8800031e bne r17,zero,29f98 <__sprint_r.part.0+0x78> - 29f8c: 00001806 br 29ff0 <__sprint_r.part.0+0xd0> - 29f90: 84000104 addi r16,r16,4 - 29f94: 8f001526 beq r17,fp,29fec <__sprint_r.part.0+0xcc> - 29f98: 81400017 ldw r5,0(r16) - 29f9c: 980d883a mov r6,r19 - 29fa0: a009883a mov r4,r20 - 29fa4: 002baa00 call 2baa0 <_fputwc_r> - 29fa8: e7000044 addi fp,fp,1 - 29fac: 14bff81e bne r2,r18,29f90 <_gp+0xfffeda58> - 29fb0: 9005883a mov r2,r18 - 29fb4: a8000215 stw zero,8(r21) - 29fb8: a8000115 stw zero,4(r21) - 29fbc: dfc00917 ldw ra,36(sp) - 29fc0: df000817 ldw fp,32(sp) - 29fc4: ddc00717 ldw r23,28(sp) - 29fc8: dd800617 ldw r22,24(sp) - 29fcc: dd400517 ldw r21,20(sp) - 29fd0: dd000417 ldw r20,16(sp) - 29fd4: dcc00317 ldw r19,12(sp) - 29fd8: dc800217 ldw r18,8(sp) - 29fdc: dc400117 ldw r17,4(sp) - 29fe0: dc000017 ldw r16,0(sp) - 29fe4: dec00a04 addi sp,sp,40 - 29fe8: f800283a ret - 29fec: a8800217 ldw r2,8(r21) - 29ff0: 8c63883a add r17,r17,r17 - 29ff4: 8c63883a add r17,r17,r17 - 29ff8: 1445c83a sub r2,r2,r17 - 29ffc: a8800215 stw r2,8(r21) - 2a000: b5800204 addi r22,r22,8 - 2a004: bdc00204 addi r23,r23,8 - 2a008: 103fdb1e bne r2,zero,29f78 <_gp+0xfffeda40> - 2a00c: 0005883a mov r2,zero - 2a010: 003fe806 br 29fb4 <_gp+0xfffeda7c> - 2a014: 00279680 call 27968 <__sfvwrite_r> - 2a018: 003fe606 br 29fb4 <_gp+0xfffeda7c> +0002a274 <__srefill_r>: + 2a274: defffc04 addi sp,sp,-16 + 2a278: dc400115 stw r17,4(sp) + 2a27c: dc000015 stw r16,0(sp) + 2a280: dfc00315 stw ra,12(sp) + 2a284: dc800215 stw r18,8(sp) + 2a288: 2023883a mov r17,r4 + 2a28c: 2821883a mov r16,r5 + 2a290: 20000226 beq r4,zero,2a29c <__srefill_r+0x28> + 2a294: 20800e17 ldw r2,56(r4) + 2a298: 10003c26 beq r2,zero,2a38c <__srefill_r+0x118> + 2a29c: 80c0030b ldhu r3,12(r16) + 2a2a0: 1908000c andi r4,r3,8192 + 2a2a4: 1805883a mov r2,r3 + 2a2a8: 2000071e bne r4,zero,2a2c8 <__srefill_r+0x54> + 2a2ac: 81001917 ldw r4,100(r16) + 2a2b0: 18880014 ori r2,r3,8192 + 2a2b4: 00f7ffc4 movi r3,-8193 + 2a2b8: 20c8703a and r4,r4,r3 + 2a2bc: 8080030d sth r2,12(r16) + 2a2c0: 1007883a mov r3,r2 + 2a2c4: 81001915 stw r4,100(r16) + 2a2c8: 80000115 stw zero,4(r16) + 2a2cc: 1100080c andi r4,r2,32 + 2a2d0: 2000571e bne r4,zero,2a430 <__srefill_r+0x1bc> + 2a2d4: 1100010c andi r4,r2,4 + 2a2d8: 20001f26 beq r4,zero,2a358 <__srefill_r+0xe4> + 2a2dc: 81400c17 ldw r5,48(r16) + 2a2e0: 28000826 beq r5,zero,2a304 <__srefill_r+0x90> + 2a2e4: 80801004 addi r2,r16,64 + 2a2e8: 28800226 beq r5,r2,2a2f4 <__srefill_r+0x80> + 2a2ec: 8809883a mov r4,r17 + 2a2f0: 0027c1c0 call 27c1c <_free_r> + 2a2f4: 80800f17 ldw r2,60(r16) + 2a2f8: 80000c15 stw zero,48(r16) + 2a2fc: 80800115 stw r2,4(r16) + 2a300: 1000391e bne r2,zero,2a3e8 <__srefill_r+0x174> + 2a304: 80800417 ldw r2,16(r16) + 2a308: 10004b26 beq r2,zero,2a438 <__srefill_r+0x1c4> + 2a30c: 8480030b ldhu r18,12(r16) + 2a310: 908000cc andi r2,r18,3 + 2a314: 10001f1e bne r2,zero,2a394 <__srefill_r+0x120> + 2a318: 81800417 ldw r6,16(r16) + 2a31c: 80800817 ldw r2,32(r16) + 2a320: 81c00517 ldw r7,20(r16) + 2a324: 81400717 ldw r5,28(r16) + 2a328: 81800015 stw r6,0(r16) + 2a32c: 8809883a mov r4,r17 + 2a330: 103ee83a callr r2 + 2a334: 80800115 stw r2,4(r16) + 2a338: 00800e0e bge zero,r2,2a374 <__srefill_r+0x100> + 2a33c: 0005883a mov r2,zero + 2a340: dfc00317 ldw ra,12(sp) + 2a344: dc800217 ldw r18,8(sp) + 2a348: dc400117 ldw r17,4(sp) + 2a34c: dc000017 ldw r16,0(sp) + 2a350: dec00404 addi sp,sp,16 + 2a354: f800283a ret + 2a358: 1100040c andi r4,r2,16 + 2a35c: 20003026 beq r4,zero,2a420 <__srefill_r+0x1ac> + 2a360: 1080020c andi r2,r2,8 + 2a364: 1000241e bne r2,zero,2a3f8 <__srefill_r+0x184> + 2a368: 18c00114 ori r3,r3,4 + 2a36c: 80c0030d sth r3,12(r16) + 2a370: 003fe406 br 2a304 <_gp+0xfffed498> + 2a374: 80c0030b ldhu r3,12(r16) + 2a378: 1000161e bne r2,zero,2a3d4 <__srefill_r+0x160> + 2a37c: 18c00814 ori r3,r3,32 + 2a380: 00bfffc4 movi r2,-1 + 2a384: 80c0030d sth r3,12(r16) + 2a388: 003fed06 br 2a340 <_gp+0xfffed4d4> + 2a38c: 0027aa80 call 27aa8 <__sinit> + 2a390: 003fc206 br 2a29c <_gp+0xfffed430> + 2a394: 008000f4 movhi r2,3 + 2a398: 10939e04 addi r2,r2,20088 + 2a39c: 11000017 ldw r4,0(r2) + 2a3a0: 014000f4 movhi r5,3 + 2a3a4: 00800044 movi r2,1 + 2a3a8: 29689604 addi r5,r5,-23976 + 2a3ac: 8080030d sth r2,12(r16) + 2a3b0: 00283e80 call 283e8 <_fwalk> + 2a3b4: 00800244 movi r2,9 + 2a3b8: 8480030d sth r18,12(r16) + 2a3bc: 9480024c andi r18,r18,9 + 2a3c0: 90bfd51e bne r18,r2,2a318 <_gp+0xfffed4ac> + 2a3c4: 800b883a mov r5,r16 + 2a3c8: 8809883a mov r4,r17 + 2a3cc: 00274b00 call 274b0 <__sflush_r> + 2a3d0: 003fd106 br 2a318 <_gp+0xfffed4ac> + 2a3d4: 18c01014 ori r3,r3,64 + 2a3d8: 80000115 stw zero,4(r16) + 2a3dc: 00bfffc4 movi r2,-1 + 2a3e0: 80c0030d sth r3,12(r16) + 2a3e4: 003fd606 br 2a340 <_gp+0xfffed4d4> + 2a3e8: 80c00e17 ldw r3,56(r16) + 2a3ec: 0005883a mov r2,zero + 2a3f0: 80c00015 stw r3,0(r16) + 2a3f4: 003fd206 br 2a340 <_gp+0xfffed4d4> + 2a3f8: 800b883a mov r5,r16 + 2a3fc: 8809883a mov r4,r17 + 2a400: 00276cc0 call 276cc <_fflush_r> + 2a404: 10000a1e bne r2,zero,2a430 <__srefill_r+0x1bc> + 2a408: 8080030b ldhu r2,12(r16) + 2a40c: 00fffdc4 movi r3,-9 + 2a410: 80000215 stw zero,8(r16) + 2a414: 1886703a and r3,r3,r2 + 2a418: 80000615 stw zero,24(r16) + 2a41c: 003fd206 br 2a368 <_gp+0xfffed4fc> + 2a420: 00800244 movi r2,9 + 2a424: 88800015 stw r2,0(r17) + 2a428: 18c01014 ori r3,r3,64 + 2a42c: 80c0030d sth r3,12(r16) + 2a430: 00bfffc4 movi r2,-1 + 2a434: 003fc206 br 2a340 <_gp+0xfffed4d4> + 2a438: 800b883a mov r5,r16 + 2a43c: 8809883a mov r4,r17 + 2a440: 002864c0 call 2864c <__smakebuf_r> + 2a444: 003fb106 br 2a30c <_gp+0xfffed4a0> -0002a01c <__sprint_r>: - 2a01c: 30c00217 ldw r3,8(r6) - 2a020: 18000126 beq r3,zero,2a028 <__sprint_r+0xc> - 2a024: 0029f201 jmpi 29f20 <__sprint_r.part.0> - 2a028: 30000115 stw zero,4(r6) - 2a02c: 0005883a mov r2,zero - 2a030: f800283a ret +0002a448 <__fpclassifyd>: + 2a448: 00a00034 movhi r2,32768 + 2a44c: 10bfffc4 addi r2,r2,-1 + 2a450: 2884703a and r2,r5,r2 + 2a454: 10000726 beq r2,zero,2a474 <__fpclassifyd+0x2c> + 2a458: 00fffc34 movhi r3,65520 + 2a45c: 019ff834 movhi r6,32736 + 2a460: 28c7883a add r3,r5,r3 + 2a464: 31bfffc4 addi r6,r6,-1 + 2a468: 30c00536 bltu r6,r3,2a480 <__fpclassifyd+0x38> + 2a46c: 00800104 movi r2,4 + 2a470: f800283a ret + 2a474: 2000021e bne r4,zero,2a480 <__fpclassifyd+0x38> + 2a478: 00800084 movi r2,2 + 2a47c: f800283a ret + 2a480: 00dffc34 movhi r3,32752 + 2a484: 019ff834 movhi r6,32736 + 2a488: 28cb883a add r5,r5,r3 + 2a48c: 31bfffc4 addi r6,r6,-1 + 2a490: 317ff62e bgeu r6,r5,2a46c <_gp+0xfffed600> + 2a494: 01400434 movhi r5,16 + 2a498: 297fffc4 addi r5,r5,-1 + 2a49c: 28800236 bltu r5,r2,2a4a8 <__fpclassifyd+0x60> + 2a4a0: 008000c4 movi r2,3 + 2a4a4: f800283a ret + 2a4a8: 10c00226 beq r2,r3,2a4b4 <__fpclassifyd+0x6c> + 2a4ac: 0005883a mov r2,zero + 2a4b0: f800283a ret + 2a4b4: 2005003a cmpeq r2,r4,zero + 2a4b8: f800283a ret -0002a034 <___vfiprintf_internal_r>: - 2a034: deffc904 addi sp,sp,-220 - 2a038: df003515 stw fp,212(sp) - 2a03c: dd003115 stw r20,196(sp) - 2a040: dfc03615 stw ra,216(sp) - 2a044: ddc03415 stw r23,208(sp) - 2a048: dd803315 stw r22,204(sp) - 2a04c: dd403215 stw r21,200(sp) - 2a050: dcc03015 stw r19,192(sp) - 2a054: dc802f15 stw r18,188(sp) - 2a058: dc402e15 stw r17,184(sp) - 2a05c: dc002d15 stw r16,180(sp) - 2a060: d9002015 stw r4,128(sp) - 2a064: d9c02215 stw r7,136(sp) - 2a068: 2829883a mov r20,r5 - 2a06c: 3039883a mov fp,r6 - 2a070: 20000226 beq r4,zero,2a07c <___vfiprintf_internal_r+0x48> - 2a074: 20800e17 ldw r2,56(r4) - 2a078: 1000cf26 beq r2,zero,2a3b8 <___vfiprintf_internal_r+0x384> - 2a07c: a080030b ldhu r2,12(r20) - 2a080: 10c8000c andi r3,r2,8192 - 2a084: 1800061e bne r3,zero,2a0a0 <___vfiprintf_internal_r+0x6c> - 2a088: a1001917 ldw r4,100(r20) - 2a08c: 00f7ffc4 movi r3,-8193 - 2a090: 10880014 ori r2,r2,8192 - 2a094: 20c6703a and r3,r4,r3 - 2a098: a080030d sth r2,12(r20) - 2a09c: a0c01915 stw r3,100(r20) - 2a0a0: 10c0020c andi r3,r2,8 - 2a0a4: 1800a926 beq r3,zero,2a34c <___vfiprintf_internal_r+0x318> - 2a0a8: a0c00417 ldw r3,16(r20) - 2a0ac: 1800a726 beq r3,zero,2a34c <___vfiprintf_internal_r+0x318> - 2a0b0: 1080068c andi r2,r2,26 - 2a0b4: 00c00284 movi r3,10 - 2a0b8: 10c0ac26 beq r2,r3,2a36c <___vfiprintf_internal_r+0x338> - 2a0bc: da801a04 addi r10,sp,104 - 2a0c0: da801e15 stw r10,120(sp) - 2a0c4: d8801e17 ldw r2,120(sp) - 2a0c8: da8019c4 addi r10,sp,103 - 2a0cc: 058000f4 movhi r22,3 - 2a0d0: 05c000f4 movhi r23,3 - 2a0d4: da801f15 stw r10,124(sp) - 2a0d8: 1295c83a sub r10,r2,r10 - 2a0dc: b5886a04 addi r22,r22,8616 - 2a0e0: bdc86604 addi r23,r23,8600 - 2a0e4: dec01a15 stw sp,104(sp) - 2a0e8: d8001c15 stw zero,112(sp) - 2a0ec: d8001b15 stw zero,108(sp) - 2a0f0: d8002615 stw zero,152(sp) - 2a0f4: d8002315 stw zero,140(sp) - 2a0f8: da802715 stw r10,156(sp) - 2a0fc: d811883a mov r8,sp - 2a100: dd002115 stw r20,132(sp) - 2a104: e021883a mov r16,fp - 2a108: 80800007 ldb r2,0(r16) - 2a10c: 1003ea26 beq r2,zero,2b0b8 <___vfiprintf_internal_r+0x1084> - 2a110: 00c00944 movi r3,37 - 2a114: 8025883a mov r18,r16 - 2a118: 10c0021e bne r2,r3,2a124 <___vfiprintf_internal_r+0xf0> - 2a11c: 00001606 br 2a178 <___vfiprintf_internal_r+0x144> - 2a120: 10c00326 beq r2,r3,2a130 <___vfiprintf_internal_r+0xfc> - 2a124: 94800044 addi r18,r18,1 - 2a128: 90800007 ldb r2,0(r18) - 2a12c: 103ffc1e bne r2,zero,2a120 <_gp+0xfffedbe8> - 2a130: 9423c83a sub r17,r18,r16 - 2a134: 88001026 beq r17,zero,2a178 <___vfiprintf_internal_r+0x144> - 2a138: d8c01c17 ldw r3,112(sp) - 2a13c: d8801b17 ldw r2,108(sp) - 2a140: 44000015 stw r16,0(r8) - 2a144: 88c7883a add r3,r17,r3 - 2a148: 10800044 addi r2,r2,1 - 2a14c: 44400115 stw r17,4(r8) - 2a150: d8c01c15 stw r3,112(sp) - 2a154: d8801b15 stw r2,108(sp) - 2a158: 010001c4 movi r4,7 - 2a15c: 2080760e bge r4,r2,2a338 <___vfiprintf_internal_r+0x304> - 2a160: 1803821e bne r3,zero,2af6c <___vfiprintf_internal_r+0xf38> - 2a164: da802317 ldw r10,140(sp) - 2a168: d8001b15 stw zero,108(sp) - 2a16c: d811883a mov r8,sp - 2a170: 5455883a add r10,r10,r17 - 2a174: da802315 stw r10,140(sp) - 2a178: 90800007 ldb r2,0(r18) - 2a17c: 10044626 beq r2,zero,2b298 <___vfiprintf_internal_r+0x1264> - 2a180: 90c00047 ldb r3,1(r18) - 2a184: 94000044 addi r16,r18,1 - 2a188: d8001d85 stb zero,118(sp) - 2a18c: 0009883a mov r4,zero - 2a190: 000f883a mov r7,zero - 2a194: 027fffc4 movi r9,-1 - 2a198: 0023883a mov r17,zero - 2a19c: 0029883a mov r20,zero - 2a1a0: 01401604 movi r5,88 - 2a1a4: 01800244 movi r6,9 - 2a1a8: 03400a84 movi r13,42 - 2a1ac: 03001b04 movi r12,108 - 2a1b0: 84000044 addi r16,r16,1 - 2a1b4: 18bff804 addi r2,r3,-32 - 2a1b8: 28827336 bltu r5,r2,2ab88 <___vfiprintf_internal_r+0xb54> - 2a1bc: 100490ba slli r2,r2,2 - 2a1c0: 028000f4 movhi r10,3 - 2a1c4: 52a87504 addi r10,r10,-24108 - 2a1c8: 1285883a add r2,r2,r10 - 2a1cc: 10800017 ldw r2,0(r2) - 2a1d0: 1000683a jmp r2 - 2a1d4: 0002a8bc xorhi zero,zero,2722 - 2a1d8: 0002ab88 cmpgei zero,zero,2734 - 2a1dc: 0002ab88 cmpgei zero,zero,2734 - 2a1e0: 0002a8dc xori zero,zero,2723 - 2a1e4: 0002ab88 cmpgei zero,zero,2734 - 2a1e8: 0002ab88 cmpgei zero,zero,2734 - 2a1ec: 0002ab88 cmpgei zero,zero,2734 - 2a1f0: 0002ab88 cmpgei zero,zero,2734 - 2a1f4: 0002ab88 cmpgei zero,zero,2734 - 2a1f8: 0002ab88 cmpgei zero,zero,2734 - 2a1fc: 0002aac4 movi zero,2731 - 2a200: 0002aae0 cmpeqi zero,zero,2731 - 2a204: 0002ab88 cmpgei zero,zero,2734 - 2a208: 0002a3c8 cmpgei zero,zero,2703 - 2a20c: 0002aaf0 cmpltui zero,zero,2731 - 2a210: 0002ab88 cmpgei zero,zero,2734 - 2a214: 0002a8e8 cmpgeui zero,zero,2723 - 2a218: 0002a8f4 movhi zero,2723 - 2a21c: 0002a8f4 movhi zero,2723 - 2a220: 0002a8f4 movhi zero,2723 - 2a224: 0002a8f4 movhi zero,2723 - 2a228: 0002a8f4 movhi zero,2723 - 2a22c: 0002a8f4 movhi zero,2723 - 2a230: 0002a8f4 movhi zero,2723 - 2a234: 0002a8f4 movhi zero,2723 - 2a238: 0002a8f4 movhi zero,2723 - 2a23c: 0002ab88 cmpgei zero,zero,2734 - 2a240: 0002ab88 cmpgei zero,zero,2734 - 2a244: 0002ab88 cmpgei zero,zero,2734 - 2a248: 0002ab88 cmpgei zero,zero,2734 - 2a24c: 0002ab88 cmpgei zero,zero,2734 - 2a250: 0002ab88 cmpgei zero,zero,2734 - 2a254: 0002ab88 cmpgei zero,zero,2734 - 2a258: 0002ab88 cmpgei zero,zero,2734 - 2a25c: 0002ab88 cmpgei zero,zero,2734 - 2a260: 0002ab88 cmpgei zero,zero,2734 - 2a264: 0002a920 cmpeqi zero,zero,2724 - 2a268: 0002ab88 cmpgei zero,zero,2734 - 2a26c: 0002ab88 cmpgei zero,zero,2734 - 2a270: 0002ab88 cmpgei zero,zero,2734 - 2a274: 0002ab88 cmpgei zero,zero,2734 - 2a278: 0002ab88 cmpgei zero,zero,2734 - 2a27c: 0002ab88 cmpgei zero,zero,2734 - 2a280: 0002ab88 cmpgei zero,zero,2734 - 2a284: 0002ab88 cmpgei zero,zero,2734 - 2a288: 0002ab88 cmpgei zero,zero,2734 - 2a28c: 0002ab88 cmpgei zero,zero,2734 - 2a290: 0002a958 cmpnei zero,zero,2725 - 2a294: 0002ab88 cmpgei zero,zero,2734 - 2a298: 0002ab88 cmpgei zero,zero,2734 - 2a29c: 0002ab88 cmpgei zero,zero,2734 - 2a2a0: 0002ab88 cmpgei zero,zero,2734 - 2a2a4: 0002ab88 cmpgei zero,zero,2734 - 2a2a8: 0002a9b0 cmpltui zero,zero,2726 - 2a2ac: 0002ab88 cmpgei zero,zero,2734 - 2a2b0: 0002ab88 cmpgei zero,zero,2734 - 2a2b4: 0002aa20 cmpeqi zero,zero,2728 - 2a2b8: 0002ab88 cmpgei zero,zero,2734 - 2a2bc: 0002ab88 cmpgei zero,zero,2734 - 2a2c0: 0002ab88 cmpgei zero,zero,2734 - 2a2c4: 0002ab88 cmpgei zero,zero,2734 - 2a2c8: 0002ab88 cmpgei zero,zero,2734 - 2a2cc: 0002ab88 cmpgei zero,zero,2734 - 2a2d0: 0002ab88 cmpgei zero,zero,2734 - 2a2d4: 0002ab88 cmpgei zero,zero,2734 - 2a2d8: 0002ab88 cmpgei zero,zero,2734 - 2a2dc: 0002ab88 cmpgei zero,zero,2734 - 2a2e0: 0002a7cc andi zero,zero,2719 - 2a2e4: 0002a7f8 rdprs zero,zero,2719 - 2a2e8: 0002ab88 cmpgei zero,zero,2734 - 2a2ec: 0002ab88 cmpgei zero,zero,2734 - 2a2f0: 0002ab88 cmpgei zero,zero,2734 - 2a2f4: 0002ab30 cmpltui zero,zero,2732 - 2a2f8: 0002a7f8 rdprs zero,zero,2719 - 2a2fc: 0002ab88 cmpgei zero,zero,2734 - 2a300: 0002ab88 cmpgei zero,zero,2734 - 2a304: 0002a68c andi zero,zero,2714 - 2a308: 0002ab88 cmpgei zero,zero,2734 - 2a30c: 0002a69c xori zero,zero,2714 - 2a310: 0002a6d8 cmpnei zero,zero,2715 - 2a314: 0002a3d4 movui zero,2703 - 2a318: 0002a680 call 2a68 <__alt_mem_onchip_memory2_0-0x1d598> - 2a31c: 0002ab88 cmpgei zero,zero,2734 - 2a320: 0002aa5c xori zero,zero,2729 - 2a324: 0002ab88 cmpgei zero,zero,2734 - 2a328: 0002aab4 movhi zero,2730 - 2a32c: 0002ab88 cmpgei zero,zero,2734 - 2a330: 0002ab88 cmpgei zero,zero,2734 - 2a334: 0002a778 rdprs zero,zero,2717 - 2a338: 42000204 addi r8,r8,8 - 2a33c: da802317 ldw r10,140(sp) - 2a340: 5455883a add r10,r10,r17 - 2a344: da802315 stw r10,140(sp) - 2a348: 003f8b06 br 2a178 <_gp+0xfffedc40> - 2a34c: d9002017 ldw r4,128(sp) - 2a350: a00b883a mov r5,r20 - 2a354: 00255100 call 25510 <__swsetup_r> - 2a358: 1003b11e bne r2,zero,2b220 <___vfiprintf_internal_r+0x11ec> - 2a35c: a080030b ldhu r2,12(r20) - 2a360: 00c00284 movi r3,10 - 2a364: 1080068c andi r2,r2,26 - 2a368: 10ff541e bne r2,r3,2a0bc <_gp+0xfffedb84> - 2a36c: a080038f ldh r2,14(r20) - 2a370: 103f5216 blt r2,zero,2a0bc <_gp+0xfffedb84> - 2a374: d9c02217 ldw r7,136(sp) - 2a378: d9002017 ldw r4,128(sp) - 2a37c: e00d883a mov r6,fp - 2a380: a00b883a mov r5,r20 - 2a384: 002b4ac0 call 2b4ac <__sbprintf> - 2a388: dfc03617 ldw ra,216(sp) - 2a38c: df003517 ldw fp,212(sp) - 2a390: ddc03417 ldw r23,208(sp) - 2a394: dd803317 ldw r22,204(sp) - 2a398: dd403217 ldw r21,200(sp) - 2a39c: dd003117 ldw r20,196(sp) - 2a3a0: dcc03017 ldw r19,192(sp) - 2a3a4: dc802f17 ldw r18,188(sp) - 2a3a8: dc402e17 ldw r17,184(sp) - 2a3ac: dc002d17 ldw r16,180(sp) - 2a3b0: dec03704 addi sp,sp,220 - 2a3b4: f800283a ret - 2a3b8: 00274e40 call 274e4 <__sinit> - 2a3bc: 003f2f06 br 2a07c <_gp+0xfffedb44> - 2a3c0: 0463c83a sub r17,zero,r17 - 2a3c4: d8802215 stw r2,136(sp) - 2a3c8: a5000114 ori r20,r20,4 - 2a3cc: 80c00007 ldb r3,0(r16) - 2a3d0: 003f7706 br 2a1b0 <_gp+0xfffedc78> - 2a3d4: 00800c04 movi r2,48 - 2a3d8: da802217 ldw r10,136(sp) - 2a3dc: d8801d05 stb r2,116(sp) - 2a3e0: 00801e04 movi r2,120 - 2a3e4: d8801d45 stb r2,117(sp) - 2a3e8: d8001d85 stb zero,118(sp) - 2a3ec: 50c00104 addi r3,r10,4 - 2a3f0: 54800017 ldw r18,0(r10) - 2a3f4: 0027883a mov r19,zero - 2a3f8: a0800094 ori r2,r20,2 - 2a3fc: 48030b16 blt r9,zero,2b02c <___vfiprintf_internal_r+0xff8> - 2a400: 00bfdfc4 movi r2,-129 - 2a404: a096703a and r11,r20,r2 - 2a408: d8c02215 stw r3,136(sp) - 2a40c: 5d000094 ori r20,r11,2 - 2a410: 90032b1e bne r18,zero,2b0c0 <___vfiprintf_internal_r+0x108c> - 2a414: 008000f4 movhi r2,3 - 2a418: 10880504 addi r2,r2,8212 - 2a41c: d8802615 stw r2,152(sp) - 2a420: 0039883a mov fp,zero - 2a424: 48017b1e bne r9,zero,2aa14 <___vfiprintf_internal_r+0x9e0> - 2a428: 0013883a mov r9,zero - 2a42c: 0027883a mov r19,zero - 2a430: dd401a04 addi r21,sp,104 - 2a434: 4825883a mov r18,r9 - 2a438: 4cc0010e bge r9,r19,2a440 <___vfiprintf_internal_r+0x40c> - 2a43c: 9825883a mov r18,r19 - 2a440: e7003fcc andi fp,fp,255 - 2a444: e700201c xori fp,fp,128 - 2a448: e73fe004 addi fp,fp,-128 - 2a44c: e0000126 beq fp,zero,2a454 <___vfiprintf_internal_r+0x420> - 2a450: 94800044 addi r18,r18,1 - 2a454: a380008c andi r14,r20,2 - 2a458: 70000126 beq r14,zero,2a460 <___vfiprintf_internal_r+0x42c> - 2a45c: 94800084 addi r18,r18,2 - 2a460: a700210c andi fp,r20,132 - 2a464: e001df1e bne fp,zero,2abe4 <___vfiprintf_internal_r+0xbb0> - 2a468: 8c87c83a sub r3,r17,r18 - 2a46c: 00c1dd0e bge zero,r3,2abe4 <___vfiprintf_internal_r+0xbb0> - 2a470: 01c00404 movi r7,16 - 2a474: d8801c17 ldw r2,112(sp) - 2a478: 38c3ad0e bge r7,r3,2b330 <___vfiprintf_internal_r+0x12fc> - 2a47c: 028000f4 movhi r10,3 - 2a480: 52886a04 addi r10,r10,8616 - 2a484: dc002915 stw r16,164(sp) - 2a488: d9801b17 ldw r6,108(sp) - 2a48c: da802415 stw r10,144(sp) - 2a490: 03c001c4 movi r15,7 - 2a494: da402515 stw r9,148(sp) - 2a498: db802815 stw r14,160(sp) - 2a49c: 1821883a mov r16,r3 - 2a4a0: 00000506 br 2a4b8 <___vfiprintf_internal_r+0x484> - 2a4a4: 31400084 addi r5,r6,2 - 2a4a8: 42000204 addi r8,r8,8 - 2a4ac: 200d883a mov r6,r4 - 2a4b0: 843ffc04 addi r16,r16,-16 - 2a4b4: 3c000d0e bge r7,r16,2a4ec <___vfiprintf_internal_r+0x4b8> - 2a4b8: 10800404 addi r2,r2,16 - 2a4bc: 31000044 addi r4,r6,1 - 2a4c0: 45800015 stw r22,0(r8) - 2a4c4: 41c00115 stw r7,4(r8) - 2a4c8: d8801c15 stw r2,112(sp) - 2a4cc: d9001b15 stw r4,108(sp) - 2a4d0: 793ff40e bge r15,r4,2a4a4 <_gp+0xfffedf6c> - 2a4d4: 1001b51e bne r2,zero,2abac <___vfiprintf_internal_r+0xb78> - 2a4d8: 843ffc04 addi r16,r16,-16 - 2a4dc: 000d883a mov r6,zero - 2a4e0: 01400044 movi r5,1 - 2a4e4: d811883a mov r8,sp - 2a4e8: 3c3ff316 blt r7,r16,2a4b8 <_gp+0xfffedf80> - 2a4ec: 8007883a mov r3,r16 - 2a4f0: da402517 ldw r9,148(sp) - 2a4f4: db802817 ldw r14,160(sp) - 2a4f8: dc002917 ldw r16,164(sp) - 2a4fc: da802417 ldw r10,144(sp) - 2a500: 1885883a add r2,r3,r2 - 2a504: 40c00115 stw r3,4(r8) - 2a508: 42800015 stw r10,0(r8) - 2a50c: d8801c15 stw r2,112(sp) - 2a510: d9401b15 stw r5,108(sp) - 2a514: 00c001c4 movi r3,7 - 2a518: 19426016 blt r3,r5,2ae9c <___vfiprintf_internal_r+0xe68> - 2a51c: d8c01d87 ldb r3,118(sp) - 2a520: 42000204 addi r8,r8,8 - 2a524: 29000044 addi r4,r5,1 - 2a528: 1801b31e bne r3,zero,2abf8 <___vfiprintf_internal_r+0xbc4> - 2a52c: 7001c026 beq r14,zero,2ac30 <___vfiprintf_internal_r+0xbfc> - 2a530: d8c01d04 addi r3,sp,116 - 2a534: 10800084 addi r2,r2,2 - 2a538: 40c00015 stw r3,0(r8) - 2a53c: 00c00084 movi r3,2 - 2a540: 40c00115 stw r3,4(r8) - 2a544: d8801c15 stw r2,112(sp) - 2a548: d9001b15 stw r4,108(sp) - 2a54c: 00c001c4 movi r3,7 - 2a550: 1902650e bge r3,r4,2aee8 <___vfiprintf_internal_r+0xeb4> - 2a554: 10029a1e bne r2,zero,2afc0 <___vfiprintf_internal_r+0xf8c> - 2a558: 00c02004 movi r3,128 - 2a55c: 01000044 movi r4,1 - 2a560: 000b883a mov r5,zero - 2a564: d811883a mov r8,sp - 2a568: e0c1b31e bne fp,r3,2ac38 <___vfiprintf_internal_r+0xc04> - 2a56c: 8cb9c83a sub fp,r17,r18 - 2a570: 0701b10e bge zero,fp,2ac38 <___vfiprintf_internal_r+0xc04> - 2a574: 01c00404 movi r7,16 - 2a578: 3f03890e bge r7,fp,2b3a0 <___vfiprintf_internal_r+0x136c> - 2a57c: 00c000f4 movhi r3,3 - 2a580: 18c86604 addi r3,r3,8600 - 2a584: d8c02415 stw r3,144(sp) - 2a588: 8007883a mov r3,r16 - 2a58c: 034001c4 movi r13,7 - 2a590: e021883a mov r16,fp - 2a594: da402515 stw r9,148(sp) - 2a598: 1839883a mov fp,r3 - 2a59c: 00000506 br 2a5b4 <___vfiprintf_internal_r+0x580> - 2a5a0: 29800084 addi r6,r5,2 - 2a5a4: 42000204 addi r8,r8,8 - 2a5a8: 180b883a mov r5,r3 - 2a5ac: 843ffc04 addi r16,r16,-16 - 2a5b0: 3c000d0e bge r7,r16,2a5e8 <___vfiprintf_internal_r+0x5b4> - 2a5b4: 10800404 addi r2,r2,16 - 2a5b8: 28c00044 addi r3,r5,1 - 2a5bc: 45c00015 stw r23,0(r8) - 2a5c0: 41c00115 stw r7,4(r8) - 2a5c4: d8801c15 stw r2,112(sp) - 2a5c8: d8c01b15 stw r3,108(sp) - 2a5cc: 68fff40e bge r13,r3,2a5a0 <_gp+0xfffee068> - 2a5d0: 1002241e bne r2,zero,2ae64 <___vfiprintf_internal_r+0xe30> - 2a5d4: 843ffc04 addi r16,r16,-16 - 2a5d8: 01800044 movi r6,1 - 2a5dc: 000b883a mov r5,zero - 2a5e0: d811883a mov r8,sp - 2a5e4: 3c3ff316 blt r7,r16,2a5b4 <_gp+0xfffee07c> - 2a5e8: da402517 ldw r9,148(sp) - 2a5ec: e007883a mov r3,fp - 2a5f0: 8039883a mov fp,r16 - 2a5f4: 1821883a mov r16,r3 - 2a5f8: d8c02417 ldw r3,144(sp) - 2a5fc: 1705883a add r2,r2,fp - 2a600: 47000115 stw fp,4(r8) - 2a604: 40c00015 stw r3,0(r8) - 2a608: d8801c15 stw r2,112(sp) - 2a60c: d9801b15 stw r6,108(sp) - 2a610: 00c001c4 movi r3,7 - 2a614: 19827616 blt r3,r6,2aff0 <___vfiprintf_internal_r+0xfbc> - 2a618: 4cf9c83a sub fp,r9,r19 - 2a61c: 42000204 addi r8,r8,8 - 2a620: 31000044 addi r4,r6,1 - 2a624: 300b883a mov r5,r6 - 2a628: 07018516 blt zero,fp,2ac40 <___vfiprintf_internal_r+0xc0c> - 2a62c: 9885883a add r2,r19,r2 - 2a630: 45400015 stw r21,0(r8) - 2a634: 44c00115 stw r19,4(r8) - 2a638: d8801c15 stw r2,112(sp) - 2a63c: d9001b15 stw r4,108(sp) - 2a640: 00c001c4 movi r3,7 - 2a644: 1901dd0e bge r3,r4,2adbc <___vfiprintf_internal_r+0xd88> - 2a648: 1002401e bne r2,zero,2af4c <___vfiprintf_internal_r+0xf18> - 2a64c: d8001b15 stw zero,108(sp) - 2a650: a2c0010c andi r11,r20,4 - 2a654: 58000226 beq r11,zero,2a660 <___vfiprintf_internal_r+0x62c> - 2a658: 8ca7c83a sub r19,r17,r18 - 2a65c: 04c2f216 blt zero,r19,2b228 <___vfiprintf_internal_r+0x11f4> - 2a660: 8c80010e bge r17,r18,2a668 <___vfiprintf_internal_r+0x634> - 2a664: 9023883a mov r17,r18 - 2a668: da802317 ldw r10,140(sp) - 2a66c: 5455883a add r10,r10,r17 - 2a670: da802315 stw r10,140(sp) - 2a674: d8001b15 stw zero,108(sp) - 2a678: d811883a mov r8,sp - 2a67c: 003ea206 br 2a108 <_gp+0xfffedbd0> - 2a680: a5000814 ori r20,r20,32 - 2a684: 80c00007 ldb r3,0(r16) - 2a688: 003ec906 br 2a1b0 <_gp+0xfffedc78> - 2a68c: 80c00007 ldb r3,0(r16) - 2a690: 1b030926 beq r3,r12,2b2b8 <___vfiprintf_internal_r+0x1284> - 2a694: a5000414 ori r20,r20,16 - 2a698: 003ec506 br 2a1b0 <_gp+0xfffedc78> - 2a69c: 21003fcc andi r4,r4,255 - 2a6a0: 20035e1e bne r4,zero,2b41c <___vfiprintf_internal_r+0x13e8> - 2a6a4: a080080c andi r2,r20,32 - 2a6a8: 1002a526 beq r2,zero,2b140 <___vfiprintf_internal_r+0x110c> - 2a6ac: da802217 ldw r10,136(sp) - 2a6b0: 50800017 ldw r2,0(r10) - 2a6b4: da802317 ldw r10,140(sp) - 2a6b8: 5007d7fa srai r3,r10,31 - 2a6bc: da802217 ldw r10,136(sp) - 2a6c0: 10c00115 stw r3,4(r2) - 2a6c4: 52800104 addi r10,r10,4 - 2a6c8: da802215 stw r10,136(sp) - 2a6cc: da802317 ldw r10,140(sp) - 2a6d0: 12800015 stw r10,0(r2) - 2a6d4: 003e8c06 br 2a108 <_gp+0xfffedbd0> - 2a6d8: 21003fcc andi r4,r4,255 - 2a6dc: 2003511e bne r4,zero,2b424 <___vfiprintf_internal_r+0x13f0> - 2a6e0: a080080c andi r2,r20,32 - 2a6e4: 1000a126 beq r2,zero,2a96c <___vfiprintf_internal_r+0x938> - 2a6e8: da802217 ldw r10,136(sp) - 2a6ec: d8001d85 stb zero,118(sp) - 2a6f0: 50800204 addi r2,r10,8 - 2a6f4: 54800017 ldw r18,0(r10) - 2a6f8: 54c00117 ldw r19,4(r10) - 2a6fc: 4802b416 blt r9,zero,2b1d0 <___vfiprintf_internal_r+0x119c> - 2a700: 013fdfc4 movi r4,-129 - 2a704: 94c6b03a or r3,r18,r19 - 2a708: d8802215 stw r2,136(sp) - 2a70c: a128703a and r20,r20,r4 - 2a710: 1800a226 beq r3,zero,2a99c <___vfiprintf_internal_r+0x968> - 2a714: 0039883a mov fp,zero - 2a718: dd401a04 addi r21,sp,104 - 2a71c: 9006d0fa srli r3,r18,3 - 2a720: 9808977a slli r4,r19,29 - 2a724: 9826d0fa srli r19,r19,3 - 2a728: 948001cc andi r18,r18,7 - 2a72c: 90800c04 addi r2,r18,48 - 2a730: ad7fffc4 addi r21,r21,-1 - 2a734: 20e4b03a or r18,r4,r3 - 2a738: a8800005 stb r2,0(r21) - 2a73c: 94c6b03a or r3,r18,r19 - 2a740: 183ff61e bne r3,zero,2a71c <_gp+0xfffee1e4> - 2a744: a0c0004c andi r3,r20,1 - 2a748: 18005926 beq r3,zero,2a8b0 <___vfiprintf_internal_r+0x87c> - 2a74c: 10803fcc andi r2,r2,255 - 2a750: 1080201c xori r2,r2,128 - 2a754: 10bfe004 addi r2,r2,-128 - 2a758: 00c00c04 movi r3,48 - 2a75c: 10c05426 beq r2,r3,2a8b0 <___vfiprintf_internal_r+0x87c> - 2a760: da801e17 ldw r10,120(sp) - 2a764: a8bfffc4 addi r2,r21,-1 - 2a768: a8ffffc5 stb r3,-1(r21) - 2a76c: 50a7c83a sub r19,r10,r2 - 2a770: 102b883a mov r21,r2 - 2a774: 003f2f06 br 2a434 <_gp+0xfffedefc> - 2a778: 21003fcc andi r4,r4,255 - 2a77c: 2003421e bne r4,zero,2b488 <___vfiprintf_internal_r+0x1454> - 2a780: 008000f4 movhi r2,3 - 2a784: 10880504 addi r2,r2,8212 - 2a788: d8802615 stw r2,152(sp) - 2a78c: a080080c andi r2,r20,32 - 2a790: 1000aa26 beq r2,zero,2aa3c <___vfiprintf_internal_r+0xa08> - 2a794: da802217 ldw r10,136(sp) - 2a798: 54800017 ldw r18,0(r10) - 2a79c: 54c00117 ldw r19,4(r10) - 2a7a0: 52800204 addi r10,r10,8 - 2a7a4: da802215 stw r10,136(sp) - 2a7a8: a080004c andi r2,r20,1 - 2a7ac: 1001d226 beq r2,zero,2aef8 <___vfiprintf_internal_r+0xec4> - 2a7b0: 94c4b03a or r2,r18,r19 - 2a7b4: 1002351e bne r2,zero,2b08c <___vfiprintf_internal_r+0x1058> - 2a7b8: d8001d85 stb zero,118(sp) - 2a7bc: 48022216 blt r9,zero,2b048 <___vfiprintf_internal_r+0x1014> - 2a7c0: 00bfdfc4 movi r2,-129 - 2a7c4: a0a8703a and r20,r20,r2 - 2a7c8: 003f1506 br 2a420 <_gp+0xfffedee8> - 2a7cc: da802217 ldw r10,136(sp) - 2a7d0: 04800044 movi r18,1 - 2a7d4: d8001d85 stb zero,118(sp) - 2a7d8: 50800017 ldw r2,0(r10) - 2a7dc: 52800104 addi r10,r10,4 - 2a7e0: da802215 stw r10,136(sp) - 2a7e4: d8801005 stb r2,64(sp) - 2a7e8: 9027883a mov r19,r18 - 2a7ec: dd401004 addi r21,sp,64 - 2a7f0: 0013883a mov r9,zero - 2a7f4: 003f1706 br 2a454 <_gp+0xfffedf1c> - 2a7f8: 21003fcc andi r4,r4,255 - 2a7fc: 2003201e bne r4,zero,2b480 <___vfiprintf_internal_r+0x144c> - 2a800: a080080c andi r2,r20,32 - 2a804: 10004b26 beq r2,zero,2a934 <___vfiprintf_internal_r+0x900> - 2a808: da802217 ldw r10,136(sp) - 2a80c: 50800117 ldw r2,4(r10) - 2a810: 54800017 ldw r18,0(r10) - 2a814: 52800204 addi r10,r10,8 - 2a818: da802215 stw r10,136(sp) - 2a81c: 1027883a mov r19,r2 - 2a820: 10022c16 blt r2,zero,2b0d4 <___vfiprintf_internal_r+0x10a0> - 2a824: df001d83 ldbu fp,118(sp) - 2a828: 48007216 blt r9,zero,2a9f4 <___vfiprintf_internal_r+0x9c0> - 2a82c: 00ffdfc4 movi r3,-129 - 2a830: 94c4b03a or r2,r18,r19 - 2a834: a0e8703a and r20,r20,r3 - 2a838: 1000cc26 beq r2,zero,2ab6c <___vfiprintf_internal_r+0xb38> - 2a83c: 98021026 beq r19,zero,2b080 <___vfiprintf_internal_r+0x104c> - 2a840: dc402415 stw r17,144(sp) - 2a844: dc002515 stw r16,148(sp) - 2a848: 9823883a mov r17,r19 - 2a84c: 9021883a mov r16,r18 - 2a850: dd401a04 addi r21,sp,104 - 2a854: 4825883a mov r18,r9 - 2a858: 4027883a mov r19,r8 - 2a85c: 8009883a mov r4,r16 - 2a860: 880b883a mov r5,r17 - 2a864: 01800284 movi r6,10 - 2a868: 000f883a mov r7,zero - 2a86c: 002c4140 call 2c414 <__umoddi3> - 2a870: 10800c04 addi r2,r2,48 - 2a874: ad7fffc4 addi r21,r21,-1 - 2a878: 8009883a mov r4,r16 - 2a87c: 880b883a mov r5,r17 - 2a880: a8800005 stb r2,0(r21) - 2a884: 01800284 movi r6,10 - 2a888: 000f883a mov r7,zero - 2a88c: 002be9c0 call 2be9c <__udivdi3> - 2a890: 1021883a mov r16,r2 - 2a894: 10c4b03a or r2,r2,r3 - 2a898: 1823883a mov r17,r3 - 2a89c: 103fef1e bne r2,zero,2a85c <_gp+0xfffee324> - 2a8a0: dc402417 ldw r17,144(sp) - 2a8a4: dc002517 ldw r16,148(sp) - 2a8a8: 9013883a mov r9,r18 - 2a8ac: 9811883a mov r8,r19 - 2a8b0: da801e17 ldw r10,120(sp) - 2a8b4: 5567c83a sub r19,r10,r21 - 2a8b8: 003ede06 br 2a434 <_gp+0xfffedefc> - 2a8bc: 38803fcc andi r2,r7,255 - 2a8c0: 1080201c xori r2,r2,128 - 2a8c4: 10bfe004 addi r2,r2,-128 - 2a8c8: 1002371e bne r2,zero,2b1a8 <___vfiprintf_internal_r+0x1174> - 2a8cc: 01000044 movi r4,1 - 2a8d0: 01c00804 movi r7,32 - 2a8d4: 80c00007 ldb r3,0(r16) - 2a8d8: 003e3506 br 2a1b0 <_gp+0xfffedc78> - 2a8dc: a5000054 ori r20,r20,1 - 2a8e0: 80c00007 ldb r3,0(r16) - 2a8e4: 003e3206 br 2a1b0 <_gp+0xfffedc78> - 2a8e8: a5002014 ori r20,r20,128 - 2a8ec: 80c00007 ldb r3,0(r16) - 2a8f0: 003e2f06 br 2a1b0 <_gp+0xfffedc78> - 2a8f4: 8015883a mov r10,r16 - 2a8f8: 0023883a mov r17,zero - 2a8fc: 18bff404 addi r2,r3,-48 - 2a900: 50c00007 ldb r3,0(r10) - 2a904: 8c4002a4 muli r17,r17,10 - 2a908: 84000044 addi r16,r16,1 - 2a90c: 8015883a mov r10,r16 - 2a910: 1463883a add r17,r2,r17 - 2a914: 18bff404 addi r2,r3,-48 - 2a918: 30bff92e bgeu r6,r2,2a900 <_gp+0xfffee3c8> - 2a91c: 003e2506 br 2a1b4 <_gp+0xfffedc7c> - 2a920: 21003fcc andi r4,r4,255 - 2a924: 2002d41e bne r4,zero,2b478 <___vfiprintf_internal_r+0x1444> - 2a928: a5000414 ori r20,r20,16 - 2a92c: a080080c andi r2,r20,32 - 2a930: 103fb51e bne r2,zero,2a808 <_gp+0xfffee2d0> - 2a934: a080040c andi r2,r20,16 - 2a938: 1001f826 beq r2,zero,2b11c <___vfiprintf_internal_r+0x10e8> - 2a93c: da802217 ldw r10,136(sp) - 2a940: 54800017 ldw r18,0(r10) - 2a944: 52800104 addi r10,r10,4 - 2a948: da802215 stw r10,136(sp) - 2a94c: 9027d7fa srai r19,r18,31 - 2a950: 9805883a mov r2,r19 - 2a954: 003fb206 br 2a820 <_gp+0xfffee2e8> - 2a958: 21003fcc andi r4,r4,255 - 2a95c: 2002c41e bne r4,zero,2b470 <___vfiprintf_internal_r+0x143c> - 2a960: a5000414 ori r20,r20,16 - 2a964: a080080c andi r2,r20,32 - 2a968: 103f5f1e bne r2,zero,2a6e8 <_gp+0xfffee1b0> - 2a96c: a080040c andi r2,r20,16 - 2a970: 10020f26 beq r2,zero,2b1b0 <___vfiprintf_internal_r+0x117c> - 2a974: da802217 ldw r10,136(sp) - 2a978: d8001d85 stb zero,118(sp) - 2a97c: 0027883a mov r19,zero - 2a980: 50800104 addi r2,r10,4 - 2a984: 54800017 ldw r18,0(r10) - 2a988: 48021116 blt r9,zero,2b1d0 <___vfiprintf_internal_r+0x119c> - 2a98c: 00ffdfc4 movi r3,-129 - 2a990: d8802215 stw r2,136(sp) - 2a994: a0e8703a and r20,r20,r3 - 2a998: 903f5e1e bne r18,zero,2a714 <_gp+0xfffee1dc> - 2a99c: 0039883a mov fp,zero - 2a9a0: 4802a626 beq r9,zero,2b43c <___vfiprintf_internal_r+0x1408> - 2a9a4: 0025883a mov r18,zero - 2a9a8: 0027883a mov r19,zero - 2a9ac: 003f5a06 br 2a718 <_gp+0xfffee1e0> - 2a9b0: 21003fcc andi r4,r4,255 - 2a9b4: 20029f1e bne r4,zero,2b434 <___vfiprintf_internal_r+0x1400> - 2a9b8: a5000414 ori r20,r20,16 - 2a9bc: a080080c andi r2,r20,32 - 2a9c0: 10005e1e bne r2,zero,2ab3c <___vfiprintf_internal_r+0xb08> - 2a9c4: a080040c andi r2,r20,16 - 2a9c8: 1001a21e bne r2,zero,2b054 <___vfiprintf_internal_r+0x1020> - 2a9cc: a080100c andi r2,r20,64 - 2a9d0: d8001d85 stb zero,118(sp) - 2a9d4: da802217 ldw r10,136(sp) - 2a9d8: 1002231e bne r2,zero,2b268 <___vfiprintf_internal_r+0x1234> - 2a9dc: 50800104 addi r2,r10,4 - 2a9e0: 54800017 ldw r18,0(r10) - 2a9e4: 0027883a mov r19,zero - 2a9e8: 4801a00e bge r9,zero,2b06c <___vfiprintf_internal_r+0x1038> - 2a9ec: d8802215 stw r2,136(sp) - 2a9f0: 0039883a mov fp,zero - 2a9f4: 94c4b03a or r2,r18,r19 - 2a9f8: 103f901e bne r2,zero,2a83c <_gp+0xfffee304> - 2a9fc: 00800044 movi r2,1 - 2aa00: 10803fcc andi r2,r2,255 - 2aa04: 00c00044 movi r3,1 - 2aa08: 10c05926 beq r2,r3,2ab70 <___vfiprintf_internal_r+0xb3c> - 2aa0c: 00c00084 movi r3,2 - 2aa10: 10ffe41e bne r2,r3,2a9a4 <_gp+0xfffee46c> - 2aa14: 0025883a mov r18,zero - 2aa18: 0027883a mov r19,zero - 2aa1c: 00013d06 br 2af14 <___vfiprintf_internal_r+0xee0> - 2aa20: 21003fcc andi r4,r4,255 - 2aa24: 2002811e bne r4,zero,2b42c <___vfiprintf_internal_r+0x13f8> - 2aa28: 008000f4 movhi r2,3 - 2aa2c: 10880004 addi r2,r2,8192 - 2aa30: d8802615 stw r2,152(sp) - 2aa34: a080080c andi r2,r20,32 - 2aa38: 103f561e bne r2,zero,2a794 <_gp+0xfffee25c> - 2aa3c: a080040c andi r2,r20,16 - 2aa40: 1001d126 beq r2,zero,2b188 <___vfiprintf_internal_r+0x1154> - 2aa44: da802217 ldw r10,136(sp) - 2aa48: 0027883a mov r19,zero - 2aa4c: 54800017 ldw r18,0(r10) - 2aa50: 52800104 addi r10,r10,4 - 2aa54: da802215 stw r10,136(sp) - 2aa58: 003f5306 br 2a7a8 <_gp+0xfffee270> - 2aa5c: da802217 ldw r10,136(sp) - 2aa60: d8001d85 stb zero,118(sp) - 2aa64: 55400017 ldw r21,0(r10) - 2aa68: 50c00104 addi r3,r10,4 - 2aa6c: a8024226 beq r21,zero,2b378 <___vfiprintf_internal_r+0x1344> - 2aa70: 48021816 blt r9,zero,2b2d4 <___vfiprintf_internal_r+0x12a0> - 2aa74: 480d883a mov r6,r9 - 2aa78: 000b883a mov r5,zero - 2aa7c: a809883a mov r4,r21 - 2aa80: d8c02a15 stw r3,168(sp) - 2aa84: da002b15 stw r8,172(sp) - 2aa88: da402c15 stw r9,176(sp) - 2aa8c: 00282440 call 28244 - 2aa90: d8c02a17 ldw r3,168(sp) - 2aa94: da002b17 ldw r8,172(sp) - 2aa98: da402c17 ldw r9,176(sp) - 2aa9c: 10024826 beq r2,zero,2b3c0 <___vfiprintf_internal_r+0x138c> - 2aaa0: 1567c83a sub r19,r2,r21 - 2aaa4: df001d83 ldbu fp,118(sp) - 2aaa8: d8c02215 stw r3,136(sp) - 2aaac: 0013883a mov r9,zero - 2aab0: 003e6006 br 2a434 <_gp+0xfffedefc> - 2aab4: 21003fcc andi r4,r4,255 - 2aab8: 203fc026 beq r4,zero,2a9bc <_gp+0xfffee484> - 2aabc: d9c01d85 stb r7,118(sp) - 2aac0: 003fbe06 br 2a9bc <_gp+0xfffee484> - 2aac4: da802217 ldw r10,136(sp) - 2aac8: 54400017 ldw r17,0(r10) - 2aacc: 50800104 addi r2,r10,4 - 2aad0: 883e3b16 blt r17,zero,2a3c0 <_gp+0xfffede88> - 2aad4: d8802215 stw r2,136(sp) - 2aad8: 80c00007 ldb r3,0(r16) - 2aadc: 003db406 br 2a1b0 <_gp+0xfffedc78> - 2aae0: 01000044 movi r4,1 - 2aae4: 01c00ac4 movi r7,43 - 2aae8: 80c00007 ldb r3,0(r16) - 2aaec: 003db006 br 2a1b0 <_gp+0xfffedc78> - 2aaf0: 80c00007 ldb r3,0(r16) - 2aaf4: 82800044 addi r10,r16,1 - 2aaf8: 1b423c26 beq r3,r13,2b3ec <___vfiprintf_internal_r+0x13b8> - 2aafc: 18bff404 addi r2,r3,-48 - 2ab00: 0013883a mov r9,zero - 2ab04: 30822b36 bltu r6,r2,2b3b4 <___vfiprintf_internal_r+0x1380> - 2ab08: 50c00007 ldb r3,0(r10) - 2ab0c: 4a4002a4 muli r9,r9,10 - 2ab10: 54000044 addi r16,r10,1 - 2ab14: 8015883a mov r10,r16 - 2ab18: 4893883a add r9,r9,r2 - 2ab1c: 18bff404 addi r2,r3,-48 - 2ab20: 30bff92e bgeu r6,r2,2ab08 <_gp+0xfffee5d0> - 2ab24: 483da30e bge r9,zero,2a1b4 <_gp+0xfffedc7c> - 2ab28: 027fffc4 movi r9,-1 - 2ab2c: 003da106 br 2a1b4 <_gp+0xfffedc7c> - 2ab30: a5001014 ori r20,r20,64 - 2ab34: 80c00007 ldb r3,0(r16) - 2ab38: 003d9d06 br 2a1b0 <_gp+0xfffedc78> - 2ab3c: da802217 ldw r10,136(sp) - 2ab40: d8001d85 stb zero,118(sp) - 2ab44: 50c00204 addi r3,r10,8 - 2ab48: 54800017 ldw r18,0(r10) - 2ab4c: 54c00117 ldw r19,4(r10) - 2ab50: 4801ca16 blt r9,zero,2b27c <___vfiprintf_internal_r+0x1248> - 2ab54: 013fdfc4 movi r4,-129 - 2ab58: 94c4b03a or r2,r18,r19 - 2ab5c: d8c02215 stw r3,136(sp) - 2ab60: a128703a and r20,r20,r4 - 2ab64: 0039883a mov fp,zero - 2ab68: 103f341e bne r2,zero,2a83c <_gp+0xfffee304> - 2ab6c: 483e2e26 beq r9,zero,2a428 <_gp+0xfffedef0> - 2ab70: 0025883a mov r18,zero - 2ab74: 94800c04 addi r18,r18,48 - 2ab78: dc8019c5 stb r18,103(sp) - 2ab7c: dcc02717 ldw r19,156(sp) - 2ab80: dd4019c4 addi r21,sp,103 - 2ab84: 003e2b06 br 2a434 <_gp+0xfffedefc> - 2ab88: 21003fcc andi r4,r4,255 - 2ab8c: 2002361e bne r4,zero,2b468 <___vfiprintf_internal_r+0x1434> - 2ab90: 1801c126 beq r3,zero,2b298 <___vfiprintf_internal_r+0x1264> - 2ab94: 04800044 movi r18,1 - 2ab98: d8c01005 stb r3,64(sp) +0002a4bc <__sread>: + 2a4bc: defffe04 addi sp,sp,-8 + 2a4c0: dc000015 stw r16,0(sp) + 2a4c4: 2821883a mov r16,r5 + 2a4c8: 2940038f ldh r5,14(r5) + 2a4cc: dfc00115 stw ra,4(sp) + 2a4d0: 002c4080 call 2c408 <_read_r> + 2a4d4: 10000716 blt r2,zero,2a4f4 <__sread+0x38> + 2a4d8: 80c01417 ldw r3,80(r16) + 2a4dc: 1887883a add r3,r3,r2 + 2a4e0: 80c01415 stw r3,80(r16) + 2a4e4: dfc00117 ldw ra,4(sp) + 2a4e8: dc000017 ldw r16,0(sp) + 2a4ec: dec00204 addi sp,sp,8 + 2a4f0: f800283a ret + 2a4f4: 80c0030b ldhu r3,12(r16) + 2a4f8: 18fbffcc andi r3,r3,61439 + 2a4fc: 80c0030d sth r3,12(r16) + 2a500: dfc00117 ldw ra,4(sp) + 2a504: dc000017 ldw r16,0(sp) + 2a508: dec00204 addi sp,sp,8 + 2a50c: f800283a ret + +0002a510 <__seofread>: + 2a510: 0005883a mov r2,zero + 2a514: f800283a ret + +0002a518 <__swrite>: + 2a518: 2880030b ldhu r2,12(r5) + 2a51c: defffb04 addi sp,sp,-20 + 2a520: dcc00315 stw r19,12(sp) + 2a524: dc800215 stw r18,8(sp) + 2a528: dc400115 stw r17,4(sp) + 2a52c: dc000015 stw r16,0(sp) + 2a530: dfc00415 stw ra,16(sp) + 2a534: 10c0400c andi r3,r2,256 + 2a538: 2821883a mov r16,r5 + 2a53c: 2023883a mov r17,r4 + 2a540: 3025883a mov r18,r6 + 2a544: 3827883a mov r19,r7 + 2a548: 18000526 beq r3,zero,2a560 <__swrite+0x48> + 2a54c: 2940038f ldh r5,14(r5) + 2a550: 01c00084 movi r7,2 + 2a554: 000d883a mov r6,zero + 2a558: 002c3a80 call 2c3a8 <_lseek_r> + 2a55c: 8080030b ldhu r2,12(r16) + 2a560: 8140038f ldh r5,14(r16) + 2a564: 10bbffcc andi r2,r2,61439 + 2a568: 980f883a mov r7,r19 + 2a56c: 900d883a mov r6,r18 + 2a570: 8809883a mov r4,r17 + 2a574: 8080030d sth r2,12(r16) + 2a578: dfc00417 ldw ra,16(sp) + 2a57c: dcc00317 ldw r19,12(sp) + 2a580: dc800217 ldw r18,8(sp) + 2a584: dc400117 ldw r17,4(sp) + 2a588: dc000017 ldw r16,0(sp) + 2a58c: dec00504 addi sp,sp,20 + 2a590: 002be741 jmpi 2be74 <_write_r> + +0002a594 <__sseek>: + 2a594: defffe04 addi sp,sp,-8 + 2a598: dc000015 stw r16,0(sp) + 2a59c: 2821883a mov r16,r5 + 2a5a0: 2940038f ldh r5,14(r5) + 2a5a4: dfc00115 stw ra,4(sp) + 2a5a8: 002c3a80 call 2c3a8 <_lseek_r> + 2a5ac: 00ffffc4 movi r3,-1 + 2a5b0: 10c00826 beq r2,r3,2a5d4 <__sseek+0x40> + 2a5b4: 80c0030b ldhu r3,12(r16) + 2a5b8: 80801415 stw r2,80(r16) + 2a5bc: 18c40014 ori r3,r3,4096 + 2a5c0: 80c0030d sth r3,12(r16) + 2a5c4: dfc00117 ldw ra,4(sp) + 2a5c8: dc000017 ldw r16,0(sp) + 2a5cc: dec00204 addi sp,sp,8 + 2a5d0: f800283a ret + 2a5d4: 80c0030b ldhu r3,12(r16) + 2a5d8: 18fbffcc andi r3,r3,61439 + 2a5dc: 80c0030d sth r3,12(r16) + 2a5e0: dfc00117 ldw ra,4(sp) + 2a5e4: dc000017 ldw r16,0(sp) + 2a5e8: dec00204 addi sp,sp,8 + 2a5ec: f800283a ret + +0002a5f0 <__sclose>: + 2a5f0: 2940038f ldh r5,14(r5) + 2a5f4: 002bed41 jmpi 2bed4 <_close_r> + +0002a5f8 : + 2a5f8: 2144b03a or r2,r4,r5 + 2a5fc: 108000cc andi r2,r2,3 + 2a600: 1000171e bne r2,zero,2a660 + 2a604: 20800017 ldw r2,0(r4) + 2a608: 28c00017 ldw r3,0(r5) + 2a60c: 10c0141e bne r2,r3,2a660 + 2a610: 027fbff4 movhi r9,65279 + 2a614: 4a7fbfc4 addi r9,r9,-257 + 2a618: 0086303a nor r3,zero,r2 + 2a61c: 02202074 movhi r8,32897 + 2a620: 1245883a add r2,r2,r9 + 2a624: 42202004 addi r8,r8,-32640 + 2a628: 10c4703a and r2,r2,r3 + 2a62c: 1204703a and r2,r2,r8 + 2a630: 10000226 beq r2,zero,2a63c + 2a634: 00002306 br 2a6c4 + 2a638: 1000221e bne r2,zero,2a6c4 + 2a63c: 21000104 addi r4,r4,4 + 2a640: 20c00017 ldw r3,0(r4) + 2a644: 29400104 addi r5,r5,4 + 2a648: 29800017 ldw r6,0(r5) + 2a64c: 1a4f883a add r7,r3,r9 + 2a650: 00c4303a nor r2,zero,r3 + 2a654: 3884703a and r2,r7,r2 + 2a658: 1204703a and r2,r2,r8 + 2a65c: 19bff626 beq r3,r6,2a638 <_gp+0xfffed7cc> + 2a660: 20800003 ldbu r2,0(r4) + 2a664: 10c03fcc andi r3,r2,255 + 2a668: 18c0201c xori r3,r3,128 + 2a66c: 18ffe004 addi r3,r3,-128 + 2a670: 18000c26 beq r3,zero,2a6a4 + 2a674: 29800007 ldb r6,0(r5) + 2a678: 19800326 beq r3,r6,2a688 + 2a67c: 00001306 br 2a6cc + 2a680: 29800007 ldb r6,0(r5) + 2a684: 11800b1e bne r2,r6,2a6b4 + 2a688: 21000044 addi r4,r4,1 + 2a68c: 20c00003 ldbu r3,0(r4) + 2a690: 29400044 addi r5,r5,1 + 2a694: 18803fcc andi r2,r3,255 + 2a698: 1080201c xori r2,r2,128 + 2a69c: 10bfe004 addi r2,r2,-128 + 2a6a0: 103ff71e bne r2,zero,2a680 <_gp+0xfffed814> + 2a6a4: 0007883a mov r3,zero + 2a6a8: 28800003 ldbu r2,0(r5) + 2a6ac: 1885c83a sub r2,r3,r2 + 2a6b0: f800283a ret + 2a6b4: 28800003 ldbu r2,0(r5) + 2a6b8: 18c03fcc andi r3,r3,255 + 2a6bc: 1885c83a sub r2,r3,r2 + 2a6c0: f800283a ret + 2a6c4: 0005883a mov r2,zero + 2a6c8: f800283a ret + 2a6cc: 10c03fcc andi r3,r2,255 + 2a6d0: 003ff506 br 2a6a8 <_gp+0xfffed83c> + +0002a6d4 <__sprint_r.part.0>: + 2a6d4: 28801917 ldw r2,100(r5) + 2a6d8: defff604 addi sp,sp,-40 + 2a6dc: dd400515 stw r21,20(sp) + 2a6e0: dfc00915 stw ra,36(sp) + 2a6e4: df000815 stw fp,32(sp) + 2a6e8: ddc00715 stw r23,28(sp) + 2a6ec: dd800615 stw r22,24(sp) + 2a6f0: dd000415 stw r20,16(sp) + 2a6f4: dcc00315 stw r19,12(sp) + 2a6f8: dc800215 stw r18,8(sp) + 2a6fc: dc400115 stw r17,4(sp) + 2a700: dc000015 stw r16,0(sp) + 2a704: 1088000c andi r2,r2,8192 + 2a708: 302b883a mov r21,r6 + 2a70c: 10002e26 beq r2,zero,2a7c8 <__sprint_r.part.0+0xf4> + 2a710: 30800217 ldw r2,8(r6) + 2a714: 35800017 ldw r22,0(r6) + 2a718: 10002926 beq r2,zero,2a7c0 <__sprint_r.part.0+0xec> + 2a71c: 2827883a mov r19,r5 + 2a720: 2029883a mov r20,r4 + 2a724: b5c00104 addi r23,r22,4 + 2a728: 04bfffc4 movi r18,-1 + 2a72c: bc400017 ldw r17,0(r23) + 2a730: b4000017 ldw r16,0(r22) + 2a734: 0039883a mov fp,zero + 2a738: 8822d0ba srli r17,r17,2 + 2a73c: 8800031e bne r17,zero,2a74c <__sprint_r.part.0+0x78> + 2a740: 00001806 br 2a7a4 <__sprint_r.part.0+0xd0> + 2a744: 84000104 addi r16,r16,4 + 2a748: 8f001526 beq r17,fp,2a7a0 <__sprint_r.part.0+0xcc> + 2a74c: 81400017 ldw r5,0(r16) + 2a750: 980d883a mov r6,r19 + 2a754: a009883a mov r4,r20 + 2a758: 002c2540 call 2c254 <_fputwc_r> + 2a75c: e7000044 addi fp,fp,1 + 2a760: 14bff81e bne r2,r18,2a744 <_gp+0xfffed8d8> + 2a764: 9005883a mov r2,r18 + 2a768: a8000215 stw zero,8(r21) + 2a76c: a8000115 stw zero,4(r21) + 2a770: dfc00917 ldw ra,36(sp) + 2a774: df000817 ldw fp,32(sp) + 2a778: ddc00717 ldw r23,28(sp) + 2a77c: dd800617 ldw r22,24(sp) + 2a780: dd400517 ldw r21,20(sp) + 2a784: dd000417 ldw r20,16(sp) + 2a788: dcc00317 ldw r19,12(sp) + 2a78c: dc800217 ldw r18,8(sp) + 2a790: dc400117 ldw r17,4(sp) + 2a794: dc000017 ldw r16,0(sp) + 2a798: dec00a04 addi sp,sp,40 + 2a79c: f800283a ret + 2a7a0: a8800217 ldw r2,8(r21) + 2a7a4: 8c63883a add r17,r17,r17 + 2a7a8: 8c63883a add r17,r17,r17 + 2a7ac: 1445c83a sub r2,r2,r17 + 2a7b0: a8800215 stw r2,8(r21) + 2a7b4: b5800204 addi r22,r22,8 + 2a7b8: bdc00204 addi r23,r23,8 + 2a7bc: 103fdb1e bne r2,zero,2a72c <_gp+0xfffed8c0> + 2a7c0: 0005883a mov r2,zero + 2a7c4: 003fe806 br 2a768 <_gp+0xfffed8fc> + 2a7c8: 0027f2c0 call 27f2c <__sfvwrite_r> + 2a7cc: 003fe606 br 2a768 <_gp+0xfffed8fc> + +0002a7d0 <__sprint_r>: + 2a7d0: 30c00217 ldw r3,8(r6) + 2a7d4: 18000126 beq r3,zero,2a7dc <__sprint_r+0xc> + 2a7d8: 002a6d41 jmpi 2a6d4 <__sprint_r.part.0> + 2a7dc: 30000115 stw zero,4(r6) + 2a7e0: 0005883a mov r2,zero + 2a7e4: f800283a ret + +0002a7e8 <___vfiprintf_internal_r>: + 2a7e8: deffc904 addi sp,sp,-220 + 2a7ec: df003515 stw fp,212(sp) + 2a7f0: dd003115 stw r20,196(sp) + 2a7f4: dfc03615 stw ra,216(sp) + 2a7f8: ddc03415 stw r23,208(sp) + 2a7fc: dd803315 stw r22,204(sp) + 2a800: dd403215 stw r21,200(sp) + 2a804: dcc03015 stw r19,192(sp) + 2a808: dc802f15 stw r18,188(sp) + 2a80c: dc402e15 stw r17,184(sp) + 2a810: dc002d15 stw r16,180(sp) + 2a814: d9002015 stw r4,128(sp) + 2a818: d9c02215 stw r7,136(sp) + 2a81c: 2829883a mov r20,r5 + 2a820: 3039883a mov fp,r6 + 2a824: 20000226 beq r4,zero,2a830 <___vfiprintf_internal_r+0x48> + 2a828: 20800e17 ldw r2,56(r4) + 2a82c: 1000cf26 beq r2,zero,2ab6c <___vfiprintf_internal_r+0x384> + 2a830: a080030b ldhu r2,12(r20) + 2a834: 10c8000c andi r3,r2,8192 + 2a838: 1800061e bne r3,zero,2a854 <___vfiprintf_internal_r+0x6c> + 2a83c: a1001917 ldw r4,100(r20) + 2a840: 00f7ffc4 movi r3,-8193 + 2a844: 10880014 ori r2,r2,8192 + 2a848: 20c6703a and r3,r4,r3 + 2a84c: a080030d sth r2,12(r20) + 2a850: a0c01915 stw r3,100(r20) + 2a854: 10c0020c andi r3,r2,8 + 2a858: 1800a926 beq r3,zero,2ab00 <___vfiprintf_internal_r+0x318> + 2a85c: a0c00417 ldw r3,16(r20) + 2a860: 1800a726 beq r3,zero,2ab00 <___vfiprintf_internal_r+0x318> + 2a864: 1080068c andi r2,r2,26 + 2a868: 00c00284 movi r3,10 + 2a86c: 10c0ac26 beq r2,r3,2ab20 <___vfiprintf_internal_r+0x338> + 2a870: da801a04 addi r10,sp,104 + 2a874: da801e15 stw r10,120(sp) + 2a878: d8801e17 ldw r2,120(sp) + 2a87c: da8019c4 addi r10,sp,103 + 2a880: 058000f4 movhi r22,3 + 2a884: 05c000f4 movhi r23,3 + 2a888: da801f15 stw r10,124(sp) + 2a88c: 1295c83a sub r10,r2,r10 + 2a890: b58ab704 addi r22,r22,10972 + 2a894: bdcab304 addi r23,r23,10956 + 2a898: dec01a15 stw sp,104(sp) + 2a89c: d8001c15 stw zero,112(sp) + 2a8a0: d8001b15 stw zero,108(sp) + 2a8a4: d8002615 stw zero,152(sp) + 2a8a8: d8002315 stw zero,140(sp) + 2a8ac: da802715 stw r10,156(sp) + 2a8b0: d811883a mov r8,sp + 2a8b4: dd002115 stw r20,132(sp) + 2a8b8: e021883a mov r16,fp + 2a8bc: 80800007 ldb r2,0(r16) + 2a8c0: 1003ea26 beq r2,zero,2b86c <___vfiprintf_internal_r+0x1084> + 2a8c4: 00c00944 movi r3,37 + 2a8c8: 8025883a mov r18,r16 + 2a8cc: 10c0021e bne r2,r3,2a8d8 <___vfiprintf_internal_r+0xf0> + 2a8d0: 00001606 br 2a92c <___vfiprintf_internal_r+0x144> + 2a8d4: 10c00326 beq r2,r3,2a8e4 <___vfiprintf_internal_r+0xfc> + 2a8d8: 94800044 addi r18,r18,1 + 2a8dc: 90800007 ldb r2,0(r18) + 2a8e0: 103ffc1e bne r2,zero,2a8d4 <_gp+0xfffeda68> + 2a8e4: 9423c83a sub r17,r18,r16 + 2a8e8: 88001026 beq r17,zero,2a92c <___vfiprintf_internal_r+0x144> + 2a8ec: d8c01c17 ldw r3,112(sp) + 2a8f0: d8801b17 ldw r2,108(sp) + 2a8f4: 44000015 stw r16,0(r8) + 2a8f8: 88c7883a add r3,r17,r3 + 2a8fc: 10800044 addi r2,r2,1 + 2a900: 44400115 stw r17,4(r8) + 2a904: d8c01c15 stw r3,112(sp) + 2a908: d8801b15 stw r2,108(sp) + 2a90c: 010001c4 movi r4,7 + 2a910: 2080760e bge r4,r2,2aaec <___vfiprintf_internal_r+0x304> + 2a914: 1803821e bne r3,zero,2b720 <___vfiprintf_internal_r+0xf38> + 2a918: da802317 ldw r10,140(sp) + 2a91c: d8001b15 stw zero,108(sp) + 2a920: d811883a mov r8,sp + 2a924: 5455883a add r10,r10,r17 + 2a928: da802315 stw r10,140(sp) + 2a92c: 90800007 ldb r2,0(r18) + 2a930: 10044626 beq r2,zero,2ba4c <___vfiprintf_internal_r+0x1264> + 2a934: 90c00047 ldb r3,1(r18) + 2a938: 94000044 addi r16,r18,1 + 2a93c: d8001d85 stb zero,118(sp) + 2a940: 0009883a mov r4,zero + 2a944: 000f883a mov r7,zero + 2a948: 027fffc4 movi r9,-1 + 2a94c: 0023883a mov r17,zero + 2a950: 0029883a mov r20,zero + 2a954: 01401604 movi r5,88 + 2a958: 01800244 movi r6,9 + 2a95c: 03400a84 movi r13,42 + 2a960: 03001b04 movi r12,108 + 2a964: 84000044 addi r16,r16,1 + 2a968: 18bff804 addi r2,r3,-32 + 2a96c: 28827336 bltu r5,r2,2b33c <___vfiprintf_internal_r+0xb54> + 2a970: 100490ba slli r2,r2,2 + 2a974: 028000f4 movhi r10,3 + 2a978: 52aa6204 addi r10,r10,-22136 + 2a97c: 1285883a add r2,r2,r10 + 2a980: 10800017 ldw r2,0(r2) + 2a984: 1000683a jmp r2 + 2a988: 0002b070 cmpltui zero,zero,2753 + 2a98c: 0002b33c xorhi zero,zero,2764 + 2a990: 0002b33c xorhi zero,zero,2764 + 2a994: 0002b090 cmplti zero,zero,2754 + 2a998: 0002b33c xorhi zero,zero,2764 + 2a99c: 0002b33c xorhi zero,zero,2764 + 2a9a0: 0002b33c xorhi zero,zero,2764 + 2a9a4: 0002b33c xorhi zero,zero,2764 + 2a9a8: 0002b33c xorhi zero,zero,2764 + 2a9ac: 0002b33c xorhi zero,zero,2764 + 2a9b0: 0002b278 rdprs zero,zero,2761 + 2a9b4: 0002b294 movui zero,2762 + 2a9b8: 0002b33c xorhi zero,zero,2764 + 2a9bc: 0002ab7c xorhi zero,zero,2733 + 2a9c0: 0002b2a4 muli zero,zero,2762 + 2a9c4: 0002b33c xorhi zero,zero,2764 + 2a9c8: 0002b09c xori zero,zero,2754 + 2a9cc: 0002b0a8 cmpgeui zero,zero,2754 + 2a9d0: 0002b0a8 cmpgeui zero,zero,2754 + 2a9d4: 0002b0a8 cmpgeui zero,zero,2754 + 2a9d8: 0002b0a8 cmpgeui zero,zero,2754 + 2a9dc: 0002b0a8 cmpgeui zero,zero,2754 + 2a9e0: 0002b0a8 cmpgeui zero,zero,2754 + 2a9e4: 0002b0a8 cmpgeui zero,zero,2754 + 2a9e8: 0002b0a8 cmpgeui zero,zero,2754 + 2a9ec: 0002b0a8 cmpgeui zero,zero,2754 + 2a9f0: 0002b33c xorhi zero,zero,2764 + 2a9f4: 0002b33c xorhi zero,zero,2764 + 2a9f8: 0002b33c xorhi zero,zero,2764 + 2a9fc: 0002b33c xorhi zero,zero,2764 + 2aa00: 0002b33c xorhi zero,zero,2764 + 2aa04: 0002b33c xorhi zero,zero,2764 + 2aa08: 0002b33c xorhi zero,zero,2764 + 2aa0c: 0002b33c xorhi zero,zero,2764 + 2aa10: 0002b33c xorhi zero,zero,2764 + 2aa14: 0002b33c xorhi zero,zero,2764 + 2aa18: 0002b0d4 movui zero,2755 + 2aa1c: 0002b33c xorhi zero,zero,2764 + 2aa20: 0002b33c xorhi zero,zero,2764 + 2aa24: 0002b33c xorhi zero,zero,2764 + 2aa28: 0002b33c xorhi zero,zero,2764 + 2aa2c: 0002b33c xorhi zero,zero,2764 + 2aa30: 0002b33c xorhi zero,zero,2764 + 2aa34: 0002b33c xorhi zero,zero,2764 + 2aa38: 0002b33c xorhi zero,zero,2764 + 2aa3c: 0002b33c xorhi zero,zero,2764 + 2aa40: 0002b33c xorhi zero,zero,2764 + 2aa44: 0002b10c andi zero,zero,2756 + 2aa48: 0002b33c xorhi zero,zero,2764 + 2aa4c: 0002b33c xorhi zero,zero,2764 + 2aa50: 0002b33c xorhi zero,zero,2764 + 2aa54: 0002b33c xorhi zero,zero,2764 + 2aa58: 0002b33c xorhi zero,zero,2764 + 2aa5c: 0002b164 muli zero,zero,2757 + 2aa60: 0002b33c xorhi zero,zero,2764 + 2aa64: 0002b33c xorhi zero,zero,2764 + 2aa68: 0002b1d4 movui zero,2759 + 2aa6c: 0002b33c xorhi zero,zero,2764 + 2aa70: 0002b33c xorhi zero,zero,2764 + 2aa74: 0002b33c xorhi zero,zero,2764 + 2aa78: 0002b33c xorhi zero,zero,2764 + 2aa7c: 0002b33c xorhi zero,zero,2764 + 2aa80: 0002b33c xorhi zero,zero,2764 + 2aa84: 0002b33c xorhi zero,zero,2764 + 2aa88: 0002b33c xorhi zero,zero,2764 + 2aa8c: 0002b33c xorhi zero,zero,2764 + 2aa90: 0002b33c xorhi zero,zero,2764 + 2aa94: 0002af80 call 2af8 <__alt_mem_onchip_memory2_0-0x1d508> + 2aa98: 0002afac andhi zero,zero,2750 + 2aa9c: 0002b33c xorhi zero,zero,2764 + 2aaa0: 0002b33c xorhi zero,zero,2764 + 2aaa4: 0002b33c xorhi zero,zero,2764 + 2aaa8: 0002b2e4 muli zero,zero,2763 + 2aaac: 0002afac andhi zero,zero,2750 + 2aab0: 0002b33c xorhi zero,zero,2764 + 2aab4: 0002b33c xorhi zero,zero,2764 + 2aab8: 0002ae40 call 2ae4 <__alt_mem_onchip_memory2_0-0x1d51c> + 2aabc: 0002b33c xorhi zero,zero,2764 + 2aac0: 0002ae50 cmplti zero,zero,2745 + 2aac4: 0002ae8c andi zero,zero,2746 + 2aac8: 0002ab88 cmpgei zero,zero,2734 + 2aacc: 0002ae34 movhi zero,2744 + 2aad0: 0002b33c xorhi zero,zero,2764 + 2aad4: 0002b210 cmplti zero,zero,2760 + 2aad8: 0002b33c xorhi zero,zero,2764 + 2aadc: 0002b268 cmpgeui zero,zero,2761 + 2aae0: 0002b33c xorhi zero,zero,2764 + 2aae4: 0002b33c xorhi zero,zero,2764 + 2aae8: 0002af2c andhi zero,zero,2748 + 2aaec: 42000204 addi r8,r8,8 + 2aaf0: da802317 ldw r10,140(sp) + 2aaf4: 5455883a add r10,r10,r17 + 2aaf8: da802315 stw r10,140(sp) + 2aafc: 003f8b06 br 2a92c <_gp+0xfffedac0> + 2ab00: d9002017 ldw r4,128(sp) + 2ab04: a00b883a mov r5,r20 + 2ab08: 0025ad40 call 25ad4 <__swsetup_r> + 2ab0c: 1003b11e bne r2,zero,2b9d4 <___vfiprintf_internal_r+0x11ec> + 2ab10: a080030b ldhu r2,12(r20) + 2ab14: 00c00284 movi r3,10 + 2ab18: 1080068c andi r2,r2,26 + 2ab1c: 10ff541e bne r2,r3,2a870 <_gp+0xfffeda04> + 2ab20: a080038f ldh r2,14(r20) + 2ab24: 103f5216 blt r2,zero,2a870 <_gp+0xfffeda04> + 2ab28: d9c02217 ldw r7,136(sp) + 2ab2c: d9002017 ldw r4,128(sp) + 2ab30: e00d883a mov r6,fp + 2ab34: a00b883a mov r5,r20 + 2ab38: 002bc600 call 2bc60 <__sbprintf> + 2ab3c: dfc03617 ldw ra,216(sp) + 2ab40: df003517 ldw fp,212(sp) + 2ab44: ddc03417 ldw r23,208(sp) + 2ab48: dd803317 ldw r22,204(sp) + 2ab4c: dd403217 ldw r21,200(sp) + 2ab50: dd003117 ldw r20,196(sp) + 2ab54: dcc03017 ldw r19,192(sp) + 2ab58: dc802f17 ldw r18,188(sp) + 2ab5c: dc402e17 ldw r17,184(sp) + 2ab60: dc002d17 ldw r16,180(sp) + 2ab64: dec03704 addi sp,sp,220 + 2ab68: f800283a ret + 2ab6c: 0027aa80 call 27aa8 <__sinit> + 2ab70: 003f2f06 br 2a830 <_gp+0xfffed9c4> + 2ab74: 0463c83a sub r17,zero,r17 + 2ab78: d8802215 stw r2,136(sp) + 2ab7c: a5000114 ori r20,r20,4 + 2ab80: 80c00007 ldb r3,0(r16) + 2ab84: 003f7706 br 2a964 <_gp+0xfffedaf8> + 2ab88: 00800c04 movi r2,48 + 2ab8c: da802217 ldw r10,136(sp) + 2ab90: d8801d05 stb r2,116(sp) + 2ab94: 00801e04 movi r2,120 + 2ab98: d8801d45 stb r2,117(sp) 2ab9c: d8001d85 stb zero,118(sp) - 2aba0: 9027883a mov r19,r18 - 2aba4: dd401004 addi r21,sp,64 - 2aba8: 003f1106 br 2a7f0 <_gp+0xfffee2b8> - 2abac: d9402117 ldw r5,132(sp) - 2abb0: d9002017 ldw r4,128(sp) - 2abb4: d9801a04 addi r6,sp,104 - 2abb8: d9c02b15 stw r7,172(sp) - 2abbc: dbc02a15 stw r15,168(sp) - 2abc0: 0029f200 call 29f20 <__sprint_r.part.0> - 2abc4: d9c02b17 ldw r7,172(sp) - 2abc8: dbc02a17 ldw r15,168(sp) - 2abcc: 10006d1e bne r2,zero,2ad84 <___vfiprintf_internal_r+0xd50> - 2abd0: d9801b17 ldw r6,108(sp) - 2abd4: d8801c17 ldw r2,112(sp) - 2abd8: d811883a mov r8,sp - 2abdc: 31400044 addi r5,r6,1 - 2abe0: 003e3306 br 2a4b0 <_gp+0xfffedf78> - 2abe4: d9401b17 ldw r5,108(sp) - 2abe8: d8801c17 ldw r2,112(sp) - 2abec: 29000044 addi r4,r5,1 - 2abf0: d8c01d87 ldb r3,118(sp) - 2abf4: 183e4d26 beq r3,zero,2a52c <_gp+0xfffedff4> - 2abf8: 00c00044 movi r3,1 - 2abfc: d9401d84 addi r5,sp,118 - 2ac00: 10c5883a add r2,r2,r3 - 2ac04: 41400015 stw r5,0(r8) - 2ac08: 40c00115 stw r3,4(r8) - 2ac0c: d8801c15 stw r2,112(sp) - 2ac10: d9001b15 stw r4,108(sp) - 2ac14: 014001c4 movi r5,7 - 2ac18: 2900a90e bge r5,r4,2aec0 <___vfiprintf_internal_r+0xe8c> - 2ac1c: 1000da1e bne r2,zero,2af88 <___vfiprintf_internal_r+0xf54> - 2ac20: 7000ab1e bne r14,zero,2aed0 <___vfiprintf_internal_r+0xe9c> - 2ac24: 000b883a mov r5,zero - 2ac28: 1809883a mov r4,r3 - 2ac2c: d811883a mov r8,sp - 2ac30: 00c02004 movi r3,128 - 2ac34: e0fe4d26 beq fp,r3,2a56c <_gp+0xfffee034> - 2ac38: 4cf9c83a sub fp,r9,r19 - 2ac3c: 073e7b0e bge zero,fp,2a62c <_gp+0xfffee0f4> - 2ac40: 01c00404 movi r7,16 - 2ac44: 3f01900e bge r7,fp,2b288 <___vfiprintf_internal_r+0x1254> - 2ac48: 00c000f4 movhi r3,3 - 2ac4c: 18c86604 addi r3,r3,8600 - 2ac50: d8c02415 stw r3,144(sp) - 2ac54: 034001c4 movi r13,7 - 2ac58: 00000506 br 2ac70 <___vfiprintf_internal_r+0xc3c> - 2ac5c: 29000084 addi r4,r5,2 - 2ac60: 42000204 addi r8,r8,8 - 2ac64: 180b883a mov r5,r3 - 2ac68: e73ffc04 addi fp,fp,-16 - 2ac6c: 3f000d0e bge r7,fp,2aca4 <___vfiprintf_internal_r+0xc70> - 2ac70: 10800404 addi r2,r2,16 - 2ac74: 28c00044 addi r3,r5,1 - 2ac78: 45c00015 stw r23,0(r8) - 2ac7c: 41c00115 stw r7,4(r8) - 2ac80: d8801c15 stw r2,112(sp) - 2ac84: d8c01b15 stw r3,108(sp) - 2ac88: 68fff40e bge r13,r3,2ac5c <_gp+0xfffee724> - 2ac8c: 1000101e bne r2,zero,2acd0 <___vfiprintf_internal_r+0xc9c> - 2ac90: e73ffc04 addi fp,fp,-16 - 2ac94: 01000044 movi r4,1 - 2ac98: 000b883a mov r5,zero - 2ac9c: d811883a mov r8,sp - 2aca0: 3f3ff316 blt r7,fp,2ac70 <_gp+0xfffee738> - 2aca4: da802417 ldw r10,144(sp) - 2aca8: 1705883a add r2,r2,fp - 2acac: 47000115 stw fp,4(r8) - 2acb0: 42800015 stw r10,0(r8) - 2acb4: d8801c15 stw r2,112(sp) - 2acb8: d9001b15 stw r4,108(sp) - 2acbc: 00c001c4 movi r3,7 - 2acc0: 19003616 blt r3,r4,2ad9c <___vfiprintf_internal_r+0xd68> - 2acc4: 42000204 addi r8,r8,8 - 2acc8: 21000044 addi r4,r4,1 - 2accc: 003e5706 br 2a62c <_gp+0xfffee0f4> - 2acd0: d9402117 ldw r5,132(sp) - 2acd4: d9002017 ldw r4,128(sp) - 2acd8: d9801a04 addi r6,sp,104 - 2acdc: d9c02b15 stw r7,172(sp) - 2ace0: db402a15 stw r13,168(sp) - 2ace4: 0029f200 call 29f20 <__sprint_r.part.0> - 2ace8: d9c02b17 ldw r7,172(sp) - 2acec: db402a17 ldw r13,168(sp) - 2acf0: 1000241e bne r2,zero,2ad84 <___vfiprintf_internal_r+0xd50> - 2acf4: d9401b17 ldw r5,108(sp) - 2acf8: d8801c17 ldw r2,112(sp) - 2acfc: d811883a mov r8,sp - 2ad00: 29000044 addi r4,r5,1 - 2ad04: 003fd806 br 2ac68 <_gp+0xfffee730> - 2ad08: d9401b17 ldw r5,108(sp) - 2ad0c: 00c000f4 movhi r3,3 - 2ad10: 18c86a04 addi r3,r3,8616 - 2ad14: d8c02415 stw r3,144(sp) - 2ad18: 29400044 addi r5,r5,1 - 2ad1c: d8c02417 ldw r3,144(sp) - 2ad20: 14c5883a add r2,r2,r19 - 2ad24: 44c00115 stw r19,4(r8) - 2ad28: 40c00015 stw r3,0(r8) - 2ad2c: d8801c15 stw r2,112(sp) - 2ad30: d9401b15 stw r5,108(sp) - 2ad34: 00c001c4 movi r3,7 - 2ad38: 1940070e bge r3,r5,2ad58 <___vfiprintf_internal_r+0xd24> - 2ad3c: 103e4826 beq r2,zero,2a660 <_gp+0xfffee128> - 2ad40: d9402117 ldw r5,132(sp) - 2ad44: d9002017 ldw r4,128(sp) - 2ad48: d9801a04 addi r6,sp,104 - 2ad4c: 0029f200 call 29f20 <__sprint_r.part.0> - 2ad50: 10000c1e bne r2,zero,2ad84 <___vfiprintf_internal_r+0xd50> - 2ad54: d8801c17 ldw r2,112(sp) - 2ad58: 8c80010e bge r17,r18,2ad60 <___vfiprintf_internal_r+0xd2c> - 2ad5c: 9023883a mov r17,r18 - 2ad60: da802317 ldw r10,140(sp) - 2ad64: 5455883a add r10,r10,r17 - 2ad68: da802315 stw r10,140(sp) - 2ad6c: 103e4126 beq r2,zero,2a674 <_gp+0xfffee13c> - 2ad70: d9402117 ldw r5,132(sp) - 2ad74: d9002017 ldw r4,128(sp) - 2ad78: d9801a04 addi r6,sp,104 - 2ad7c: 0029f200 call 29f20 <__sprint_r.part.0> - 2ad80: 103e3c26 beq r2,zero,2a674 <_gp+0xfffee13c> - 2ad84: dd002117 ldw r20,132(sp) - 2ad88: a080030b ldhu r2,12(r20) - 2ad8c: 1080100c andi r2,r2,64 - 2ad90: 1001231e bne r2,zero,2b220 <___vfiprintf_internal_r+0x11ec> - 2ad94: d8802317 ldw r2,140(sp) - 2ad98: 003d7b06 br 2a388 <_gp+0xfffede50> - 2ad9c: 1000991e bne r2,zero,2b004 <___vfiprintf_internal_r+0xfd0> - 2ada0: 00c00044 movi r3,1 - 2ada4: 9805883a mov r2,r19 - 2ada8: dd400015 stw r21,0(sp) - 2adac: dcc00115 stw r19,4(sp) - 2adb0: dcc01c15 stw r19,112(sp) - 2adb4: d8c01b15 stw r3,108(sp) - 2adb8: d811883a mov r8,sp - 2adbc: 42000204 addi r8,r8,8 - 2adc0: a2c0010c andi r11,r20,4 - 2adc4: 583fe426 beq r11,zero,2ad58 <_gp+0xfffee820> - 2adc8: 8ca7c83a sub r19,r17,r18 - 2adcc: 04ffe20e bge zero,r19,2ad58 <_gp+0xfffee820> - 2add0: 01c00404 movi r7,16 - 2add4: 3cffcc0e bge r7,r19,2ad08 <_gp+0xfffee7d0> - 2add8: 028000f4 movhi r10,3 - 2addc: 52886a04 addi r10,r10,8616 - 2ade0: d9001b17 ldw r4,108(sp) - 2ade4: da802415 stw r10,144(sp) - 2ade8: 382b883a mov r21,r7 - 2adec: 050001c4 movi r20,7 - 2adf0: df002017 ldw fp,128(sp) - 2adf4: 00000506 br 2ae0c <___vfiprintf_internal_r+0xdd8> - 2adf8: 21400084 addi r5,r4,2 - 2adfc: 42000204 addi r8,r8,8 - 2ae00: 1809883a mov r4,r3 - 2ae04: 9cfffc04 addi r19,r19,-16 - 2ae08: acffc40e bge r21,r19,2ad1c <_gp+0xfffee7e4> - 2ae0c: 10800404 addi r2,r2,16 - 2ae10: 20c00044 addi r3,r4,1 - 2ae14: 45800015 stw r22,0(r8) - 2ae18: 45400115 stw r21,4(r8) - 2ae1c: d8801c15 stw r2,112(sp) - 2ae20: d8c01b15 stw r3,108(sp) - 2ae24: a0fff40e bge r20,r3,2adf8 <_gp+0xfffee8c0> - 2ae28: 1000041e bne r2,zero,2ae3c <___vfiprintf_internal_r+0xe08> - 2ae2c: 01400044 movi r5,1 - 2ae30: 0009883a mov r4,zero - 2ae34: d811883a mov r8,sp - 2ae38: 003ff206 br 2ae04 <_gp+0xfffee8cc> - 2ae3c: d9402117 ldw r5,132(sp) - 2ae40: d9801a04 addi r6,sp,104 - 2ae44: e009883a mov r4,fp - 2ae48: 0029f200 call 29f20 <__sprint_r.part.0> - 2ae4c: 103fcd1e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2ae50: d9001b17 ldw r4,108(sp) - 2ae54: d8801c17 ldw r2,112(sp) - 2ae58: d811883a mov r8,sp - 2ae5c: 21400044 addi r5,r4,1 - 2ae60: 003fe806 br 2ae04 <_gp+0xfffee8cc> - 2ae64: d9402117 ldw r5,132(sp) - 2ae68: d9002017 ldw r4,128(sp) - 2ae6c: d9801a04 addi r6,sp,104 - 2ae70: d9c02b15 stw r7,172(sp) - 2ae74: db402a15 stw r13,168(sp) - 2ae78: 0029f200 call 29f20 <__sprint_r.part.0> - 2ae7c: d9c02b17 ldw r7,172(sp) - 2ae80: db402a17 ldw r13,168(sp) - 2ae84: 103fbf1e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2ae88: d9401b17 ldw r5,108(sp) - 2ae8c: d8801c17 ldw r2,112(sp) - 2ae90: d811883a mov r8,sp - 2ae94: 29800044 addi r6,r5,1 - 2ae98: 003dc406 br 2a5ac <_gp+0xfffee074> - 2ae9c: 1000d21e bne r2,zero,2b1e8 <___vfiprintf_internal_r+0x11b4> - 2aea0: d8c01d87 ldb r3,118(sp) - 2aea4: 18009526 beq r3,zero,2b0fc <___vfiprintf_internal_r+0x10c8> - 2aea8: 00800044 movi r2,1 - 2aeac: d8c01d84 addi r3,sp,118 - 2aeb0: 1009883a mov r4,r2 - 2aeb4: d8c00015 stw r3,0(sp) - 2aeb8: d8800115 stw r2,4(sp) - 2aebc: d811883a mov r8,sp - 2aec0: 200b883a mov r5,r4 - 2aec4: 42000204 addi r8,r8,8 - 2aec8: 21000044 addi r4,r4,1 - 2aecc: 003d9706 br 2a52c <_gp+0xfffedff4> - 2aed0: d9001d04 addi r4,sp,116 - 2aed4: 00800084 movi r2,2 - 2aed8: d9000015 stw r4,0(sp) - 2aedc: d8800115 stw r2,4(sp) - 2aee0: 1809883a mov r4,r3 - 2aee4: d811883a mov r8,sp - 2aee8: 200b883a mov r5,r4 - 2aeec: 42000204 addi r8,r8,8 - 2aef0: 21000044 addi r4,r4,1 - 2aef4: 003f4e06 br 2ac30 <_gp+0xfffee6f8> - 2aef8: d8001d85 stb zero,118(sp) - 2aefc: 48005016 blt r9,zero,2b040 <___vfiprintf_internal_r+0x100c> - 2af00: 00ffdfc4 movi r3,-129 - 2af04: 94c4b03a or r2,r18,r19 - 2af08: a0e8703a and r20,r20,r3 - 2af0c: 103d4426 beq r2,zero,2a420 <_gp+0xfffedee8> - 2af10: 0039883a mov fp,zero - 2af14: d9002617 ldw r4,152(sp) - 2af18: dd401a04 addi r21,sp,104 - 2af1c: 908003cc andi r2,r18,15 - 2af20: 9806973a slli r3,r19,28 - 2af24: 2085883a add r2,r4,r2 - 2af28: 9024d13a srli r18,r18,4 - 2af2c: 10800003 ldbu r2,0(r2) - 2af30: 9826d13a srli r19,r19,4 - 2af34: ad7fffc4 addi r21,r21,-1 - 2af38: 1ca4b03a or r18,r3,r18 - 2af3c: a8800005 stb r2,0(r21) - 2af40: 94c4b03a or r2,r18,r19 - 2af44: 103ff51e bne r2,zero,2af1c <_gp+0xfffee9e4> - 2af48: 003e5906 br 2a8b0 <_gp+0xfffee378> - 2af4c: d9402117 ldw r5,132(sp) - 2af50: d9002017 ldw r4,128(sp) - 2af54: d9801a04 addi r6,sp,104 - 2af58: 0029f200 call 29f20 <__sprint_r.part.0> - 2af5c: 103f891e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2af60: d8801c17 ldw r2,112(sp) - 2af64: d811883a mov r8,sp - 2af68: 003f9506 br 2adc0 <_gp+0xfffee888> - 2af6c: d9402117 ldw r5,132(sp) - 2af70: d9002017 ldw r4,128(sp) - 2af74: d9801a04 addi r6,sp,104 - 2af78: 0029f200 call 29f20 <__sprint_r.part.0> - 2af7c: 103f811e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2af80: d811883a mov r8,sp - 2af84: 003ced06 br 2a33c <_gp+0xfffede04> - 2af88: d9402117 ldw r5,132(sp) - 2af8c: d9002017 ldw r4,128(sp) - 2af90: d9801a04 addi r6,sp,104 - 2af94: da402c15 stw r9,176(sp) - 2af98: db802a15 stw r14,168(sp) - 2af9c: 0029f200 call 29f20 <__sprint_r.part.0> - 2afa0: da402c17 ldw r9,176(sp) - 2afa4: db802a17 ldw r14,168(sp) - 2afa8: 103f761e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2afac: d9401b17 ldw r5,108(sp) - 2afb0: d8801c17 ldw r2,112(sp) - 2afb4: d811883a mov r8,sp - 2afb8: 29000044 addi r4,r5,1 - 2afbc: 003d5b06 br 2a52c <_gp+0xfffedff4> - 2afc0: d9402117 ldw r5,132(sp) - 2afc4: d9002017 ldw r4,128(sp) - 2afc8: d9801a04 addi r6,sp,104 - 2afcc: da402c15 stw r9,176(sp) - 2afd0: 0029f200 call 29f20 <__sprint_r.part.0> - 2afd4: da402c17 ldw r9,176(sp) - 2afd8: 103f6a1e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2afdc: d9401b17 ldw r5,108(sp) - 2afe0: d8801c17 ldw r2,112(sp) - 2afe4: d811883a mov r8,sp - 2afe8: 29000044 addi r4,r5,1 - 2afec: 003f1006 br 2ac30 <_gp+0xfffee6f8> - 2aff0: 1000c31e bne r2,zero,2b300 <___vfiprintf_internal_r+0x12cc> - 2aff4: 01000044 movi r4,1 - 2aff8: 000b883a mov r5,zero - 2affc: d811883a mov r8,sp - 2b000: 003f0d06 br 2ac38 <_gp+0xfffee700> - 2b004: d9402117 ldw r5,132(sp) - 2b008: d9002017 ldw r4,128(sp) - 2b00c: d9801a04 addi r6,sp,104 - 2b010: 0029f200 call 29f20 <__sprint_r.part.0> - 2b014: 103f5b1e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2b018: d9001b17 ldw r4,108(sp) - 2b01c: d8801c17 ldw r2,112(sp) - 2b020: d811883a mov r8,sp - 2b024: 21000044 addi r4,r4,1 - 2b028: 003d8006 br 2a62c <_gp+0xfffee0f4> - 2b02c: 010000f4 movhi r4,3 - 2b030: 21080504 addi r4,r4,8212 - 2b034: d9002615 stw r4,152(sp) - 2b038: d8c02215 stw r3,136(sp) - 2b03c: 1029883a mov r20,r2 - 2b040: 94c4b03a or r2,r18,r19 - 2b044: 103fb21e bne r2,zero,2af10 <_gp+0xfffee9d8> - 2b048: 0039883a mov fp,zero - 2b04c: 00800084 movi r2,2 - 2b050: 003e6b06 br 2aa00 <_gp+0xfffee4c8> - 2b054: da802217 ldw r10,136(sp) - 2b058: d8001d85 stb zero,118(sp) - 2b05c: 0027883a mov r19,zero - 2b060: 50800104 addi r2,r10,4 - 2b064: 54800017 ldw r18,0(r10) - 2b068: 483e6016 blt r9,zero,2a9ec <_gp+0xfffee4b4> - 2b06c: 00ffdfc4 movi r3,-129 - 2b070: d8802215 stw r2,136(sp) - 2b074: a0e8703a and r20,r20,r3 - 2b078: 0039883a mov fp,zero - 2b07c: 903ebb26 beq r18,zero,2ab6c <_gp+0xfffee634> - 2b080: 00800244 movi r2,9 - 2b084: 14bdee36 bltu r2,r18,2a840 <_gp+0xfffee308> - 2b088: 003eba06 br 2ab74 <_gp+0xfffee63c> - 2b08c: 00800c04 movi r2,48 - 2b090: d8c01d45 stb r3,117(sp) - 2b094: d8801d05 stb r2,116(sp) - 2b098: d8001d85 stb zero,118(sp) - 2b09c: a0c00094 ori r3,r20,2 - 2b0a0: 4800a916 blt r9,zero,2b348 <___vfiprintf_internal_r+0x1314> - 2b0a4: 00bfdfc4 movi r2,-129 - 2b0a8: a096703a and r11,r20,r2 - 2b0ac: 5d000094 ori r20,r11,2 - 2b0b0: 0039883a mov fp,zero - 2b0b4: 003f9706 br 2af14 <_gp+0xfffee9dc> - 2b0b8: 8025883a mov r18,r16 - 2b0bc: 003c2e06 br 2a178 <_gp+0xfffedc40> - 2b0c0: 008000f4 movhi r2,3 - 2b0c4: 10880504 addi r2,r2,8212 - 2b0c8: 0039883a mov fp,zero - 2b0cc: d8802615 stw r2,152(sp) - 2b0d0: 003f9006 br 2af14 <_gp+0xfffee9dc> - 2b0d4: 04a5c83a sub r18,zero,r18 - 2b0d8: 07000b44 movi fp,45 - 2b0dc: 9004c03a cmpne r2,r18,zero - 2b0e0: 04e7c83a sub r19,zero,r19 - 2b0e4: df001d85 stb fp,118(sp) - 2b0e8: 98a7c83a sub r19,r19,r2 - 2b0ec: 48009f16 blt r9,zero,2b36c <___vfiprintf_internal_r+0x1338> - 2b0f0: 00bfdfc4 movi r2,-129 - 2b0f4: a0a8703a and r20,r20,r2 - 2b0f8: 003dd006 br 2a83c <_gp+0xfffee304> - 2b0fc: 70004c26 beq r14,zero,2b230 <___vfiprintf_internal_r+0x11fc> - 2b100: 00800084 movi r2,2 - 2b104: d8c01d04 addi r3,sp,116 - 2b108: d8c00015 stw r3,0(sp) - 2b10c: d8800115 stw r2,4(sp) - 2b110: 01000044 movi r4,1 - 2b114: d811883a mov r8,sp - 2b118: 003f7306 br 2aee8 <_gp+0xfffee9b0> - 2b11c: a080100c andi r2,r20,64 - 2b120: da802217 ldw r10,136(sp) - 2b124: 103e0626 beq r2,zero,2a940 <_gp+0xfffee408> - 2b128: 5480000f ldh r18,0(r10) - 2b12c: 52800104 addi r10,r10,4 - 2b130: da802215 stw r10,136(sp) - 2b134: 9027d7fa srai r19,r18,31 - 2b138: 9805883a mov r2,r19 - 2b13c: 003db806 br 2a820 <_gp+0xfffee2e8> - 2b140: a080040c andi r2,r20,16 - 2b144: 1000091e bne r2,zero,2b16c <___vfiprintf_internal_r+0x1138> - 2b148: a2c0100c andi r11,r20,64 - 2b14c: 58000726 beq r11,zero,2b16c <___vfiprintf_internal_r+0x1138> - 2b150: da802217 ldw r10,136(sp) - 2b154: 50800017 ldw r2,0(r10) - 2b158: 52800104 addi r10,r10,4 - 2b15c: da802215 stw r10,136(sp) - 2b160: da802317 ldw r10,140(sp) - 2b164: 1280000d sth r10,0(r2) - 2b168: 003be706 br 2a108 <_gp+0xfffedbd0> - 2b16c: da802217 ldw r10,136(sp) - 2b170: 50800017 ldw r2,0(r10) - 2b174: 52800104 addi r10,r10,4 - 2b178: da802215 stw r10,136(sp) - 2b17c: da802317 ldw r10,140(sp) - 2b180: 12800015 stw r10,0(r2) - 2b184: 003be006 br 2a108 <_gp+0xfffedbd0> - 2b188: a080100c andi r2,r20,64 - 2b18c: da802217 ldw r10,136(sp) - 2b190: 10003026 beq r2,zero,2b254 <___vfiprintf_internal_r+0x1220> - 2b194: 5480000b ldhu r18,0(r10) - 2b198: 52800104 addi r10,r10,4 - 2b19c: 0027883a mov r19,zero - 2b1a0: da802215 stw r10,136(sp) - 2b1a4: 003d8006 br 2a7a8 <_gp+0xfffee270> - 2b1a8: 80c00007 ldb r3,0(r16) - 2b1ac: 003c0006 br 2a1b0 <_gp+0xfffedc78> - 2b1b0: a080100c andi r2,r20,64 - 2b1b4: d8001d85 stb zero,118(sp) - 2b1b8: da802217 ldw r10,136(sp) - 2b1bc: 1000201e bne r2,zero,2b240 <___vfiprintf_internal_r+0x120c> - 2b1c0: 50800104 addi r2,r10,4 - 2b1c4: 54800017 ldw r18,0(r10) - 2b1c8: 0027883a mov r19,zero - 2b1cc: 483def0e bge r9,zero,2a98c <_gp+0xfffee454> - 2b1d0: 94c6b03a or r3,r18,r19 - 2b1d4: d8802215 stw r2,136(sp) - 2b1d8: 183d4e1e bne r3,zero,2a714 <_gp+0xfffee1dc> - 2b1dc: 0039883a mov fp,zero - 2b1e0: 0005883a mov r2,zero - 2b1e4: 003e0606 br 2aa00 <_gp+0xfffee4c8> - 2b1e8: d9402117 ldw r5,132(sp) - 2b1ec: d9002017 ldw r4,128(sp) - 2b1f0: d9801a04 addi r6,sp,104 - 2b1f4: da402c15 stw r9,176(sp) - 2b1f8: db802a15 stw r14,168(sp) - 2b1fc: 0029f200 call 29f20 <__sprint_r.part.0> - 2b200: da402c17 ldw r9,176(sp) - 2b204: db802a17 ldw r14,168(sp) - 2b208: 103ede1e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2b20c: d9401b17 ldw r5,108(sp) - 2b210: d8801c17 ldw r2,112(sp) - 2b214: d811883a mov r8,sp - 2b218: 29000044 addi r4,r5,1 - 2b21c: 003e7406 br 2abf0 <_gp+0xfffee6b8> - 2b220: 00bfffc4 movi r2,-1 - 2b224: 003c5806 br 2a388 <_gp+0xfffede50> - 2b228: d811883a mov r8,sp - 2b22c: 003ee806 br 2add0 <_gp+0xfffee898> - 2b230: 000b883a mov r5,zero - 2b234: 01000044 movi r4,1 - 2b238: d811883a mov r8,sp - 2b23c: 003e7c06 br 2ac30 <_gp+0xfffee6f8> - 2b240: 50800104 addi r2,r10,4 - 2b244: 5480000b ldhu r18,0(r10) - 2b248: 0027883a mov r19,zero - 2b24c: 483dcf0e bge r9,zero,2a98c <_gp+0xfffee454> - 2b250: 003fdf06 br 2b1d0 <_gp+0xfffeec98> - 2b254: 54800017 ldw r18,0(r10) - 2b258: 52800104 addi r10,r10,4 - 2b25c: 0027883a mov r19,zero - 2b260: da802215 stw r10,136(sp) - 2b264: 003d5006 br 2a7a8 <_gp+0xfffee270> - 2b268: 50800104 addi r2,r10,4 - 2b26c: 5480000b ldhu r18,0(r10) - 2b270: 0027883a mov r19,zero - 2b274: 483f7d0e bge r9,zero,2b06c <_gp+0xfffeeb34> - 2b278: 003ddc06 br 2a9ec <_gp+0xfffee4b4> - 2b27c: d8c02215 stw r3,136(sp) - 2b280: 0039883a mov fp,zero - 2b284: 003ddb06 br 2a9f4 <_gp+0xfffee4bc> - 2b288: 028000f4 movhi r10,3 - 2b28c: 52886604 addi r10,r10,8600 - 2b290: da802415 stw r10,144(sp) - 2b294: 003e8306 br 2aca4 <_gp+0xfffee76c> - 2b298: d8801c17 ldw r2,112(sp) - 2b29c: dd002117 ldw r20,132(sp) - 2b2a0: 103eb926 beq r2,zero,2ad88 <_gp+0xfffee850> - 2b2a4: d9002017 ldw r4,128(sp) - 2b2a8: d9801a04 addi r6,sp,104 - 2b2ac: a00b883a mov r5,r20 - 2b2b0: 0029f200 call 29f20 <__sprint_r.part.0> - 2b2b4: 003eb406 br 2ad88 <_gp+0xfffee850> - 2b2b8: 80c00043 ldbu r3,1(r16) - 2b2bc: a5000814 ori r20,r20,32 - 2b2c0: 84000044 addi r16,r16,1 - 2b2c4: 18c03fcc andi r3,r3,255 - 2b2c8: 18c0201c xori r3,r3,128 - 2b2cc: 18ffe004 addi r3,r3,-128 - 2b2d0: 003bb706 br 2a1b0 <_gp+0xfffedc78> - 2b2d4: a809883a mov r4,r21 - 2b2d8: d8c02a15 stw r3,168(sp) - 2b2dc: da002b15 stw r8,172(sp) - 2b2e0: 00231a80 call 231a8 - 2b2e4: d8c02a17 ldw r3,168(sp) - 2b2e8: 1027883a mov r19,r2 - 2b2ec: df001d83 ldbu fp,118(sp) - 2b2f0: d8c02215 stw r3,136(sp) - 2b2f4: 0013883a mov r9,zero - 2b2f8: da002b17 ldw r8,172(sp) - 2b2fc: 003c4d06 br 2a434 <_gp+0xfffedefc> - 2b300: d9402117 ldw r5,132(sp) - 2b304: d9002017 ldw r4,128(sp) - 2b308: d9801a04 addi r6,sp,104 - 2b30c: da402c15 stw r9,176(sp) - 2b310: 0029f200 call 29f20 <__sprint_r.part.0> - 2b314: da402c17 ldw r9,176(sp) - 2b318: 103e9a1e bne r2,zero,2ad84 <_gp+0xfffee84c> - 2b31c: d9401b17 ldw r5,108(sp) - 2b320: d8801c17 ldw r2,112(sp) - 2b324: d811883a mov r8,sp - 2b328: 29000044 addi r4,r5,1 - 2b32c: 003e4206 br 2ac38 <_gp+0xfffee700> - 2b330: d9401b17 ldw r5,108(sp) - 2b334: 010000f4 movhi r4,3 - 2b338: 21086a04 addi r4,r4,8616 - 2b33c: d9002415 stw r4,144(sp) - 2b340: 29400044 addi r5,r5,1 - 2b344: 003c6d06 br 2a4fc <_gp+0xfffedfc4> - 2b348: 0039883a mov fp,zero - 2b34c: 00800084 movi r2,2 - 2b350: 10803fcc andi r2,r2,255 - 2b354: 01000044 movi r4,1 - 2b358: 11001e26 beq r2,r4,2b3d4 <___vfiprintf_internal_r+0x13a0> - 2b35c: 01000084 movi r4,2 - 2b360: 11001e1e bne r2,r4,2b3dc <___vfiprintf_internal_r+0x13a8> - 2b364: 1829883a mov r20,r3 - 2b368: 003eea06 br 2af14 <_gp+0xfffee9dc> - 2b36c: a007883a mov r3,r20 - 2b370: 00800044 movi r2,1 - 2b374: 003ff606 br 2b350 <_gp+0xfffeee18> - 2b378: 00800184 movi r2,6 - 2b37c: 1240012e bgeu r2,r9,2b384 <___vfiprintf_internal_r+0x1350> - 2b380: 1013883a mov r9,r2 - 2b384: 4827883a mov r19,r9 - 2b388: 4825883a mov r18,r9 - 2b38c: 48001516 blt r9,zero,2b3e4 <___vfiprintf_internal_r+0x13b0> - 2b390: 054000f4 movhi r21,3 - 2b394: d8c02215 stw r3,136(sp) - 2b398: ad480a04 addi r21,r21,8232 - 2b39c: 003d1406 br 2a7f0 <_gp+0xfffee2b8> - 2b3a0: 028000f4 movhi r10,3 - 2b3a4: 52886604 addi r10,r10,8600 - 2b3a8: da802415 stw r10,144(sp) - 2b3ac: 200d883a mov r6,r4 - 2b3b0: 003c9106 br 2a5f8 <_gp+0xfffee0c0> - 2b3b4: 5021883a mov r16,r10 - 2b3b8: 0013883a mov r9,zero - 2b3bc: 003b7d06 br 2a1b4 <_gp+0xfffedc7c> - 2b3c0: 4827883a mov r19,r9 - 2b3c4: df001d83 ldbu fp,118(sp) - 2b3c8: d8c02215 stw r3,136(sp) - 2b3cc: 0013883a mov r9,zero - 2b3d0: 003c1806 br 2a434 <_gp+0xfffedefc> - 2b3d4: 1829883a mov r20,r3 - 2b3d8: 003d1806 br 2a83c <_gp+0xfffee304> - 2b3dc: 1829883a mov r20,r3 - 2b3e0: 003ccd06 br 2a718 <_gp+0xfffee1e0> - 2b3e4: 0025883a mov r18,zero - 2b3e8: 003fe906 br 2b390 <_gp+0xfffeee58> - 2b3ec: d8802217 ldw r2,136(sp) - 2b3f0: 80c00043 ldbu r3,1(r16) - 2b3f4: 5021883a mov r16,r10 - 2b3f8: 12400017 ldw r9,0(r2) - 2b3fc: 10800104 addi r2,r2,4 - 2b400: d8802215 stw r2,136(sp) - 2b404: 483faf0e bge r9,zero,2b2c4 <_gp+0xfffeed8c> - 2b408: 18c03fcc andi r3,r3,255 - 2b40c: 18c0201c xori r3,r3,128 - 2b410: 027fffc4 movi r9,-1 - 2b414: 18ffe004 addi r3,r3,-128 - 2b418: 003b6506 br 2a1b0 <_gp+0xfffedc78> - 2b41c: d9c01d85 stb r7,118(sp) - 2b420: 003ca006 br 2a6a4 <_gp+0xfffee16c> - 2b424: d9c01d85 stb r7,118(sp) - 2b428: 003cad06 br 2a6e0 <_gp+0xfffee1a8> - 2b42c: d9c01d85 stb r7,118(sp) - 2b430: 003d7d06 br 2aa28 <_gp+0xfffee4f0> - 2b434: d9c01d85 stb r7,118(sp) - 2b438: 003d5f06 br 2a9b8 <_gp+0xfffee480> - 2b43c: a080004c andi r2,r20,1 - 2b440: 0039883a mov fp,zero - 2b444: 10000526 beq r2,zero,2b45c <___vfiprintf_internal_r+0x1428> - 2b448: 00800c04 movi r2,48 - 2b44c: d88019c5 stb r2,103(sp) - 2b450: dcc02717 ldw r19,156(sp) - 2b454: dd4019c4 addi r21,sp,103 - 2b458: 003bf606 br 2a434 <_gp+0xfffedefc> - 2b45c: 0027883a mov r19,zero - 2b460: dd401a04 addi r21,sp,104 - 2b464: 003bf306 br 2a434 <_gp+0xfffedefc> - 2b468: d9c01d85 stb r7,118(sp) - 2b46c: 003dc806 br 2ab90 <_gp+0xfffee658> - 2b470: d9c01d85 stb r7,118(sp) - 2b474: 003d3a06 br 2a960 <_gp+0xfffee428> - 2b478: d9c01d85 stb r7,118(sp) - 2b47c: 003d2a06 br 2a928 <_gp+0xfffee3f0> - 2b480: d9c01d85 stb r7,118(sp) - 2b484: 003cde06 br 2a800 <_gp+0xfffee2c8> - 2b488: d9c01d85 stb r7,118(sp) - 2b48c: 003cbc06 br 2a780 <_gp+0xfffee248> + 2aba0: 50c00104 addi r3,r10,4 + 2aba4: 54800017 ldw r18,0(r10) + 2aba8: 0027883a mov r19,zero + 2abac: a0800094 ori r2,r20,2 + 2abb0: 48030b16 blt r9,zero,2b7e0 <___vfiprintf_internal_r+0xff8> + 2abb4: 00bfdfc4 movi r2,-129 + 2abb8: a096703a and r11,r20,r2 + 2abbc: d8c02215 stw r3,136(sp) + 2abc0: 5d000094 ori r20,r11,2 + 2abc4: 90032b1e bne r18,zero,2b874 <___vfiprintf_internal_r+0x108c> + 2abc8: 008000f4 movhi r2,3 + 2abcc: 108a5304 addi r2,r2,10572 + 2abd0: d8802615 stw r2,152(sp) + 2abd4: 0039883a mov fp,zero + 2abd8: 48017b1e bne r9,zero,2b1c8 <___vfiprintf_internal_r+0x9e0> + 2abdc: 0013883a mov r9,zero + 2abe0: 0027883a mov r19,zero + 2abe4: dd401a04 addi r21,sp,104 + 2abe8: 4825883a mov r18,r9 + 2abec: 4cc0010e bge r9,r19,2abf4 <___vfiprintf_internal_r+0x40c> + 2abf0: 9825883a mov r18,r19 + 2abf4: e7003fcc andi fp,fp,255 + 2abf8: e700201c xori fp,fp,128 + 2abfc: e73fe004 addi fp,fp,-128 + 2ac00: e0000126 beq fp,zero,2ac08 <___vfiprintf_internal_r+0x420> + 2ac04: 94800044 addi r18,r18,1 + 2ac08: a380008c andi r14,r20,2 + 2ac0c: 70000126 beq r14,zero,2ac14 <___vfiprintf_internal_r+0x42c> + 2ac10: 94800084 addi r18,r18,2 + 2ac14: a700210c andi fp,r20,132 + 2ac18: e001df1e bne fp,zero,2b398 <___vfiprintf_internal_r+0xbb0> + 2ac1c: 8c87c83a sub r3,r17,r18 + 2ac20: 00c1dd0e bge zero,r3,2b398 <___vfiprintf_internal_r+0xbb0> + 2ac24: 01c00404 movi r7,16 + 2ac28: d8801c17 ldw r2,112(sp) + 2ac2c: 38c3ad0e bge r7,r3,2bae4 <___vfiprintf_internal_r+0x12fc> + 2ac30: 028000f4 movhi r10,3 + 2ac34: 528ab704 addi r10,r10,10972 + 2ac38: dc002915 stw r16,164(sp) + 2ac3c: d9801b17 ldw r6,108(sp) + 2ac40: da802415 stw r10,144(sp) + 2ac44: 03c001c4 movi r15,7 + 2ac48: da402515 stw r9,148(sp) + 2ac4c: db802815 stw r14,160(sp) + 2ac50: 1821883a mov r16,r3 + 2ac54: 00000506 br 2ac6c <___vfiprintf_internal_r+0x484> + 2ac58: 31400084 addi r5,r6,2 + 2ac5c: 42000204 addi r8,r8,8 + 2ac60: 200d883a mov r6,r4 + 2ac64: 843ffc04 addi r16,r16,-16 + 2ac68: 3c000d0e bge r7,r16,2aca0 <___vfiprintf_internal_r+0x4b8> + 2ac6c: 10800404 addi r2,r2,16 + 2ac70: 31000044 addi r4,r6,1 + 2ac74: 45800015 stw r22,0(r8) + 2ac78: 41c00115 stw r7,4(r8) + 2ac7c: d8801c15 stw r2,112(sp) + 2ac80: d9001b15 stw r4,108(sp) + 2ac84: 793ff40e bge r15,r4,2ac58 <_gp+0xfffeddec> + 2ac88: 1001b51e bne r2,zero,2b360 <___vfiprintf_internal_r+0xb78> + 2ac8c: 843ffc04 addi r16,r16,-16 + 2ac90: 000d883a mov r6,zero + 2ac94: 01400044 movi r5,1 + 2ac98: d811883a mov r8,sp + 2ac9c: 3c3ff316 blt r7,r16,2ac6c <_gp+0xfffede00> + 2aca0: 8007883a mov r3,r16 + 2aca4: da402517 ldw r9,148(sp) + 2aca8: db802817 ldw r14,160(sp) + 2acac: dc002917 ldw r16,164(sp) + 2acb0: da802417 ldw r10,144(sp) + 2acb4: 1885883a add r2,r3,r2 + 2acb8: 40c00115 stw r3,4(r8) + 2acbc: 42800015 stw r10,0(r8) + 2acc0: d8801c15 stw r2,112(sp) + 2acc4: d9401b15 stw r5,108(sp) + 2acc8: 00c001c4 movi r3,7 + 2accc: 19426016 blt r3,r5,2b650 <___vfiprintf_internal_r+0xe68> + 2acd0: d8c01d87 ldb r3,118(sp) + 2acd4: 42000204 addi r8,r8,8 + 2acd8: 29000044 addi r4,r5,1 + 2acdc: 1801b31e bne r3,zero,2b3ac <___vfiprintf_internal_r+0xbc4> + 2ace0: 7001c026 beq r14,zero,2b3e4 <___vfiprintf_internal_r+0xbfc> + 2ace4: d8c01d04 addi r3,sp,116 + 2ace8: 10800084 addi r2,r2,2 + 2acec: 40c00015 stw r3,0(r8) + 2acf0: 00c00084 movi r3,2 + 2acf4: 40c00115 stw r3,4(r8) + 2acf8: d8801c15 stw r2,112(sp) + 2acfc: d9001b15 stw r4,108(sp) + 2ad00: 00c001c4 movi r3,7 + 2ad04: 1902650e bge r3,r4,2b69c <___vfiprintf_internal_r+0xeb4> + 2ad08: 10029a1e bne r2,zero,2b774 <___vfiprintf_internal_r+0xf8c> + 2ad0c: 00c02004 movi r3,128 + 2ad10: 01000044 movi r4,1 + 2ad14: 000b883a mov r5,zero + 2ad18: d811883a mov r8,sp + 2ad1c: e0c1b31e bne fp,r3,2b3ec <___vfiprintf_internal_r+0xc04> + 2ad20: 8cb9c83a sub fp,r17,r18 + 2ad24: 0701b10e bge zero,fp,2b3ec <___vfiprintf_internal_r+0xc04> + 2ad28: 01c00404 movi r7,16 + 2ad2c: 3f03890e bge r7,fp,2bb54 <___vfiprintf_internal_r+0x136c> + 2ad30: 00c000f4 movhi r3,3 + 2ad34: 18cab304 addi r3,r3,10956 + 2ad38: d8c02415 stw r3,144(sp) + 2ad3c: 8007883a mov r3,r16 + 2ad40: 034001c4 movi r13,7 + 2ad44: e021883a mov r16,fp + 2ad48: da402515 stw r9,148(sp) + 2ad4c: 1839883a mov fp,r3 + 2ad50: 00000506 br 2ad68 <___vfiprintf_internal_r+0x580> + 2ad54: 29800084 addi r6,r5,2 + 2ad58: 42000204 addi r8,r8,8 + 2ad5c: 180b883a mov r5,r3 + 2ad60: 843ffc04 addi r16,r16,-16 + 2ad64: 3c000d0e bge r7,r16,2ad9c <___vfiprintf_internal_r+0x5b4> + 2ad68: 10800404 addi r2,r2,16 + 2ad6c: 28c00044 addi r3,r5,1 + 2ad70: 45c00015 stw r23,0(r8) + 2ad74: 41c00115 stw r7,4(r8) + 2ad78: d8801c15 stw r2,112(sp) + 2ad7c: d8c01b15 stw r3,108(sp) + 2ad80: 68fff40e bge r13,r3,2ad54 <_gp+0xfffedee8> + 2ad84: 1002241e bne r2,zero,2b618 <___vfiprintf_internal_r+0xe30> + 2ad88: 843ffc04 addi r16,r16,-16 + 2ad8c: 01800044 movi r6,1 + 2ad90: 000b883a mov r5,zero + 2ad94: d811883a mov r8,sp + 2ad98: 3c3ff316 blt r7,r16,2ad68 <_gp+0xfffedefc> + 2ad9c: da402517 ldw r9,148(sp) + 2ada0: e007883a mov r3,fp + 2ada4: 8039883a mov fp,r16 + 2ada8: 1821883a mov r16,r3 + 2adac: d8c02417 ldw r3,144(sp) + 2adb0: 1705883a add r2,r2,fp + 2adb4: 47000115 stw fp,4(r8) + 2adb8: 40c00015 stw r3,0(r8) + 2adbc: d8801c15 stw r2,112(sp) + 2adc0: d9801b15 stw r6,108(sp) + 2adc4: 00c001c4 movi r3,7 + 2adc8: 19827616 blt r3,r6,2b7a4 <___vfiprintf_internal_r+0xfbc> + 2adcc: 4cf9c83a sub fp,r9,r19 + 2add0: 42000204 addi r8,r8,8 + 2add4: 31000044 addi r4,r6,1 + 2add8: 300b883a mov r5,r6 + 2addc: 07018516 blt zero,fp,2b3f4 <___vfiprintf_internal_r+0xc0c> + 2ade0: 9885883a add r2,r19,r2 + 2ade4: 45400015 stw r21,0(r8) + 2ade8: 44c00115 stw r19,4(r8) + 2adec: d8801c15 stw r2,112(sp) + 2adf0: d9001b15 stw r4,108(sp) + 2adf4: 00c001c4 movi r3,7 + 2adf8: 1901dd0e bge r3,r4,2b570 <___vfiprintf_internal_r+0xd88> + 2adfc: 1002401e bne r2,zero,2b700 <___vfiprintf_internal_r+0xf18> + 2ae00: d8001b15 stw zero,108(sp) + 2ae04: a2c0010c andi r11,r20,4 + 2ae08: 58000226 beq r11,zero,2ae14 <___vfiprintf_internal_r+0x62c> + 2ae0c: 8ca7c83a sub r19,r17,r18 + 2ae10: 04c2f216 blt zero,r19,2b9dc <___vfiprintf_internal_r+0x11f4> + 2ae14: 8c80010e bge r17,r18,2ae1c <___vfiprintf_internal_r+0x634> + 2ae18: 9023883a mov r17,r18 + 2ae1c: da802317 ldw r10,140(sp) + 2ae20: 5455883a add r10,r10,r17 + 2ae24: da802315 stw r10,140(sp) + 2ae28: d8001b15 stw zero,108(sp) + 2ae2c: d811883a mov r8,sp + 2ae30: 003ea206 br 2a8bc <_gp+0xfffeda50> + 2ae34: a5000814 ori r20,r20,32 + 2ae38: 80c00007 ldb r3,0(r16) + 2ae3c: 003ec906 br 2a964 <_gp+0xfffedaf8> + 2ae40: 80c00007 ldb r3,0(r16) + 2ae44: 1b030926 beq r3,r12,2ba6c <___vfiprintf_internal_r+0x1284> + 2ae48: a5000414 ori r20,r20,16 + 2ae4c: 003ec506 br 2a964 <_gp+0xfffedaf8> + 2ae50: 21003fcc andi r4,r4,255 + 2ae54: 20035e1e bne r4,zero,2bbd0 <___vfiprintf_internal_r+0x13e8> + 2ae58: a080080c andi r2,r20,32 + 2ae5c: 1002a526 beq r2,zero,2b8f4 <___vfiprintf_internal_r+0x110c> + 2ae60: da802217 ldw r10,136(sp) + 2ae64: 50800017 ldw r2,0(r10) + 2ae68: da802317 ldw r10,140(sp) + 2ae6c: 5007d7fa srai r3,r10,31 + 2ae70: da802217 ldw r10,136(sp) + 2ae74: 10c00115 stw r3,4(r2) + 2ae78: 52800104 addi r10,r10,4 + 2ae7c: da802215 stw r10,136(sp) + 2ae80: da802317 ldw r10,140(sp) + 2ae84: 12800015 stw r10,0(r2) + 2ae88: 003e8c06 br 2a8bc <_gp+0xfffeda50> + 2ae8c: 21003fcc andi r4,r4,255 + 2ae90: 2003511e bne r4,zero,2bbd8 <___vfiprintf_internal_r+0x13f0> + 2ae94: a080080c andi r2,r20,32 + 2ae98: 1000a126 beq r2,zero,2b120 <___vfiprintf_internal_r+0x938> + 2ae9c: da802217 ldw r10,136(sp) + 2aea0: d8001d85 stb zero,118(sp) + 2aea4: 50800204 addi r2,r10,8 + 2aea8: 54800017 ldw r18,0(r10) + 2aeac: 54c00117 ldw r19,4(r10) + 2aeb0: 4802b416 blt r9,zero,2b984 <___vfiprintf_internal_r+0x119c> + 2aeb4: 013fdfc4 movi r4,-129 + 2aeb8: 94c6b03a or r3,r18,r19 + 2aebc: d8802215 stw r2,136(sp) + 2aec0: a128703a and r20,r20,r4 + 2aec4: 1800a226 beq r3,zero,2b150 <___vfiprintf_internal_r+0x968> + 2aec8: 0039883a mov fp,zero + 2aecc: dd401a04 addi r21,sp,104 + 2aed0: 9006d0fa srli r3,r18,3 + 2aed4: 9808977a slli r4,r19,29 + 2aed8: 9826d0fa srli r19,r19,3 + 2aedc: 948001cc andi r18,r18,7 + 2aee0: 90800c04 addi r2,r18,48 + 2aee4: ad7fffc4 addi r21,r21,-1 + 2aee8: 20e4b03a or r18,r4,r3 + 2aeec: a8800005 stb r2,0(r21) + 2aef0: 94c6b03a or r3,r18,r19 + 2aef4: 183ff61e bne r3,zero,2aed0 <_gp+0xfffee064> + 2aef8: a0c0004c andi r3,r20,1 + 2aefc: 18005926 beq r3,zero,2b064 <___vfiprintf_internal_r+0x87c> + 2af00: 10803fcc andi r2,r2,255 + 2af04: 1080201c xori r2,r2,128 + 2af08: 10bfe004 addi r2,r2,-128 + 2af0c: 00c00c04 movi r3,48 + 2af10: 10c05426 beq r2,r3,2b064 <___vfiprintf_internal_r+0x87c> + 2af14: da801e17 ldw r10,120(sp) + 2af18: a8bfffc4 addi r2,r21,-1 + 2af1c: a8ffffc5 stb r3,-1(r21) + 2af20: 50a7c83a sub r19,r10,r2 + 2af24: 102b883a mov r21,r2 + 2af28: 003f2f06 br 2abe8 <_gp+0xfffedd7c> + 2af2c: 21003fcc andi r4,r4,255 + 2af30: 2003421e bne r4,zero,2bc3c <___vfiprintf_internal_r+0x1454> + 2af34: 008000f4 movhi r2,3 + 2af38: 108a5304 addi r2,r2,10572 + 2af3c: d8802615 stw r2,152(sp) + 2af40: a080080c andi r2,r20,32 + 2af44: 1000aa26 beq r2,zero,2b1f0 <___vfiprintf_internal_r+0xa08> + 2af48: da802217 ldw r10,136(sp) + 2af4c: 54800017 ldw r18,0(r10) + 2af50: 54c00117 ldw r19,4(r10) + 2af54: 52800204 addi r10,r10,8 + 2af58: da802215 stw r10,136(sp) + 2af5c: a080004c andi r2,r20,1 + 2af60: 1001d226 beq r2,zero,2b6ac <___vfiprintf_internal_r+0xec4> + 2af64: 94c4b03a or r2,r18,r19 + 2af68: 1002351e bne r2,zero,2b840 <___vfiprintf_internal_r+0x1058> + 2af6c: d8001d85 stb zero,118(sp) + 2af70: 48022216 blt r9,zero,2b7fc <___vfiprintf_internal_r+0x1014> + 2af74: 00bfdfc4 movi r2,-129 + 2af78: a0a8703a and r20,r20,r2 + 2af7c: 003f1506 br 2abd4 <_gp+0xfffedd68> + 2af80: da802217 ldw r10,136(sp) + 2af84: 04800044 movi r18,1 + 2af88: d8001d85 stb zero,118(sp) + 2af8c: 50800017 ldw r2,0(r10) + 2af90: 52800104 addi r10,r10,4 + 2af94: da802215 stw r10,136(sp) + 2af98: d8801005 stb r2,64(sp) + 2af9c: 9027883a mov r19,r18 + 2afa0: dd401004 addi r21,sp,64 + 2afa4: 0013883a mov r9,zero + 2afa8: 003f1706 br 2ac08 <_gp+0xfffedd9c> + 2afac: 21003fcc andi r4,r4,255 + 2afb0: 2003201e bne r4,zero,2bc34 <___vfiprintf_internal_r+0x144c> + 2afb4: a080080c andi r2,r20,32 + 2afb8: 10004b26 beq r2,zero,2b0e8 <___vfiprintf_internal_r+0x900> + 2afbc: da802217 ldw r10,136(sp) + 2afc0: 50800117 ldw r2,4(r10) + 2afc4: 54800017 ldw r18,0(r10) + 2afc8: 52800204 addi r10,r10,8 + 2afcc: da802215 stw r10,136(sp) + 2afd0: 1027883a mov r19,r2 + 2afd4: 10022c16 blt r2,zero,2b888 <___vfiprintf_internal_r+0x10a0> + 2afd8: df001d83 ldbu fp,118(sp) + 2afdc: 48007216 blt r9,zero,2b1a8 <___vfiprintf_internal_r+0x9c0> + 2afe0: 00ffdfc4 movi r3,-129 + 2afe4: 94c4b03a or r2,r18,r19 + 2afe8: a0e8703a and r20,r20,r3 + 2afec: 1000cc26 beq r2,zero,2b320 <___vfiprintf_internal_r+0xb38> + 2aff0: 98021026 beq r19,zero,2b834 <___vfiprintf_internal_r+0x104c> + 2aff4: dc402415 stw r17,144(sp) + 2aff8: dc002515 stw r16,148(sp) + 2affc: 9823883a mov r17,r19 + 2b000: 9021883a mov r16,r18 + 2b004: dd401a04 addi r21,sp,104 + 2b008: 4825883a mov r18,r9 + 2b00c: 4027883a mov r19,r8 + 2b010: 8009883a mov r4,r16 + 2b014: 880b883a mov r5,r17 + 2b018: 01800284 movi r6,10 + 2b01c: 000f883a mov r7,zero + 2b020: 002cbc80 call 2cbc8 <__umoddi3> + 2b024: 10800c04 addi r2,r2,48 + 2b028: ad7fffc4 addi r21,r21,-1 + 2b02c: 8009883a mov r4,r16 + 2b030: 880b883a mov r5,r17 + 2b034: a8800005 stb r2,0(r21) + 2b038: 01800284 movi r6,10 + 2b03c: 000f883a mov r7,zero + 2b040: 002c6500 call 2c650 <__udivdi3> + 2b044: 1021883a mov r16,r2 + 2b048: 10c4b03a or r2,r2,r3 + 2b04c: 1823883a mov r17,r3 + 2b050: 103fef1e bne r2,zero,2b010 <_gp+0xfffee1a4> + 2b054: dc402417 ldw r17,144(sp) + 2b058: dc002517 ldw r16,148(sp) + 2b05c: 9013883a mov r9,r18 + 2b060: 9811883a mov r8,r19 + 2b064: da801e17 ldw r10,120(sp) + 2b068: 5567c83a sub r19,r10,r21 + 2b06c: 003ede06 br 2abe8 <_gp+0xfffedd7c> + 2b070: 38803fcc andi r2,r7,255 + 2b074: 1080201c xori r2,r2,128 + 2b078: 10bfe004 addi r2,r2,-128 + 2b07c: 1002371e bne r2,zero,2b95c <___vfiprintf_internal_r+0x1174> + 2b080: 01000044 movi r4,1 + 2b084: 01c00804 movi r7,32 + 2b088: 80c00007 ldb r3,0(r16) + 2b08c: 003e3506 br 2a964 <_gp+0xfffedaf8> + 2b090: a5000054 ori r20,r20,1 + 2b094: 80c00007 ldb r3,0(r16) + 2b098: 003e3206 br 2a964 <_gp+0xfffedaf8> + 2b09c: a5002014 ori r20,r20,128 + 2b0a0: 80c00007 ldb r3,0(r16) + 2b0a4: 003e2f06 br 2a964 <_gp+0xfffedaf8> + 2b0a8: 8015883a mov r10,r16 + 2b0ac: 0023883a mov r17,zero + 2b0b0: 18bff404 addi r2,r3,-48 + 2b0b4: 50c00007 ldb r3,0(r10) + 2b0b8: 8c4002a4 muli r17,r17,10 + 2b0bc: 84000044 addi r16,r16,1 + 2b0c0: 8015883a mov r10,r16 + 2b0c4: 1463883a add r17,r2,r17 + 2b0c8: 18bff404 addi r2,r3,-48 + 2b0cc: 30bff92e bgeu r6,r2,2b0b4 <_gp+0xfffee248> + 2b0d0: 003e2506 br 2a968 <_gp+0xfffedafc> + 2b0d4: 21003fcc andi r4,r4,255 + 2b0d8: 2002d41e bne r4,zero,2bc2c <___vfiprintf_internal_r+0x1444> + 2b0dc: a5000414 ori r20,r20,16 + 2b0e0: a080080c andi r2,r20,32 + 2b0e4: 103fb51e bne r2,zero,2afbc <_gp+0xfffee150> + 2b0e8: a080040c andi r2,r20,16 + 2b0ec: 1001f826 beq r2,zero,2b8d0 <___vfiprintf_internal_r+0x10e8> + 2b0f0: da802217 ldw r10,136(sp) + 2b0f4: 54800017 ldw r18,0(r10) + 2b0f8: 52800104 addi r10,r10,4 + 2b0fc: da802215 stw r10,136(sp) + 2b100: 9027d7fa srai r19,r18,31 + 2b104: 9805883a mov r2,r19 + 2b108: 003fb206 br 2afd4 <_gp+0xfffee168> + 2b10c: 21003fcc andi r4,r4,255 + 2b110: 2002c41e bne r4,zero,2bc24 <___vfiprintf_internal_r+0x143c> + 2b114: a5000414 ori r20,r20,16 + 2b118: a080080c andi r2,r20,32 + 2b11c: 103f5f1e bne r2,zero,2ae9c <_gp+0xfffee030> + 2b120: a080040c andi r2,r20,16 + 2b124: 10020f26 beq r2,zero,2b964 <___vfiprintf_internal_r+0x117c> + 2b128: da802217 ldw r10,136(sp) + 2b12c: d8001d85 stb zero,118(sp) + 2b130: 0027883a mov r19,zero + 2b134: 50800104 addi r2,r10,4 + 2b138: 54800017 ldw r18,0(r10) + 2b13c: 48021116 blt r9,zero,2b984 <___vfiprintf_internal_r+0x119c> + 2b140: 00ffdfc4 movi r3,-129 + 2b144: d8802215 stw r2,136(sp) + 2b148: a0e8703a and r20,r20,r3 + 2b14c: 903f5e1e bne r18,zero,2aec8 <_gp+0xfffee05c> + 2b150: 0039883a mov fp,zero + 2b154: 4802a626 beq r9,zero,2bbf0 <___vfiprintf_internal_r+0x1408> + 2b158: 0025883a mov r18,zero + 2b15c: 0027883a mov r19,zero + 2b160: 003f5a06 br 2aecc <_gp+0xfffee060> + 2b164: 21003fcc andi r4,r4,255 + 2b168: 20029f1e bne r4,zero,2bbe8 <___vfiprintf_internal_r+0x1400> + 2b16c: a5000414 ori r20,r20,16 + 2b170: a080080c andi r2,r20,32 + 2b174: 10005e1e bne r2,zero,2b2f0 <___vfiprintf_internal_r+0xb08> + 2b178: a080040c andi r2,r20,16 + 2b17c: 1001a21e bne r2,zero,2b808 <___vfiprintf_internal_r+0x1020> + 2b180: a080100c andi r2,r20,64 + 2b184: d8001d85 stb zero,118(sp) + 2b188: da802217 ldw r10,136(sp) + 2b18c: 1002231e bne r2,zero,2ba1c <___vfiprintf_internal_r+0x1234> + 2b190: 50800104 addi r2,r10,4 + 2b194: 54800017 ldw r18,0(r10) + 2b198: 0027883a mov r19,zero + 2b19c: 4801a00e bge r9,zero,2b820 <___vfiprintf_internal_r+0x1038> + 2b1a0: d8802215 stw r2,136(sp) + 2b1a4: 0039883a mov fp,zero + 2b1a8: 94c4b03a or r2,r18,r19 + 2b1ac: 103f901e bne r2,zero,2aff0 <_gp+0xfffee184> + 2b1b0: 00800044 movi r2,1 + 2b1b4: 10803fcc andi r2,r2,255 + 2b1b8: 00c00044 movi r3,1 + 2b1bc: 10c05926 beq r2,r3,2b324 <___vfiprintf_internal_r+0xb3c> + 2b1c0: 00c00084 movi r3,2 + 2b1c4: 10ffe41e bne r2,r3,2b158 <_gp+0xfffee2ec> + 2b1c8: 0025883a mov r18,zero + 2b1cc: 0027883a mov r19,zero + 2b1d0: 00013d06 br 2b6c8 <___vfiprintf_internal_r+0xee0> + 2b1d4: 21003fcc andi r4,r4,255 + 2b1d8: 2002811e bne r4,zero,2bbe0 <___vfiprintf_internal_r+0x13f8> + 2b1dc: 008000f4 movhi r2,3 + 2b1e0: 108a4e04 addi r2,r2,10552 + 2b1e4: d8802615 stw r2,152(sp) + 2b1e8: a080080c andi r2,r20,32 + 2b1ec: 103f561e bne r2,zero,2af48 <_gp+0xfffee0dc> + 2b1f0: a080040c andi r2,r20,16 + 2b1f4: 1001d126 beq r2,zero,2b93c <___vfiprintf_internal_r+0x1154> + 2b1f8: da802217 ldw r10,136(sp) + 2b1fc: 0027883a mov r19,zero + 2b200: 54800017 ldw r18,0(r10) + 2b204: 52800104 addi r10,r10,4 + 2b208: da802215 stw r10,136(sp) + 2b20c: 003f5306 br 2af5c <_gp+0xfffee0f0> + 2b210: da802217 ldw r10,136(sp) + 2b214: d8001d85 stb zero,118(sp) + 2b218: 55400017 ldw r21,0(r10) + 2b21c: 50c00104 addi r3,r10,4 + 2b220: a8024226 beq r21,zero,2bb2c <___vfiprintf_internal_r+0x1344> + 2b224: 48021816 blt r9,zero,2ba88 <___vfiprintf_internal_r+0x12a0> + 2b228: 480d883a mov r6,r9 + 2b22c: 000b883a mov r5,zero + 2b230: a809883a mov r4,r21 + 2b234: d8c02a15 stw r3,168(sp) + 2b238: da002b15 stw r8,172(sp) + 2b23c: da402c15 stw r9,176(sp) + 2b240: 00288080 call 28808 + 2b244: d8c02a17 ldw r3,168(sp) + 2b248: da002b17 ldw r8,172(sp) + 2b24c: da402c17 ldw r9,176(sp) + 2b250: 10024826 beq r2,zero,2bb74 <___vfiprintf_internal_r+0x138c> + 2b254: 1567c83a sub r19,r2,r21 + 2b258: df001d83 ldbu fp,118(sp) + 2b25c: d8c02215 stw r3,136(sp) + 2b260: 0013883a mov r9,zero + 2b264: 003e6006 br 2abe8 <_gp+0xfffedd7c> + 2b268: 21003fcc andi r4,r4,255 + 2b26c: 203fc026 beq r4,zero,2b170 <_gp+0xfffee304> + 2b270: d9c01d85 stb r7,118(sp) + 2b274: 003fbe06 br 2b170 <_gp+0xfffee304> + 2b278: da802217 ldw r10,136(sp) + 2b27c: 54400017 ldw r17,0(r10) + 2b280: 50800104 addi r2,r10,4 + 2b284: 883e3b16 blt r17,zero,2ab74 <_gp+0xfffedd08> + 2b288: d8802215 stw r2,136(sp) + 2b28c: 80c00007 ldb r3,0(r16) + 2b290: 003db406 br 2a964 <_gp+0xfffedaf8> + 2b294: 01000044 movi r4,1 + 2b298: 01c00ac4 movi r7,43 + 2b29c: 80c00007 ldb r3,0(r16) + 2b2a0: 003db006 br 2a964 <_gp+0xfffedaf8> + 2b2a4: 80c00007 ldb r3,0(r16) + 2b2a8: 82800044 addi r10,r16,1 + 2b2ac: 1b423c26 beq r3,r13,2bba0 <___vfiprintf_internal_r+0x13b8> + 2b2b0: 18bff404 addi r2,r3,-48 + 2b2b4: 0013883a mov r9,zero + 2b2b8: 30822b36 bltu r6,r2,2bb68 <___vfiprintf_internal_r+0x1380> + 2b2bc: 50c00007 ldb r3,0(r10) + 2b2c0: 4a4002a4 muli r9,r9,10 + 2b2c4: 54000044 addi r16,r10,1 + 2b2c8: 8015883a mov r10,r16 + 2b2cc: 4893883a add r9,r9,r2 + 2b2d0: 18bff404 addi r2,r3,-48 + 2b2d4: 30bff92e bgeu r6,r2,2b2bc <_gp+0xfffee450> + 2b2d8: 483da30e bge r9,zero,2a968 <_gp+0xfffedafc> + 2b2dc: 027fffc4 movi r9,-1 + 2b2e0: 003da106 br 2a968 <_gp+0xfffedafc> + 2b2e4: a5001014 ori r20,r20,64 + 2b2e8: 80c00007 ldb r3,0(r16) + 2b2ec: 003d9d06 br 2a964 <_gp+0xfffedaf8> + 2b2f0: da802217 ldw r10,136(sp) + 2b2f4: d8001d85 stb zero,118(sp) + 2b2f8: 50c00204 addi r3,r10,8 + 2b2fc: 54800017 ldw r18,0(r10) + 2b300: 54c00117 ldw r19,4(r10) + 2b304: 4801ca16 blt r9,zero,2ba30 <___vfiprintf_internal_r+0x1248> + 2b308: 013fdfc4 movi r4,-129 + 2b30c: 94c4b03a or r2,r18,r19 + 2b310: d8c02215 stw r3,136(sp) + 2b314: a128703a and r20,r20,r4 + 2b318: 0039883a mov fp,zero + 2b31c: 103f341e bne r2,zero,2aff0 <_gp+0xfffee184> + 2b320: 483e2e26 beq r9,zero,2abdc <_gp+0xfffedd70> + 2b324: 0025883a mov r18,zero + 2b328: 94800c04 addi r18,r18,48 + 2b32c: dc8019c5 stb r18,103(sp) + 2b330: dcc02717 ldw r19,156(sp) + 2b334: dd4019c4 addi r21,sp,103 + 2b338: 003e2b06 br 2abe8 <_gp+0xfffedd7c> + 2b33c: 21003fcc andi r4,r4,255 + 2b340: 2002361e bne r4,zero,2bc1c <___vfiprintf_internal_r+0x1434> + 2b344: 1801c126 beq r3,zero,2ba4c <___vfiprintf_internal_r+0x1264> + 2b348: 04800044 movi r18,1 + 2b34c: d8c01005 stb r3,64(sp) + 2b350: d8001d85 stb zero,118(sp) + 2b354: 9027883a mov r19,r18 + 2b358: dd401004 addi r21,sp,64 + 2b35c: 003f1106 br 2afa4 <_gp+0xfffee138> + 2b360: d9402117 ldw r5,132(sp) + 2b364: d9002017 ldw r4,128(sp) + 2b368: d9801a04 addi r6,sp,104 + 2b36c: d9c02b15 stw r7,172(sp) + 2b370: dbc02a15 stw r15,168(sp) + 2b374: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b378: d9c02b17 ldw r7,172(sp) + 2b37c: dbc02a17 ldw r15,168(sp) + 2b380: 10006d1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> + 2b384: d9801b17 ldw r6,108(sp) + 2b388: d8801c17 ldw r2,112(sp) + 2b38c: d811883a mov r8,sp + 2b390: 31400044 addi r5,r6,1 + 2b394: 003e3306 br 2ac64 <_gp+0xfffeddf8> + 2b398: d9401b17 ldw r5,108(sp) + 2b39c: d8801c17 ldw r2,112(sp) + 2b3a0: 29000044 addi r4,r5,1 + 2b3a4: d8c01d87 ldb r3,118(sp) + 2b3a8: 183e4d26 beq r3,zero,2ace0 <_gp+0xfffede74> + 2b3ac: 00c00044 movi r3,1 + 2b3b0: d9401d84 addi r5,sp,118 + 2b3b4: 10c5883a add r2,r2,r3 + 2b3b8: 41400015 stw r5,0(r8) + 2b3bc: 40c00115 stw r3,4(r8) + 2b3c0: d8801c15 stw r2,112(sp) + 2b3c4: d9001b15 stw r4,108(sp) + 2b3c8: 014001c4 movi r5,7 + 2b3cc: 2900a90e bge r5,r4,2b674 <___vfiprintf_internal_r+0xe8c> + 2b3d0: 1000da1e bne r2,zero,2b73c <___vfiprintf_internal_r+0xf54> + 2b3d4: 7000ab1e bne r14,zero,2b684 <___vfiprintf_internal_r+0xe9c> + 2b3d8: 000b883a mov r5,zero + 2b3dc: 1809883a mov r4,r3 + 2b3e0: d811883a mov r8,sp + 2b3e4: 00c02004 movi r3,128 + 2b3e8: e0fe4d26 beq fp,r3,2ad20 <_gp+0xfffedeb4> + 2b3ec: 4cf9c83a sub fp,r9,r19 + 2b3f0: 073e7b0e bge zero,fp,2ade0 <_gp+0xfffedf74> + 2b3f4: 01c00404 movi r7,16 + 2b3f8: 3f01900e bge r7,fp,2ba3c <___vfiprintf_internal_r+0x1254> + 2b3fc: 00c000f4 movhi r3,3 + 2b400: 18cab304 addi r3,r3,10956 + 2b404: d8c02415 stw r3,144(sp) + 2b408: 034001c4 movi r13,7 + 2b40c: 00000506 br 2b424 <___vfiprintf_internal_r+0xc3c> + 2b410: 29000084 addi r4,r5,2 + 2b414: 42000204 addi r8,r8,8 + 2b418: 180b883a mov r5,r3 + 2b41c: e73ffc04 addi fp,fp,-16 + 2b420: 3f000d0e bge r7,fp,2b458 <___vfiprintf_internal_r+0xc70> + 2b424: 10800404 addi r2,r2,16 + 2b428: 28c00044 addi r3,r5,1 + 2b42c: 45c00015 stw r23,0(r8) + 2b430: 41c00115 stw r7,4(r8) + 2b434: d8801c15 stw r2,112(sp) + 2b438: d8c01b15 stw r3,108(sp) + 2b43c: 68fff40e bge r13,r3,2b410 <_gp+0xfffee5a4> + 2b440: 1000101e bne r2,zero,2b484 <___vfiprintf_internal_r+0xc9c> + 2b444: e73ffc04 addi fp,fp,-16 + 2b448: 01000044 movi r4,1 + 2b44c: 000b883a mov r5,zero + 2b450: d811883a mov r8,sp + 2b454: 3f3ff316 blt r7,fp,2b424 <_gp+0xfffee5b8> + 2b458: da802417 ldw r10,144(sp) + 2b45c: 1705883a add r2,r2,fp + 2b460: 47000115 stw fp,4(r8) + 2b464: 42800015 stw r10,0(r8) + 2b468: d8801c15 stw r2,112(sp) + 2b46c: d9001b15 stw r4,108(sp) + 2b470: 00c001c4 movi r3,7 + 2b474: 19003616 blt r3,r4,2b550 <___vfiprintf_internal_r+0xd68> + 2b478: 42000204 addi r8,r8,8 + 2b47c: 21000044 addi r4,r4,1 + 2b480: 003e5706 br 2ade0 <_gp+0xfffedf74> + 2b484: d9402117 ldw r5,132(sp) + 2b488: d9002017 ldw r4,128(sp) + 2b48c: d9801a04 addi r6,sp,104 + 2b490: d9c02b15 stw r7,172(sp) + 2b494: db402a15 stw r13,168(sp) + 2b498: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b49c: d9c02b17 ldw r7,172(sp) + 2b4a0: db402a17 ldw r13,168(sp) + 2b4a4: 1000241e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> + 2b4a8: d9401b17 ldw r5,108(sp) + 2b4ac: d8801c17 ldw r2,112(sp) + 2b4b0: d811883a mov r8,sp + 2b4b4: 29000044 addi r4,r5,1 + 2b4b8: 003fd806 br 2b41c <_gp+0xfffee5b0> + 2b4bc: d9401b17 ldw r5,108(sp) + 2b4c0: 00c000f4 movhi r3,3 + 2b4c4: 18cab704 addi r3,r3,10972 + 2b4c8: d8c02415 stw r3,144(sp) + 2b4cc: 29400044 addi r5,r5,1 + 2b4d0: d8c02417 ldw r3,144(sp) + 2b4d4: 14c5883a add r2,r2,r19 + 2b4d8: 44c00115 stw r19,4(r8) + 2b4dc: 40c00015 stw r3,0(r8) + 2b4e0: d8801c15 stw r2,112(sp) + 2b4e4: d9401b15 stw r5,108(sp) + 2b4e8: 00c001c4 movi r3,7 + 2b4ec: 1940070e bge r3,r5,2b50c <___vfiprintf_internal_r+0xd24> + 2b4f0: 103e4826 beq r2,zero,2ae14 <_gp+0xfffedfa8> + 2b4f4: d9402117 ldw r5,132(sp) + 2b4f8: d9002017 ldw r4,128(sp) + 2b4fc: d9801a04 addi r6,sp,104 + 2b500: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b504: 10000c1e bne r2,zero,2b538 <___vfiprintf_internal_r+0xd50> + 2b508: d8801c17 ldw r2,112(sp) + 2b50c: 8c80010e bge r17,r18,2b514 <___vfiprintf_internal_r+0xd2c> + 2b510: 9023883a mov r17,r18 + 2b514: da802317 ldw r10,140(sp) + 2b518: 5455883a add r10,r10,r17 + 2b51c: da802315 stw r10,140(sp) + 2b520: 103e4126 beq r2,zero,2ae28 <_gp+0xfffedfbc> + 2b524: d9402117 ldw r5,132(sp) + 2b528: d9002017 ldw r4,128(sp) + 2b52c: d9801a04 addi r6,sp,104 + 2b530: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b534: 103e3c26 beq r2,zero,2ae28 <_gp+0xfffedfbc> + 2b538: dd002117 ldw r20,132(sp) + 2b53c: a080030b ldhu r2,12(r20) + 2b540: 1080100c andi r2,r2,64 + 2b544: 1001231e bne r2,zero,2b9d4 <___vfiprintf_internal_r+0x11ec> + 2b548: d8802317 ldw r2,140(sp) + 2b54c: 003d7b06 br 2ab3c <_gp+0xfffedcd0> + 2b550: 1000991e bne r2,zero,2b7b8 <___vfiprintf_internal_r+0xfd0> + 2b554: 00c00044 movi r3,1 + 2b558: 9805883a mov r2,r19 + 2b55c: dd400015 stw r21,0(sp) + 2b560: dcc00115 stw r19,4(sp) + 2b564: dcc01c15 stw r19,112(sp) + 2b568: d8c01b15 stw r3,108(sp) + 2b56c: d811883a mov r8,sp + 2b570: 42000204 addi r8,r8,8 + 2b574: a2c0010c andi r11,r20,4 + 2b578: 583fe426 beq r11,zero,2b50c <_gp+0xfffee6a0> + 2b57c: 8ca7c83a sub r19,r17,r18 + 2b580: 04ffe20e bge zero,r19,2b50c <_gp+0xfffee6a0> + 2b584: 01c00404 movi r7,16 + 2b588: 3cffcc0e bge r7,r19,2b4bc <_gp+0xfffee650> + 2b58c: 028000f4 movhi r10,3 + 2b590: 528ab704 addi r10,r10,10972 + 2b594: d9001b17 ldw r4,108(sp) + 2b598: da802415 stw r10,144(sp) + 2b59c: 382b883a mov r21,r7 + 2b5a0: 050001c4 movi r20,7 + 2b5a4: df002017 ldw fp,128(sp) + 2b5a8: 00000506 br 2b5c0 <___vfiprintf_internal_r+0xdd8> + 2b5ac: 21400084 addi r5,r4,2 + 2b5b0: 42000204 addi r8,r8,8 + 2b5b4: 1809883a mov r4,r3 + 2b5b8: 9cfffc04 addi r19,r19,-16 + 2b5bc: acffc40e bge r21,r19,2b4d0 <_gp+0xfffee664> + 2b5c0: 10800404 addi r2,r2,16 + 2b5c4: 20c00044 addi r3,r4,1 + 2b5c8: 45800015 stw r22,0(r8) + 2b5cc: 45400115 stw r21,4(r8) + 2b5d0: d8801c15 stw r2,112(sp) + 2b5d4: d8c01b15 stw r3,108(sp) + 2b5d8: a0fff40e bge r20,r3,2b5ac <_gp+0xfffee740> + 2b5dc: 1000041e bne r2,zero,2b5f0 <___vfiprintf_internal_r+0xe08> + 2b5e0: 01400044 movi r5,1 + 2b5e4: 0009883a mov r4,zero + 2b5e8: d811883a mov r8,sp + 2b5ec: 003ff206 br 2b5b8 <_gp+0xfffee74c> + 2b5f0: d9402117 ldw r5,132(sp) + 2b5f4: d9801a04 addi r6,sp,104 + 2b5f8: e009883a mov r4,fp + 2b5fc: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b600: 103fcd1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b604: d9001b17 ldw r4,108(sp) + 2b608: d8801c17 ldw r2,112(sp) + 2b60c: d811883a mov r8,sp + 2b610: 21400044 addi r5,r4,1 + 2b614: 003fe806 br 2b5b8 <_gp+0xfffee74c> + 2b618: d9402117 ldw r5,132(sp) + 2b61c: d9002017 ldw r4,128(sp) + 2b620: d9801a04 addi r6,sp,104 + 2b624: d9c02b15 stw r7,172(sp) + 2b628: db402a15 stw r13,168(sp) + 2b62c: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b630: d9c02b17 ldw r7,172(sp) + 2b634: db402a17 ldw r13,168(sp) + 2b638: 103fbf1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b63c: d9401b17 ldw r5,108(sp) + 2b640: d8801c17 ldw r2,112(sp) + 2b644: d811883a mov r8,sp + 2b648: 29800044 addi r6,r5,1 + 2b64c: 003dc406 br 2ad60 <_gp+0xfffedef4> + 2b650: 1000d21e bne r2,zero,2b99c <___vfiprintf_internal_r+0x11b4> + 2b654: d8c01d87 ldb r3,118(sp) + 2b658: 18009526 beq r3,zero,2b8b0 <___vfiprintf_internal_r+0x10c8> + 2b65c: 00800044 movi r2,1 + 2b660: d8c01d84 addi r3,sp,118 + 2b664: 1009883a mov r4,r2 + 2b668: d8c00015 stw r3,0(sp) + 2b66c: d8800115 stw r2,4(sp) + 2b670: d811883a mov r8,sp + 2b674: 200b883a mov r5,r4 + 2b678: 42000204 addi r8,r8,8 + 2b67c: 21000044 addi r4,r4,1 + 2b680: 003d9706 br 2ace0 <_gp+0xfffede74> + 2b684: d9001d04 addi r4,sp,116 + 2b688: 00800084 movi r2,2 + 2b68c: d9000015 stw r4,0(sp) + 2b690: d8800115 stw r2,4(sp) + 2b694: 1809883a mov r4,r3 + 2b698: d811883a mov r8,sp + 2b69c: 200b883a mov r5,r4 + 2b6a0: 42000204 addi r8,r8,8 + 2b6a4: 21000044 addi r4,r4,1 + 2b6a8: 003f4e06 br 2b3e4 <_gp+0xfffee578> + 2b6ac: d8001d85 stb zero,118(sp) + 2b6b0: 48005016 blt r9,zero,2b7f4 <___vfiprintf_internal_r+0x100c> + 2b6b4: 00ffdfc4 movi r3,-129 + 2b6b8: 94c4b03a or r2,r18,r19 + 2b6bc: a0e8703a and r20,r20,r3 + 2b6c0: 103d4426 beq r2,zero,2abd4 <_gp+0xfffedd68> + 2b6c4: 0039883a mov fp,zero + 2b6c8: d9002617 ldw r4,152(sp) + 2b6cc: dd401a04 addi r21,sp,104 + 2b6d0: 908003cc andi r2,r18,15 + 2b6d4: 9806973a slli r3,r19,28 + 2b6d8: 2085883a add r2,r4,r2 + 2b6dc: 9024d13a srli r18,r18,4 + 2b6e0: 10800003 ldbu r2,0(r2) + 2b6e4: 9826d13a srli r19,r19,4 + 2b6e8: ad7fffc4 addi r21,r21,-1 + 2b6ec: 1ca4b03a or r18,r3,r18 + 2b6f0: a8800005 stb r2,0(r21) + 2b6f4: 94c4b03a or r2,r18,r19 + 2b6f8: 103ff51e bne r2,zero,2b6d0 <_gp+0xfffee864> + 2b6fc: 003e5906 br 2b064 <_gp+0xfffee1f8> + 2b700: d9402117 ldw r5,132(sp) + 2b704: d9002017 ldw r4,128(sp) + 2b708: d9801a04 addi r6,sp,104 + 2b70c: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b710: 103f891e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b714: d8801c17 ldw r2,112(sp) + 2b718: d811883a mov r8,sp + 2b71c: 003f9506 br 2b574 <_gp+0xfffee708> + 2b720: d9402117 ldw r5,132(sp) + 2b724: d9002017 ldw r4,128(sp) + 2b728: d9801a04 addi r6,sp,104 + 2b72c: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b730: 103f811e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b734: d811883a mov r8,sp + 2b738: 003ced06 br 2aaf0 <_gp+0xfffedc84> + 2b73c: d9402117 ldw r5,132(sp) + 2b740: d9002017 ldw r4,128(sp) + 2b744: d9801a04 addi r6,sp,104 + 2b748: da402c15 stw r9,176(sp) + 2b74c: db802a15 stw r14,168(sp) + 2b750: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b754: da402c17 ldw r9,176(sp) + 2b758: db802a17 ldw r14,168(sp) + 2b75c: 103f761e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b760: d9401b17 ldw r5,108(sp) + 2b764: d8801c17 ldw r2,112(sp) + 2b768: d811883a mov r8,sp + 2b76c: 29000044 addi r4,r5,1 + 2b770: 003d5b06 br 2ace0 <_gp+0xfffede74> + 2b774: d9402117 ldw r5,132(sp) + 2b778: d9002017 ldw r4,128(sp) + 2b77c: d9801a04 addi r6,sp,104 + 2b780: da402c15 stw r9,176(sp) + 2b784: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b788: da402c17 ldw r9,176(sp) + 2b78c: 103f6a1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b790: d9401b17 ldw r5,108(sp) + 2b794: d8801c17 ldw r2,112(sp) + 2b798: d811883a mov r8,sp + 2b79c: 29000044 addi r4,r5,1 + 2b7a0: 003f1006 br 2b3e4 <_gp+0xfffee578> + 2b7a4: 1000c31e bne r2,zero,2bab4 <___vfiprintf_internal_r+0x12cc> + 2b7a8: 01000044 movi r4,1 + 2b7ac: 000b883a mov r5,zero + 2b7b0: d811883a mov r8,sp + 2b7b4: 003f0d06 br 2b3ec <_gp+0xfffee580> + 2b7b8: d9402117 ldw r5,132(sp) + 2b7bc: d9002017 ldw r4,128(sp) + 2b7c0: d9801a04 addi r6,sp,104 + 2b7c4: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b7c8: 103f5b1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b7cc: d9001b17 ldw r4,108(sp) + 2b7d0: d8801c17 ldw r2,112(sp) + 2b7d4: d811883a mov r8,sp + 2b7d8: 21000044 addi r4,r4,1 + 2b7dc: 003d8006 br 2ade0 <_gp+0xfffedf74> + 2b7e0: 010000f4 movhi r4,3 + 2b7e4: 210a5304 addi r4,r4,10572 + 2b7e8: d9002615 stw r4,152(sp) + 2b7ec: d8c02215 stw r3,136(sp) + 2b7f0: 1029883a mov r20,r2 + 2b7f4: 94c4b03a or r2,r18,r19 + 2b7f8: 103fb21e bne r2,zero,2b6c4 <_gp+0xfffee858> + 2b7fc: 0039883a mov fp,zero + 2b800: 00800084 movi r2,2 + 2b804: 003e6b06 br 2b1b4 <_gp+0xfffee348> + 2b808: da802217 ldw r10,136(sp) + 2b80c: d8001d85 stb zero,118(sp) + 2b810: 0027883a mov r19,zero + 2b814: 50800104 addi r2,r10,4 + 2b818: 54800017 ldw r18,0(r10) + 2b81c: 483e6016 blt r9,zero,2b1a0 <_gp+0xfffee334> + 2b820: 00ffdfc4 movi r3,-129 + 2b824: d8802215 stw r2,136(sp) + 2b828: a0e8703a and r20,r20,r3 + 2b82c: 0039883a mov fp,zero + 2b830: 903ebb26 beq r18,zero,2b320 <_gp+0xfffee4b4> + 2b834: 00800244 movi r2,9 + 2b838: 14bdee36 bltu r2,r18,2aff4 <_gp+0xfffee188> + 2b83c: 003eba06 br 2b328 <_gp+0xfffee4bc> + 2b840: 00800c04 movi r2,48 + 2b844: d8c01d45 stb r3,117(sp) + 2b848: d8801d05 stb r2,116(sp) + 2b84c: d8001d85 stb zero,118(sp) + 2b850: a0c00094 ori r3,r20,2 + 2b854: 4800a916 blt r9,zero,2bafc <___vfiprintf_internal_r+0x1314> + 2b858: 00bfdfc4 movi r2,-129 + 2b85c: a096703a and r11,r20,r2 + 2b860: 5d000094 ori r20,r11,2 + 2b864: 0039883a mov fp,zero + 2b868: 003f9706 br 2b6c8 <_gp+0xfffee85c> + 2b86c: 8025883a mov r18,r16 + 2b870: 003c2e06 br 2a92c <_gp+0xfffedac0> + 2b874: 008000f4 movhi r2,3 + 2b878: 108a5304 addi r2,r2,10572 + 2b87c: 0039883a mov fp,zero + 2b880: d8802615 stw r2,152(sp) + 2b884: 003f9006 br 2b6c8 <_gp+0xfffee85c> + 2b888: 04a5c83a sub r18,zero,r18 + 2b88c: 07000b44 movi fp,45 + 2b890: 9004c03a cmpne r2,r18,zero + 2b894: 04e7c83a sub r19,zero,r19 + 2b898: df001d85 stb fp,118(sp) + 2b89c: 98a7c83a sub r19,r19,r2 + 2b8a0: 48009f16 blt r9,zero,2bb20 <___vfiprintf_internal_r+0x1338> + 2b8a4: 00bfdfc4 movi r2,-129 + 2b8a8: a0a8703a and r20,r20,r2 + 2b8ac: 003dd006 br 2aff0 <_gp+0xfffee184> + 2b8b0: 70004c26 beq r14,zero,2b9e4 <___vfiprintf_internal_r+0x11fc> + 2b8b4: 00800084 movi r2,2 + 2b8b8: d8c01d04 addi r3,sp,116 + 2b8bc: d8c00015 stw r3,0(sp) + 2b8c0: d8800115 stw r2,4(sp) + 2b8c4: 01000044 movi r4,1 + 2b8c8: d811883a mov r8,sp + 2b8cc: 003f7306 br 2b69c <_gp+0xfffee830> + 2b8d0: a080100c andi r2,r20,64 + 2b8d4: da802217 ldw r10,136(sp) + 2b8d8: 103e0626 beq r2,zero,2b0f4 <_gp+0xfffee288> + 2b8dc: 5480000f ldh r18,0(r10) + 2b8e0: 52800104 addi r10,r10,4 + 2b8e4: da802215 stw r10,136(sp) + 2b8e8: 9027d7fa srai r19,r18,31 + 2b8ec: 9805883a mov r2,r19 + 2b8f0: 003db806 br 2afd4 <_gp+0xfffee168> + 2b8f4: a080040c andi r2,r20,16 + 2b8f8: 1000091e bne r2,zero,2b920 <___vfiprintf_internal_r+0x1138> + 2b8fc: a2c0100c andi r11,r20,64 + 2b900: 58000726 beq r11,zero,2b920 <___vfiprintf_internal_r+0x1138> + 2b904: da802217 ldw r10,136(sp) + 2b908: 50800017 ldw r2,0(r10) + 2b90c: 52800104 addi r10,r10,4 + 2b910: da802215 stw r10,136(sp) + 2b914: da802317 ldw r10,140(sp) + 2b918: 1280000d sth r10,0(r2) + 2b91c: 003be706 br 2a8bc <_gp+0xfffeda50> + 2b920: da802217 ldw r10,136(sp) + 2b924: 50800017 ldw r2,0(r10) + 2b928: 52800104 addi r10,r10,4 + 2b92c: da802215 stw r10,136(sp) + 2b930: da802317 ldw r10,140(sp) + 2b934: 12800015 stw r10,0(r2) + 2b938: 003be006 br 2a8bc <_gp+0xfffeda50> + 2b93c: a080100c andi r2,r20,64 + 2b940: da802217 ldw r10,136(sp) + 2b944: 10003026 beq r2,zero,2ba08 <___vfiprintf_internal_r+0x1220> + 2b948: 5480000b ldhu r18,0(r10) + 2b94c: 52800104 addi r10,r10,4 + 2b950: 0027883a mov r19,zero + 2b954: da802215 stw r10,136(sp) + 2b958: 003d8006 br 2af5c <_gp+0xfffee0f0> + 2b95c: 80c00007 ldb r3,0(r16) + 2b960: 003c0006 br 2a964 <_gp+0xfffedaf8> + 2b964: a080100c andi r2,r20,64 + 2b968: d8001d85 stb zero,118(sp) + 2b96c: da802217 ldw r10,136(sp) + 2b970: 1000201e bne r2,zero,2b9f4 <___vfiprintf_internal_r+0x120c> + 2b974: 50800104 addi r2,r10,4 + 2b978: 54800017 ldw r18,0(r10) + 2b97c: 0027883a mov r19,zero + 2b980: 483def0e bge r9,zero,2b140 <_gp+0xfffee2d4> + 2b984: 94c6b03a or r3,r18,r19 + 2b988: d8802215 stw r2,136(sp) + 2b98c: 183d4e1e bne r3,zero,2aec8 <_gp+0xfffee05c> + 2b990: 0039883a mov fp,zero + 2b994: 0005883a mov r2,zero + 2b998: 003e0606 br 2b1b4 <_gp+0xfffee348> + 2b99c: d9402117 ldw r5,132(sp) + 2b9a0: d9002017 ldw r4,128(sp) + 2b9a4: d9801a04 addi r6,sp,104 + 2b9a8: da402c15 stw r9,176(sp) + 2b9ac: db802a15 stw r14,168(sp) + 2b9b0: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2b9b4: da402c17 ldw r9,176(sp) + 2b9b8: db802a17 ldw r14,168(sp) + 2b9bc: 103ede1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2b9c0: d9401b17 ldw r5,108(sp) + 2b9c4: d8801c17 ldw r2,112(sp) + 2b9c8: d811883a mov r8,sp + 2b9cc: 29000044 addi r4,r5,1 + 2b9d0: 003e7406 br 2b3a4 <_gp+0xfffee538> + 2b9d4: 00bfffc4 movi r2,-1 + 2b9d8: 003c5806 br 2ab3c <_gp+0xfffedcd0> + 2b9dc: d811883a mov r8,sp + 2b9e0: 003ee806 br 2b584 <_gp+0xfffee718> + 2b9e4: 000b883a mov r5,zero + 2b9e8: 01000044 movi r4,1 + 2b9ec: d811883a mov r8,sp + 2b9f0: 003e7c06 br 2b3e4 <_gp+0xfffee578> + 2b9f4: 50800104 addi r2,r10,4 + 2b9f8: 5480000b ldhu r18,0(r10) + 2b9fc: 0027883a mov r19,zero + 2ba00: 483dcf0e bge r9,zero,2b140 <_gp+0xfffee2d4> + 2ba04: 003fdf06 br 2b984 <_gp+0xfffeeb18> + 2ba08: 54800017 ldw r18,0(r10) + 2ba0c: 52800104 addi r10,r10,4 + 2ba10: 0027883a mov r19,zero + 2ba14: da802215 stw r10,136(sp) + 2ba18: 003d5006 br 2af5c <_gp+0xfffee0f0> + 2ba1c: 50800104 addi r2,r10,4 + 2ba20: 5480000b ldhu r18,0(r10) + 2ba24: 0027883a mov r19,zero + 2ba28: 483f7d0e bge r9,zero,2b820 <_gp+0xfffee9b4> + 2ba2c: 003ddc06 br 2b1a0 <_gp+0xfffee334> + 2ba30: d8c02215 stw r3,136(sp) + 2ba34: 0039883a mov fp,zero + 2ba38: 003ddb06 br 2b1a8 <_gp+0xfffee33c> + 2ba3c: 028000f4 movhi r10,3 + 2ba40: 528ab304 addi r10,r10,10956 + 2ba44: da802415 stw r10,144(sp) + 2ba48: 003e8306 br 2b458 <_gp+0xfffee5ec> + 2ba4c: d8801c17 ldw r2,112(sp) + 2ba50: dd002117 ldw r20,132(sp) + 2ba54: 103eb926 beq r2,zero,2b53c <_gp+0xfffee6d0> + 2ba58: d9002017 ldw r4,128(sp) + 2ba5c: d9801a04 addi r6,sp,104 + 2ba60: a00b883a mov r5,r20 + 2ba64: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2ba68: 003eb406 br 2b53c <_gp+0xfffee6d0> + 2ba6c: 80c00043 ldbu r3,1(r16) + 2ba70: a5000814 ori r20,r20,32 + 2ba74: 84000044 addi r16,r16,1 + 2ba78: 18c03fcc andi r3,r3,255 + 2ba7c: 18c0201c xori r3,r3,128 + 2ba80: 18ffe004 addi r3,r3,-128 + 2ba84: 003bb706 br 2a964 <_gp+0xfffedaf8> + 2ba88: a809883a mov r4,r21 + 2ba8c: d8c02a15 stw r3,168(sp) + 2ba90: da002b15 stw r8,172(sp) + 2ba94: 002376c0 call 2376c + 2ba98: d8c02a17 ldw r3,168(sp) + 2ba9c: 1027883a mov r19,r2 + 2baa0: df001d83 ldbu fp,118(sp) + 2baa4: d8c02215 stw r3,136(sp) + 2baa8: 0013883a mov r9,zero + 2baac: da002b17 ldw r8,172(sp) + 2bab0: 003c4d06 br 2abe8 <_gp+0xfffedd7c> + 2bab4: d9402117 ldw r5,132(sp) + 2bab8: d9002017 ldw r4,128(sp) + 2babc: d9801a04 addi r6,sp,104 + 2bac0: da402c15 stw r9,176(sp) + 2bac4: 002a6d40 call 2a6d4 <__sprint_r.part.0> + 2bac8: da402c17 ldw r9,176(sp) + 2bacc: 103e9a1e bne r2,zero,2b538 <_gp+0xfffee6cc> + 2bad0: d9401b17 ldw r5,108(sp) + 2bad4: d8801c17 ldw r2,112(sp) + 2bad8: d811883a mov r8,sp + 2badc: 29000044 addi r4,r5,1 + 2bae0: 003e4206 br 2b3ec <_gp+0xfffee580> + 2bae4: d9401b17 ldw r5,108(sp) + 2bae8: 010000f4 movhi r4,3 + 2baec: 210ab704 addi r4,r4,10972 + 2baf0: d9002415 stw r4,144(sp) + 2baf4: 29400044 addi r5,r5,1 + 2baf8: 003c6d06 br 2acb0 <_gp+0xfffede44> + 2bafc: 0039883a mov fp,zero + 2bb00: 00800084 movi r2,2 + 2bb04: 10803fcc andi r2,r2,255 + 2bb08: 01000044 movi r4,1 + 2bb0c: 11001e26 beq r2,r4,2bb88 <___vfiprintf_internal_r+0x13a0> + 2bb10: 01000084 movi r4,2 + 2bb14: 11001e1e bne r2,r4,2bb90 <___vfiprintf_internal_r+0x13a8> + 2bb18: 1829883a mov r20,r3 + 2bb1c: 003eea06 br 2b6c8 <_gp+0xfffee85c> + 2bb20: a007883a mov r3,r20 + 2bb24: 00800044 movi r2,1 + 2bb28: 003ff606 br 2bb04 <_gp+0xfffeec98> + 2bb2c: 00800184 movi r2,6 + 2bb30: 1240012e bgeu r2,r9,2bb38 <___vfiprintf_internal_r+0x1350> + 2bb34: 1013883a mov r9,r2 + 2bb38: 4827883a mov r19,r9 + 2bb3c: 4825883a mov r18,r9 + 2bb40: 48001516 blt r9,zero,2bb98 <___vfiprintf_internal_r+0x13b0> + 2bb44: 054000f4 movhi r21,3 + 2bb48: d8c02215 stw r3,136(sp) + 2bb4c: ad4a5804 addi r21,r21,10592 + 2bb50: 003d1406 br 2afa4 <_gp+0xfffee138> + 2bb54: 028000f4 movhi r10,3 + 2bb58: 528ab304 addi r10,r10,10956 + 2bb5c: da802415 stw r10,144(sp) + 2bb60: 200d883a mov r6,r4 + 2bb64: 003c9106 br 2adac <_gp+0xfffedf40> + 2bb68: 5021883a mov r16,r10 + 2bb6c: 0013883a mov r9,zero + 2bb70: 003b7d06 br 2a968 <_gp+0xfffedafc> + 2bb74: 4827883a mov r19,r9 + 2bb78: df001d83 ldbu fp,118(sp) + 2bb7c: d8c02215 stw r3,136(sp) + 2bb80: 0013883a mov r9,zero + 2bb84: 003c1806 br 2abe8 <_gp+0xfffedd7c> + 2bb88: 1829883a mov r20,r3 + 2bb8c: 003d1806 br 2aff0 <_gp+0xfffee184> + 2bb90: 1829883a mov r20,r3 + 2bb94: 003ccd06 br 2aecc <_gp+0xfffee060> + 2bb98: 0025883a mov r18,zero + 2bb9c: 003fe906 br 2bb44 <_gp+0xfffeecd8> + 2bba0: d8802217 ldw r2,136(sp) + 2bba4: 80c00043 ldbu r3,1(r16) + 2bba8: 5021883a mov r16,r10 + 2bbac: 12400017 ldw r9,0(r2) + 2bbb0: 10800104 addi r2,r2,4 + 2bbb4: d8802215 stw r2,136(sp) + 2bbb8: 483faf0e bge r9,zero,2ba78 <_gp+0xfffeec0c> + 2bbbc: 18c03fcc andi r3,r3,255 + 2bbc0: 18c0201c xori r3,r3,128 + 2bbc4: 027fffc4 movi r9,-1 + 2bbc8: 18ffe004 addi r3,r3,-128 + 2bbcc: 003b6506 br 2a964 <_gp+0xfffedaf8> + 2bbd0: d9c01d85 stb r7,118(sp) + 2bbd4: 003ca006 br 2ae58 <_gp+0xfffedfec> + 2bbd8: d9c01d85 stb r7,118(sp) + 2bbdc: 003cad06 br 2ae94 <_gp+0xfffee028> + 2bbe0: d9c01d85 stb r7,118(sp) + 2bbe4: 003d7d06 br 2b1dc <_gp+0xfffee370> + 2bbe8: d9c01d85 stb r7,118(sp) + 2bbec: 003d5f06 br 2b16c <_gp+0xfffee300> + 2bbf0: a080004c andi r2,r20,1 + 2bbf4: 0039883a mov fp,zero + 2bbf8: 10000526 beq r2,zero,2bc10 <___vfiprintf_internal_r+0x1428> + 2bbfc: 00800c04 movi r2,48 + 2bc00: d88019c5 stb r2,103(sp) + 2bc04: dcc02717 ldw r19,156(sp) + 2bc08: dd4019c4 addi r21,sp,103 + 2bc0c: 003bf606 br 2abe8 <_gp+0xfffedd7c> + 2bc10: 0027883a mov r19,zero + 2bc14: dd401a04 addi r21,sp,104 + 2bc18: 003bf306 br 2abe8 <_gp+0xfffedd7c> + 2bc1c: d9c01d85 stb r7,118(sp) + 2bc20: 003dc806 br 2b344 <_gp+0xfffee4d8> + 2bc24: d9c01d85 stb r7,118(sp) + 2bc28: 003d3a06 br 2b114 <_gp+0xfffee2a8> + 2bc2c: d9c01d85 stb r7,118(sp) + 2bc30: 003d2a06 br 2b0dc <_gp+0xfffee270> + 2bc34: d9c01d85 stb r7,118(sp) + 2bc38: 003cde06 br 2afb4 <_gp+0xfffee148> + 2bc3c: d9c01d85 stb r7,118(sp) + 2bc40: 003cbc06 br 2af34 <_gp+0xfffee0c8> -0002b490 <__vfiprintf_internal>: - 2b490: 008000f4 movhi r2,3 - 2b494: 10915404 addi r2,r2,17744 - 2b498: 300f883a mov r7,r6 - 2b49c: 280d883a mov r6,r5 - 2b4a0: 200b883a mov r5,r4 - 2b4a4: 11000017 ldw r4,0(r2) - 2b4a8: 002a0341 jmpi 2a034 <___vfiprintf_internal_r> +0002bc44 <__vfiprintf_internal>: + 2bc44: 008000f4 movhi r2,3 + 2bc48: 10939f04 addi r2,r2,20092 + 2bc4c: 300f883a mov r7,r6 + 2bc50: 280d883a mov r6,r5 + 2bc54: 200b883a mov r5,r4 + 2bc58: 11000017 ldw r4,0(r2) + 2bc5c: 002a7e81 jmpi 2a7e8 <___vfiprintf_internal_r> -0002b4ac <__sbprintf>: - 2b4ac: 2880030b ldhu r2,12(r5) - 2b4b0: 2ac01917 ldw r11,100(r5) - 2b4b4: 2a80038b ldhu r10,14(r5) - 2b4b8: 2a400717 ldw r9,28(r5) - 2b4bc: 2a000917 ldw r8,36(r5) - 2b4c0: defee204 addi sp,sp,-1144 - 2b4c4: 00c10004 movi r3,1024 - 2b4c8: dc011a15 stw r16,1128(sp) - 2b4cc: 10bfff4c andi r2,r2,65533 - 2b4d0: 2821883a mov r16,r5 - 2b4d4: d8cb883a add r5,sp,r3 - 2b4d8: dc811c15 stw r18,1136(sp) - 2b4dc: dc411b15 stw r17,1132(sp) - 2b4e0: dfc11d15 stw ra,1140(sp) - 2b4e4: 2025883a mov r18,r4 - 2b4e8: d881030d sth r2,1036(sp) - 2b4ec: dac11915 stw r11,1124(sp) - 2b4f0: da81038d sth r10,1038(sp) - 2b4f4: da410715 stw r9,1052(sp) - 2b4f8: da010915 stw r8,1060(sp) - 2b4fc: dec10015 stw sp,1024(sp) - 2b500: dec10415 stw sp,1040(sp) - 2b504: d8c10215 stw r3,1032(sp) - 2b508: d8c10515 stw r3,1044(sp) - 2b50c: d8010615 stw zero,1048(sp) - 2b510: 002a0340 call 2a034 <___vfiprintf_internal_r> - 2b514: 1023883a mov r17,r2 - 2b518: 10000416 blt r2,zero,2b52c <__sbprintf+0x80> - 2b51c: d9410004 addi r5,sp,1024 - 2b520: 9009883a mov r4,r18 - 2b524: 00271080 call 27108 <_fflush_r> - 2b528: 10000d1e bne r2,zero,2b560 <__sbprintf+0xb4> - 2b52c: d881030b ldhu r2,1036(sp) - 2b530: 1080100c andi r2,r2,64 - 2b534: 10000326 beq r2,zero,2b544 <__sbprintf+0x98> - 2b538: 8080030b ldhu r2,12(r16) - 2b53c: 10801014 ori r2,r2,64 - 2b540: 8080030d sth r2,12(r16) - 2b544: 8805883a mov r2,r17 - 2b548: dfc11d17 ldw ra,1140(sp) - 2b54c: dc811c17 ldw r18,1136(sp) - 2b550: dc411b17 ldw r17,1132(sp) - 2b554: dc011a17 ldw r16,1128(sp) - 2b558: dec11e04 addi sp,sp,1144 - 2b55c: f800283a ret - 2b560: 047fffc4 movi r17,-1 - 2b564: 003ff106 br 2b52c <_gp+0xfffeeff4> +0002bc60 <__sbprintf>: + 2bc60: 2880030b ldhu r2,12(r5) + 2bc64: 2ac01917 ldw r11,100(r5) + 2bc68: 2a80038b ldhu r10,14(r5) + 2bc6c: 2a400717 ldw r9,28(r5) + 2bc70: 2a000917 ldw r8,36(r5) + 2bc74: defee204 addi sp,sp,-1144 + 2bc78: 00c10004 movi r3,1024 + 2bc7c: dc011a15 stw r16,1128(sp) + 2bc80: 10bfff4c andi r2,r2,65533 + 2bc84: 2821883a mov r16,r5 + 2bc88: d8cb883a add r5,sp,r3 + 2bc8c: dc811c15 stw r18,1136(sp) + 2bc90: dc411b15 stw r17,1132(sp) + 2bc94: dfc11d15 stw ra,1140(sp) + 2bc98: 2025883a mov r18,r4 + 2bc9c: d881030d sth r2,1036(sp) + 2bca0: dac11915 stw r11,1124(sp) + 2bca4: da81038d sth r10,1038(sp) + 2bca8: da410715 stw r9,1052(sp) + 2bcac: da010915 stw r8,1060(sp) + 2bcb0: dec10015 stw sp,1024(sp) + 2bcb4: dec10415 stw sp,1040(sp) + 2bcb8: d8c10215 stw r3,1032(sp) + 2bcbc: d8c10515 stw r3,1044(sp) + 2bcc0: d8010615 stw zero,1048(sp) + 2bcc4: 002a7e80 call 2a7e8 <___vfiprintf_internal_r> + 2bcc8: 1023883a mov r17,r2 + 2bccc: 10000416 blt r2,zero,2bce0 <__sbprintf+0x80> + 2bcd0: d9410004 addi r5,sp,1024 + 2bcd4: 9009883a mov r4,r18 + 2bcd8: 00276cc0 call 276cc <_fflush_r> + 2bcdc: 10000d1e bne r2,zero,2bd14 <__sbprintf+0xb4> + 2bce0: d881030b ldhu r2,1036(sp) + 2bce4: 1080100c andi r2,r2,64 + 2bce8: 10000326 beq r2,zero,2bcf8 <__sbprintf+0x98> + 2bcec: 8080030b ldhu r2,12(r16) + 2bcf0: 10801014 ori r2,r2,64 + 2bcf4: 8080030d sth r2,12(r16) + 2bcf8: 8805883a mov r2,r17 + 2bcfc: dfc11d17 ldw ra,1140(sp) + 2bd00: dc811c17 ldw r18,1136(sp) + 2bd04: dc411b17 ldw r17,1132(sp) + 2bd08: dc011a17 ldw r16,1128(sp) + 2bd0c: dec11e04 addi sp,sp,1144 + 2bd10: f800283a ret + 2bd14: 047fffc4 movi r17,-1 + 2bd18: 003ff106 br 2bce0 <_gp+0xfffeee74> -0002b568 <__swbuf_r>: - 2b568: defffb04 addi sp,sp,-20 - 2b56c: dcc00315 stw r19,12(sp) - 2b570: dc800215 stw r18,8(sp) - 2b574: dc000015 stw r16,0(sp) - 2b578: dfc00415 stw ra,16(sp) - 2b57c: dc400115 stw r17,4(sp) - 2b580: 2025883a mov r18,r4 - 2b584: 2827883a mov r19,r5 - 2b588: 3021883a mov r16,r6 - 2b58c: 20000226 beq r4,zero,2b598 <__swbuf_r+0x30> - 2b590: 20800e17 ldw r2,56(r4) - 2b594: 10004226 beq r2,zero,2b6a0 <__swbuf_r+0x138> - 2b598: 80800617 ldw r2,24(r16) - 2b59c: 8100030b ldhu r4,12(r16) - 2b5a0: 80800215 stw r2,8(r16) - 2b5a4: 2080020c andi r2,r4,8 - 2b5a8: 10003626 beq r2,zero,2b684 <__swbuf_r+0x11c> - 2b5ac: 80c00417 ldw r3,16(r16) - 2b5b0: 18003426 beq r3,zero,2b684 <__swbuf_r+0x11c> - 2b5b4: 2088000c andi r2,r4,8192 - 2b5b8: 9c403fcc andi r17,r19,255 - 2b5bc: 10001a26 beq r2,zero,2b628 <__swbuf_r+0xc0> - 2b5c0: 80800017 ldw r2,0(r16) - 2b5c4: 81000517 ldw r4,20(r16) - 2b5c8: 10c7c83a sub r3,r2,r3 - 2b5cc: 1900200e bge r3,r4,2b650 <__swbuf_r+0xe8> - 2b5d0: 18c00044 addi r3,r3,1 - 2b5d4: 81000217 ldw r4,8(r16) - 2b5d8: 11400044 addi r5,r2,1 - 2b5dc: 81400015 stw r5,0(r16) - 2b5e0: 213fffc4 addi r4,r4,-1 - 2b5e4: 81000215 stw r4,8(r16) - 2b5e8: 14c00005 stb r19,0(r2) - 2b5ec: 80800517 ldw r2,20(r16) - 2b5f0: 10c01e26 beq r2,r3,2b66c <__swbuf_r+0x104> - 2b5f4: 8080030b ldhu r2,12(r16) - 2b5f8: 1080004c andi r2,r2,1 - 2b5fc: 10000226 beq r2,zero,2b608 <__swbuf_r+0xa0> - 2b600: 00800284 movi r2,10 - 2b604: 88801926 beq r17,r2,2b66c <__swbuf_r+0x104> - 2b608: 8805883a mov r2,r17 - 2b60c: dfc00417 ldw ra,16(sp) - 2b610: dcc00317 ldw r19,12(sp) - 2b614: dc800217 ldw r18,8(sp) - 2b618: dc400117 ldw r17,4(sp) - 2b61c: dc000017 ldw r16,0(sp) - 2b620: dec00504 addi sp,sp,20 - 2b624: f800283a ret - 2b628: 81401917 ldw r5,100(r16) - 2b62c: 00b7ffc4 movi r2,-8193 - 2b630: 21080014 ori r4,r4,8192 - 2b634: 2884703a and r2,r5,r2 - 2b638: 80801915 stw r2,100(r16) - 2b63c: 80800017 ldw r2,0(r16) - 2b640: 8100030d sth r4,12(r16) - 2b644: 81000517 ldw r4,20(r16) - 2b648: 10c7c83a sub r3,r2,r3 - 2b64c: 193fe016 blt r3,r4,2b5d0 <_gp+0xfffef098> - 2b650: 800b883a mov r5,r16 - 2b654: 9009883a mov r4,r18 - 2b658: 00271080 call 27108 <_fflush_r> - 2b65c: 1000071e bne r2,zero,2b67c <__swbuf_r+0x114> - 2b660: 80800017 ldw r2,0(r16) - 2b664: 00c00044 movi r3,1 - 2b668: 003fda06 br 2b5d4 <_gp+0xfffef09c> - 2b66c: 800b883a mov r5,r16 - 2b670: 9009883a mov r4,r18 - 2b674: 00271080 call 27108 <_fflush_r> - 2b678: 103fe326 beq r2,zero,2b608 <_gp+0xfffef0d0> - 2b67c: 00bfffc4 movi r2,-1 - 2b680: 003fe206 br 2b60c <_gp+0xfffef0d4> - 2b684: 800b883a mov r5,r16 - 2b688: 9009883a mov r4,r18 - 2b68c: 00255100 call 25510 <__swsetup_r> - 2b690: 103ffa1e bne r2,zero,2b67c <_gp+0xfffef144> - 2b694: 8100030b ldhu r4,12(r16) - 2b698: 80c00417 ldw r3,16(r16) - 2b69c: 003fc506 br 2b5b4 <_gp+0xfffef07c> - 2b6a0: 00274e40 call 274e4 <__sinit> - 2b6a4: 003fbc06 br 2b598 <_gp+0xfffef060> +0002bd1c <__swbuf_r>: + 2bd1c: defffb04 addi sp,sp,-20 + 2bd20: dcc00315 stw r19,12(sp) + 2bd24: dc800215 stw r18,8(sp) + 2bd28: dc000015 stw r16,0(sp) + 2bd2c: dfc00415 stw ra,16(sp) + 2bd30: dc400115 stw r17,4(sp) + 2bd34: 2025883a mov r18,r4 + 2bd38: 2827883a mov r19,r5 + 2bd3c: 3021883a mov r16,r6 + 2bd40: 20000226 beq r4,zero,2bd4c <__swbuf_r+0x30> + 2bd44: 20800e17 ldw r2,56(r4) + 2bd48: 10004226 beq r2,zero,2be54 <__swbuf_r+0x138> + 2bd4c: 80800617 ldw r2,24(r16) + 2bd50: 8100030b ldhu r4,12(r16) + 2bd54: 80800215 stw r2,8(r16) + 2bd58: 2080020c andi r2,r4,8 + 2bd5c: 10003626 beq r2,zero,2be38 <__swbuf_r+0x11c> + 2bd60: 80c00417 ldw r3,16(r16) + 2bd64: 18003426 beq r3,zero,2be38 <__swbuf_r+0x11c> + 2bd68: 2088000c andi r2,r4,8192 + 2bd6c: 9c403fcc andi r17,r19,255 + 2bd70: 10001a26 beq r2,zero,2bddc <__swbuf_r+0xc0> + 2bd74: 80800017 ldw r2,0(r16) + 2bd78: 81000517 ldw r4,20(r16) + 2bd7c: 10c7c83a sub r3,r2,r3 + 2bd80: 1900200e bge r3,r4,2be04 <__swbuf_r+0xe8> + 2bd84: 18c00044 addi r3,r3,1 + 2bd88: 81000217 ldw r4,8(r16) + 2bd8c: 11400044 addi r5,r2,1 + 2bd90: 81400015 stw r5,0(r16) + 2bd94: 213fffc4 addi r4,r4,-1 + 2bd98: 81000215 stw r4,8(r16) + 2bd9c: 14c00005 stb r19,0(r2) + 2bda0: 80800517 ldw r2,20(r16) + 2bda4: 10c01e26 beq r2,r3,2be20 <__swbuf_r+0x104> + 2bda8: 8080030b ldhu r2,12(r16) + 2bdac: 1080004c andi r2,r2,1 + 2bdb0: 10000226 beq r2,zero,2bdbc <__swbuf_r+0xa0> + 2bdb4: 00800284 movi r2,10 + 2bdb8: 88801926 beq r17,r2,2be20 <__swbuf_r+0x104> + 2bdbc: 8805883a mov r2,r17 + 2bdc0: dfc00417 ldw ra,16(sp) + 2bdc4: dcc00317 ldw r19,12(sp) + 2bdc8: dc800217 ldw r18,8(sp) + 2bdcc: dc400117 ldw r17,4(sp) + 2bdd0: dc000017 ldw r16,0(sp) + 2bdd4: dec00504 addi sp,sp,20 + 2bdd8: f800283a ret + 2bddc: 81401917 ldw r5,100(r16) + 2bde0: 00b7ffc4 movi r2,-8193 + 2bde4: 21080014 ori r4,r4,8192 + 2bde8: 2884703a and r2,r5,r2 + 2bdec: 80801915 stw r2,100(r16) + 2bdf0: 80800017 ldw r2,0(r16) + 2bdf4: 8100030d sth r4,12(r16) + 2bdf8: 81000517 ldw r4,20(r16) + 2bdfc: 10c7c83a sub r3,r2,r3 + 2be00: 193fe016 blt r3,r4,2bd84 <_gp+0xfffeef18> + 2be04: 800b883a mov r5,r16 + 2be08: 9009883a mov r4,r18 + 2be0c: 00276cc0 call 276cc <_fflush_r> + 2be10: 1000071e bne r2,zero,2be30 <__swbuf_r+0x114> + 2be14: 80800017 ldw r2,0(r16) + 2be18: 00c00044 movi r3,1 + 2be1c: 003fda06 br 2bd88 <_gp+0xfffeef1c> + 2be20: 800b883a mov r5,r16 + 2be24: 9009883a mov r4,r18 + 2be28: 00276cc0 call 276cc <_fflush_r> + 2be2c: 103fe326 beq r2,zero,2bdbc <_gp+0xfffeef50> + 2be30: 00bfffc4 movi r2,-1 + 2be34: 003fe206 br 2bdc0 <_gp+0xfffeef54> + 2be38: 800b883a mov r5,r16 + 2be3c: 9009883a mov r4,r18 + 2be40: 0025ad40 call 25ad4 <__swsetup_r> + 2be44: 103ffa1e bne r2,zero,2be30 <_gp+0xfffeefc4> + 2be48: 8100030b ldhu r4,12(r16) + 2be4c: 80c00417 ldw r3,16(r16) + 2be50: 003fc506 br 2bd68 <_gp+0xfffeeefc> + 2be54: 0027aa80 call 27aa8 <__sinit> + 2be58: 003fbc06 br 2bd4c <_gp+0xfffeeee0> -0002b6a8 <__swbuf>: - 2b6a8: 008000f4 movhi r2,3 - 2b6ac: 10915404 addi r2,r2,17744 - 2b6b0: 280d883a mov r6,r5 - 2b6b4: 200b883a mov r5,r4 - 2b6b8: 11000017 ldw r4,0(r2) - 2b6bc: 002b5681 jmpi 2b568 <__swbuf_r> +0002be5c <__swbuf>: + 2be5c: 008000f4 movhi r2,3 + 2be60: 10939f04 addi r2,r2,20092 + 2be64: 280d883a mov r6,r5 + 2be68: 200b883a mov r5,r4 + 2be6c: 11000017 ldw r4,0(r2) + 2be70: 002bd1c1 jmpi 2bd1c <__swbuf_r> -0002b6c0 <_write_r>: - 2b6c0: defffd04 addi sp,sp,-12 - 2b6c4: 2805883a mov r2,r5 - 2b6c8: dc000015 stw r16,0(sp) - 2b6cc: 040000f4 movhi r16,3 - 2b6d0: dc400115 stw r17,4(sp) - 2b6d4: 300b883a mov r5,r6 - 2b6d8: 841a0c04 addi r16,r16,26672 - 2b6dc: 2023883a mov r17,r4 - 2b6e0: 380d883a mov r6,r7 - 2b6e4: 1009883a mov r4,r2 - 2b6e8: dfc00215 stw ra,8(sp) - 2b6ec: 80000015 stw zero,0(r16) - 2b6f0: 002fa4c0 call 2fa4c - 2b6f4: 00ffffc4 movi r3,-1 - 2b6f8: 10c00526 beq r2,r3,2b710 <_write_r+0x50> - 2b6fc: dfc00217 ldw ra,8(sp) - 2b700: dc400117 ldw r17,4(sp) - 2b704: dc000017 ldw r16,0(sp) - 2b708: dec00304 addi sp,sp,12 - 2b70c: f800283a ret - 2b710: 80c00017 ldw r3,0(r16) - 2b714: 183ff926 beq r3,zero,2b6fc <_gp+0xfffef1c4> - 2b718: 88c00015 stw r3,0(r17) - 2b71c: 003ff706 br 2b6fc <_gp+0xfffef1c4> +0002be74 <_write_r>: + 2be74: defffd04 addi sp,sp,-12 + 2be78: 2805883a mov r2,r5 + 2be7c: dc000015 stw r16,0(sp) + 2be80: 040000f4 movhi r16,3 + 2be84: dc400115 stw r17,4(sp) + 2be88: 300b883a mov r5,r6 + 2be8c: 841c5904 addi r16,r16,29028 + 2be90: 2023883a mov r17,r4 + 2be94: 380d883a mov r6,r7 + 2be98: 1009883a mov r4,r2 + 2be9c: dfc00215 stw ra,8(sp) + 2bea0: 80000015 stw zero,0(r16) + 2bea4: 00303700 call 30370 + 2bea8: 00ffffc4 movi r3,-1 + 2beac: 10c00526 beq r2,r3,2bec4 <_write_r+0x50> + 2beb0: dfc00217 ldw ra,8(sp) + 2beb4: dc400117 ldw r17,4(sp) + 2beb8: dc000017 ldw r16,0(sp) + 2bebc: dec00304 addi sp,sp,12 + 2bec0: f800283a ret + 2bec4: 80c00017 ldw r3,0(r16) + 2bec8: 183ff926 beq r3,zero,2beb0 <_gp+0xfffef044> + 2becc: 88c00015 stw r3,0(r17) + 2bed0: 003ff706 br 2beb0 <_gp+0xfffef044> -0002b720 <_close_r>: - 2b720: defffd04 addi sp,sp,-12 - 2b724: dc000015 stw r16,0(sp) - 2b728: 040000f4 movhi r16,3 - 2b72c: dc400115 stw r17,4(sp) - 2b730: 841a0c04 addi r16,r16,26672 - 2b734: 2023883a mov r17,r4 - 2b738: 2809883a mov r4,r5 - 2b73c: dfc00215 stw ra,8(sp) - 2b740: 80000015 stw zero,0(r16) - 2b744: 002f0040 call 2f004 - 2b748: 00ffffc4 movi r3,-1 - 2b74c: 10c00526 beq r2,r3,2b764 <_close_r+0x44> - 2b750: dfc00217 ldw ra,8(sp) - 2b754: dc400117 ldw r17,4(sp) - 2b758: dc000017 ldw r16,0(sp) - 2b75c: dec00304 addi sp,sp,12 - 2b760: f800283a ret - 2b764: 80c00017 ldw r3,0(r16) - 2b768: 183ff926 beq r3,zero,2b750 <_gp+0xfffef218> - 2b76c: 88c00015 stw r3,0(r17) - 2b770: 003ff706 br 2b750 <_gp+0xfffef218> +0002bed4 <_close_r>: + 2bed4: defffd04 addi sp,sp,-12 + 2bed8: dc000015 stw r16,0(sp) + 2bedc: 040000f4 movhi r16,3 + 2bee0: dc400115 stw r17,4(sp) + 2bee4: 841c5904 addi r16,r16,29028 + 2bee8: 2023883a mov r17,r4 + 2beec: 2809883a mov r4,r5 + 2bef0: dfc00215 stw ra,8(sp) + 2bef4: 80000015 stw zero,0(r16) + 2bef8: 002f7b80 call 2f7b8 + 2befc: 00ffffc4 movi r3,-1 + 2bf00: 10c00526 beq r2,r3,2bf18 <_close_r+0x44> + 2bf04: dfc00217 ldw ra,8(sp) + 2bf08: dc400117 ldw r17,4(sp) + 2bf0c: dc000017 ldw r16,0(sp) + 2bf10: dec00304 addi sp,sp,12 + 2bf14: f800283a ret + 2bf18: 80c00017 ldw r3,0(r16) + 2bf1c: 183ff926 beq r3,zero,2bf04 <_gp+0xfffef098> + 2bf20: 88c00015 stw r3,0(r17) + 2bf24: 003ff706 br 2bf04 <_gp+0xfffef098> -0002b774 <_calloc_r>: - 2b774: 298b383a mul r5,r5,r6 - 2b778: defffe04 addi sp,sp,-8 - 2b77c: dfc00115 stw ra,4(sp) - 2b780: dc000015 stw r16,0(sp) - 2b784: 00226c00 call 226c0 <_malloc_r> - 2b788: 10002926 beq r2,zero,2b830 <_calloc_r+0xbc> - 2b78c: 11bfff17 ldw r6,-4(r2) - 2b790: 1021883a mov r16,r2 - 2b794: 00bfff04 movi r2,-4 - 2b798: 308c703a and r6,r6,r2 - 2b79c: 00c00904 movi r3,36 - 2b7a0: 308d883a add r6,r6,r2 - 2b7a4: 19801636 bltu r3,r6,2b800 <_calloc_r+0x8c> - 2b7a8: 008004c4 movi r2,19 - 2b7ac: 11800b2e bgeu r2,r6,2b7dc <_calloc_r+0x68> - 2b7b0: 80000015 stw zero,0(r16) - 2b7b4: 80000115 stw zero,4(r16) - 2b7b8: 008006c4 movi r2,27 - 2b7bc: 11801a2e bgeu r2,r6,2b828 <_calloc_r+0xb4> - 2b7c0: 80000215 stw zero,8(r16) - 2b7c4: 80000315 stw zero,12(r16) - 2b7c8: 30c0151e bne r6,r3,2b820 <_calloc_r+0xac> - 2b7cc: 80000415 stw zero,16(r16) - 2b7d0: 80800604 addi r2,r16,24 - 2b7d4: 80000515 stw zero,20(r16) - 2b7d8: 00000106 br 2b7e0 <_calloc_r+0x6c> - 2b7dc: 8005883a mov r2,r16 - 2b7e0: 10000015 stw zero,0(r2) - 2b7e4: 10000115 stw zero,4(r2) - 2b7e8: 10000215 stw zero,8(r2) - 2b7ec: 8005883a mov r2,r16 - 2b7f0: dfc00117 ldw ra,4(sp) - 2b7f4: dc000017 ldw r16,0(sp) - 2b7f8: dec00204 addi sp,sp,8 - 2b7fc: f800283a ret - 2b800: 000b883a mov r5,zero - 2b804: 8009883a mov r4,r16 - 2b808: 0022ecc0 call 22ecc - 2b80c: 8005883a mov r2,r16 - 2b810: dfc00117 ldw ra,4(sp) - 2b814: dc000017 ldw r16,0(sp) - 2b818: dec00204 addi sp,sp,8 - 2b81c: f800283a ret - 2b820: 80800404 addi r2,r16,16 - 2b824: 003fee06 br 2b7e0 <_gp+0xfffef2a8> - 2b828: 80800204 addi r2,r16,8 - 2b82c: 003fec06 br 2b7e0 <_gp+0xfffef2a8> - 2b830: 0005883a mov r2,zero - 2b834: 003fee06 br 2b7f0 <_gp+0xfffef2b8> +0002bf28 <_calloc_r>: + 2bf28: 298b383a mul r5,r5,r6 + 2bf2c: defffe04 addi sp,sp,-8 + 2bf30: dfc00115 stw ra,4(sp) + 2bf34: dc000015 stw r16,0(sp) + 2bf38: 0022bfc0 call 22bfc <_malloc_r> + 2bf3c: 10002926 beq r2,zero,2bfe4 <_calloc_r+0xbc> + 2bf40: 11bfff17 ldw r6,-4(r2) + 2bf44: 1021883a mov r16,r2 + 2bf48: 00bfff04 movi r2,-4 + 2bf4c: 308c703a and r6,r6,r2 + 2bf50: 00c00904 movi r3,36 + 2bf54: 308d883a add r6,r6,r2 + 2bf58: 19801636 bltu r3,r6,2bfb4 <_calloc_r+0x8c> + 2bf5c: 008004c4 movi r2,19 + 2bf60: 11800b2e bgeu r2,r6,2bf90 <_calloc_r+0x68> + 2bf64: 80000015 stw zero,0(r16) + 2bf68: 80000115 stw zero,4(r16) + 2bf6c: 008006c4 movi r2,27 + 2bf70: 11801a2e bgeu r2,r6,2bfdc <_calloc_r+0xb4> + 2bf74: 80000215 stw zero,8(r16) + 2bf78: 80000315 stw zero,12(r16) + 2bf7c: 30c0151e bne r6,r3,2bfd4 <_calloc_r+0xac> + 2bf80: 80000415 stw zero,16(r16) + 2bf84: 80800604 addi r2,r16,24 + 2bf88: 80000515 stw zero,20(r16) + 2bf8c: 00000106 br 2bf94 <_calloc_r+0x6c> + 2bf90: 8005883a mov r2,r16 + 2bf94: 10000015 stw zero,0(r2) + 2bf98: 10000115 stw zero,4(r2) + 2bf9c: 10000215 stw zero,8(r2) + 2bfa0: 8005883a mov r2,r16 + 2bfa4: dfc00117 ldw ra,4(sp) + 2bfa8: dc000017 ldw r16,0(sp) + 2bfac: dec00204 addi sp,sp,8 + 2bfb0: f800283a ret + 2bfb4: 000b883a mov r5,zero + 2bfb8: 8009883a mov r4,r16 + 2bfbc: 00234080 call 23408 + 2bfc0: 8005883a mov r2,r16 + 2bfc4: dfc00117 ldw ra,4(sp) + 2bfc8: dc000017 ldw r16,0(sp) + 2bfcc: dec00204 addi sp,sp,8 + 2bfd0: f800283a ret + 2bfd4: 80800404 addi r2,r16,16 + 2bfd8: 003fee06 br 2bf94 <_gp+0xfffef128> + 2bfdc: 80800204 addi r2,r16,8 + 2bfe0: 003fec06 br 2bf94 <_gp+0xfffef128> + 2bfe4: 0005883a mov r2,zero + 2bfe8: 003fee06 br 2bfa4 <_gp+0xfffef138> -0002b838 <_fclose_r>: - 2b838: 28003926 beq r5,zero,2b920 <_fclose_r+0xe8> - 2b83c: defffc04 addi sp,sp,-16 - 2b840: dc400115 stw r17,4(sp) - 2b844: dc000015 stw r16,0(sp) - 2b848: dfc00315 stw ra,12(sp) - 2b84c: dc800215 stw r18,8(sp) - 2b850: 2023883a mov r17,r4 - 2b854: 2821883a mov r16,r5 - 2b858: 20000226 beq r4,zero,2b864 <_fclose_r+0x2c> - 2b85c: 20800e17 ldw r2,56(r4) - 2b860: 10002726 beq r2,zero,2b900 <_fclose_r+0xc8> - 2b864: 8080030f ldh r2,12(r16) - 2b868: 1000071e bne r2,zero,2b888 <_fclose_r+0x50> - 2b86c: 0005883a mov r2,zero - 2b870: dfc00317 ldw ra,12(sp) - 2b874: dc800217 ldw r18,8(sp) - 2b878: dc400117 ldw r17,4(sp) - 2b87c: dc000017 ldw r16,0(sp) - 2b880: dec00404 addi sp,sp,16 - 2b884: f800283a ret - 2b888: 800b883a mov r5,r16 - 2b88c: 8809883a mov r4,r17 - 2b890: 0026eec0 call 26eec <__sflush_r> - 2b894: 1025883a mov r18,r2 - 2b898: 80800b17 ldw r2,44(r16) - 2b89c: 10000426 beq r2,zero,2b8b0 <_fclose_r+0x78> - 2b8a0: 81400717 ldw r5,28(r16) - 2b8a4: 8809883a mov r4,r17 - 2b8a8: 103ee83a callr r2 - 2b8ac: 10001616 blt r2,zero,2b908 <_fclose_r+0xd0> - 2b8b0: 8080030b ldhu r2,12(r16) - 2b8b4: 1080200c andi r2,r2,128 - 2b8b8: 1000151e bne r2,zero,2b910 <_fclose_r+0xd8> - 2b8bc: 81400c17 ldw r5,48(r16) - 2b8c0: 28000526 beq r5,zero,2b8d8 <_fclose_r+0xa0> - 2b8c4: 80801004 addi r2,r16,64 - 2b8c8: 28800226 beq r5,r2,2b8d4 <_fclose_r+0x9c> - 2b8cc: 8809883a mov r4,r17 - 2b8d0: 00276580 call 27658 <_free_r> - 2b8d4: 80000c15 stw zero,48(r16) - 2b8d8: 81401117 ldw r5,68(r16) - 2b8dc: 28000326 beq r5,zero,2b8ec <_fclose_r+0xb4> - 2b8e0: 8809883a mov r4,r17 - 2b8e4: 00276580 call 27658 <_free_r> - 2b8e8: 80001115 stw zero,68(r16) - 2b8ec: 00274f40 call 274f4 <__sfp_lock_acquire> - 2b8f0: 8000030d sth zero,12(r16) - 2b8f4: 00274f80 call 274f8 <__sfp_lock_release> - 2b8f8: 9005883a mov r2,r18 - 2b8fc: 003fdc06 br 2b870 <_gp+0xfffef338> - 2b900: 00274e40 call 274e4 <__sinit> - 2b904: 003fd706 br 2b864 <_gp+0xfffef32c> - 2b908: 04bfffc4 movi r18,-1 - 2b90c: 003fe806 br 2b8b0 <_gp+0xfffef378> - 2b910: 81400417 ldw r5,16(r16) - 2b914: 8809883a mov r4,r17 - 2b918: 00276580 call 27658 <_free_r> - 2b91c: 003fe706 br 2b8bc <_gp+0xfffef384> - 2b920: 0005883a mov r2,zero - 2b924: f800283a ret +0002bfec <_fclose_r>: + 2bfec: 28003926 beq r5,zero,2c0d4 <_fclose_r+0xe8> + 2bff0: defffc04 addi sp,sp,-16 + 2bff4: dc400115 stw r17,4(sp) + 2bff8: dc000015 stw r16,0(sp) + 2bffc: dfc00315 stw ra,12(sp) + 2c000: dc800215 stw r18,8(sp) + 2c004: 2023883a mov r17,r4 + 2c008: 2821883a mov r16,r5 + 2c00c: 20000226 beq r4,zero,2c018 <_fclose_r+0x2c> + 2c010: 20800e17 ldw r2,56(r4) + 2c014: 10002726 beq r2,zero,2c0b4 <_fclose_r+0xc8> + 2c018: 8080030f ldh r2,12(r16) + 2c01c: 1000071e bne r2,zero,2c03c <_fclose_r+0x50> + 2c020: 0005883a mov r2,zero + 2c024: dfc00317 ldw ra,12(sp) + 2c028: dc800217 ldw r18,8(sp) + 2c02c: dc400117 ldw r17,4(sp) + 2c030: dc000017 ldw r16,0(sp) + 2c034: dec00404 addi sp,sp,16 + 2c038: f800283a ret + 2c03c: 800b883a mov r5,r16 + 2c040: 8809883a mov r4,r17 + 2c044: 00274b00 call 274b0 <__sflush_r> + 2c048: 1025883a mov r18,r2 + 2c04c: 80800b17 ldw r2,44(r16) + 2c050: 10000426 beq r2,zero,2c064 <_fclose_r+0x78> + 2c054: 81400717 ldw r5,28(r16) + 2c058: 8809883a mov r4,r17 + 2c05c: 103ee83a callr r2 + 2c060: 10001616 blt r2,zero,2c0bc <_fclose_r+0xd0> + 2c064: 8080030b ldhu r2,12(r16) + 2c068: 1080200c andi r2,r2,128 + 2c06c: 1000151e bne r2,zero,2c0c4 <_fclose_r+0xd8> + 2c070: 81400c17 ldw r5,48(r16) + 2c074: 28000526 beq r5,zero,2c08c <_fclose_r+0xa0> + 2c078: 80801004 addi r2,r16,64 + 2c07c: 28800226 beq r5,r2,2c088 <_fclose_r+0x9c> + 2c080: 8809883a mov r4,r17 + 2c084: 0027c1c0 call 27c1c <_free_r> + 2c088: 80000c15 stw zero,48(r16) + 2c08c: 81401117 ldw r5,68(r16) + 2c090: 28000326 beq r5,zero,2c0a0 <_fclose_r+0xb4> + 2c094: 8809883a mov r4,r17 + 2c098: 0027c1c0 call 27c1c <_free_r> + 2c09c: 80001115 stw zero,68(r16) + 2c0a0: 0027ab80 call 27ab8 <__sfp_lock_acquire> + 2c0a4: 8000030d sth zero,12(r16) + 2c0a8: 0027abc0 call 27abc <__sfp_lock_release> + 2c0ac: 9005883a mov r2,r18 + 2c0b0: 003fdc06 br 2c024 <_gp+0xfffef1b8> + 2c0b4: 0027aa80 call 27aa8 <__sinit> + 2c0b8: 003fd706 br 2c018 <_gp+0xfffef1ac> + 2c0bc: 04bfffc4 movi r18,-1 + 2c0c0: 003fe806 br 2c064 <_gp+0xfffef1f8> + 2c0c4: 81400417 ldw r5,16(r16) + 2c0c8: 8809883a mov r4,r17 + 2c0cc: 0027c1c0 call 27c1c <_free_r> + 2c0d0: 003fe706 br 2c070 <_gp+0xfffef204> + 2c0d4: 0005883a mov r2,zero + 2c0d8: f800283a ret -0002b928 : - 2b928: 008000f4 movhi r2,3 - 2b92c: 10915404 addi r2,r2,17744 - 2b930: 200b883a mov r5,r4 - 2b934: 11000017 ldw r4,0(r2) - 2b938: 002b8381 jmpi 2b838 <_fclose_r> +0002c0dc : + 2c0dc: 008000f4 movhi r2,3 + 2c0e0: 10939f04 addi r2,r2,20092 + 2c0e4: 200b883a mov r5,r4 + 2c0e8: 11000017 ldw r4,0(r2) + 2c0ec: 002bfec1 jmpi 2bfec <_fclose_r> -0002b93c <__fputwc>: - 2b93c: defff804 addi sp,sp,-32 - 2b940: dcc00415 stw r19,16(sp) - 2b944: dc800315 stw r18,12(sp) - 2b948: dc000115 stw r16,4(sp) - 2b94c: dfc00715 stw ra,28(sp) - 2b950: dd400615 stw r21,24(sp) - 2b954: dd000515 stw r20,20(sp) - 2b958: dc400215 stw r17,8(sp) - 2b95c: 2027883a mov r19,r4 - 2b960: 2825883a mov r18,r5 - 2b964: 3021883a mov r16,r6 - 2b968: 00280340 call 28034 <__locale_mb_cur_max> - 2b96c: 00c00044 movi r3,1 - 2b970: 10c03e26 beq r2,r3,2ba6c <__fputwc+0x130> - 2b974: 81c01704 addi r7,r16,92 - 2b978: 900d883a mov r6,r18 - 2b97c: d80b883a mov r5,sp - 2b980: 9809883a mov r4,r19 - 2b984: 002bcb40 call 2bcb4 <_wcrtomb_r> - 2b988: 1029883a mov r20,r2 - 2b98c: 00bfffc4 movi r2,-1 - 2b990: a0802026 beq r20,r2,2ba14 <__fputwc+0xd8> - 2b994: d9400003 ldbu r5,0(sp) - 2b998: a0001c26 beq r20,zero,2ba0c <__fputwc+0xd0> - 2b99c: 0023883a mov r17,zero - 2b9a0: 05400284 movi r21,10 - 2b9a4: 00000906 br 2b9cc <__fputwc+0x90> - 2b9a8: 80800017 ldw r2,0(r16) - 2b9ac: 11400005 stb r5,0(r2) - 2b9b0: 80c00017 ldw r3,0(r16) - 2b9b4: 18c00044 addi r3,r3,1 - 2b9b8: 80c00015 stw r3,0(r16) - 2b9bc: 8c400044 addi r17,r17,1 - 2b9c0: dc45883a add r2,sp,r17 - 2b9c4: 8d00112e bgeu r17,r20,2ba0c <__fputwc+0xd0> - 2b9c8: 11400003 ldbu r5,0(r2) - 2b9cc: 80c00217 ldw r3,8(r16) - 2b9d0: 18ffffc4 addi r3,r3,-1 - 2b9d4: 80c00215 stw r3,8(r16) - 2b9d8: 183ff30e bge r3,zero,2b9a8 <_gp+0xfffef470> - 2b9dc: 80800617 ldw r2,24(r16) - 2b9e0: 18801916 blt r3,r2,2ba48 <__fputwc+0x10c> - 2b9e4: 80800017 ldw r2,0(r16) - 2b9e8: 11400005 stb r5,0(r2) - 2b9ec: 80800017 ldw r2,0(r16) - 2b9f0: 10c00003 ldbu r3,0(r2) - 2b9f4: 10800044 addi r2,r2,1 - 2b9f8: 1d402326 beq r3,r21,2ba88 <__fputwc+0x14c> - 2b9fc: 80800015 stw r2,0(r16) - 2ba00: 8c400044 addi r17,r17,1 - 2ba04: dc45883a add r2,sp,r17 - 2ba08: 8d3fef36 bltu r17,r20,2b9c8 <_gp+0xfffef490> - 2ba0c: 9005883a mov r2,r18 - 2ba10: 00000406 br 2ba24 <__fputwc+0xe8> - 2ba14: 80c0030b ldhu r3,12(r16) - 2ba18: a005883a mov r2,r20 - 2ba1c: 18c01014 ori r3,r3,64 - 2ba20: 80c0030d sth r3,12(r16) - 2ba24: dfc00717 ldw ra,28(sp) - 2ba28: dd400617 ldw r21,24(sp) - 2ba2c: dd000517 ldw r20,20(sp) - 2ba30: dcc00417 ldw r19,16(sp) - 2ba34: dc800317 ldw r18,12(sp) - 2ba38: dc400217 ldw r17,8(sp) - 2ba3c: dc000117 ldw r16,4(sp) - 2ba40: dec00804 addi sp,sp,32 - 2ba44: f800283a ret - 2ba48: 800d883a mov r6,r16 - 2ba4c: 29403fcc andi r5,r5,255 - 2ba50: 9809883a mov r4,r19 - 2ba54: 002b5680 call 2b568 <__swbuf_r> - 2ba58: 10bfffe0 cmpeqi r2,r2,-1 - 2ba5c: 10803fcc andi r2,r2,255 - 2ba60: 103fd626 beq r2,zero,2b9bc <_gp+0xfffef484> - 2ba64: 00bfffc4 movi r2,-1 - 2ba68: 003fee06 br 2ba24 <_gp+0xfffef4ec> - 2ba6c: 90ffffc4 addi r3,r18,-1 - 2ba70: 01003f84 movi r4,254 - 2ba74: 20ffbf36 bltu r4,r3,2b974 <_gp+0xfffef43c> - 2ba78: 900b883a mov r5,r18 - 2ba7c: dc800005 stb r18,0(sp) - 2ba80: 1029883a mov r20,r2 - 2ba84: 003fc506 br 2b99c <_gp+0xfffef464> - 2ba88: 800d883a mov r6,r16 - 2ba8c: a80b883a mov r5,r21 - 2ba90: 9809883a mov r4,r19 - 2ba94: 002b5680 call 2b568 <__swbuf_r> - 2ba98: 10bfffe0 cmpeqi r2,r2,-1 - 2ba9c: 003fef06 br 2ba5c <_gp+0xfffef524> +0002c0f0 <__fputwc>: + 2c0f0: defff804 addi sp,sp,-32 + 2c0f4: dcc00415 stw r19,16(sp) + 2c0f8: dc800315 stw r18,12(sp) + 2c0fc: dc000115 stw r16,4(sp) + 2c100: dfc00715 stw ra,28(sp) + 2c104: dd400615 stw r21,24(sp) + 2c108: dd000515 stw r20,20(sp) + 2c10c: dc400215 stw r17,8(sp) + 2c110: 2027883a mov r19,r4 + 2c114: 2825883a mov r18,r5 + 2c118: 3021883a mov r16,r6 + 2c11c: 00285f80 call 285f8 <__locale_mb_cur_max> + 2c120: 00c00044 movi r3,1 + 2c124: 10c03e26 beq r2,r3,2c220 <__fputwc+0x130> + 2c128: 81c01704 addi r7,r16,92 + 2c12c: 900d883a mov r6,r18 + 2c130: d80b883a mov r5,sp + 2c134: 9809883a mov r4,r19 + 2c138: 002c4680 call 2c468 <_wcrtomb_r> + 2c13c: 1029883a mov r20,r2 + 2c140: 00bfffc4 movi r2,-1 + 2c144: a0802026 beq r20,r2,2c1c8 <__fputwc+0xd8> + 2c148: d9400003 ldbu r5,0(sp) + 2c14c: a0001c26 beq r20,zero,2c1c0 <__fputwc+0xd0> + 2c150: 0023883a mov r17,zero + 2c154: 05400284 movi r21,10 + 2c158: 00000906 br 2c180 <__fputwc+0x90> + 2c15c: 80800017 ldw r2,0(r16) + 2c160: 11400005 stb r5,0(r2) + 2c164: 80c00017 ldw r3,0(r16) + 2c168: 18c00044 addi r3,r3,1 + 2c16c: 80c00015 stw r3,0(r16) + 2c170: 8c400044 addi r17,r17,1 + 2c174: dc45883a add r2,sp,r17 + 2c178: 8d00112e bgeu r17,r20,2c1c0 <__fputwc+0xd0> + 2c17c: 11400003 ldbu r5,0(r2) + 2c180: 80c00217 ldw r3,8(r16) + 2c184: 18ffffc4 addi r3,r3,-1 + 2c188: 80c00215 stw r3,8(r16) + 2c18c: 183ff30e bge r3,zero,2c15c <_gp+0xfffef2f0> + 2c190: 80800617 ldw r2,24(r16) + 2c194: 18801916 blt r3,r2,2c1fc <__fputwc+0x10c> + 2c198: 80800017 ldw r2,0(r16) + 2c19c: 11400005 stb r5,0(r2) + 2c1a0: 80800017 ldw r2,0(r16) + 2c1a4: 10c00003 ldbu r3,0(r2) + 2c1a8: 10800044 addi r2,r2,1 + 2c1ac: 1d402326 beq r3,r21,2c23c <__fputwc+0x14c> + 2c1b0: 80800015 stw r2,0(r16) + 2c1b4: 8c400044 addi r17,r17,1 + 2c1b8: dc45883a add r2,sp,r17 + 2c1bc: 8d3fef36 bltu r17,r20,2c17c <_gp+0xfffef310> + 2c1c0: 9005883a mov r2,r18 + 2c1c4: 00000406 br 2c1d8 <__fputwc+0xe8> + 2c1c8: 80c0030b ldhu r3,12(r16) + 2c1cc: a005883a mov r2,r20 + 2c1d0: 18c01014 ori r3,r3,64 + 2c1d4: 80c0030d sth r3,12(r16) + 2c1d8: dfc00717 ldw ra,28(sp) + 2c1dc: dd400617 ldw r21,24(sp) + 2c1e0: dd000517 ldw r20,20(sp) + 2c1e4: dcc00417 ldw r19,16(sp) + 2c1e8: dc800317 ldw r18,12(sp) + 2c1ec: dc400217 ldw r17,8(sp) + 2c1f0: dc000117 ldw r16,4(sp) + 2c1f4: dec00804 addi sp,sp,32 + 2c1f8: f800283a ret + 2c1fc: 800d883a mov r6,r16 + 2c200: 29403fcc andi r5,r5,255 + 2c204: 9809883a mov r4,r19 + 2c208: 002bd1c0 call 2bd1c <__swbuf_r> + 2c20c: 10bfffe0 cmpeqi r2,r2,-1 + 2c210: 10803fcc andi r2,r2,255 + 2c214: 103fd626 beq r2,zero,2c170 <_gp+0xfffef304> + 2c218: 00bfffc4 movi r2,-1 + 2c21c: 003fee06 br 2c1d8 <_gp+0xfffef36c> + 2c220: 90ffffc4 addi r3,r18,-1 + 2c224: 01003f84 movi r4,254 + 2c228: 20ffbf36 bltu r4,r3,2c128 <_gp+0xfffef2bc> + 2c22c: 900b883a mov r5,r18 + 2c230: dc800005 stb r18,0(sp) + 2c234: 1029883a mov r20,r2 + 2c238: 003fc506 br 2c150 <_gp+0xfffef2e4> + 2c23c: 800d883a mov r6,r16 + 2c240: a80b883a mov r5,r21 + 2c244: 9809883a mov r4,r19 + 2c248: 002bd1c0 call 2bd1c <__swbuf_r> + 2c24c: 10bfffe0 cmpeqi r2,r2,-1 + 2c250: 003fef06 br 2c210 <_gp+0xfffef3a4> -0002baa0 <_fputwc_r>: - 2baa0: 3080030b ldhu r2,12(r6) - 2baa4: 10c8000c andi r3,r2,8192 - 2baa8: 1800051e bne r3,zero,2bac0 <_fputwc_r+0x20> - 2baac: 30c01917 ldw r3,100(r6) - 2bab0: 10880014 ori r2,r2,8192 - 2bab4: 3080030d sth r2,12(r6) - 2bab8: 18880014 ori r2,r3,8192 - 2babc: 30801915 stw r2,100(r6) - 2bac0: 002b93c1 jmpi 2b93c <__fputwc> +0002c254 <_fputwc_r>: + 2c254: 3080030b ldhu r2,12(r6) + 2c258: 10c8000c andi r3,r2,8192 + 2c25c: 1800051e bne r3,zero,2c274 <_fputwc_r+0x20> + 2c260: 30c01917 ldw r3,100(r6) + 2c264: 10880014 ori r2,r2,8192 + 2c268: 3080030d sth r2,12(r6) + 2c26c: 18880014 ori r2,r3,8192 + 2c270: 30801915 stw r2,100(r6) + 2c274: 002c0f01 jmpi 2c0f0 <__fputwc> -0002bac4 : - 2bac4: 008000f4 movhi r2,3 - 2bac8: defffc04 addi sp,sp,-16 - 2bacc: 10915404 addi r2,r2,17744 - 2bad0: dc000115 stw r16,4(sp) - 2bad4: 14000017 ldw r16,0(r2) - 2bad8: dc400215 stw r17,8(sp) - 2badc: dfc00315 stw ra,12(sp) - 2bae0: 2023883a mov r17,r4 - 2bae4: 80000226 beq r16,zero,2baf0 - 2bae8: 80800e17 ldw r2,56(r16) - 2baec: 10001026 beq r2,zero,2bb30 - 2baf0: 2880030b ldhu r2,12(r5) - 2baf4: 10c8000c andi r3,r2,8192 - 2baf8: 1800051e bne r3,zero,2bb10 - 2bafc: 28c01917 ldw r3,100(r5) - 2bb00: 10880014 ori r2,r2,8192 - 2bb04: 2880030d sth r2,12(r5) - 2bb08: 18880014 ori r2,r3,8192 - 2bb0c: 28801915 stw r2,100(r5) - 2bb10: 280d883a mov r6,r5 - 2bb14: 8009883a mov r4,r16 - 2bb18: 880b883a mov r5,r17 - 2bb1c: dfc00317 ldw ra,12(sp) - 2bb20: dc400217 ldw r17,8(sp) - 2bb24: dc000117 ldw r16,4(sp) - 2bb28: dec00404 addi sp,sp,16 - 2bb2c: 002b93c1 jmpi 2b93c <__fputwc> - 2bb30: 8009883a mov r4,r16 - 2bb34: d9400015 stw r5,0(sp) - 2bb38: 00274e40 call 274e4 <__sinit> - 2bb3c: d9400017 ldw r5,0(sp) - 2bb40: 003feb06 br 2baf0 <_gp+0xfffef5b8> +0002c278 : + 2c278: 008000f4 movhi r2,3 + 2c27c: defffc04 addi sp,sp,-16 + 2c280: 10939f04 addi r2,r2,20092 + 2c284: dc000115 stw r16,4(sp) + 2c288: 14000017 ldw r16,0(r2) + 2c28c: dc400215 stw r17,8(sp) + 2c290: dfc00315 stw ra,12(sp) + 2c294: 2023883a mov r17,r4 + 2c298: 80000226 beq r16,zero,2c2a4 + 2c29c: 80800e17 ldw r2,56(r16) + 2c2a0: 10001026 beq r2,zero,2c2e4 + 2c2a4: 2880030b ldhu r2,12(r5) + 2c2a8: 10c8000c andi r3,r2,8192 + 2c2ac: 1800051e bne r3,zero,2c2c4 + 2c2b0: 28c01917 ldw r3,100(r5) + 2c2b4: 10880014 ori r2,r2,8192 + 2c2b8: 2880030d sth r2,12(r5) + 2c2bc: 18880014 ori r2,r3,8192 + 2c2c0: 28801915 stw r2,100(r5) + 2c2c4: 280d883a mov r6,r5 + 2c2c8: 8009883a mov r4,r16 + 2c2cc: 880b883a mov r5,r17 + 2c2d0: dfc00317 ldw ra,12(sp) + 2c2d4: dc400217 ldw r17,8(sp) + 2c2d8: dc000117 ldw r16,4(sp) + 2c2dc: dec00404 addi sp,sp,16 + 2c2e0: 002c0f01 jmpi 2c0f0 <__fputwc> + 2c2e4: 8009883a mov r4,r16 + 2c2e8: d9400015 stw r5,0(sp) + 2c2ec: 0027aa80 call 27aa8 <__sinit> + 2c2f0: d9400017 ldw r5,0(sp) + 2c2f4: 003feb06 br 2c2a4 <_gp+0xfffef438> -0002bb44 <_fstat_r>: - 2bb44: defffd04 addi sp,sp,-12 - 2bb48: 2805883a mov r2,r5 - 2bb4c: dc000015 stw r16,0(sp) - 2bb50: 040000f4 movhi r16,3 - 2bb54: dc400115 stw r17,4(sp) - 2bb58: 841a0c04 addi r16,r16,26672 - 2bb5c: 2023883a mov r17,r4 - 2bb60: 300b883a mov r5,r6 - 2bb64: 1009883a mov r4,r2 - 2bb68: dfc00215 stw ra,8(sp) - 2bb6c: 80000015 stw zero,0(r16) - 2bb70: 002f1500 call 2f150 - 2bb74: 00ffffc4 movi r3,-1 - 2bb78: 10c00526 beq r2,r3,2bb90 <_fstat_r+0x4c> - 2bb7c: dfc00217 ldw ra,8(sp) - 2bb80: dc400117 ldw r17,4(sp) - 2bb84: dc000017 ldw r16,0(sp) - 2bb88: dec00304 addi sp,sp,12 - 2bb8c: f800283a ret - 2bb90: 80c00017 ldw r3,0(r16) - 2bb94: 183ff926 beq r3,zero,2bb7c <_gp+0xfffef644> - 2bb98: 88c00015 stw r3,0(r17) - 2bb9c: 003ff706 br 2bb7c <_gp+0xfffef644> +0002c2f8 <_fstat_r>: + 2c2f8: defffd04 addi sp,sp,-12 + 2c2fc: 2805883a mov r2,r5 + 2c300: dc000015 stw r16,0(sp) + 2c304: 040000f4 movhi r16,3 + 2c308: dc400115 stw r17,4(sp) + 2c30c: 841c5904 addi r16,r16,29028 + 2c310: 2023883a mov r17,r4 + 2c314: 300b883a mov r5,r6 + 2c318: 1009883a mov r4,r2 + 2c31c: dfc00215 stw ra,8(sp) + 2c320: 80000015 stw zero,0(r16) + 2c324: 002fa740 call 2fa74 + 2c328: 00ffffc4 movi r3,-1 + 2c32c: 10c00526 beq r2,r3,2c344 <_fstat_r+0x4c> + 2c330: dfc00217 ldw ra,8(sp) + 2c334: dc400117 ldw r17,4(sp) + 2c338: dc000017 ldw r16,0(sp) + 2c33c: dec00304 addi sp,sp,12 + 2c340: f800283a ret + 2c344: 80c00017 ldw r3,0(r16) + 2c348: 183ff926 beq r3,zero,2c330 <_gp+0xfffef4c4> + 2c34c: 88c00015 stw r3,0(r17) + 2c350: 003ff706 br 2c330 <_gp+0xfffef4c4> -0002bba0 <_isatty_r>: - 2bba0: defffd04 addi sp,sp,-12 - 2bba4: dc000015 stw r16,0(sp) - 2bba8: 040000f4 movhi r16,3 - 2bbac: dc400115 stw r17,4(sp) - 2bbb0: 841a0c04 addi r16,r16,26672 - 2bbb4: 2023883a mov r17,r4 - 2bbb8: 2809883a mov r4,r5 - 2bbbc: dfc00215 stw ra,8(sp) - 2bbc0: 80000015 stw zero,0(r16) - 2bbc4: 002f2500 call 2f250 - 2bbc8: 00ffffc4 movi r3,-1 - 2bbcc: 10c00526 beq r2,r3,2bbe4 <_isatty_r+0x44> - 2bbd0: dfc00217 ldw ra,8(sp) - 2bbd4: dc400117 ldw r17,4(sp) - 2bbd8: dc000017 ldw r16,0(sp) - 2bbdc: dec00304 addi sp,sp,12 - 2bbe0: f800283a ret - 2bbe4: 80c00017 ldw r3,0(r16) - 2bbe8: 183ff926 beq r3,zero,2bbd0 <_gp+0xfffef698> - 2bbec: 88c00015 stw r3,0(r17) - 2bbf0: 003ff706 br 2bbd0 <_gp+0xfffef698> +0002c354 <_isatty_r>: + 2c354: defffd04 addi sp,sp,-12 + 2c358: dc000015 stw r16,0(sp) + 2c35c: 040000f4 movhi r16,3 + 2c360: dc400115 stw r17,4(sp) + 2c364: 841c5904 addi r16,r16,29028 + 2c368: 2023883a mov r17,r4 + 2c36c: 2809883a mov r4,r5 + 2c370: dfc00215 stw ra,8(sp) + 2c374: 80000015 stw zero,0(r16) + 2c378: 002fb740 call 2fb74 + 2c37c: 00ffffc4 movi r3,-1 + 2c380: 10c00526 beq r2,r3,2c398 <_isatty_r+0x44> + 2c384: dfc00217 ldw ra,8(sp) + 2c388: dc400117 ldw r17,4(sp) + 2c38c: dc000017 ldw r16,0(sp) + 2c390: dec00304 addi sp,sp,12 + 2c394: f800283a ret + 2c398: 80c00017 ldw r3,0(r16) + 2c39c: 183ff926 beq r3,zero,2c384 <_gp+0xfffef518> + 2c3a0: 88c00015 stw r3,0(r17) + 2c3a4: 003ff706 br 2c384 <_gp+0xfffef518> -0002bbf4 <_lseek_r>: - 2bbf4: defffd04 addi sp,sp,-12 - 2bbf8: 2805883a mov r2,r5 - 2bbfc: dc000015 stw r16,0(sp) - 2bc00: 040000f4 movhi r16,3 - 2bc04: dc400115 stw r17,4(sp) - 2bc08: 300b883a mov r5,r6 - 2bc0c: 841a0c04 addi r16,r16,26672 - 2bc10: 2023883a mov r17,r4 - 2bc14: 380d883a mov r6,r7 - 2bc18: 1009883a mov r4,r2 - 2bc1c: dfc00215 stw ra,8(sp) - 2bc20: 80000015 stw zero,0(r16) - 2bc24: 002f4300 call 2f430 - 2bc28: 00ffffc4 movi r3,-1 - 2bc2c: 10c00526 beq r2,r3,2bc44 <_lseek_r+0x50> - 2bc30: dfc00217 ldw ra,8(sp) - 2bc34: dc400117 ldw r17,4(sp) - 2bc38: dc000017 ldw r16,0(sp) - 2bc3c: dec00304 addi sp,sp,12 - 2bc40: f800283a ret - 2bc44: 80c00017 ldw r3,0(r16) - 2bc48: 183ff926 beq r3,zero,2bc30 <_gp+0xfffef6f8> - 2bc4c: 88c00015 stw r3,0(r17) - 2bc50: 003ff706 br 2bc30 <_gp+0xfffef6f8> +0002c3a8 <_lseek_r>: + 2c3a8: defffd04 addi sp,sp,-12 + 2c3ac: 2805883a mov r2,r5 + 2c3b0: dc000015 stw r16,0(sp) + 2c3b4: 040000f4 movhi r16,3 + 2c3b8: dc400115 stw r17,4(sp) + 2c3bc: 300b883a mov r5,r6 + 2c3c0: 841c5904 addi r16,r16,29028 + 2c3c4: 2023883a mov r17,r4 + 2c3c8: 380d883a mov r6,r7 + 2c3cc: 1009883a mov r4,r2 + 2c3d0: dfc00215 stw ra,8(sp) + 2c3d4: 80000015 stw zero,0(r16) + 2c3d8: 002fd540 call 2fd54 + 2c3dc: 00ffffc4 movi r3,-1 + 2c3e0: 10c00526 beq r2,r3,2c3f8 <_lseek_r+0x50> + 2c3e4: dfc00217 ldw ra,8(sp) + 2c3e8: dc400117 ldw r17,4(sp) + 2c3ec: dc000017 ldw r16,0(sp) + 2c3f0: dec00304 addi sp,sp,12 + 2c3f4: f800283a ret + 2c3f8: 80c00017 ldw r3,0(r16) + 2c3fc: 183ff926 beq r3,zero,2c3e4 <_gp+0xfffef578> + 2c400: 88c00015 stw r3,0(r17) + 2c404: 003ff706 br 2c3e4 <_gp+0xfffef578> -0002bc54 <_read_r>: - 2bc54: defffd04 addi sp,sp,-12 - 2bc58: 2805883a mov r2,r5 - 2bc5c: dc000015 stw r16,0(sp) - 2bc60: 040000f4 movhi r16,3 - 2bc64: dc400115 stw r17,4(sp) - 2bc68: 300b883a mov r5,r6 - 2bc6c: 841a0c04 addi r16,r16,26672 - 2bc70: 2023883a mov r17,r4 - 2bc74: 380d883a mov r6,r7 - 2bc78: 1009883a mov r4,r2 - 2bc7c: dfc00215 stw ra,8(sp) - 2bc80: 80000015 stw zero,0(r16) - 2bc84: 002f6180 call 2f618 - 2bc88: 00ffffc4 movi r3,-1 - 2bc8c: 10c00526 beq r2,r3,2bca4 <_read_r+0x50> - 2bc90: dfc00217 ldw ra,8(sp) - 2bc94: dc400117 ldw r17,4(sp) - 2bc98: dc000017 ldw r16,0(sp) - 2bc9c: dec00304 addi sp,sp,12 - 2bca0: f800283a ret - 2bca4: 80c00017 ldw r3,0(r16) - 2bca8: 183ff926 beq r3,zero,2bc90 <_gp+0xfffef758> - 2bcac: 88c00015 stw r3,0(r17) - 2bcb0: 003ff706 br 2bc90 <_gp+0xfffef758> +0002c408 <_read_r>: + 2c408: defffd04 addi sp,sp,-12 + 2c40c: 2805883a mov r2,r5 + 2c410: dc000015 stw r16,0(sp) + 2c414: 040000f4 movhi r16,3 + 2c418: dc400115 stw r17,4(sp) + 2c41c: 300b883a mov r5,r6 + 2c420: 841c5904 addi r16,r16,29028 + 2c424: 2023883a mov r17,r4 + 2c428: 380d883a mov r6,r7 + 2c42c: 1009883a mov r4,r2 + 2c430: dfc00215 stw ra,8(sp) + 2c434: 80000015 stw zero,0(r16) + 2c438: 002ff3c0 call 2ff3c + 2c43c: 00ffffc4 movi r3,-1 + 2c440: 10c00526 beq r2,r3,2c458 <_read_r+0x50> + 2c444: dfc00217 ldw ra,8(sp) + 2c448: dc400117 ldw r17,4(sp) + 2c44c: dc000017 ldw r16,0(sp) + 2c450: dec00304 addi sp,sp,12 + 2c454: f800283a ret + 2c458: 80c00017 ldw r3,0(r16) + 2c45c: 183ff926 beq r3,zero,2c444 <_gp+0xfffef5d8> + 2c460: 88c00015 stw r3,0(r17) + 2c464: 003ff706 br 2c444 <_gp+0xfffef5d8> -0002bcb4 <_wcrtomb_r>: - 2bcb4: defff604 addi sp,sp,-40 - 2bcb8: 008000f4 movhi r2,3 - 2bcbc: dc800815 stw r18,32(sp) - 2bcc0: dc400715 stw r17,28(sp) - 2bcc4: dc000615 stw r16,24(sp) - 2bcc8: 10915604 addi r2,r2,17752 - 2bccc: dfc00915 stw ra,36(sp) - 2bcd0: 2021883a mov r16,r4 - 2bcd4: 3823883a mov r17,r7 - 2bcd8: 14800017 ldw r18,0(r2) - 2bcdc: 28001426 beq r5,zero,2bd30 <_wcrtomb_r+0x7c> - 2bce0: d9400415 stw r5,16(sp) - 2bce4: d9800515 stw r6,20(sp) - 2bce8: 00280280 call 28028 <__locale_charset> - 2bcec: d9800517 ldw r6,20(sp) - 2bcf0: d9400417 ldw r5,16(sp) - 2bcf4: 100f883a mov r7,r2 - 2bcf8: dc400015 stw r17,0(sp) - 2bcfc: 8009883a mov r4,r16 - 2bd00: 903ee83a callr r18 - 2bd04: 00ffffc4 movi r3,-1 - 2bd08: 10c0031e bne r2,r3,2bd18 <_wcrtomb_r+0x64> - 2bd0c: 88000015 stw zero,0(r17) - 2bd10: 00c02284 movi r3,138 - 2bd14: 80c00015 stw r3,0(r16) - 2bd18: dfc00917 ldw ra,36(sp) - 2bd1c: dc800817 ldw r18,32(sp) - 2bd20: dc400717 ldw r17,28(sp) - 2bd24: dc000617 ldw r16,24(sp) - 2bd28: dec00a04 addi sp,sp,40 - 2bd2c: f800283a ret - 2bd30: 00280280 call 28028 <__locale_charset> - 2bd34: 100f883a mov r7,r2 - 2bd38: dc400015 stw r17,0(sp) - 2bd3c: 000d883a mov r6,zero - 2bd40: d9400104 addi r5,sp,4 - 2bd44: 8009883a mov r4,r16 - 2bd48: 903ee83a callr r18 - 2bd4c: 003fed06 br 2bd04 <_gp+0xfffef7cc> +0002c468 <_wcrtomb_r>: + 2c468: defff604 addi sp,sp,-40 + 2c46c: 008000f4 movhi r2,3 + 2c470: dc800815 stw r18,32(sp) + 2c474: dc400715 stw r17,28(sp) + 2c478: dc000615 stw r16,24(sp) + 2c47c: 1093a304 addi r2,r2,20108 + 2c480: dfc00915 stw ra,36(sp) + 2c484: 2021883a mov r16,r4 + 2c488: 3823883a mov r17,r7 + 2c48c: 14800017 ldw r18,0(r2) + 2c490: 28001426 beq r5,zero,2c4e4 <_wcrtomb_r+0x7c> + 2c494: d9400415 stw r5,16(sp) + 2c498: d9800515 stw r6,20(sp) + 2c49c: 00285ec0 call 285ec <__locale_charset> + 2c4a0: d9800517 ldw r6,20(sp) + 2c4a4: d9400417 ldw r5,16(sp) + 2c4a8: 100f883a mov r7,r2 + 2c4ac: dc400015 stw r17,0(sp) + 2c4b0: 8009883a mov r4,r16 + 2c4b4: 903ee83a callr r18 + 2c4b8: 00ffffc4 movi r3,-1 + 2c4bc: 10c0031e bne r2,r3,2c4cc <_wcrtomb_r+0x64> + 2c4c0: 88000015 stw zero,0(r17) + 2c4c4: 00c02284 movi r3,138 + 2c4c8: 80c00015 stw r3,0(r16) + 2c4cc: dfc00917 ldw ra,36(sp) + 2c4d0: dc800817 ldw r18,32(sp) + 2c4d4: dc400717 ldw r17,28(sp) + 2c4d8: dc000617 ldw r16,24(sp) + 2c4dc: dec00a04 addi sp,sp,40 + 2c4e0: f800283a ret + 2c4e4: 00285ec0 call 285ec <__locale_charset> + 2c4e8: 100f883a mov r7,r2 + 2c4ec: dc400015 stw r17,0(sp) + 2c4f0: 000d883a mov r6,zero + 2c4f4: d9400104 addi r5,sp,4 + 2c4f8: 8009883a mov r4,r16 + 2c4fc: 903ee83a callr r18 + 2c500: 003fed06 br 2c4b8 <_gp+0xfffef64c> -0002bd50 : - 2bd50: defff604 addi sp,sp,-40 - 2bd54: 008000f4 movhi r2,3 - 2bd58: dc800615 stw r18,24(sp) - 2bd5c: dc400515 stw r17,20(sp) - 2bd60: 10915404 addi r2,r2,17744 - 2bd64: dfc00915 stw ra,36(sp) - 2bd68: dd000815 stw r20,32(sp) - 2bd6c: dcc00715 stw r19,28(sp) - 2bd70: dc000415 stw r16,16(sp) - 2bd74: 3025883a mov r18,r6 - 2bd78: 14400017 ldw r17,0(r2) - 2bd7c: 20001926 beq r4,zero,2bde4 - 2bd80: 008000f4 movhi r2,3 - 2bd84: 10915604 addi r2,r2,17752 - 2bd88: 15000017 ldw r20,0(r2) - 2bd8c: 2021883a mov r16,r4 - 2bd90: 2827883a mov r19,r5 - 2bd94: 00280280 call 28028 <__locale_charset> - 2bd98: 100f883a mov r7,r2 - 2bd9c: dc800015 stw r18,0(sp) - 2bda0: 980d883a mov r6,r19 - 2bda4: 800b883a mov r5,r16 - 2bda8: 8809883a mov r4,r17 - 2bdac: a03ee83a callr r20 - 2bdb0: 00ffffc4 movi r3,-1 - 2bdb4: 10c0031e bne r2,r3,2bdc4 - 2bdb8: 90000015 stw zero,0(r18) - 2bdbc: 00c02284 movi r3,138 - 2bdc0: 88c00015 stw r3,0(r17) - 2bdc4: dfc00917 ldw ra,36(sp) - 2bdc8: dd000817 ldw r20,32(sp) - 2bdcc: dcc00717 ldw r19,28(sp) - 2bdd0: dc800617 ldw r18,24(sp) - 2bdd4: dc400517 ldw r17,20(sp) - 2bdd8: dc000417 ldw r16,16(sp) - 2bddc: dec00a04 addi sp,sp,40 - 2bde0: f800283a ret - 2bde4: 008000f4 movhi r2,3 - 2bde8: 10915604 addi r2,r2,17752 - 2bdec: 14000017 ldw r16,0(r2) - 2bdf0: 00280280 call 28028 <__locale_charset> - 2bdf4: 100f883a mov r7,r2 - 2bdf8: dc800015 stw r18,0(sp) - 2bdfc: 000d883a mov r6,zero - 2be00: d9400104 addi r5,sp,4 - 2be04: 8809883a mov r4,r17 - 2be08: 803ee83a callr r16 - 2be0c: 003fe806 br 2bdb0 <_gp+0xfffef878> +0002c504 : + 2c504: defff604 addi sp,sp,-40 + 2c508: 008000f4 movhi r2,3 + 2c50c: dc800615 stw r18,24(sp) + 2c510: dc400515 stw r17,20(sp) + 2c514: 10939f04 addi r2,r2,20092 + 2c518: dfc00915 stw ra,36(sp) + 2c51c: dd000815 stw r20,32(sp) + 2c520: dcc00715 stw r19,28(sp) + 2c524: dc000415 stw r16,16(sp) + 2c528: 3025883a mov r18,r6 + 2c52c: 14400017 ldw r17,0(r2) + 2c530: 20001926 beq r4,zero,2c598 + 2c534: 008000f4 movhi r2,3 + 2c538: 1093a304 addi r2,r2,20108 + 2c53c: 15000017 ldw r20,0(r2) + 2c540: 2021883a mov r16,r4 + 2c544: 2827883a mov r19,r5 + 2c548: 00285ec0 call 285ec <__locale_charset> + 2c54c: 100f883a mov r7,r2 + 2c550: dc800015 stw r18,0(sp) + 2c554: 980d883a mov r6,r19 + 2c558: 800b883a mov r5,r16 + 2c55c: 8809883a mov r4,r17 + 2c560: a03ee83a callr r20 + 2c564: 00ffffc4 movi r3,-1 + 2c568: 10c0031e bne r2,r3,2c578 + 2c56c: 90000015 stw zero,0(r18) + 2c570: 00c02284 movi r3,138 + 2c574: 88c00015 stw r3,0(r17) + 2c578: dfc00917 ldw ra,36(sp) + 2c57c: dd000817 ldw r20,32(sp) + 2c580: dcc00717 ldw r19,28(sp) + 2c584: dc800617 ldw r18,24(sp) + 2c588: dc400517 ldw r17,20(sp) + 2c58c: dc000417 ldw r16,16(sp) + 2c590: dec00a04 addi sp,sp,40 + 2c594: f800283a ret + 2c598: 008000f4 movhi r2,3 + 2c59c: 1093a304 addi r2,r2,20108 + 2c5a0: 14000017 ldw r16,0(r2) + 2c5a4: 00285ec0 call 285ec <__locale_charset> + 2c5a8: 100f883a mov r7,r2 + 2c5ac: dc800015 stw r18,0(sp) + 2c5b0: 000d883a mov r6,zero + 2c5b4: d9400104 addi r5,sp,4 + 2c5b8: 8809883a mov r4,r17 + 2c5bc: 803ee83a callr r16 + 2c5c0: 003fe806 br 2c564 <_gp+0xfffef6f8> -0002be10 <__ascii_wctomb>: - 2be10: 28000526 beq r5,zero,2be28 <__ascii_wctomb+0x18> - 2be14: 00803fc4 movi r2,255 - 2be18: 11800536 bltu r2,r6,2be30 <__ascii_wctomb+0x20> - 2be1c: 29800005 stb r6,0(r5) - 2be20: 00800044 movi r2,1 - 2be24: f800283a ret - 2be28: 0005883a mov r2,zero - 2be2c: f800283a ret - 2be30: 00802284 movi r2,138 - 2be34: 20800015 stw r2,0(r4) - 2be38: 00bfffc4 movi r2,-1 - 2be3c: f800283a ret +0002c5c4 <__ascii_wctomb>: + 2c5c4: 28000526 beq r5,zero,2c5dc <__ascii_wctomb+0x18> + 2c5c8: 00803fc4 movi r2,255 + 2c5cc: 11800536 bltu r2,r6,2c5e4 <__ascii_wctomb+0x20> + 2c5d0: 29800005 stb r6,0(r5) + 2c5d4: 00800044 movi r2,1 + 2c5d8: f800283a ret + 2c5dc: 0005883a mov r2,zero + 2c5e0: f800283a ret + 2c5e4: 00802284 movi r2,138 + 2c5e8: 20800015 stw r2,0(r4) + 2c5ec: 00bfffc4 movi r2,-1 + 2c5f0: f800283a ret -0002be40 <_wctomb_r>: - 2be40: 008000f4 movhi r2,3 - 2be44: defff904 addi sp,sp,-28 - 2be48: 10915604 addi r2,r2,17752 - 2be4c: dfc00615 stw ra,24(sp) - 2be50: dc400515 stw r17,20(sp) - 2be54: dc000415 stw r16,16(sp) - 2be58: 3823883a mov r17,r7 - 2be5c: 14000017 ldw r16,0(r2) - 2be60: d9000115 stw r4,4(sp) - 2be64: d9400215 stw r5,8(sp) - 2be68: d9800315 stw r6,12(sp) - 2be6c: 00280280 call 28028 <__locale_charset> - 2be70: d9800317 ldw r6,12(sp) - 2be74: d9400217 ldw r5,8(sp) - 2be78: d9000117 ldw r4,4(sp) - 2be7c: 100f883a mov r7,r2 - 2be80: dc400015 stw r17,0(sp) - 2be84: 803ee83a callr r16 - 2be88: dfc00617 ldw ra,24(sp) - 2be8c: dc400517 ldw r17,20(sp) - 2be90: dc000417 ldw r16,16(sp) - 2be94: dec00704 addi sp,sp,28 - 2be98: f800283a ret +0002c5f4 <_wctomb_r>: + 2c5f4: 008000f4 movhi r2,3 + 2c5f8: defff904 addi sp,sp,-28 + 2c5fc: 1093a304 addi r2,r2,20108 + 2c600: dfc00615 stw ra,24(sp) + 2c604: dc400515 stw r17,20(sp) + 2c608: dc000415 stw r16,16(sp) + 2c60c: 3823883a mov r17,r7 + 2c610: 14000017 ldw r16,0(r2) + 2c614: d9000115 stw r4,4(sp) + 2c618: d9400215 stw r5,8(sp) + 2c61c: d9800315 stw r6,12(sp) + 2c620: 00285ec0 call 285ec <__locale_charset> + 2c624: d9800317 ldw r6,12(sp) + 2c628: d9400217 ldw r5,8(sp) + 2c62c: d9000117 ldw r4,4(sp) + 2c630: 100f883a mov r7,r2 + 2c634: dc400015 stw r17,0(sp) + 2c638: 803ee83a callr r16 + 2c63c: dfc00617 ldw ra,24(sp) + 2c640: dc400517 ldw r17,20(sp) + 2c644: dc000417 ldw r16,16(sp) + 2c648: dec00704 addi sp,sp,28 + 2c64c: f800283a ret -0002be9c <__udivdi3>: - 2be9c: defff504 addi sp,sp,-44 - 2bea0: dcc00415 stw r19,16(sp) - 2bea4: dc000115 stw r16,4(sp) - 2bea8: dfc00a15 stw ra,40(sp) - 2beac: df000915 stw fp,36(sp) - 2beb0: ddc00815 stw r23,32(sp) - 2beb4: dd800715 stw r22,28(sp) - 2beb8: dd400615 stw r21,24(sp) - 2bebc: dd000515 stw r20,20(sp) - 2bec0: dc800315 stw r18,12(sp) - 2bec4: dc400215 stw r17,8(sp) - 2bec8: 2027883a mov r19,r4 - 2becc: 2821883a mov r16,r5 - 2bed0: 3800411e bne r7,zero,2bfd8 <__udivdi3+0x13c> - 2bed4: 3023883a mov r17,r6 - 2bed8: 2025883a mov r18,r4 - 2bedc: 2980522e bgeu r5,r6,2c028 <__udivdi3+0x18c> - 2bee0: 00bfffd4 movui r2,65535 - 2bee4: 282d883a mov r22,r5 - 2bee8: 1180a836 bltu r2,r6,2c18c <__udivdi3+0x2f0> - 2beec: 00803fc4 movi r2,255 - 2bef0: 1185803a cmpltu r2,r2,r6 - 2bef4: 100490fa slli r2,r2,3 - 2bef8: 3086d83a srl r3,r6,r2 - 2befc: 010000f4 movhi r4,3 - 2bf00: 21086e04 addi r4,r4,8632 - 2bf04: 20c7883a add r3,r4,r3 - 2bf08: 18c00003 ldbu r3,0(r3) - 2bf0c: 1885883a add r2,r3,r2 - 2bf10: 00c00804 movi r3,32 - 2bf14: 1887c83a sub r3,r3,r2 - 2bf18: 18000526 beq r3,zero,2bf30 <__udivdi3+0x94> - 2bf1c: 80e0983a sll r16,r16,r3 - 2bf20: 9884d83a srl r2,r19,r2 - 2bf24: 30e2983a sll r17,r6,r3 - 2bf28: 98e4983a sll r18,r19,r3 - 2bf2c: 142cb03a or r22,r2,r16 - 2bf30: 882ad43a srli r21,r17,16 - 2bf34: b009883a mov r4,r22 - 2bf38: 8d3fffcc andi r20,r17,65535 - 2bf3c: a80b883a mov r5,r21 - 2bf40: 00226400 call 22640 <__umodsi3> - 2bf44: b009883a mov r4,r22 - 2bf48: a80b883a mov r5,r21 - 2bf4c: 1027883a mov r19,r2 - 2bf50: 00225dc0 call 225dc <__udivsi3> - 2bf54: 102d883a mov r22,r2 - 2bf58: 9826943a slli r19,r19,16 - 2bf5c: 9004d43a srli r2,r18,16 - 2bf60: a5a1383a mul r16,r20,r22 - 2bf64: 14c4b03a or r2,r2,r19 - 2bf68: 1400052e bgeu r2,r16,2bf80 <__udivdi3+0xe4> - 2bf6c: 1445883a add r2,r2,r17 - 2bf70: b0ffffc4 addi r3,r22,-1 - 2bf74: 14400136 bltu r2,r17,2bf7c <__udivdi3+0xe0> - 2bf78: 14012336 bltu r2,r16,2c408 <__udivdi3+0x56c> - 2bf7c: 182d883a mov r22,r3 - 2bf80: 1421c83a sub r16,r2,r16 - 2bf84: a80b883a mov r5,r21 - 2bf88: 8009883a mov r4,r16 - 2bf8c: 00226400 call 22640 <__umodsi3> - 2bf90: 1027883a mov r19,r2 - 2bf94: a80b883a mov r5,r21 - 2bf98: 8009883a mov r4,r16 - 2bf9c: 00225dc0 call 225dc <__udivsi3> - 2bfa0: 9826943a slli r19,r19,16 - 2bfa4: a0a9383a mul r20,r20,r2 - 2bfa8: 94bfffcc andi r18,r18,65535 - 2bfac: 94e4b03a or r18,r18,r19 - 2bfb0: 9500052e bgeu r18,r20,2bfc8 <__udivdi3+0x12c> - 2bfb4: 8ca5883a add r18,r17,r18 - 2bfb8: 10ffffc4 addi r3,r2,-1 - 2bfbc: 9440f136 bltu r18,r17,2c384 <__udivdi3+0x4e8> - 2bfc0: 9500f02e bgeu r18,r20,2c384 <__udivdi3+0x4e8> - 2bfc4: 10bfff84 addi r2,r2,-2 - 2bfc8: b00c943a slli r6,r22,16 - 2bfcc: 0007883a mov r3,zero - 2bfd0: 3084b03a or r2,r6,r2 - 2bfd4: 00005906 br 2c13c <__udivdi3+0x2a0> - 2bfd8: 29c05636 bltu r5,r7,2c134 <__udivdi3+0x298> - 2bfdc: 00bfffd4 movui r2,65535 - 2bfe0: 11c0622e bgeu r2,r7,2c16c <__udivdi3+0x2d0> - 2bfe4: 00804034 movhi r2,256 - 2bfe8: 10bfffc4 addi r2,r2,-1 - 2bfec: 11c0ee36 bltu r2,r7,2c3a8 <__udivdi3+0x50c> - 2bff0: 00800404 movi r2,16 - 2bff4: 3886d83a srl r3,r7,r2 - 2bff8: 010000f4 movhi r4,3 - 2bffc: 21086e04 addi r4,r4,8632 - 2c000: 20c7883a add r3,r4,r3 - 2c004: 18c00003 ldbu r3,0(r3) - 2c008: 05400804 movi r21,32 - 2c00c: 1885883a add r2,r3,r2 - 2c010: a8abc83a sub r21,r21,r2 - 2c014: a800621e bne r21,zero,2c1a0 <__udivdi3+0x304> - 2c018: 3c00e936 bltu r7,r16,2c3c0 <__udivdi3+0x524> - 2c01c: 9985403a cmpgeu r2,r19,r6 - 2c020: 0007883a mov r3,zero - 2c024: 00004506 br 2c13c <__udivdi3+0x2a0> - 2c028: 3000041e bne r6,zero,2c03c <__udivdi3+0x1a0> - 2c02c: 000b883a mov r5,zero - 2c030: 01000044 movi r4,1 - 2c034: 00225dc0 call 225dc <__udivsi3> - 2c038: 1023883a mov r17,r2 - 2c03c: 00bfffd4 movui r2,65535 - 2c040: 14404e2e bgeu r2,r17,2c17c <__udivdi3+0x2e0> - 2c044: 00804034 movhi r2,256 - 2c048: 10bfffc4 addi r2,r2,-1 - 2c04c: 1440d836 bltu r2,r17,2c3b0 <__udivdi3+0x514> - 2c050: 00800404 movi r2,16 - 2c054: 8886d83a srl r3,r17,r2 - 2c058: 010000f4 movhi r4,3 - 2c05c: 21086e04 addi r4,r4,8632 - 2c060: 20c7883a add r3,r4,r3 - 2c064: 18c00003 ldbu r3,0(r3) - 2c068: 1885883a add r2,r3,r2 - 2c06c: 00c00804 movi r3,32 - 2c070: 1887c83a sub r3,r3,r2 - 2c074: 18008f1e bne r3,zero,2c2b4 <__udivdi3+0x418> - 2c078: 882ad43a srli r21,r17,16 - 2c07c: 8461c83a sub r16,r16,r17 - 2c080: 8d3fffcc andi r20,r17,65535 - 2c084: 00c00044 movi r3,1 - 2c088: 8009883a mov r4,r16 - 2c08c: a80b883a mov r5,r21 - 2c090: d8c00015 stw r3,0(sp) - 2c094: 00226400 call 22640 <__umodsi3> - 2c098: 8009883a mov r4,r16 - 2c09c: a80b883a mov r5,r21 - 2c0a0: 1027883a mov r19,r2 - 2c0a4: 00225dc0 call 225dc <__udivsi3> - 2c0a8: 9826943a slli r19,r19,16 - 2c0ac: 9008d43a srli r4,r18,16 - 2c0b0: 1521383a mul r16,r2,r20 - 2c0b4: 102d883a mov r22,r2 - 2c0b8: 24c8b03a or r4,r4,r19 - 2c0bc: d8c00017 ldw r3,0(sp) - 2c0c0: 2400052e bgeu r4,r16,2c0d8 <__udivdi3+0x23c> - 2c0c4: 2449883a add r4,r4,r17 - 2c0c8: b0bfffc4 addi r2,r22,-1 - 2c0cc: 24400136 bltu r4,r17,2c0d4 <__udivdi3+0x238> - 2c0d0: 2400ca36 bltu r4,r16,2c3fc <__udivdi3+0x560> - 2c0d4: 102d883a mov r22,r2 - 2c0d8: 2421c83a sub r16,r4,r16 - 2c0dc: a80b883a mov r5,r21 - 2c0e0: 8009883a mov r4,r16 - 2c0e4: d8c00015 stw r3,0(sp) - 2c0e8: 00226400 call 22640 <__umodsi3> - 2c0ec: 1027883a mov r19,r2 - 2c0f0: a80b883a mov r5,r21 - 2c0f4: 8009883a mov r4,r16 - 2c0f8: 00225dc0 call 225dc <__udivsi3> - 2c0fc: 9826943a slli r19,r19,16 - 2c100: 1529383a mul r20,r2,r20 - 2c104: 94bfffcc andi r18,r18,65535 - 2c108: 94e4b03a or r18,r18,r19 - 2c10c: d8c00017 ldw r3,0(sp) - 2c110: 9500052e bgeu r18,r20,2c128 <__udivdi3+0x28c> - 2c114: 8ca5883a add r18,r17,r18 - 2c118: 113fffc4 addi r4,r2,-1 - 2c11c: 94409736 bltu r18,r17,2c37c <__udivdi3+0x4e0> - 2c120: 9500962e bgeu r18,r20,2c37c <__udivdi3+0x4e0> - 2c124: 10bfff84 addi r2,r2,-2 - 2c128: b00c943a slli r6,r22,16 - 2c12c: 3084b03a or r2,r6,r2 - 2c130: 00000206 br 2c13c <__udivdi3+0x2a0> - 2c134: 0007883a mov r3,zero - 2c138: 0005883a mov r2,zero - 2c13c: dfc00a17 ldw ra,40(sp) - 2c140: df000917 ldw fp,36(sp) - 2c144: ddc00817 ldw r23,32(sp) - 2c148: dd800717 ldw r22,28(sp) - 2c14c: dd400617 ldw r21,24(sp) - 2c150: dd000517 ldw r20,20(sp) - 2c154: dcc00417 ldw r19,16(sp) - 2c158: dc800317 ldw r18,12(sp) - 2c15c: dc400217 ldw r17,8(sp) - 2c160: dc000117 ldw r16,4(sp) - 2c164: dec00b04 addi sp,sp,44 - 2c168: f800283a ret - 2c16c: 00803fc4 movi r2,255 - 2c170: 11c5803a cmpltu r2,r2,r7 - 2c174: 100490fa slli r2,r2,3 - 2c178: 003f9e06 br 2bff4 <_gp+0xfffefabc> - 2c17c: 00803fc4 movi r2,255 - 2c180: 1445803a cmpltu r2,r2,r17 - 2c184: 100490fa slli r2,r2,3 - 2c188: 003fb206 br 2c054 <_gp+0xfffefb1c> - 2c18c: 00804034 movhi r2,256 - 2c190: 10bfffc4 addi r2,r2,-1 - 2c194: 11808836 bltu r2,r6,2c3b8 <__udivdi3+0x51c> - 2c198: 00800404 movi r2,16 - 2c19c: 003f5606 br 2bef8 <_gp+0xfffef9c0> - 2c1a0: 30aed83a srl r23,r6,r2 - 2c1a4: 3d4e983a sll r7,r7,r21 - 2c1a8: 80acd83a srl r22,r16,r2 - 2c1ac: 9884d83a srl r2,r19,r2 - 2c1b0: 3deeb03a or r23,r7,r23 - 2c1b4: b824d43a srli r18,r23,16 - 2c1b8: 8560983a sll r16,r16,r21 - 2c1bc: b009883a mov r4,r22 - 2c1c0: 900b883a mov r5,r18 - 2c1c4: 3568983a sll r20,r6,r21 - 2c1c8: 1420b03a or r16,r2,r16 - 2c1cc: 00226400 call 22640 <__umodsi3> - 2c1d0: b009883a mov r4,r22 - 2c1d4: 900b883a mov r5,r18 - 2c1d8: 1023883a mov r17,r2 - 2c1dc: 00225dc0 call 225dc <__udivsi3> - 2c1e0: 8808943a slli r4,r17,16 - 2c1e4: bf3fffcc andi fp,r23,65535 - 2c1e8: 8006d43a srli r3,r16,16 - 2c1ec: e0a3383a mul r17,fp,r2 - 2c1f0: 100d883a mov r6,r2 - 2c1f4: 1906b03a or r3,r3,r4 - 2c1f8: 1c40042e bgeu r3,r17,2c20c <__udivdi3+0x370> - 2c1fc: 1dc7883a add r3,r3,r23 - 2c200: 10bfffc4 addi r2,r2,-1 - 2c204: 1dc0752e bgeu r3,r23,2c3dc <__udivdi3+0x540> - 2c208: 100d883a mov r6,r2 - 2c20c: 1c63c83a sub r17,r3,r17 - 2c210: 900b883a mov r5,r18 - 2c214: 8809883a mov r4,r17 - 2c218: d9800015 stw r6,0(sp) - 2c21c: 00226400 call 22640 <__umodsi3> - 2c220: 102d883a mov r22,r2 - 2c224: 8809883a mov r4,r17 - 2c228: 900b883a mov r5,r18 - 2c22c: 00225dc0 call 225dc <__udivsi3> - 2c230: b02c943a slli r22,r22,16 - 2c234: e089383a mul r4,fp,r2 - 2c238: 843fffcc andi r16,r16,65535 - 2c23c: 85a0b03a or r16,r16,r22 - 2c240: d9800017 ldw r6,0(sp) - 2c244: 8100042e bgeu r16,r4,2c258 <__udivdi3+0x3bc> - 2c248: 85e1883a add r16,r16,r23 - 2c24c: 10ffffc4 addi r3,r2,-1 - 2c250: 85c05e2e bgeu r16,r23,2c3cc <__udivdi3+0x530> - 2c254: 1805883a mov r2,r3 - 2c258: 300c943a slli r6,r6,16 - 2c25c: a17fffcc andi r5,r20,65535 - 2c260: a028d43a srli r20,r20,16 - 2c264: 3084b03a or r2,r6,r2 - 2c268: 10ffffcc andi r3,r2,65535 - 2c26c: 100cd43a srli r6,r2,16 - 2c270: 194f383a mul r7,r3,r5 - 2c274: 1d07383a mul r3,r3,r20 - 2c278: 314b383a mul r5,r6,r5 - 2c27c: 3810d43a srli r8,r7,16 - 2c280: 8121c83a sub r16,r16,r4 - 2c284: 1947883a add r3,r3,r5 - 2c288: 40c7883a add r3,r8,r3 - 2c28c: 350d383a mul r6,r6,r20 - 2c290: 1940022e bgeu r3,r5,2c29c <__udivdi3+0x400> - 2c294: 01000074 movhi r4,1 - 2c298: 310d883a add r6,r6,r4 - 2c29c: 1828d43a srli r20,r3,16 - 2c2a0: a18d883a add r6,r20,r6 - 2c2a4: 81803e36 bltu r16,r6,2c3a0 <__udivdi3+0x504> - 2c2a8: 81803826 beq r16,r6,2c38c <__udivdi3+0x4f0> - 2c2ac: 0007883a mov r3,zero - 2c2b0: 003fa206 br 2c13c <_gp+0xfffefc04> - 2c2b4: 88e2983a sll r17,r17,r3 - 2c2b8: 80a8d83a srl r20,r16,r2 - 2c2bc: 80e0983a sll r16,r16,r3 - 2c2c0: 882ad43a srli r21,r17,16 - 2c2c4: 9884d83a srl r2,r19,r2 - 2c2c8: a009883a mov r4,r20 - 2c2cc: a80b883a mov r5,r21 - 2c2d0: 142eb03a or r23,r2,r16 - 2c2d4: 98e4983a sll r18,r19,r3 - 2c2d8: 00226400 call 22640 <__umodsi3> - 2c2dc: a009883a mov r4,r20 - 2c2e0: a80b883a mov r5,r21 - 2c2e4: 1021883a mov r16,r2 - 2c2e8: 00225dc0 call 225dc <__udivsi3> - 2c2ec: 1039883a mov fp,r2 - 2c2f0: 8d3fffcc andi r20,r17,65535 - 2c2f4: 8020943a slli r16,r16,16 - 2c2f8: b804d43a srli r2,r23,16 - 2c2fc: a72d383a mul r22,r20,fp - 2c300: 1404b03a or r2,r2,r16 - 2c304: 1580062e bgeu r2,r22,2c320 <__udivdi3+0x484> - 2c308: 1445883a add r2,r2,r17 - 2c30c: e0ffffc4 addi r3,fp,-1 - 2c310: 14403836 bltu r2,r17,2c3f4 <__udivdi3+0x558> - 2c314: 1580372e bgeu r2,r22,2c3f4 <__udivdi3+0x558> - 2c318: e73fff84 addi fp,fp,-2 - 2c31c: 1445883a add r2,r2,r17 - 2c320: 15adc83a sub r22,r2,r22 - 2c324: a80b883a mov r5,r21 - 2c328: b009883a mov r4,r22 - 2c32c: 00226400 call 22640 <__umodsi3> - 2c330: 1027883a mov r19,r2 - 2c334: b009883a mov r4,r22 - 2c338: a80b883a mov r5,r21 - 2c33c: 00225dc0 call 225dc <__udivsi3> - 2c340: 9826943a slli r19,r19,16 - 2c344: a0a1383a mul r16,r20,r2 - 2c348: b93fffcc andi r4,r23,65535 - 2c34c: 24c8b03a or r4,r4,r19 - 2c350: 2400062e bgeu r4,r16,2c36c <__udivdi3+0x4d0> - 2c354: 2449883a add r4,r4,r17 - 2c358: 10ffffc4 addi r3,r2,-1 - 2c35c: 24402336 bltu r4,r17,2c3ec <__udivdi3+0x550> - 2c360: 2400222e bgeu r4,r16,2c3ec <__udivdi3+0x550> - 2c364: 10bfff84 addi r2,r2,-2 - 2c368: 2449883a add r4,r4,r17 - 2c36c: e038943a slli fp,fp,16 - 2c370: 2421c83a sub r16,r4,r16 - 2c374: e086b03a or r3,fp,r2 - 2c378: 003f4306 br 2c088 <_gp+0xfffefb50> - 2c37c: 2005883a mov r2,r4 - 2c380: 003f6906 br 2c128 <_gp+0xfffefbf0> - 2c384: 1805883a mov r2,r3 - 2c388: 003f0f06 br 2bfc8 <_gp+0xfffefa90> - 2c38c: 1806943a slli r3,r3,16 - 2c390: 9d66983a sll r19,r19,r21 - 2c394: 39ffffcc andi r7,r7,65535 - 2c398: 19c7883a add r3,r3,r7 - 2c39c: 98ffc32e bgeu r19,r3,2c2ac <_gp+0xfffefd74> - 2c3a0: 10bfffc4 addi r2,r2,-1 - 2c3a4: 003fc106 br 2c2ac <_gp+0xfffefd74> - 2c3a8: 00800604 movi r2,24 - 2c3ac: 003f1106 br 2bff4 <_gp+0xfffefabc> - 2c3b0: 00800604 movi r2,24 - 2c3b4: 003f2706 br 2c054 <_gp+0xfffefb1c> - 2c3b8: 00800604 movi r2,24 - 2c3bc: 003ece06 br 2bef8 <_gp+0xfffef9c0> - 2c3c0: 0007883a mov r3,zero - 2c3c4: 00800044 movi r2,1 - 2c3c8: 003f5c06 br 2c13c <_gp+0xfffefc04> - 2c3cc: 813fa12e bgeu r16,r4,2c254 <_gp+0xfffefd1c> - 2c3d0: 10bfff84 addi r2,r2,-2 - 2c3d4: 85e1883a add r16,r16,r23 - 2c3d8: 003f9f06 br 2c258 <_gp+0xfffefd20> - 2c3dc: 1c7f8a2e bgeu r3,r17,2c208 <_gp+0xfffefcd0> - 2c3e0: 31bfff84 addi r6,r6,-2 - 2c3e4: 1dc7883a add r3,r3,r23 - 2c3e8: 003f8806 br 2c20c <_gp+0xfffefcd4> - 2c3ec: 1805883a mov r2,r3 - 2c3f0: 003fde06 br 2c36c <_gp+0xfffefe34> - 2c3f4: 1839883a mov fp,r3 - 2c3f8: 003fc906 br 2c320 <_gp+0xfffefde8> - 2c3fc: b5bfff84 addi r22,r22,-2 - 2c400: 2449883a add r4,r4,r17 - 2c404: 003f3406 br 2c0d8 <_gp+0xfffefba0> - 2c408: b5bfff84 addi r22,r22,-2 - 2c40c: 1445883a add r2,r2,r17 - 2c410: 003edb06 br 2bf80 <_gp+0xfffefa48> - -0002c414 <__umoddi3>: - 2c414: defff404 addi sp,sp,-48 - 2c418: df000a15 stw fp,40(sp) - 2c41c: dc400315 stw r17,12(sp) - 2c420: dc000215 stw r16,8(sp) - 2c424: dfc00b15 stw ra,44(sp) - 2c428: ddc00915 stw r23,36(sp) - 2c42c: dd800815 stw r22,32(sp) - 2c430: dd400715 stw r21,28(sp) - 2c434: dd000615 stw r20,24(sp) - 2c438: dcc00515 stw r19,20(sp) - 2c43c: dc800415 stw r18,16(sp) - 2c440: 2021883a mov r16,r4 - 2c444: 2823883a mov r17,r5 - 2c448: 2839883a mov fp,r5 - 2c44c: 38003c1e bne r7,zero,2c540 <__umoddi3+0x12c> - 2c450: 3027883a mov r19,r6 - 2c454: 2029883a mov r20,r4 - 2c458: 2980512e bgeu r5,r6,2c5a0 <__umoddi3+0x18c> - 2c45c: 00bfffd4 movui r2,65535 - 2c460: 11809a36 bltu r2,r6,2c6cc <__umoddi3+0x2b8> - 2c464: 01003fc4 movi r4,255 - 2c468: 2189803a cmpltu r4,r4,r6 - 2c46c: 200890fa slli r4,r4,3 - 2c470: 3104d83a srl r2,r6,r4 - 2c474: 00c000f4 movhi r3,3 - 2c478: 18c86e04 addi r3,r3,8632 - 2c47c: 1885883a add r2,r3,r2 - 2c480: 10c00003 ldbu r3,0(r2) - 2c484: 00800804 movi r2,32 - 2c488: 1909883a add r4,r3,r4 - 2c48c: 1125c83a sub r18,r2,r4 - 2c490: 90000526 beq r18,zero,2c4a8 <__umoddi3+0x94> - 2c494: 8ca2983a sll r17,r17,r18 - 2c498: 8108d83a srl r4,r16,r4 - 2c49c: 34a6983a sll r19,r6,r18 - 2c4a0: 84a8983a sll r20,r16,r18 - 2c4a4: 2478b03a or fp,r4,r17 - 2c4a8: 982ed43a srli r23,r19,16 - 2c4ac: e009883a mov r4,fp - 2c4b0: 9dbfffcc andi r22,r19,65535 - 2c4b4: b80b883a mov r5,r23 - 2c4b8: 00226400 call 22640 <__umodsi3> - 2c4bc: e009883a mov r4,fp - 2c4c0: b80b883a mov r5,r23 - 2c4c4: 102b883a mov r21,r2 - 2c4c8: 00225dc0 call 225dc <__udivsi3> - 2c4cc: a806943a slli r3,r21,16 - 2c4d0: a008d43a srli r4,r20,16 - 2c4d4: b085383a mul r2,r22,r2 - 2c4d8: 20c8b03a or r4,r4,r3 - 2c4dc: 2080032e bgeu r4,r2,2c4ec <__umoddi3+0xd8> - 2c4e0: 24c9883a add r4,r4,r19 - 2c4e4: 24c00136 bltu r4,r19,2c4ec <__umoddi3+0xd8> - 2c4e8: 20811036 bltu r4,r2,2c92c <__umoddi3+0x518> - 2c4ec: 20abc83a sub r21,r4,r2 - 2c4f0: b80b883a mov r5,r23 - 2c4f4: a809883a mov r4,r21 - 2c4f8: 00226400 call 22640 <__umodsi3> - 2c4fc: 1023883a mov r17,r2 - 2c500: b80b883a mov r5,r23 - 2c504: a809883a mov r4,r21 - 2c508: 00225dc0 call 225dc <__udivsi3> - 2c50c: 8822943a slli r17,r17,16 - 2c510: b085383a mul r2,r22,r2 - 2c514: a0ffffcc andi r3,r20,65535 - 2c518: 1c46b03a or r3,r3,r17 - 2c51c: 1880042e bgeu r3,r2,2c530 <__umoddi3+0x11c> - 2c520: 1cc7883a add r3,r3,r19 - 2c524: 1cc00236 bltu r3,r19,2c530 <__umoddi3+0x11c> - 2c528: 1880012e bgeu r3,r2,2c530 <__umoddi3+0x11c> - 2c52c: 1cc7883a add r3,r3,r19 - 2c530: 1885c83a sub r2,r3,r2 - 2c534: 1484d83a srl r2,r2,r18 - 2c538: 0007883a mov r3,zero - 2c53c: 00004f06 br 2c67c <__umoddi3+0x268> - 2c540: 29c04c36 bltu r5,r7,2c674 <__umoddi3+0x260> - 2c544: 00bfffd4 movui r2,65535 - 2c548: 11c0582e bgeu r2,r7,2c6ac <__umoddi3+0x298> - 2c54c: 00804034 movhi r2,256 - 2c550: 10bfffc4 addi r2,r2,-1 - 2c554: 11c0e736 bltu r2,r7,2c8f4 <__umoddi3+0x4e0> - 2c558: 01000404 movi r4,16 - 2c55c: 3904d83a srl r2,r7,r4 - 2c560: 00c000f4 movhi r3,3 - 2c564: 18c86e04 addi r3,r3,8632 - 2c568: 1885883a add r2,r3,r2 - 2c56c: 14c00003 ldbu r19,0(r2) - 2c570: 00c00804 movi r3,32 - 2c574: 9927883a add r19,r19,r4 - 2c578: 1ce9c83a sub r20,r3,r19 - 2c57c: a000581e bne r20,zero,2c6e0 <__umoddi3+0x2cc> - 2c580: 3c400136 bltu r7,r17,2c588 <__umoddi3+0x174> - 2c584: 8180eb36 bltu r16,r6,2c934 <__umoddi3+0x520> - 2c588: 8185c83a sub r2,r16,r6 - 2c58c: 89e3c83a sub r17,r17,r7 - 2c590: 8089803a cmpltu r4,r16,r2 - 2c594: 8939c83a sub fp,r17,r4 - 2c598: e007883a mov r3,fp - 2c59c: 00003706 br 2c67c <__umoddi3+0x268> - 2c5a0: 3000041e bne r6,zero,2c5b4 <__umoddi3+0x1a0> - 2c5a4: 000b883a mov r5,zero - 2c5a8: 01000044 movi r4,1 - 2c5ac: 00225dc0 call 225dc <__udivsi3> - 2c5b0: 1027883a mov r19,r2 - 2c5b4: 00bfffd4 movui r2,65535 - 2c5b8: 14c0402e bgeu r2,r19,2c6bc <__umoddi3+0x2a8> - 2c5bc: 00804034 movhi r2,256 - 2c5c0: 10bfffc4 addi r2,r2,-1 - 2c5c4: 14c0cd36 bltu r2,r19,2c8fc <__umoddi3+0x4e8> - 2c5c8: 00800404 movi r2,16 - 2c5cc: 9886d83a srl r3,r19,r2 - 2c5d0: 010000f4 movhi r4,3 - 2c5d4: 21086e04 addi r4,r4,8632 - 2c5d8: 20c7883a add r3,r4,r3 - 2c5dc: 18c00003 ldbu r3,0(r3) - 2c5e0: 1887883a add r3,r3,r2 - 2c5e4: 00800804 movi r2,32 - 2c5e8: 10e5c83a sub r18,r2,r3 - 2c5ec: 9000901e bne r18,zero,2c830 <__umoddi3+0x41c> - 2c5f0: 982cd43a srli r22,r19,16 - 2c5f4: 8ce3c83a sub r17,r17,r19 - 2c5f8: 9d7fffcc andi r21,r19,65535 - 2c5fc: b00b883a mov r5,r22 - 2c600: 8809883a mov r4,r17 - 2c604: 00226400 call 22640 <__umodsi3> - 2c608: 8809883a mov r4,r17 - 2c60c: b00b883a mov r5,r22 - 2c610: 1021883a mov r16,r2 - 2c614: 00225dc0 call 225dc <__udivsi3> - 2c618: 8006943a slli r3,r16,16 - 2c61c: a008d43a srli r4,r20,16 - 2c620: 1545383a mul r2,r2,r21 - 2c624: 20c8b03a or r4,r4,r3 - 2c628: 2080042e bgeu r4,r2,2c63c <__umoddi3+0x228> - 2c62c: 24c9883a add r4,r4,r19 - 2c630: 24c00236 bltu r4,r19,2c63c <__umoddi3+0x228> - 2c634: 2080012e bgeu r4,r2,2c63c <__umoddi3+0x228> - 2c638: 24c9883a add r4,r4,r19 - 2c63c: 20a1c83a sub r16,r4,r2 - 2c640: b00b883a mov r5,r22 - 2c644: 8009883a mov r4,r16 - 2c648: 00226400 call 22640 <__umodsi3> - 2c64c: 1023883a mov r17,r2 - 2c650: b00b883a mov r5,r22 - 2c654: 8009883a mov r4,r16 - 2c658: 00225dc0 call 225dc <__udivsi3> - 2c65c: 8822943a slli r17,r17,16 - 2c660: 1545383a mul r2,r2,r21 - 2c664: a53fffcc andi r20,r20,65535 - 2c668: a446b03a or r3,r20,r17 - 2c66c: 18bfb02e bgeu r3,r2,2c530 <_gp+0xfffefff8> - 2c670: 003fab06 br 2c520 <_gp+0xfffeffe8> - 2c674: 2005883a mov r2,r4 - 2c678: 2807883a mov r3,r5 - 2c67c: dfc00b17 ldw ra,44(sp) - 2c680: df000a17 ldw fp,40(sp) - 2c684: ddc00917 ldw r23,36(sp) - 2c688: dd800817 ldw r22,32(sp) - 2c68c: dd400717 ldw r21,28(sp) - 2c690: dd000617 ldw r20,24(sp) - 2c694: dcc00517 ldw r19,20(sp) - 2c698: dc800417 ldw r18,16(sp) - 2c69c: dc400317 ldw r17,12(sp) - 2c6a0: dc000217 ldw r16,8(sp) - 2c6a4: dec00c04 addi sp,sp,48 - 2c6a8: f800283a ret - 2c6ac: 04c03fc4 movi r19,255 - 2c6b0: 99c9803a cmpltu r4,r19,r7 - 2c6b4: 200890fa slli r4,r4,3 - 2c6b8: 003fa806 br 2c55c <_gp+0xffff0024> - 2c6bc: 00803fc4 movi r2,255 - 2c6c0: 14c5803a cmpltu r2,r2,r19 - 2c6c4: 100490fa slli r2,r2,3 - 2c6c8: 003fc006 br 2c5cc <_gp+0xffff0094> - 2c6cc: 00804034 movhi r2,256 - 2c6d0: 10bfffc4 addi r2,r2,-1 - 2c6d4: 11808b36 bltu r2,r6,2c904 <__umoddi3+0x4f0> - 2c6d8: 01000404 movi r4,16 - 2c6dc: 003f6406 br 2c470 <_gp+0xfffeff38> - 2c6e0: 34c4d83a srl r2,r6,r19 - 2c6e4: 3d0e983a sll r7,r7,r20 - 2c6e8: 8cf8d83a srl fp,r17,r19 - 2c6ec: 8d10983a sll r8,r17,r20 - 2c6f0: 38aab03a or r21,r7,r2 - 2c6f4: a82cd43a srli r22,r21,16 - 2c6f8: 84e2d83a srl r17,r16,r19 - 2c6fc: e009883a mov r4,fp - 2c700: b00b883a mov r5,r22 - 2c704: 8a22b03a or r17,r17,r8 - 2c708: 3524983a sll r18,r6,r20 - 2c70c: 00226400 call 22640 <__umodsi3> - 2c710: e009883a mov r4,fp - 2c714: b00b883a mov r5,r22 - 2c718: 102f883a mov r23,r2 - 2c71c: 00225dc0 call 225dc <__udivsi3> - 2c720: 100d883a mov r6,r2 - 2c724: b808943a slli r4,r23,16 - 2c728: aa3fffcc andi r8,r21,65535 - 2c72c: 8804d43a srli r2,r17,16 - 2c730: 41af383a mul r23,r8,r6 - 2c734: 8520983a sll r16,r16,r20 - 2c738: 1104b03a or r2,r2,r4 - 2c73c: 15c0042e bgeu r2,r23,2c750 <__umoddi3+0x33c> - 2c740: 1545883a add r2,r2,r21 - 2c744: 30ffffc4 addi r3,r6,-1 - 2c748: 1540742e bgeu r2,r21,2c91c <__umoddi3+0x508> - 2c74c: 180d883a mov r6,r3 - 2c750: 15efc83a sub r23,r2,r23 - 2c754: b00b883a mov r5,r22 - 2c758: b809883a mov r4,r23 - 2c75c: d9800115 stw r6,4(sp) - 2c760: da000015 stw r8,0(sp) - 2c764: 00226400 call 22640 <__umodsi3> - 2c768: b00b883a mov r5,r22 - 2c76c: b809883a mov r4,r23 - 2c770: 1039883a mov fp,r2 - 2c774: 00225dc0 call 225dc <__udivsi3> - 2c778: da000017 ldw r8,0(sp) - 2c77c: e038943a slli fp,fp,16 - 2c780: 100b883a mov r5,r2 - 2c784: 4089383a mul r4,r8,r2 - 2c788: 8a3fffcc andi r8,r17,65535 - 2c78c: 4710b03a or r8,r8,fp - 2c790: d9800117 ldw r6,4(sp) - 2c794: 4100042e bgeu r8,r4,2c7a8 <__umoddi3+0x394> - 2c798: 4551883a add r8,r8,r21 +0002c650 <__udivdi3>: + 2c650: defff504 addi sp,sp,-44 + 2c654: dcc00415 stw r19,16(sp) + 2c658: dc000115 stw r16,4(sp) + 2c65c: dfc00a15 stw ra,40(sp) + 2c660: df000915 stw fp,36(sp) + 2c664: ddc00815 stw r23,32(sp) + 2c668: dd800715 stw r22,28(sp) + 2c66c: dd400615 stw r21,24(sp) + 2c670: dd000515 stw r20,20(sp) + 2c674: dc800315 stw r18,12(sp) + 2c678: dc400215 stw r17,8(sp) + 2c67c: 2027883a mov r19,r4 + 2c680: 2821883a mov r16,r5 + 2c684: 3800411e bne r7,zero,2c78c <__udivdi3+0x13c> + 2c688: 3023883a mov r17,r6 + 2c68c: 2025883a mov r18,r4 + 2c690: 2980522e bgeu r5,r6,2c7dc <__udivdi3+0x18c> + 2c694: 00bfffd4 movui r2,65535 + 2c698: 282d883a mov r22,r5 + 2c69c: 1180a836 bltu r2,r6,2c940 <__udivdi3+0x2f0> + 2c6a0: 00803fc4 movi r2,255 + 2c6a4: 1185803a cmpltu r2,r2,r6 + 2c6a8: 100490fa slli r2,r2,3 + 2c6ac: 3086d83a srl r3,r6,r2 + 2c6b0: 010000f4 movhi r4,3 + 2c6b4: 210abb04 addi r4,r4,10988 + 2c6b8: 20c7883a add r3,r4,r3 + 2c6bc: 18c00003 ldbu r3,0(r3) + 2c6c0: 1885883a add r2,r3,r2 + 2c6c4: 00c00804 movi r3,32 + 2c6c8: 1887c83a sub r3,r3,r2 + 2c6cc: 18000526 beq r3,zero,2c6e4 <__udivdi3+0x94> + 2c6d0: 80e0983a sll r16,r16,r3 + 2c6d4: 9884d83a srl r2,r19,r2 + 2c6d8: 30e2983a sll r17,r6,r3 + 2c6dc: 98e4983a sll r18,r19,r3 + 2c6e0: 142cb03a or r22,r2,r16 + 2c6e4: 882ad43a srli r21,r17,16 + 2c6e8: b009883a mov r4,r22 + 2c6ec: 8d3fffcc andi r20,r17,65535 + 2c6f0: a80b883a mov r5,r21 + 2c6f4: 0022a840 call 22a84 <__umodsi3> + 2c6f8: b009883a mov r4,r22 + 2c6fc: a80b883a mov r5,r21 + 2c700: 1027883a mov r19,r2 + 2c704: 0022a200 call 22a20 <__udivsi3> + 2c708: 102d883a mov r22,r2 + 2c70c: 9826943a slli r19,r19,16 + 2c710: 9004d43a srli r2,r18,16 + 2c714: a5a1383a mul r16,r20,r22 + 2c718: 14c4b03a or r2,r2,r19 + 2c71c: 1400052e bgeu r2,r16,2c734 <__udivdi3+0xe4> + 2c720: 1445883a add r2,r2,r17 + 2c724: b0ffffc4 addi r3,r22,-1 + 2c728: 14400136 bltu r2,r17,2c730 <__udivdi3+0xe0> + 2c72c: 14012336 bltu r2,r16,2cbbc <__udivdi3+0x56c> + 2c730: 182d883a mov r22,r3 + 2c734: 1421c83a sub r16,r2,r16 + 2c738: a80b883a mov r5,r21 + 2c73c: 8009883a mov r4,r16 + 2c740: 0022a840 call 22a84 <__umodsi3> + 2c744: 1027883a mov r19,r2 + 2c748: a80b883a mov r5,r21 + 2c74c: 8009883a mov r4,r16 + 2c750: 0022a200 call 22a20 <__udivsi3> + 2c754: 9826943a slli r19,r19,16 + 2c758: a0a9383a mul r20,r20,r2 + 2c75c: 94bfffcc andi r18,r18,65535 + 2c760: 94e4b03a or r18,r18,r19 + 2c764: 9500052e bgeu r18,r20,2c77c <__udivdi3+0x12c> + 2c768: 8ca5883a add r18,r17,r18 + 2c76c: 10ffffc4 addi r3,r2,-1 + 2c770: 9440f136 bltu r18,r17,2cb38 <__udivdi3+0x4e8> + 2c774: 9500f02e bgeu r18,r20,2cb38 <__udivdi3+0x4e8> + 2c778: 10bfff84 addi r2,r2,-2 + 2c77c: b00c943a slli r6,r22,16 + 2c780: 0007883a mov r3,zero + 2c784: 3084b03a or r2,r6,r2 + 2c788: 00005906 br 2c8f0 <__udivdi3+0x2a0> + 2c78c: 29c05636 bltu r5,r7,2c8e8 <__udivdi3+0x298> + 2c790: 00bfffd4 movui r2,65535 + 2c794: 11c0622e bgeu r2,r7,2c920 <__udivdi3+0x2d0> + 2c798: 00804034 movhi r2,256 2c79c: 10bfffc4 addi r2,r2,-1 - 2c7a0: 45405a2e bgeu r8,r21,2c90c <__umoddi3+0x4f8> - 2c7a4: 100b883a mov r5,r2 - 2c7a8: 300c943a slli r6,r6,16 - 2c7ac: 91ffffcc andi r7,r18,65535 - 2c7b0: 9004d43a srli r2,r18,16 - 2c7b4: 314cb03a or r6,r6,r5 - 2c7b8: 317fffcc andi r5,r6,65535 - 2c7bc: 300cd43a srli r6,r6,16 - 2c7c0: 29d3383a mul r9,r5,r7 - 2c7c4: 288b383a mul r5,r5,r2 - 2c7c8: 31cf383a mul r7,r6,r7 - 2c7cc: 4806d43a srli r3,r9,16 - 2c7d0: 4111c83a sub r8,r8,r4 - 2c7d4: 29cb883a add r5,r5,r7 - 2c7d8: 194b883a add r5,r3,r5 - 2c7dc: 3085383a mul r2,r6,r2 - 2c7e0: 29c0022e bgeu r5,r7,2c7ec <__umoddi3+0x3d8> - 2c7e4: 00c00074 movhi r3,1 - 2c7e8: 10c5883a add r2,r2,r3 - 2c7ec: 2808d43a srli r4,r5,16 - 2c7f0: 280a943a slli r5,r5,16 - 2c7f4: 4a7fffcc andi r9,r9,65535 - 2c7f8: 2085883a add r2,r4,r2 - 2c7fc: 2a4b883a add r5,r5,r9 - 2c800: 40803636 bltu r8,r2,2c8dc <__umoddi3+0x4c8> - 2c804: 40804d26 beq r8,r2,2c93c <__umoddi3+0x528> - 2c808: 4089c83a sub r4,r8,r2 - 2c80c: 280f883a mov r7,r5 - 2c810: 81cfc83a sub r7,r16,r7 - 2c814: 81c7803a cmpltu r3,r16,r7 - 2c818: 20c7c83a sub r3,r4,r3 - 2c81c: 1cc4983a sll r2,r3,r19 - 2c820: 3d0ed83a srl r7,r7,r20 - 2c824: 1d06d83a srl r3,r3,r20 - 2c828: 11c4b03a or r2,r2,r7 - 2c82c: 003f9306 br 2c67c <_gp+0xffff0144> - 2c830: 9ca6983a sll r19,r19,r18 - 2c834: 88e8d83a srl r20,r17,r3 - 2c838: 80c4d83a srl r2,r16,r3 - 2c83c: 982cd43a srli r22,r19,16 - 2c840: 8ca2983a sll r17,r17,r18 - 2c844: a009883a mov r4,r20 - 2c848: b00b883a mov r5,r22 - 2c84c: 1478b03a or fp,r2,r17 - 2c850: 00226400 call 22640 <__umodsi3> - 2c854: a009883a mov r4,r20 - 2c858: b00b883a mov r5,r22 - 2c85c: 1023883a mov r17,r2 - 2c860: 00225dc0 call 225dc <__udivsi3> - 2c864: 9d7fffcc andi r21,r19,65535 - 2c868: 880a943a slli r5,r17,16 - 2c86c: e008d43a srli r4,fp,16 - 2c870: a885383a mul r2,r21,r2 - 2c874: 84a8983a sll r20,r16,r18 - 2c878: 2148b03a or r4,r4,r5 - 2c87c: 2080042e bgeu r4,r2,2c890 <__umoddi3+0x47c> - 2c880: 24c9883a add r4,r4,r19 - 2c884: 24c00236 bltu r4,r19,2c890 <__umoddi3+0x47c> - 2c888: 2080012e bgeu r4,r2,2c890 <__umoddi3+0x47c> - 2c88c: 24c9883a add r4,r4,r19 - 2c890: 20a3c83a sub r17,r4,r2 - 2c894: b00b883a mov r5,r22 - 2c898: 8809883a mov r4,r17 - 2c89c: 00226400 call 22640 <__umodsi3> - 2c8a0: 102f883a mov r23,r2 - 2c8a4: 8809883a mov r4,r17 - 2c8a8: b00b883a mov r5,r22 - 2c8ac: 00225dc0 call 225dc <__udivsi3> - 2c8b0: b82e943a slli r23,r23,16 - 2c8b4: a885383a mul r2,r21,r2 - 2c8b8: e13fffcc andi r4,fp,65535 - 2c8bc: 25c8b03a or r4,r4,r23 - 2c8c0: 2080042e bgeu r4,r2,2c8d4 <__umoddi3+0x4c0> - 2c8c4: 24c9883a add r4,r4,r19 - 2c8c8: 24c00236 bltu r4,r19,2c8d4 <__umoddi3+0x4c0> - 2c8cc: 2080012e bgeu r4,r2,2c8d4 <__umoddi3+0x4c0> - 2c8d0: 24c9883a add r4,r4,r19 - 2c8d4: 20a3c83a sub r17,r4,r2 - 2c8d8: 003f4806 br 2c5fc <_gp+0xffff00c4> - 2c8dc: 2c8fc83a sub r7,r5,r18 - 2c8e0: 1545c83a sub r2,r2,r21 - 2c8e4: 29cb803a cmpltu r5,r5,r7 - 2c8e8: 1145c83a sub r2,r2,r5 - 2c8ec: 4089c83a sub r4,r8,r2 - 2c8f0: 003fc706 br 2c810 <_gp+0xffff02d8> - 2c8f4: 01000604 movi r4,24 - 2c8f8: 003f1806 br 2c55c <_gp+0xffff0024> - 2c8fc: 00800604 movi r2,24 - 2c900: 003f3206 br 2c5cc <_gp+0xffff0094> - 2c904: 01000604 movi r4,24 - 2c908: 003ed906 br 2c470 <_gp+0xfffeff38> - 2c90c: 413fa52e bgeu r8,r4,2c7a4 <_gp+0xffff026c> - 2c910: 297fff84 addi r5,r5,-2 - 2c914: 4551883a add r8,r8,r21 - 2c918: 003fa306 br 2c7a8 <_gp+0xffff0270> - 2c91c: 15ff8b2e bgeu r2,r23,2c74c <_gp+0xffff0214> - 2c920: 31bfff84 addi r6,r6,-2 - 2c924: 1545883a add r2,r2,r21 - 2c928: 003f8906 br 2c750 <_gp+0xffff0218> - 2c92c: 24c9883a add r4,r4,r19 - 2c930: 003eee06 br 2c4ec <_gp+0xfffeffb4> - 2c934: 8005883a mov r2,r16 - 2c938: 003f1706 br 2c598 <_gp+0xffff0060> - 2c93c: 817fe736 bltu r16,r5,2c8dc <_gp+0xffff03a4> - 2c940: 280f883a mov r7,r5 - 2c944: 0009883a mov r4,zero - 2c948: 003fb106 br 2c810 <_gp+0xffff02d8> + 2c7a0: 11c0ee36 bltu r2,r7,2cb5c <__udivdi3+0x50c> + 2c7a4: 00800404 movi r2,16 + 2c7a8: 3886d83a srl r3,r7,r2 + 2c7ac: 010000f4 movhi r4,3 + 2c7b0: 210abb04 addi r4,r4,10988 + 2c7b4: 20c7883a add r3,r4,r3 + 2c7b8: 18c00003 ldbu r3,0(r3) + 2c7bc: 05400804 movi r21,32 + 2c7c0: 1885883a add r2,r3,r2 + 2c7c4: a8abc83a sub r21,r21,r2 + 2c7c8: a800621e bne r21,zero,2c954 <__udivdi3+0x304> + 2c7cc: 3c00e936 bltu r7,r16,2cb74 <__udivdi3+0x524> + 2c7d0: 9985403a cmpgeu r2,r19,r6 + 2c7d4: 0007883a mov r3,zero + 2c7d8: 00004506 br 2c8f0 <__udivdi3+0x2a0> + 2c7dc: 3000041e bne r6,zero,2c7f0 <__udivdi3+0x1a0> + 2c7e0: 000b883a mov r5,zero + 2c7e4: 01000044 movi r4,1 + 2c7e8: 0022a200 call 22a20 <__udivsi3> + 2c7ec: 1023883a mov r17,r2 + 2c7f0: 00bfffd4 movui r2,65535 + 2c7f4: 14404e2e bgeu r2,r17,2c930 <__udivdi3+0x2e0> + 2c7f8: 00804034 movhi r2,256 + 2c7fc: 10bfffc4 addi r2,r2,-1 + 2c800: 1440d836 bltu r2,r17,2cb64 <__udivdi3+0x514> + 2c804: 00800404 movi r2,16 + 2c808: 8886d83a srl r3,r17,r2 + 2c80c: 010000f4 movhi r4,3 + 2c810: 210abb04 addi r4,r4,10988 + 2c814: 20c7883a add r3,r4,r3 + 2c818: 18c00003 ldbu r3,0(r3) + 2c81c: 1885883a add r2,r3,r2 + 2c820: 00c00804 movi r3,32 + 2c824: 1887c83a sub r3,r3,r2 + 2c828: 18008f1e bne r3,zero,2ca68 <__udivdi3+0x418> + 2c82c: 882ad43a srli r21,r17,16 + 2c830: 8461c83a sub r16,r16,r17 + 2c834: 8d3fffcc andi r20,r17,65535 + 2c838: 00c00044 movi r3,1 + 2c83c: 8009883a mov r4,r16 + 2c840: a80b883a mov r5,r21 + 2c844: d8c00015 stw r3,0(sp) + 2c848: 0022a840 call 22a84 <__umodsi3> + 2c84c: 8009883a mov r4,r16 + 2c850: a80b883a mov r5,r21 + 2c854: 1027883a mov r19,r2 + 2c858: 0022a200 call 22a20 <__udivsi3> + 2c85c: 9826943a slli r19,r19,16 + 2c860: 9008d43a srli r4,r18,16 + 2c864: 1521383a mul r16,r2,r20 + 2c868: 102d883a mov r22,r2 + 2c86c: 24c8b03a or r4,r4,r19 + 2c870: d8c00017 ldw r3,0(sp) + 2c874: 2400052e bgeu r4,r16,2c88c <__udivdi3+0x23c> + 2c878: 2449883a add r4,r4,r17 + 2c87c: b0bfffc4 addi r2,r22,-1 + 2c880: 24400136 bltu r4,r17,2c888 <__udivdi3+0x238> + 2c884: 2400ca36 bltu r4,r16,2cbb0 <__udivdi3+0x560> + 2c888: 102d883a mov r22,r2 + 2c88c: 2421c83a sub r16,r4,r16 + 2c890: a80b883a mov r5,r21 + 2c894: 8009883a mov r4,r16 + 2c898: d8c00015 stw r3,0(sp) + 2c89c: 0022a840 call 22a84 <__umodsi3> + 2c8a0: 1027883a mov r19,r2 + 2c8a4: a80b883a mov r5,r21 + 2c8a8: 8009883a mov r4,r16 + 2c8ac: 0022a200 call 22a20 <__udivsi3> + 2c8b0: 9826943a slli r19,r19,16 + 2c8b4: 1529383a mul r20,r2,r20 + 2c8b8: 94bfffcc andi r18,r18,65535 + 2c8bc: 94e4b03a or r18,r18,r19 + 2c8c0: d8c00017 ldw r3,0(sp) + 2c8c4: 9500052e bgeu r18,r20,2c8dc <__udivdi3+0x28c> + 2c8c8: 8ca5883a add r18,r17,r18 + 2c8cc: 113fffc4 addi r4,r2,-1 + 2c8d0: 94409736 bltu r18,r17,2cb30 <__udivdi3+0x4e0> + 2c8d4: 9500962e bgeu r18,r20,2cb30 <__udivdi3+0x4e0> + 2c8d8: 10bfff84 addi r2,r2,-2 + 2c8dc: b00c943a slli r6,r22,16 + 2c8e0: 3084b03a or r2,r6,r2 + 2c8e4: 00000206 br 2c8f0 <__udivdi3+0x2a0> + 2c8e8: 0007883a mov r3,zero + 2c8ec: 0005883a mov r2,zero + 2c8f0: dfc00a17 ldw ra,40(sp) + 2c8f4: df000917 ldw fp,36(sp) + 2c8f8: ddc00817 ldw r23,32(sp) + 2c8fc: dd800717 ldw r22,28(sp) + 2c900: dd400617 ldw r21,24(sp) + 2c904: dd000517 ldw r20,20(sp) + 2c908: dcc00417 ldw r19,16(sp) + 2c90c: dc800317 ldw r18,12(sp) + 2c910: dc400217 ldw r17,8(sp) + 2c914: dc000117 ldw r16,4(sp) + 2c918: dec00b04 addi sp,sp,44 + 2c91c: f800283a ret + 2c920: 00803fc4 movi r2,255 + 2c924: 11c5803a cmpltu r2,r2,r7 + 2c928: 100490fa slli r2,r2,3 + 2c92c: 003f9e06 br 2c7a8 <_gp+0xfffef93c> + 2c930: 00803fc4 movi r2,255 + 2c934: 1445803a cmpltu r2,r2,r17 + 2c938: 100490fa slli r2,r2,3 + 2c93c: 003fb206 br 2c808 <_gp+0xfffef99c> + 2c940: 00804034 movhi r2,256 + 2c944: 10bfffc4 addi r2,r2,-1 + 2c948: 11808836 bltu r2,r6,2cb6c <__udivdi3+0x51c> + 2c94c: 00800404 movi r2,16 + 2c950: 003f5606 br 2c6ac <_gp+0xfffef840> + 2c954: 30aed83a srl r23,r6,r2 + 2c958: 3d4e983a sll r7,r7,r21 + 2c95c: 80acd83a srl r22,r16,r2 + 2c960: 9884d83a srl r2,r19,r2 + 2c964: 3deeb03a or r23,r7,r23 + 2c968: b824d43a srli r18,r23,16 + 2c96c: 8560983a sll r16,r16,r21 + 2c970: b009883a mov r4,r22 + 2c974: 900b883a mov r5,r18 + 2c978: 3568983a sll r20,r6,r21 + 2c97c: 1420b03a or r16,r2,r16 + 2c980: 0022a840 call 22a84 <__umodsi3> + 2c984: b009883a mov r4,r22 + 2c988: 900b883a mov r5,r18 + 2c98c: 1023883a mov r17,r2 + 2c990: 0022a200 call 22a20 <__udivsi3> + 2c994: 8808943a slli r4,r17,16 + 2c998: bf3fffcc andi fp,r23,65535 + 2c99c: 8006d43a srli r3,r16,16 + 2c9a0: e0a3383a mul r17,fp,r2 + 2c9a4: 100d883a mov r6,r2 + 2c9a8: 1906b03a or r3,r3,r4 + 2c9ac: 1c40042e bgeu r3,r17,2c9c0 <__udivdi3+0x370> + 2c9b0: 1dc7883a add r3,r3,r23 + 2c9b4: 10bfffc4 addi r2,r2,-1 + 2c9b8: 1dc0752e bgeu r3,r23,2cb90 <__udivdi3+0x540> + 2c9bc: 100d883a mov r6,r2 + 2c9c0: 1c63c83a sub r17,r3,r17 + 2c9c4: 900b883a mov r5,r18 + 2c9c8: 8809883a mov r4,r17 + 2c9cc: d9800015 stw r6,0(sp) + 2c9d0: 0022a840 call 22a84 <__umodsi3> + 2c9d4: 102d883a mov r22,r2 + 2c9d8: 8809883a mov r4,r17 + 2c9dc: 900b883a mov r5,r18 + 2c9e0: 0022a200 call 22a20 <__udivsi3> + 2c9e4: b02c943a slli r22,r22,16 + 2c9e8: e089383a mul r4,fp,r2 + 2c9ec: 843fffcc andi r16,r16,65535 + 2c9f0: 85a0b03a or r16,r16,r22 + 2c9f4: d9800017 ldw r6,0(sp) + 2c9f8: 8100042e bgeu r16,r4,2ca0c <__udivdi3+0x3bc> + 2c9fc: 85e1883a add r16,r16,r23 + 2ca00: 10ffffc4 addi r3,r2,-1 + 2ca04: 85c05e2e bgeu r16,r23,2cb80 <__udivdi3+0x530> + 2ca08: 1805883a mov r2,r3 + 2ca0c: 300c943a slli r6,r6,16 + 2ca10: a17fffcc andi r5,r20,65535 + 2ca14: a028d43a srli r20,r20,16 + 2ca18: 3084b03a or r2,r6,r2 + 2ca1c: 10ffffcc andi r3,r2,65535 + 2ca20: 100cd43a srli r6,r2,16 + 2ca24: 194f383a mul r7,r3,r5 + 2ca28: 1d07383a mul r3,r3,r20 + 2ca2c: 314b383a mul r5,r6,r5 + 2ca30: 3810d43a srli r8,r7,16 + 2ca34: 8121c83a sub r16,r16,r4 + 2ca38: 1947883a add r3,r3,r5 + 2ca3c: 40c7883a add r3,r8,r3 + 2ca40: 350d383a mul r6,r6,r20 + 2ca44: 1940022e bgeu r3,r5,2ca50 <__udivdi3+0x400> + 2ca48: 01000074 movhi r4,1 + 2ca4c: 310d883a add r6,r6,r4 + 2ca50: 1828d43a srli r20,r3,16 + 2ca54: a18d883a add r6,r20,r6 + 2ca58: 81803e36 bltu r16,r6,2cb54 <__udivdi3+0x504> + 2ca5c: 81803826 beq r16,r6,2cb40 <__udivdi3+0x4f0> + 2ca60: 0007883a mov r3,zero + 2ca64: 003fa206 br 2c8f0 <_gp+0xfffefa84> + 2ca68: 88e2983a sll r17,r17,r3 + 2ca6c: 80a8d83a srl r20,r16,r2 + 2ca70: 80e0983a sll r16,r16,r3 + 2ca74: 882ad43a srli r21,r17,16 + 2ca78: 9884d83a srl r2,r19,r2 + 2ca7c: a009883a mov r4,r20 + 2ca80: a80b883a mov r5,r21 + 2ca84: 142eb03a or r23,r2,r16 + 2ca88: 98e4983a sll r18,r19,r3 + 2ca8c: 0022a840 call 22a84 <__umodsi3> + 2ca90: a009883a mov r4,r20 + 2ca94: a80b883a mov r5,r21 + 2ca98: 1021883a mov r16,r2 + 2ca9c: 0022a200 call 22a20 <__udivsi3> + 2caa0: 1039883a mov fp,r2 + 2caa4: 8d3fffcc andi r20,r17,65535 + 2caa8: 8020943a slli r16,r16,16 + 2caac: b804d43a srli r2,r23,16 + 2cab0: a72d383a mul r22,r20,fp + 2cab4: 1404b03a or r2,r2,r16 + 2cab8: 1580062e bgeu r2,r22,2cad4 <__udivdi3+0x484> + 2cabc: 1445883a add r2,r2,r17 + 2cac0: e0ffffc4 addi r3,fp,-1 + 2cac4: 14403836 bltu r2,r17,2cba8 <__udivdi3+0x558> + 2cac8: 1580372e bgeu r2,r22,2cba8 <__udivdi3+0x558> + 2cacc: e73fff84 addi fp,fp,-2 + 2cad0: 1445883a add r2,r2,r17 + 2cad4: 15adc83a sub r22,r2,r22 + 2cad8: a80b883a mov r5,r21 + 2cadc: b009883a mov r4,r22 + 2cae0: 0022a840 call 22a84 <__umodsi3> + 2cae4: 1027883a mov r19,r2 + 2cae8: b009883a mov r4,r22 + 2caec: a80b883a mov r5,r21 + 2caf0: 0022a200 call 22a20 <__udivsi3> + 2caf4: 9826943a slli r19,r19,16 + 2caf8: a0a1383a mul r16,r20,r2 + 2cafc: b93fffcc andi r4,r23,65535 + 2cb00: 24c8b03a or r4,r4,r19 + 2cb04: 2400062e bgeu r4,r16,2cb20 <__udivdi3+0x4d0> + 2cb08: 2449883a add r4,r4,r17 + 2cb0c: 10ffffc4 addi r3,r2,-1 + 2cb10: 24402336 bltu r4,r17,2cba0 <__udivdi3+0x550> + 2cb14: 2400222e bgeu r4,r16,2cba0 <__udivdi3+0x550> + 2cb18: 10bfff84 addi r2,r2,-2 + 2cb1c: 2449883a add r4,r4,r17 + 2cb20: e038943a slli fp,fp,16 + 2cb24: 2421c83a sub r16,r4,r16 + 2cb28: e086b03a or r3,fp,r2 + 2cb2c: 003f4306 br 2c83c <_gp+0xfffef9d0> + 2cb30: 2005883a mov r2,r4 + 2cb34: 003f6906 br 2c8dc <_gp+0xfffefa70> + 2cb38: 1805883a mov r2,r3 + 2cb3c: 003f0f06 br 2c77c <_gp+0xfffef910> + 2cb40: 1806943a slli r3,r3,16 + 2cb44: 9d66983a sll r19,r19,r21 + 2cb48: 39ffffcc andi r7,r7,65535 + 2cb4c: 19c7883a add r3,r3,r7 + 2cb50: 98ffc32e bgeu r19,r3,2ca60 <_gp+0xfffefbf4> + 2cb54: 10bfffc4 addi r2,r2,-1 + 2cb58: 003fc106 br 2ca60 <_gp+0xfffefbf4> + 2cb5c: 00800604 movi r2,24 + 2cb60: 003f1106 br 2c7a8 <_gp+0xfffef93c> + 2cb64: 00800604 movi r2,24 + 2cb68: 003f2706 br 2c808 <_gp+0xfffef99c> + 2cb6c: 00800604 movi r2,24 + 2cb70: 003ece06 br 2c6ac <_gp+0xfffef840> + 2cb74: 0007883a mov r3,zero + 2cb78: 00800044 movi r2,1 + 2cb7c: 003f5c06 br 2c8f0 <_gp+0xfffefa84> + 2cb80: 813fa12e bgeu r16,r4,2ca08 <_gp+0xfffefb9c> + 2cb84: 10bfff84 addi r2,r2,-2 + 2cb88: 85e1883a add r16,r16,r23 + 2cb8c: 003f9f06 br 2ca0c <_gp+0xfffefba0> + 2cb90: 1c7f8a2e bgeu r3,r17,2c9bc <_gp+0xfffefb50> + 2cb94: 31bfff84 addi r6,r6,-2 + 2cb98: 1dc7883a add r3,r3,r23 + 2cb9c: 003f8806 br 2c9c0 <_gp+0xfffefb54> + 2cba0: 1805883a mov r2,r3 + 2cba4: 003fde06 br 2cb20 <_gp+0xfffefcb4> + 2cba8: 1839883a mov fp,r3 + 2cbac: 003fc906 br 2cad4 <_gp+0xfffefc68> + 2cbb0: b5bfff84 addi r22,r22,-2 + 2cbb4: 2449883a add r4,r4,r17 + 2cbb8: 003f3406 br 2c88c <_gp+0xfffefa20> + 2cbbc: b5bfff84 addi r22,r22,-2 + 2cbc0: 1445883a add r2,r2,r17 + 2cbc4: 003edb06 br 2c734 <_gp+0xfffef8c8> -0002c94c <__adddf3>: - 2c94c: 02c00434 movhi r11,16 - 2c950: 5affffc4 addi r11,r11,-1 - 2c954: 2806d7fa srli r3,r5,31 - 2c958: 2ad4703a and r10,r5,r11 - 2c95c: 3ad2703a and r9,r7,r11 - 2c960: 3804d53a srli r2,r7,20 - 2c964: 3018d77a srli r12,r6,29 - 2c968: 280ad53a srli r5,r5,20 - 2c96c: 501490fa slli r10,r10,3 - 2c970: 2010d77a srli r8,r4,29 - 2c974: 481290fa slli r9,r9,3 - 2c978: 380ed7fa srli r7,r7,31 - 2c97c: defffb04 addi sp,sp,-20 - 2c980: dc800215 stw r18,8(sp) - 2c984: dc400115 stw r17,4(sp) - 2c988: dc000015 stw r16,0(sp) - 2c98c: dfc00415 stw ra,16(sp) - 2c990: dcc00315 stw r19,12(sp) - 2c994: 1c803fcc andi r18,r3,255 - 2c998: 2c01ffcc andi r16,r5,2047 - 2c99c: 5210b03a or r8,r10,r8 - 2c9a0: 202290fa slli r17,r4,3 - 2c9a4: 1081ffcc andi r2,r2,2047 - 2c9a8: 4b12b03a or r9,r9,r12 - 2c9ac: 300c90fa slli r6,r6,3 - 2c9b0: 91c07526 beq r18,r7,2cb88 <__adddf3+0x23c> - 2c9b4: 8087c83a sub r3,r16,r2 - 2c9b8: 00c0ab0e bge zero,r3,2cc68 <__adddf3+0x31c> - 2c9bc: 10002a1e bne r2,zero,2ca68 <__adddf3+0x11c> - 2c9c0: 4984b03a or r2,r9,r6 - 2c9c4: 1000961e bne r2,zero,2cc20 <__adddf3+0x2d4> - 2c9c8: 888001cc andi r2,r17,7 - 2c9cc: 10000726 beq r2,zero,2c9ec <__adddf3+0xa0> - 2c9d0: 888003cc andi r2,r17,15 - 2c9d4: 00c00104 movi r3,4 - 2c9d8: 10c00426 beq r2,r3,2c9ec <__adddf3+0xa0> - 2c9dc: 88c7883a add r3,r17,r3 - 2c9e0: 1c63803a cmpltu r17,r3,r17 - 2c9e4: 4451883a add r8,r8,r17 - 2c9e8: 1823883a mov r17,r3 - 2c9ec: 4080202c andhi r2,r8,128 - 2c9f0: 10005926 beq r2,zero,2cb58 <__adddf3+0x20c> - 2c9f4: 84000044 addi r16,r16,1 - 2c9f8: 0081ffc4 movi r2,2047 - 2c9fc: 8080ba26 beq r16,r2,2cce8 <__adddf3+0x39c> - 2ca00: 00bfe034 movhi r2,65408 - 2ca04: 10bfffc4 addi r2,r2,-1 - 2ca08: 4090703a and r8,r8,r2 - 2ca0c: 4004977a slli r2,r8,29 - 2ca10: 4010927a slli r8,r8,9 - 2ca14: 8822d0fa srli r17,r17,3 - 2ca18: 8401ffcc andi r16,r16,2047 - 2ca1c: 4010d33a srli r8,r8,12 - 2ca20: 9007883a mov r3,r18 - 2ca24: 1444b03a or r2,r2,r17 - 2ca28: 8401ffcc andi r16,r16,2047 - 2ca2c: 8020953a slli r16,r16,20 - 2ca30: 18c03fcc andi r3,r3,255 - 2ca34: 01000434 movhi r4,16 - 2ca38: 213fffc4 addi r4,r4,-1 - 2ca3c: 180697fa slli r3,r3,31 - 2ca40: 4110703a and r8,r8,r4 - 2ca44: 4410b03a or r8,r8,r16 - 2ca48: 40c6b03a or r3,r8,r3 - 2ca4c: dfc00417 ldw ra,16(sp) - 2ca50: dcc00317 ldw r19,12(sp) - 2ca54: dc800217 ldw r18,8(sp) - 2ca58: dc400117 ldw r17,4(sp) - 2ca5c: dc000017 ldw r16,0(sp) - 2ca60: dec00504 addi sp,sp,20 - 2ca64: f800283a ret - 2ca68: 0081ffc4 movi r2,2047 - 2ca6c: 80bfd626 beq r16,r2,2c9c8 <_gp+0xffff0490> - 2ca70: 4a402034 orhi r9,r9,128 - 2ca74: 00800e04 movi r2,56 - 2ca78: 10c09f16 blt r2,r3,2ccf8 <__adddf3+0x3ac> - 2ca7c: 008007c4 movi r2,31 - 2ca80: 10c0c216 blt r2,r3,2cd8c <__adddf3+0x440> - 2ca84: 00800804 movi r2,32 - 2ca88: 10c5c83a sub r2,r2,r3 - 2ca8c: 488a983a sll r5,r9,r2 - 2ca90: 30c8d83a srl r4,r6,r3 - 2ca94: 3084983a sll r2,r6,r2 - 2ca98: 48c6d83a srl r3,r9,r3 - 2ca9c: 290cb03a or r6,r5,r4 - 2caa0: 1004c03a cmpne r2,r2,zero - 2caa4: 308cb03a or r6,r6,r2 - 2caa8: 898dc83a sub r6,r17,r6 - 2caac: 89a3803a cmpltu r17,r17,r6 - 2cab0: 40d1c83a sub r8,r8,r3 - 2cab4: 4451c83a sub r8,r8,r17 - 2cab8: 3023883a mov r17,r6 - 2cabc: 4080202c andhi r2,r8,128 - 2cac0: 10002326 beq r2,zero,2cb50 <__adddf3+0x204> - 2cac4: 04c02034 movhi r19,128 - 2cac8: 9cffffc4 addi r19,r19,-1 - 2cacc: 44e6703a and r19,r8,r19 - 2cad0: 98007626 beq r19,zero,2ccac <__adddf3+0x360> - 2cad4: 9809883a mov r4,r19 - 2cad8: 002ef500 call 2ef50 <__clzsi2> - 2cadc: 10fffe04 addi r3,r2,-8 - 2cae0: 010007c4 movi r4,31 - 2cae4: 20c07716 blt r4,r3,2ccc4 <__adddf3+0x378> - 2cae8: 00800804 movi r2,32 - 2caec: 10c5c83a sub r2,r2,r3 - 2caf0: 8884d83a srl r2,r17,r2 - 2caf4: 98d0983a sll r8,r19,r3 - 2caf8: 88e2983a sll r17,r17,r3 - 2cafc: 1204b03a or r2,r2,r8 - 2cb00: 1c007416 blt r3,r16,2ccd4 <__adddf3+0x388> - 2cb04: 1c21c83a sub r16,r3,r16 - 2cb08: 82000044 addi r8,r16,1 - 2cb0c: 00c007c4 movi r3,31 - 2cb10: 1a009116 blt r3,r8,2cd58 <__adddf3+0x40c> - 2cb14: 00c00804 movi r3,32 - 2cb18: 1a07c83a sub r3,r3,r8 - 2cb1c: 8a08d83a srl r4,r17,r8 - 2cb20: 88e2983a sll r17,r17,r3 - 2cb24: 10c6983a sll r3,r2,r3 - 2cb28: 1210d83a srl r8,r2,r8 - 2cb2c: 8804c03a cmpne r2,r17,zero - 2cb30: 1906b03a or r3,r3,r4 - 2cb34: 18a2b03a or r17,r3,r2 - 2cb38: 0021883a mov r16,zero - 2cb3c: 003fa206 br 2c9c8 <_gp+0xffff0490> - 2cb40: 1890b03a or r8,r3,r2 - 2cb44: 40017d26 beq r8,zero,2d13c <__adddf3+0x7f0> - 2cb48: 1011883a mov r8,r2 - 2cb4c: 1823883a mov r17,r3 - 2cb50: 888001cc andi r2,r17,7 - 2cb54: 103f9e1e bne r2,zero,2c9d0 <_gp+0xffff0498> - 2cb58: 4004977a slli r2,r8,29 - 2cb5c: 8822d0fa srli r17,r17,3 - 2cb60: 4010d0fa srli r8,r8,3 - 2cb64: 9007883a mov r3,r18 - 2cb68: 1444b03a or r2,r2,r17 - 2cb6c: 0101ffc4 movi r4,2047 - 2cb70: 81002426 beq r16,r4,2cc04 <__adddf3+0x2b8> - 2cb74: 8120703a and r16,r16,r4 - 2cb78: 01000434 movhi r4,16 - 2cb7c: 213fffc4 addi r4,r4,-1 - 2cb80: 4110703a and r8,r8,r4 - 2cb84: 003fa806 br 2ca28 <_gp+0xffff04f0> - 2cb88: 8089c83a sub r4,r16,r2 - 2cb8c: 01005e0e bge zero,r4,2cd08 <__adddf3+0x3bc> - 2cb90: 10002b26 beq r2,zero,2cc40 <__adddf3+0x2f4> - 2cb94: 0081ffc4 movi r2,2047 - 2cb98: 80bf8b26 beq r16,r2,2c9c8 <_gp+0xffff0490> - 2cb9c: 4a402034 orhi r9,r9,128 - 2cba0: 00800e04 movi r2,56 - 2cba4: 1100a40e bge r2,r4,2ce38 <__adddf3+0x4ec> - 2cba8: 498cb03a or r6,r9,r6 - 2cbac: 300ac03a cmpne r5,r6,zero - 2cbb0: 0013883a mov r9,zero - 2cbb4: 2c4b883a add r5,r5,r17 - 2cbb8: 2c63803a cmpltu r17,r5,r17 - 2cbbc: 4a11883a add r8,r9,r8 - 2cbc0: 8a11883a add r8,r17,r8 - 2cbc4: 2823883a mov r17,r5 - 2cbc8: 4080202c andhi r2,r8,128 - 2cbcc: 103fe026 beq r2,zero,2cb50 <_gp+0xffff0618> - 2cbd0: 84000044 addi r16,r16,1 - 2cbd4: 0081ffc4 movi r2,2047 - 2cbd8: 8080d226 beq r16,r2,2cf24 <__adddf3+0x5d8> - 2cbdc: 00bfe034 movhi r2,65408 - 2cbe0: 10bfffc4 addi r2,r2,-1 - 2cbe4: 4090703a and r8,r8,r2 - 2cbe8: 880ad07a srli r5,r17,1 - 2cbec: 400897fa slli r4,r8,31 - 2cbf0: 88c0004c andi r3,r17,1 - 2cbf4: 28e2b03a or r17,r5,r3 - 2cbf8: 4010d07a srli r8,r8,1 - 2cbfc: 2462b03a or r17,r4,r17 - 2cc00: 003f7106 br 2c9c8 <_gp+0xffff0490> - 2cc04: 4088b03a or r4,r8,r2 - 2cc08: 20014526 beq r4,zero,2d120 <__adddf3+0x7d4> - 2cc0c: 01000434 movhi r4,16 - 2cc10: 42000234 orhi r8,r8,8 - 2cc14: 213fffc4 addi r4,r4,-1 - 2cc18: 4110703a and r8,r8,r4 - 2cc1c: 003f8206 br 2ca28 <_gp+0xffff04f0> - 2cc20: 18ffffc4 addi r3,r3,-1 - 2cc24: 1800491e bne r3,zero,2cd4c <__adddf3+0x400> - 2cc28: 898bc83a sub r5,r17,r6 - 2cc2c: 8963803a cmpltu r17,r17,r5 - 2cc30: 4251c83a sub r8,r8,r9 - 2cc34: 4451c83a sub r8,r8,r17 - 2cc38: 2823883a mov r17,r5 - 2cc3c: 003f9f06 br 2cabc <_gp+0xffff0584> - 2cc40: 4984b03a or r2,r9,r6 - 2cc44: 103f6026 beq r2,zero,2c9c8 <_gp+0xffff0490> - 2cc48: 213fffc4 addi r4,r4,-1 - 2cc4c: 2000931e bne r4,zero,2ce9c <__adddf3+0x550> - 2cc50: 898d883a add r6,r17,r6 - 2cc54: 3463803a cmpltu r17,r6,r17 - 2cc58: 4251883a add r8,r8,r9 - 2cc5c: 8a11883a add r8,r17,r8 - 2cc60: 3023883a mov r17,r6 - 2cc64: 003fd806 br 2cbc8 <_gp+0xffff0690> - 2cc68: 1800541e bne r3,zero,2cdbc <__adddf3+0x470> - 2cc6c: 80800044 addi r2,r16,1 - 2cc70: 1081ffcc andi r2,r2,2047 - 2cc74: 00c00044 movi r3,1 - 2cc78: 1880a00e bge r3,r2,2cefc <__adddf3+0x5b0> - 2cc7c: 8989c83a sub r4,r17,r6 - 2cc80: 8905803a cmpltu r2,r17,r4 - 2cc84: 4267c83a sub r19,r8,r9 - 2cc88: 98a7c83a sub r19,r19,r2 - 2cc8c: 9880202c andhi r2,r19,128 - 2cc90: 10006326 beq r2,zero,2ce20 <__adddf3+0x4d4> - 2cc94: 3463c83a sub r17,r6,r17 - 2cc98: 4a07c83a sub r3,r9,r8 - 2cc9c: 344d803a cmpltu r6,r6,r17 - 2cca0: 19a7c83a sub r19,r3,r6 - 2cca4: 3825883a mov r18,r7 - 2cca8: 983f8a1e bne r19,zero,2cad4 <_gp+0xffff059c> - 2ccac: 8809883a mov r4,r17 - 2ccb0: 002ef500 call 2ef50 <__clzsi2> - 2ccb4: 10800804 addi r2,r2,32 - 2ccb8: 10fffe04 addi r3,r2,-8 - 2ccbc: 010007c4 movi r4,31 - 2ccc0: 20ff890e bge r4,r3,2cae8 <_gp+0xffff05b0> - 2ccc4: 10bff604 addi r2,r2,-40 - 2ccc8: 8884983a sll r2,r17,r2 - 2cccc: 0023883a mov r17,zero - 2ccd0: 1c3f8c0e bge r3,r16,2cb04 <_gp+0xffff05cc> - 2ccd4: 023fe034 movhi r8,65408 - 2ccd8: 423fffc4 addi r8,r8,-1 - 2ccdc: 80e1c83a sub r16,r16,r3 - 2cce0: 1210703a and r8,r2,r8 - 2cce4: 003f3806 br 2c9c8 <_gp+0xffff0490> - 2cce8: 9007883a mov r3,r18 - 2ccec: 0011883a mov r8,zero - 2ccf0: 0005883a mov r2,zero - 2ccf4: 003f4c06 br 2ca28 <_gp+0xffff04f0> - 2ccf8: 498cb03a or r6,r9,r6 - 2ccfc: 300cc03a cmpne r6,r6,zero - 2cd00: 0007883a mov r3,zero - 2cd04: 003f6806 br 2caa8 <_gp+0xffff0570> - 2cd08: 20009c1e bne r4,zero,2cf7c <__adddf3+0x630> - 2cd0c: 80800044 addi r2,r16,1 - 2cd10: 1141ffcc andi r5,r2,2047 - 2cd14: 01000044 movi r4,1 - 2cd18: 2140670e bge r4,r5,2ceb8 <__adddf3+0x56c> - 2cd1c: 0101ffc4 movi r4,2047 - 2cd20: 11007f26 beq r2,r4,2cf20 <__adddf3+0x5d4> - 2cd24: 898d883a add r6,r17,r6 - 2cd28: 4247883a add r3,r8,r9 - 2cd2c: 3451803a cmpltu r8,r6,r17 - 2cd30: 40d1883a add r8,r8,r3 - 2cd34: 402297fa slli r17,r8,31 - 2cd38: 300cd07a srli r6,r6,1 - 2cd3c: 4010d07a srli r8,r8,1 - 2cd40: 1021883a mov r16,r2 - 2cd44: 89a2b03a or r17,r17,r6 - 2cd48: 003f1f06 br 2c9c8 <_gp+0xffff0490> - 2cd4c: 0081ffc4 movi r2,2047 - 2cd50: 80bf481e bne r16,r2,2ca74 <_gp+0xffff053c> - 2cd54: 003f1c06 br 2c9c8 <_gp+0xffff0490> - 2cd58: 843ff844 addi r16,r16,-31 - 2cd5c: 01000804 movi r4,32 - 2cd60: 1406d83a srl r3,r2,r16 - 2cd64: 41005026 beq r8,r4,2cea8 <__adddf3+0x55c> - 2cd68: 01001004 movi r4,64 - 2cd6c: 2211c83a sub r8,r4,r8 - 2cd70: 1204983a sll r2,r2,r8 - 2cd74: 88a2b03a or r17,r17,r2 - 2cd78: 8822c03a cmpne r17,r17,zero - 2cd7c: 1c62b03a or r17,r3,r17 - 2cd80: 0011883a mov r8,zero - 2cd84: 0021883a mov r16,zero - 2cd88: 003f7106 br 2cb50 <_gp+0xffff0618> - 2cd8c: 193ff804 addi r4,r3,-32 - 2cd90: 00800804 movi r2,32 - 2cd94: 4908d83a srl r4,r9,r4 - 2cd98: 18804526 beq r3,r2,2ceb0 <__adddf3+0x564> - 2cd9c: 00801004 movi r2,64 - 2cda0: 10c5c83a sub r2,r2,r3 - 2cda4: 4886983a sll r3,r9,r2 - 2cda8: 198cb03a or r6,r3,r6 - 2cdac: 300cc03a cmpne r6,r6,zero - 2cdb0: 218cb03a or r6,r4,r6 - 2cdb4: 0007883a mov r3,zero - 2cdb8: 003f3b06 br 2caa8 <_gp+0xffff0570> - 2cdbc: 80002a26 beq r16,zero,2ce68 <__adddf3+0x51c> - 2cdc0: 0101ffc4 movi r4,2047 - 2cdc4: 11006826 beq r2,r4,2cf68 <__adddf3+0x61c> - 2cdc8: 00c7c83a sub r3,zero,r3 - 2cdcc: 42002034 orhi r8,r8,128 - 2cdd0: 01000e04 movi r4,56 - 2cdd4: 20c07c16 blt r4,r3,2cfc8 <__adddf3+0x67c> - 2cdd8: 010007c4 movi r4,31 - 2cddc: 20c0da16 blt r4,r3,2d148 <__adddf3+0x7fc> - 2cde0: 01000804 movi r4,32 - 2cde4: 20c9c83a sub r4,r4,r3 - 2cde8: 4114983a sll r10,r8,r4 - 2cdec: 88cad83a srl r5,r17,r3 - 2cdf0: 8908983a sll r4,r17,r4 - 2cdf4: 40c6d83a srl r3,r8,r3 - 2cdf8: 5162b03a or r17,r10,r5 - 2cdfc: 2008c03a cmpne r4,r4,zero - 2ce00: 8922b03a or r17,r17,r4 - 2ce04: 3463c83a sub r17,r6,r17 - 2ce08: 48c7c83a sub r3,r9,r3 - 2ce0c: 344d803a cmpltu r6,r6,r17 - 2ce10: 1991c83a sub r8,r3,r6 - 2ce14: 1021883a mov r16,r2 - 2ce18: 3825883a mov r18,r7 - 2ce1c: 003f2706 br 2cabc <_gp+0xffff0584> - 2ce20: 24d0b03a or r8,r4,r19 - 2ce24: 40001b1e bne r8,zero,2ce94 <__adddf3+0x548> - 2ce28: 0005883a mov r2,zero - 2ce2c: 0007883a mov r3,zero - 2ce30: 0021883a mov r16,zero - 2ce34: 003f4d06 br 2cb6c <_gp+0xffff0634> - 2ce38: 008007c4 movi r2,31 - 2ce3c: 11003c16 blt r2,r4,2cf30 <__adddf3+0x5e4> - 2ce40: 00800804 movi r2,32 - 2ce44: 1105c83a sub r2,r2,r4 - 2ce48: 488e983a sll r7,r9,r2 - 2ce4c: 310ad83a srl r5,r6,r4 - 2ce50: 3084983a sll r2,r6,r2 - 2ce54: 4912d83a srl r9,r9,r4 - 2ce58: 394ab03a or r5,r7,r5 - 2ce5c: 1004c03a cmpne r2,r2,zero - 2ce60: 288ab03a or r5,r5,r2 - 2ce64: 003f5306 br 2cbb4 <_gp+0xffff067c> - 2ce68: 4448b03a or r4,r8,r17 - 2ce6c: 20003e26 beq r4,zero,2cf68 <__adddf3+0x61c> - 2ce70: 00c6303a nor r3,zero,r3 - 2ce74: 18003a1e bne r3,zero,2cf60 <__adddf3+0x614> - 2ce78: 3463c83a sub r17,r6,r17 - 2ce7c: 4a07c83a sub r3,r9,r8 - 2ce80: 344d803a cmpltu r6,r6,r17 - 2ce84: 1991c83a sub r8,r3,r6 - 2ce88: 1021883a mov r16,r2 - 2ce8c: 3825883a mov r18,r7 - 2ce90: 003f0a06 br 2cabc <_gp+0xffff0584> - 2ce94: 2023883a mov r17,r4 - 2ce98: 003f0d06 br 2cad0 <_gp+0xffff0598> - 2ce9c: 0081ffc4 movi r2,2047 - 2cea0: 80bf3f1e bne r16,r2,2cba0 <_gp+0xffff0668> - 2cea4: 003ec806 br 2c9c8 <_gp+0xffff0490> - 2cea8: 0005883a mov r2,zero - 2ceac: 003fb106 br 2cd74 <_gp+0xffff083c> - 2ceb0: 0007883a mov r3,zero - 2ceb4: 003fbc06 br 2cda8 <_gp+0xffff0870> - 2ceb8: 4444b03a or r2,r8,r17 - 2cebc: 8000871e bne r16,zero,2d0dc <__adddf3+0x790> - 2cec0: 1000ba26 beq r2,zero,2d1ac <__adddf3+0x860> - 2cec4: 4984b03a or r2,r9,r6 - 2cec8: 103ebf26 beq r2,zero,2c9c8 <_gp+0xffff0490> - 2cecc: 8985883a add r2,r17,r6 - 2ced0: 4247883a add r3,r8,r9 - 2ced4: 1451803a cmpltu r8,r2,r17 - 2ced8: 40d1883a add r8,r8,r3 - 2cedc: 40c0202c andhi r3,r8,128 - 2cee0: 1023883a mov r17,r2 - 2cee4: 183f1a26 beq r3,zero,2cb50 <_gp+0xffff0618> - 2cee8: 00bfe034 movhi r2,65408 - 2ceec: 10bfffc4 addi r2,r2,-1 - 2cef0: 2021883a mov r16,r4 - 2cef4: 4090703a and r8,r8,r2 - 2cef8: 003eb306 br 2c9c8 <_gp+0xffff0490> - 2cefc: 4444b03a or r2,r8,r17 - 2cf00: 8000291e bne r16,zero,2cfa8 <__adddf3+0x65c> - 2cf04: 10004b1e bne r2,zero,2d034 <__adddf3+0x6e8> - 2cf08: 4990b03a or r8,r9,r6 - 2cf0c: 40008b26 beq r8,zero,2d13c <__adddf3+0x7f0> - 2cf10: 4811883a mov r8,r9 - 2cf14: 3023883a mov r17,r6 - 2cf18: 3825883a mov r18,r7 - 2cf1c: 003eaa06 br 2c9c8 <_gp+0xffff0490> - 2cf20: 1021883a mov r16,r2 - 2cf24: 0011883a mov r8,zero - 2cf28: 0005883a mov r2,zero - 2cf2c: 003f0f06 br 2cb6c <_gp+0xffff0634> - 2cf30: 217ff804 addi r5,r4,-32 - 2cf34: 00800804 movi r2,32 - 2cf38: 494ad83a srl r5,r9,r5 - 2cf3c: 20807d26 beq r4,r2,2d134 <__adddf3+0x7e8> - 2cf40: 00801004 movi r2,64 - 2cf44: 1109c83a sub r4,r2,r4 - 2cf48: 4912983a sll r9,r9,r4 - 2cf4c: 498cb03a or r6,r9,r6 - 2cf50: 300cc03a cmpne r6,r6,zero - 2cf54: 298ab03a or r5,r5,r6 - 2cf58: 0013883a mov r9,zero - 2cf5c: 003f1506 br 2cbb4 <_gp+0xffff067c> - 2cf60: 0101ffc4 movi r4,2047 - 2cf64: 113f9a1e bne r2,r4,2cdd0 <_gp+0xffff0898> - 2cf68: 4811883a mov r8,r9 - 2cf6c: 3023883a mov r17,r6 - 2cf70: 1021883a mov r16,r2 - 2cf74: 3825883a mov r18,r7 - 2cf78: 003e9306 br 2c9c8 <_gp+0xffff0490> - 2cf7c: 8000161e bne r16,zero,2cfd8 <__adddf3+0x68c> - 2cf80: 444ab03a or r5,r8,r17 - 2cf84: 28005126 beq r5,zero,2d0cc <__adddf3+0x780> - 2cf88: 0108303a nor r4,zero,r4 - 2cf8c: 20004d1e bne r4,zero,2d0c4 <__adddf3+0x778> - 2cf90: 89a3883a add r17,r17,r6 - 2cf94: 4253883a add r9,r8,r9 - 2cf98: 898d803a cmpltu r6,r17,r6 - 2cf9c: 3251883a add r8,r6,r9 - 2cfa0: 1021883a mov r16,r2 - 2cfa4: 003f0806 br 2cbc8 <_gp+0xffff0690> - 2cfa8: 1000301e bne r2,zero,2d06c <__adddf3+0x720> - 2cfac: 4984b03a or r2,r9,r6 - 2cfb0: 10007126 beq r2,zero,2d178 <__adddf3+0x82c> - 2cfb4: 4811883a mov r8,r9 - 2cfb8: 3023883a mov r17,r6 - 2cfbc: 3825883a mov r18,r7 - 2cfc0: 0401ffc4 movi r16,2047 - 2cfc4: 003e8006 br 2c9c8 <_gp+0xffff0490> - 2cfc8: 4462b03a or r17,r8,r17 - 2cfcc: 8822c03a cmpne r17,r17,zero - 2cfd0: 0007883a mov r3,zero - 2cfd4: 003f8b06 br 2ce04 <_gp+0xffff08cc> - 2cfd8: 0141ffc4 movi r5,2047 - 2cfdc: 11403b26 beq r2,r5,2d0cc <__adddf3+0x780> - 2cfe0: 0109c83a sub r4,zero,r4 - 2cfe4: 42002034 orhi r8,r8,128 - 2cfe8: 01400e04 movi r5,56 - 2cfec: 29006716 blt r5,r4,2d18c <__adddf3+0x840> - 2cff0: 014007c4 movi r5,31 - 2cff4: 29007016 blt r5,r4,2d1b8 <__adddf3+0x86c> - 2cff8: 01400804 movi r5,32 - 2cffc: 290bc83a sub r5,r5,r4 - 2d000: 4154983a sll r10,r8,r5 - 2d004: 890ed83a srl r7,r17,r4 - 2d008: 894a983a sll r5,r17,r5 - 2d00c: 4108d83a srl r4,r8,r4 - 2d010: 51e2b03a or r17,r10,r7 - 2d014: 280ac03a cmpne r5,r5,zero - 2d018: 8962b03a or r17,r17,r5 - 2d01c: 89a3883a add r17,r17,r6 - 2d020: 2253883a add r9,r4,r9 - 2d024: 898d803a cmpltu r6,r17,r6 - 2d028: 3251883a add r8,r6,r9 - 2d02c: 1021883a mov r16,r2 - 2d030: 003ee506 br 2cbc8 <_gp+0xffff0690> - 2d034: 4984b03a or r2,r9,r6 - 2d038: 103e6326 beq r2,zero,2c9c8 <_gp+0xffff0490> - 2d03c: 8987c83a sub r3,r17,r6 - 2d040: 88c9803a cmpltu r4,r17,r3 - 2d044: 4245c83a sub r2,r8,r9 - 2d048: 1105c83a sub r2,r2,r4 - 2d04c: 1100202c andhi r4,r2,128 - 2d050: 203ebb26 beq r4,zero,2cb40 <_gp+0xffff0608> - 2d054: 3463c83a sub r17,r6,r17 - 2d058: 4a07c83a sub r3,r9,r8 - 2d05c: 344d803a cmpltu r6,r6,r17 - 2d060: 1991c83a sub r8,r3,r6 - 2d064: 3825883a mov r18,r7 - 2d068: 003e5706 br 2c9c8 <_gp+0xffff0490> - 2d06c: 4984b03a or r2,r9,r6 - 2d070: 10002e26 beq r2,zero,2d12c <__adddf3+0x7e0> - 2d074: 4004d0fa srli r2,r8,3 - 2d078: 8822d0fa srli r17,r17,3 - 2d07c: 4010977a slli r8,r8,29 - 2d080: 10c0022c andhi r3,r2,8 - 2d084: 4462b03a or r17,r8,r17 - 2d088: 18000826 beq r3,zero,2d0ac <__adddf3+0x760> - 2d08c: 4808d0fa srli r4,r9,3 - 2d090: 20c0022c andhi r3,r4,8 - 2d094: 1800051e bne r3,zero,2d0ac <__adddf3+0x760> - 2d098: 300cd0fa srli r6,r6,3 - 2d09c: 4806977a slli r3,r9,29 - 2d0a0: 2005883a mov r2,r4 - 2d0a4: 3825883a mov r18,r7 - 2d0a8: 19a2b03a or r17,r3,r6 - 2d0ac: 8810d77a srli r8,r17,29 - 2d0b0: 100490fa slli r2,r2,3 - 2d0b4: 882290fa slli r17,r17,3 - 2d0b8: 0401ffc4 movi r16,2047 - 2d0bc: 4090b03a or r8,r8,r2 - 2d0c0: 003e4106 br 2c9c8 <_gp+0xffff0490> - 2d0c4: 0141ffc4 movi r5,2047 - 2d0c8: 117fc71e bne r2,r5,2cfe8 <_gp+0xffff0ab0> - 2d0cc: 4811883a mov r8,r9 - 2d0d0: 3023883a mov r17,r6 - 2d0d4: 1021883a mov r16,r2 - 2d0d8: 003e3b06 br 2c9c8 <_gp+0xffff0490> - 2d0dc: 10002f26 beq r2,zero,2d19c <__adddf3+0x850> - 2d0e0: 4984b03a or r2,r9,r6 - 2d0e4: 10001126 beq r2,zero,2d12c <__adddf3+0x7e0> - 2d0e8: 4004d0fa srli r2,r8,3 - 2d0ec: 8822d0fa srli r17,r17,3 - 2d0f0: 4010977a slli r8,r8,29 - 2d0f4: 10c0022c andhi r3,r2,8 - 2d0f8: 4462b03a or r17,r8,r17 - 2d0fc: 183feb26 beq r3,zero,2d0ac <_gp+0xffff0b74> - 2d100: 4808d0fa srli r4,r9,3 - 2d104: 20c0022c andhi r3,r4,8 - 2d108: 183fe81e bne r3,zero,2d0ac <_gp+0xffff0b74> - 2d10c: 300cd0fa srli r6,r6,3 - 2d110: 4806977a slli r3,r9,29 - 2d114: 2005883a mov r2,r4 - 2d118: 19a2b03a or r17,r3,r6 - 2d11c: 003fe306 br 2d0ac <_gp+0xffff0b74> - 2d120: 0011883a mov r8,zero - 2d124: 0005883a mov r2,zero - 2d128: 003e3f06 br 2ca28 <_gp+0xffff04f0> - 2d12c: 0401ffc4 movi r16,2047 - 2d130: 003e2506 br 2c9c8 <_gp+0xffff0490> - 2d134: 0013883a mov r9,zero - 2d138: 003f8406 br 2cf4c <_gp+0xffff0a14> - 2d13c: 0005883a mov r2,zero - 2d140: 0007883a mov r3,zero - 2d144: 003e8906 br 2cb6c <_gp+0xffff0634> - 2d148: 197ff804 addi r5,r3,-32 - 2d14c: 01000804 movi r4,32 - 2d150: 414ad83a srl r5,r8,r5 - 2d154: 19002426 beq r3,r4,2d1e8 <__adddf3+0x89c> - 2d158: 01001004 movi r4,64 - 2d15c: 20c7c83a sub r3,r4,r3 - 2d160: 40c6983a sll r3,r8,r3 - 2d164: 1c46b03a or r3,r3,r17 - 2d168: 1806c03a cmpne r3,r3,zero - 2d16c: 28e2b03a or r17,r5,r3 - 2d170: 0007883a mov r3,zero - 2d174: 003f2306 br 2ce04 <_gp+0xffff08cc> - 2d178: 0007883a mov r3,zero - 2d17c: 5811883a mov r8,r11 - 2d180: 00bfffc4 movi r2,-1 - 2d184: 0401ffc4 movi r16,2047 - 2d188: 003e7806 br 2cb6c <_gp+0xffff0634> - 2d18c: 4462b03a or r17,r8,r17 - 2d190: 8822c03a cmpne r17,r17,zero - 2d194: 0009883a mov r4,zero - 2d198: 003fa006 br 2d01c <_gp+0xffff0ae4> - 2d19c: 4811883a mov r8,r9 - 2d1a0: 3023883a mov r17,r6 - 2d1a4: 0401ffc4 movi r16,2047 - 2d1a8: 003e0706 br 2c9c8 <_gp+0xffff0490> - 2d1ac: 4811883a mov r8,r9 - 2d1b0: 3023883a mov r17,r6 - 2d1b4: 003e0406 br 2c9c8 <_gp+0xffff0490> - 2d1b8: 21fff804 addi r7,r4,-32 - 2d1bc: 01400804 movi r5,32 - 2d1c0: 41ced83a srl r7,r8,r7 - 2d1c4: 21400a26 beq r4,r5,2d1f0 <__adddf3+0x8a4> - 2d1c8: 01401004 movi r5,64 - 2d1cc: 2909c83a sub r4,r5,r4 - 2d1d0: 4108983a sll r4,r8,r4 - 2d1d4: 2448b03a or r4,r4,r17 - 2d1d8: 2008c03a cmpne r4,r4,zero - 2d1dc: 3922b03a or r17,r7,r4 - 2d1e0: 0009883a mov r4,zero - 2d1e4: 003f8d06 br 2d01c <_gp+0xffff0ae4> - 2d1e8: 0007883a mov r3,zero - 2d1ec: 003fdd06 br 2d164 <_gp+0xffff0c2c> - 2d1f0: 0009883a mov r4,zero - 2d1f4: 003ff706 br 2d1d4 <_gp+0xffff0c9c> +0002cbc8 <__umoddi3>: + 2cbc8: defff404 addi sp,sp,-48 + 2cbcc: df000a15 stw fp,40(sp) + 2cbd0: dc400315 stw r17,12(sp) + 2cbd4: dc000215 stw r16,8(sp) + 2cbd8: dfc00b15 stw ra,44(sp) + 2cbdc: ddc00915 stw r23,36(sp) + 2cbe0: dd800815 stw r22,32(sp) + 2cbe4: dd400715 stw r21,28(sp) + 2cbe8: dd000615 stw r20,24(sp) + 2cbec: dcc00515 stw r19,20(sp) + 2cbf0: dc800415 stw r18,16(sp) + 2cbf4: 2021883a mov r16,r4 + 2cbf8: 2823883a mov r17,r5 + 2cbfc: 2839883a mov fp,r5 + 2cc00: 38003c1e bne r7,zero,2ccf4 <__umoddi3+0x12c> + 2cc04: 3027883a mov r19,r6 + 2cc08: 2029883a mov r20,r4 + 2cc0c: 2980512e bgeu r5,r6,2cd54 <__umoddi3+0x18c> + 2cc10: 00bfffd4 movui r2,65535 + 2cc14: 11809a36 bltu r2,r6,2ce80 <__umoddi3+0x2b8> + 2cc18: 01003fc4 movi r4,255 + 2cc1c: 2189803a cmpltu r4,r4,r6 + 2cc20: 200890fa slli r4,r4,3 + 2cc24: 3104d83a srl r2,r6,r4 + 2cc28: 00c000f4 movhi r3,3 + 2cc2c: 18cabb04 addi r3,r3,10988 + 2cc30: 1885883a add r2,r3,r2 + 2cc34: 10c00003 ldbu r3,0(r2) + 2cc38: 00800804 movi r2,32 + 2cc3c: 1909883a add r4,r3,r4 + 2cc40: 1125c83a sub r18,r2,r4 + 2cc44: 90000526 beq r18,zero,2cc5c <__umoddi3+0x94> + 2cc48: 8ca2983a sll r17,r17,r18 + 2cc4c: 8108d83a srl r4,r16,r4 + 2cc50: 34a6983a sll r19,r6,r18 + 2cc54: 84a8983a sll r20,r16,r18 + 2cc58: 2478b03a or fp,r4,r17 + 2cc5c: 982ed43a srli r23,r19,16 + 2cc60: e009883a mov r4,fp + 2cc64: 9dbfffcc andi r22,r19,65535 + 2cc68: b80b883a mov r5,r23 + 2cc6c: 0022a840 call 22a84 <__umodsi3> + 2cc70: e009883a mov r4,fp + 2cc74: b80b883a mov r5,r23 + 2cc78: 102b883a mov r21,r2 + 2cc7c: 0022a200 call 22a20 <__udivsi3> + 2cc80: a806943a slli r3,r21,16 + 2cc84: a008d43a srli r4,r20,16 + 2cc88: b085383a mul r2,r22,r2 + 2cc8c: 20c8b03a or r4,r4,r3 + 2cc90: 2080032e bgeu r4,r2,2cca0 <__umoddi3+0xd8> + 2cc94: 24c9883a add r4,r4,r19 + 2cc98: 24c00136 bltu r4,r19,2cca0 <__umoddi3+0xd8> + 2cc9c: 20811036 bltu r4,r2,2d0e0 <__umoddi3+0x518> + 2cca0: 20abc83a sub r21,r4,r2 + 2cca4: b80b883a mov r5,r23 + 2cca8: a809883a mov r4,r21 + 2ccac: 0022a840 call 22a84 <__umodsi3> + 2ccb0: 1023883a mov r17,r2 + 2ccb4: b80b883a mov r5,r23 + 2ccb8: a809883a mov r4,r21 + 2ccbc: 0022a200 call 22a20 <__udivsi3> + 2ccc0: 8822943a slli r17,r17,16 + 2ccc4: b085383a mul r2,r22,r2 + 2ccc8: a0ffffcc andi r3,r20,65535 + 2cccc: 1c46b03a or r3,r3,r17 + 2ccd0: 1880042e bgeu r3,r2,2cce4 <__umoddi3+0x11c> + 2ccd4: 1cc7883a add r3,r3,r19 + 2ccd8: 1cc00236 bltu r3,r19,2cce4 <__umoddi3+0x11c> + 2ccdc: 1880012e bgeu r3,r2,2cce4 <__umoddi3+0x11c> + 2cce0: 1cc7883a add r3,r3,r19 + 2cce4: 1885c83a sub r2,r3,r2 + 2cce8: 1484d83a srl r2,r2,r18 + 2ccec: 0007883a mov r3,zero + 2ccf0: 00004f06 br 2ce30 <__umoddi3+0x268> + 2ccf4: 29c04c36 bltu r5,r7,2ce28 <__umoddi3+0x260> + 2ccf8: 00bfffd4 movui r2,65535 + 2ccfc: 11c0582e bgeu r2,r7,2ce60 <__umoddi3+0x298> + 2cd00: 00804034 movhi r2,256 + 2cd04: 10bfffc4 addi r2,r2,-1 + 2cd08: 11c0e736 bltu r2,r7,2d0a8 <__umoddi3+0x4e0> + 2cd0c: 01000404 movi r4,16 + 2cd10: 3904d83a srl r2,r7,r4 + 2cd14: 00c000f4 movhi r3,3 + 2cd18: 18cabb04 addi r3,r3,10988 + 2cd1c: 1885883a add r2,r3,r2 + 2cd20: 14c00003 ldbu r19,0(r2) + 2cd24: 00c00804 movi r3,32 + 2cd28: 9927883a add r19,r19,r4 + 2cd2c: 1ce9c83a sub r20,r3,r19 + 2cd30: a000581e bne r20,zero,2ce94 <__umoddi3+0x2cc> + 2cd34: 3c400136 bltu r7,r17,2cd3c <__umoddi3+0x174> + 2cd38: 8180eb36 bltu r16,r6,2d0e8 <__umoddi3+0x520> + 2cd3c: 8185c83a sub r2,r16,r6 + 2cd40: 89e3c83a sub r17,r17,r7 + 2cd44: 8089803a cmpltu r4,r16,r2 + 2cd48: 8939c83a sub fp,r17,r4 + 2cd4c: e007883a mov r3,fp + 2cd50: 00003706 br 2ce30 <__umoddi3+0x268> + 2cd54: 3000041e bne r6,zero,2cd68 <__umoddi3+0x1a0> + 2cd58: 000b883a mov r5,zero + 2cd5c: 01000044 movi r4,1 + 2cd60: 0022a200 call 22a20 <__udivsi3> + 2cd64: 1027883a mov r19,r2 + 2cd68: 00bfffd4 movui r2,65535 + 2cd6c: 14c0402e bgeu r2,r19,2ce70 <__umoddi3+0x2a8> + 2cd70: 00804034 movhi r2,256 + 2cd74: 10bfffc4 addi r2,r2,-1 + 2cd78: 14c0cd36 bltu r2,r19,2d0b0 <__umoddi3+0x4e8> + 2cd7c: 00800404 movi r2,16 + 2cd80: 9886d83a srl r3,r19,r2 + 2cd84: 010000f4 movhi r4,3 + 2cd88: 210abb04 addi r4,r4,10988 + 2cd8c: 20c7883a add r3,r4,r3 + 2cd90: 18c00003 ldbu r3,0(r3) + 2cd94: 1887883a add r3,r3,r2 + 2cd98: 00800804 movi r2,32 + 2cd9c: 10e5c83a sub r18,r2,r3 + 2cda0: 9000901e bne r18,zero,2cfe4 <__umoddi3+0x41c> + 2cda4: 982cd43a srli r22,r19,16 + 2cda8: 8ce3c83a sub r17,r17,r19 + 2cdac: 9d7fffcc andi r21,r19,65535 + 2cdb0: b00b883a mov r5,r22 + 2cdb4: 8809883a mov r4,r17 + 2cdb8: 0022a840 call 22a84 <__umodsi3> + 2cdbc: 8809883a mov r4,r17 + 2cdc0: b00b883a mov r5,r22 + 2cdc4: 1021883a mov r16,r2 + 2cdc8: 0022a200 call 22a20 <__udivsi3> + 2cdcc: 8006943a slli r3,r16,16 + 2cdd0: a008d43a srli r4,r20,16 + 2cdd4: 1545383a mul r2,r2,r21 + 2cdd8: 20c8b03a or r4,r4,r3 + 2cddc: 2080042e bgeu r4,r2,2cdf0 <__umoddi3+0x228> + 2cde0: 24c9883a add r4,r4,r19 + 2cde4: 24c00236 bltu r4,r19,2cdf0 <__umoddi3+0x228> + 2cde8: 2080012e bgeu r4,r2,2cdf0 <__umoddi3+0x228> + 2cdec: 24c9883a add r4,r4,r19 + 2cdf0: 20a1c83a sub r16,r4,r2 + 2cdf4: b00b883a mov r5,r22 + 2cdf8: 8009883a mov r4,r16 + 2cdfc: 0022a840 call 22a84 <__umodsi3> + 2ce00: 1023883a mov r17,r2 + 2ce04: b00b883a mov r5,r22 + 2ce08: 8009883a mov r4,r16 + 2ce0c: 0022a200 call 22a20 <__udivsi3> + 2ce10: 8822943a slli r17,r17,16 + 2ce14: 1545383a mul r2,r2,r21 + 2ce18: a53fffcc andi r20,r20,65535 + 2ce1c: a446b03a or r3,r20,r17 + 2ce20: 18bfb02e bgeu r3,r2,2cce4 <_gp+0xfffefe78> + 2ce24: 003fab06 br 2ccd4 <_gp+0xfffefe68> + 2ce28: 2005883a mov r2,r4 + 2ce2c: 2807883a mov r3,r5 + 2ce30: dfc00b17 ldw ra,44(sp) + 2ce34: df000a17 ldw fp,40(sp) + 2ce38: ddc00917 ldw r23,36(sp) + 2ce3c: dd800817 ldw r22,32(sp) + 2ce40: dd400717 ldw r21,28(sp) + 2ce44: dd000617 ldw r20,24(sp) + 2ce48: dcc00517 ldw r19,20(sp) + 2ce4c: dc800417 ldw r18,16(sp) + 2ce50: dc400317 ldw r17,12(sp) + 2ce54: dc000217 ldw r16,8(sp) + 2ce58: dec00c04 addi sp,sp,48 + 2ce5c: f800283a ret + 2ce60: 04c03fc4 movi r19,255 + 2ce64: 99c9803a cmpltu r4,r19,r7 + 2ce68: 200890fa slli r4,r4,3 + 2ce6c: 003fa806 br 2cd10 <_gp+0xfffefea4> + 2ce70: 00803fc4 movi r2,255 + 2ce74: 14c5803a cmpltu r2,r2,r19 + 2ce78: 100490fa slli r2,r2,3 + 2ce7c: 003fc006 br 2cd80 <_gp+0xfffeff14> + 2ce80: 00804034 movhi r2,256 + 2ce84: 10bfffc4 addi r2,r2,-1 + 2ce88: 11808b36 bltu r2,r6,2d0b8 <__umoddi3+0x4f0> + 2ce8c: 01000404 movi r4,16 + 2ce90: 003f6406 br 2cc24 <_gp+0xfffefdb8> + 2ce94: 34c4d83a srl r2,r6,r19 + 2ce98: 3d0e983a sll r7,r7,r20 + 2ce9c: 8cf8d83a srl fp,r17,r19 + 2cea0: 8d10983a sll r8,r17,r20 + 2cea4: 38aab03a or r21,r7,r2 + 2cea8: a82cd43a srli r22,r21,16 + 2ceac: 84e2d83a srl r17,r16,r19 + 2ceb0: e009883a mov r4,fp + 2ceb4: b00b883a mov r5,r22 + 2ceb8: 8a22b03a or r17,r17,r8 + 2cebc: 3524983a sll r18,r6,r20 + 2cec0: 0022a840 call 22a84 <__umodsi3> + 2cec4: e009883a mov r4,fp + 2cec8: b00b883a mov r5,r22 + 2cecc: 102f883a mov r23,r2 + 2ced0: 0022a200 call 22a20 <__udivsi3> + 2ced4: 100d883a mov r6,r2 + 2ced8: b808943a slli r4,r23,16 + 2cedc: aa3fffcc andi r8,r21,65535 + 2cee0: 8804d43a srli r2,r17,16 + 2cee4: 41af383a mul r23,r8,r6 + 2cee8: 8520983a sll r16,r16,r20 + 2ceec: 1104b03a or r2,r2,r4 + 2cef0: 15c0042e bgeu r2,r23,2cf04 <__umoddi3+0x33c> + 2cef4: 1545883a add r2,r2,r21 + 2cef8: 30ffffc4 addi r3,r6,-1 + 2cefc: 1540742e bgeu r2,r21,2d0d0 <__umoddi3+0x508> + 2cf00: 180d883a mov r6,r3 + 2cf04: 15efc83a sub r23,r2,r23 + 2cf08: b00b883a mov r5,r22 + 2cf0c: b809883a mov r4,r23 + 2cf10: d9800115 stw r6,4(sp) + 2cf14: da000015 stw r8,0(sp) + 2cf18: 0022a840 call 22a84 <__umodsi3> + 2cf1c: b00b883a mov r5,r22 + 2cf20: b809883a mov r4,r23 + 2cf24: 1039883a mov fp,r2 + 2cf28: 0022a200 call 22a20 <__udivsi3> + 2cf2c: da000017 ldw r8,0(sp) + 2cf30: e038943a slli fp,fp,16 + 2cf34: 100b883a mov r5,r2 + 2cf38: 4089383a mul r4,r8,r2 + 2cf3c: 8a3fffcc andi r8,r17,65535 + 2cf40: 4710b03a or r8,r8,fp + 2cf44: d9800117 ldw r6,4(sp) + 2cf48: 4100042e bgeu r8,r4,2cf5c <__umoddi3+0x394> + 2cf4c: 4551883a add r8,r8,r21 + 2cf50: 10bfffc4 addi r2,r2,-1 + 2cf54: 45405a2e bgeu r8,r21,2d0c0 <__umoddi3+0x4f8> + 2cf58: 100b883a mov r5,r2 + 2cf5c: 300c943a slli r6,r6,16 + 2cf60: 91ffffcc andi r7,r18,65535 + 2cf64: 9004d43a srli r2,r18,16 + 2cf68: 314cb03a or r6,r6,r5 + 2cf6c: 317fffcc andi r5,r6,65535 + 2cf70: 300cd43a srli r6,r6,16 + 2cf74: 29d3383a mul r9,r5,r7 + 2cf78: 288b383a mul r5,r5,r2 + 2cf7c: 31cf383a mul r7,r6,r7 + 2cf80: 4806d43a srli r3,r9,16 + 2cf84: 4111c83a sub r8,r8,r4 + 2cf88: 29cb883a add r5,r5,r7 + 2cf8c: 194b883a add r5,r3,r5 + 2cf90: 3085383a mul r2,r6,r2 + 2cf94: 29c0022e bgeu r5,r7,2cfa0 <__umoddi3+0x3d8> + 2cf98: 00c00074 movhi r3,1 + 2cf9c: 10c5883a add r2,r2,r3 + 2cfa0: 2808d43a srli r4,r5,16 + 2cfa4: 280a943a slli r5,r5,16 + 2cfa8: 4a7fffcc andi r9,r9,65535 + 2cfac: 2085883a add r2,r4,r2 + 2cfb0: 2a4b883a add r5,r5,r9 + 2cfb4: 40803636 bltu r8,r2,2d090 <__umoddi3+0x4c8> + 2cfb8: 40804d26 beq r8,r2,2d0f0 <__umoddi3+0x528> + 2cfbc: 4089c83a sub r4,r8,r2 + 2cfc0: 280f883a mov r7,r5 + 2cfc4: 81cfc83a sub r7,r16,r7 + 2cfc8: 81c7803a cmpltu r3,r16,r7 + 2cfcc: 20c7c83a sub r3,r4,r3 + 2cfd0: 1cc4983a sll r2,r3,r19 + 2cfd4: 3d0ed83a srl r7,r7,r20 + 2cfd8: 1d06d83a srl r3,r3,r20 + 2cfdc: 11c4b03a or r2,r2,r7 + 2cfe0: 003f9306 br 2ce30 <_gp+0xfffeffc4> + 2cfe4: 9ca6983a sll r19,r19,r18 + 2cfe8: 88e8d83a srl r20,r17,r3 + 2cfec: 80c4d83a srl r2,r16,r3 + 2cff0: 982cd43a srli r22,r19,16 + 2cff4: 8ca2983a sll r17,r17,r18 + 2cff8: a009883a mov r4,r20 + 2cffc: b00b883a mov r5,r22 + 2d000: 1478b03a or fp,r2,r17 + 2d004: 0022a840 call 22a84 <__umodsi3> + 2d008: a009883a mov r4,r20 + 2d00c: b00b883a mov r5,r22 + 2d010: 1023883a mov r17,r2 + 2d014: 0022a200 call 22a20 <__udivsi3> + 2d018: 9d7fffcc andi r21,r19,65535 + 2d01c: 880a943a slli r5,r17,16 + 2d020: e008d43a srli r4,fp,16 + 2d024: a885383a mul r2,r21,r2 + 2d028: 84a8983a sll r20,r16,r18 + 2d02c: 2148b03a or r4,r4,r5 + 2d030: 2080042e bgeu r4,r2,2d044 <__umoddi3+0x47c> + 2d034: 24c9883a add r4,r4,r19 + 2d038: 24c00236 bltu r4,r19,2d044 <__umoddi3+0x47c> + 2d03c: 2080012e bgeu r4,r2,2d044 <__umoddi3+0x47c> + 2d040: 24c9883a add r4,r4,r19 + 2d044: 20a3c83a sub r17,r4,r2 + 2d048: b00b883a mov r5,r22 + 2d04c: 8809883a mov r4,r17 + 2d050: 0022a840 call 22a84 <__umodsi3> + 2d054: 102f883a mov r23,r2 + 2d058: 8809883a mov r4,r17 + 2d05c: b00b883a mov r5,r22 + 2d060: 0022a200 call 22a20 <__udivsi3> + 2d064: b82e943a slli r23,r23,16 + 2d068: a885383a mul r2,r21,r2 + 2d06c: e13fffcc andi r4,fp,65535 + 2d070: 25c8b03a or r4,r4,r23 + 2d074: 2080042e bgeu r4,r2,2d088 <__umoddi3+0x4c0> + 2d078: 24c9883a add r4,r4,r19 + 2d07c: 24c00236 bltu r4,r19,2d088 <__umoddi3+0x4c0> + 2d080: 2080012e bgeu r4,r2,2d088 <__umoddi3+0x4c0> + 2d084: 24c9883a add r4,r4,r19 + 2d088: 20a3c83a sub r17,r4,r2 + 2d08c: 003f4806 br 2cdb0 <_gp+0xfffeff44> + 2d090: 2c8fc83a sub r7,r5,r18 + 2d094: 1545c83a sub r2,r2,r21 + 2d098: 29cb803a cmpltu r5,r5,r7 + 2d09c: 1145c83a sub r2,r2,r5 + 2d0a0: 4089c83a sub r4,r8,r2 + 2d0a4: 003fc706 br 2cfc4 <_gp+0xffff0158> + 2d0a8: 01000604 movi r4,24 + 2d0ac: 003f1806 br 2cd10 <_gp+0xfffefea4> + 2d0b0: 00800604 movi r2,24 + 2d0b4: 003f3206 br 2cd80 <_gp+0xfffeff14> + 2d0b8: 01000604 movi r4,24 + 2d0bc: 003ed906 br 2cc24 <_gp+0xfffefdb8> + 2d0c0: 413fa52e bgeu r8,r4,2cf58 <_gp+0xffff00ec> + 2d0c4: 297fff84 addi r5,r5,-2 + 2d0c8: 4551883a add r8,r8,r21 + 2d0cc: 003fa306 br 2cf5c <_gp+0xffff00f0> + 2d0d0: 15ff8b2e bgeu r2,r23,2cf00 <_gp+0xffff0094> + 2d0d4: 31bfff84 addi r6,r6,-2 + 2d0d8: 1545883a add r2,r2,r21 + 2d0dc: 003f8906 br 2cf04 <_gp+0xffff0098> + 2d0e0: 24c9883a add r4,r4,r19 + 2d0e4: 003eee06 br 2cca0 <_gp+0xfffefe34> + 2d0e8: 8005883a mov r2,r16 + 2d0ec: 003f1706 br 2cd4c <_gp+0xfffefee0> + 2d0f0: 817fe736 bltu r16,r5,2d090 <_gp+0xffff0224> + 2d0f4: 280f883a mov r7,r5 + 2d0f8: 0009883a mov r4,zero + 2d0fc: 003fb106 br 2cfc4 <_gp+0xffff0158> -0002d1f8 <__divdf3>: - 2d1f8: defff204 addi sp,sp,-56 - 2d1fc: dd400915 stw r21,36(sp) - 2d200: 282ad53a srli r21,r5,20 - 2d204: dd000815 stw r20,32(sp) - 2d208: 2828d7fa srli r20,r5,31 - 2d20c: dc000415 stw r16,16(sp) - 2d210: 04000434 movhi r16,16 - 2d214: df000c15 stw fp,48(sp) - 2d218: 843fffc4 addi r16,r16,-1 - 2d21c: dfc00d15 stw ra,52(sp) - 2d220: ddc00b15 stw r23,44(sp) - 2d224: dd800a15 stw r22,40(sp) - 2d228: dcc00715 stw r19,28(sp) - 2d22c: dc800615 stw r18,24(sp) - 2d230: dc400515 stw r17,20(sp) - 2d234: ad41ffcc andi r21,r21,2047 - 2d238: 2c20703a and r16,r5,r16 - 2d23c: a7003fcc andi fp,r20,255 - 2d240: a8006126 beq r21,zero,2d3c8 <__divdf3+0x1d0> - 2d244: 0081ffc4 movi r2,2047 - 2d248: 2025883a mov r18,r4 - 2d24c: a8803726 beq r21,r2,2d32c <__divdf3+0x134> - 2d250: 80800434 orhi r2,r16,16 - 2d254: 100490fa slli r2,r2,3 - 2d258: 2020d77a srli r16,r4,29 - 2d25c: 202490fa slli r18,r4,3 - 2d260: ad7f0044 addi r21,r21,-1023 - 2d264: 80a0b03a or r16,r16,r2 - 2d268: 0027883a mov r19,zero - 2d26c: 0013883a mov r9,zero - 2d270: 3804d53a srli r2,r7,20 - 2d274: 382cd7fa srli r22,r7,31 - 2d278: 04400434 movhi r17,16 - 2d27c: 8c7fffc4 addi r17,r17,-1 - 2d280: 1081ffcc andi r2,r2,2047 - 2d284: 3011883a mov r8,r6 - 2d288: 3c62703a and r17,r7,r17 - 2d28c: b5c03fcc andi r23,r22,255 - 2d290: 10006c26 beq r2,zero,2d444 <__divdf3+0x24c> - 2d294: 00c1ffc4 movi r3,2047 - 2d298: 10c06426 beq r2,r3,2d42c <__divdf3+0x234> - 2d29c: 88c00434 orhi r3,r17,16 - 2d2a0: 180690fa slli r3,r3,3 - 2d2a4: 3022d77a srli r17,r6,29 - 2d2a8: 301090fa slli r8,r6,3 - 2d2ac: 10bf0044 addi r2,r2,-1023 - 2d2b0: 88e2b03a or r17,r17,r3 - 2d2b4: 000f883a mov r7,zero - 2d2b8: a58cf03a xor r6,r20,r22 - 2d2bc: 3cc8b03a or r4,r7,r19 - 2d2c0: a8abc83a sub r21,r21,r2 - 2d2c4: 008003c4 movi r2,15 - 2d2c8: 3007883a mov r3,r6 - 2d2cc: 34c03fcc andi r19,r6,255 - 2d2d0: 11009036 bltu r2,r4,2d514 <__divdf3+0x31c> - 2d2d4: 200890ba slli r4,r4,2 - 2d2d8: 008000f4 movhi r2,3 - 2d2dc: 10b4bb04 addi r2,r2,-11540 - 2d2e0: 2089883a add r4,r4,r2 - 2d2e4: 20800017 ldw r2,0(r4) - 2d2e8: 1000683a jmp r2 - 2d2ec: 0002d514 movui zero,2900 - 2d2f0: 0002d364 muli zero,zero,2893 - 2d2f4: 0002d504 movi zero,2900 - 2d2f8: 0002d358 cmpnei zero,zero,2893 - 2d2fc: 0002d504 movi zero,2900 - 2d300: 0002d4d8 cmpnei zero,zero,2899 - 2d304: 0002d504 movi zero,2900 - 2d308: 0002d358 cmpnei zero,zero,2893 - 2d30c: 0002d364 muli zero,zero,2893 - 2d310: 0002d364 muli zero,zero,2893 - 2d314: 0002d4d8 cmpnei zero,zero,2899 - 2d318: 0002d358 cmpnei zero,zero,2893 - 2d31c: 0002d348 cmpgei zero,zero,2893 - 2d320: 0002d348 cmpgei zero,zero,2893 - 2d324: 0002d348 cmpgei zero,zero,2893 - 2d328: 0002d7f8 rdprs zero,zero,2911 - 2d32c: 2404b03a or r2,r4,r16 - 2d330: 1000661e bne r2,zero,2d4cc <__divdf3+0x2d4> - 2d334: 04c00204 movi r19,8 - 2d338: 0021883a mov r16,zero - 2d33c: 0025883a mov r18,zero - 2d340: 02400084 movi r9,2 - 2d344: 003fca06 br 2d270 <_gp+0xffff0d38> - 2d348: 8023883a mov r17,r16 - 2d34c: 9011883a mov r8,r18 - 2d350: e02f883a mov r23,fp - 2d354: 480f883a mov r7,r9 - 2d358: 00800084 movi r2,2 - 2d35c: 3881311e bne r7,r2,2d824 <__divdf3+0x62c> - 2d360: b827883a mov r19,r23 - 2d364: 98c0004c andi r3,r19,1 - 2d368: 0081ffc4 movi r2,2047 - 2d36c: 000b883a mov r5,zero - 2d370: 0025883a mov r18,zero - 2d374: 1004953a slli r2,r2,20 - 2d378: 18c03fcc andi r3,r3,255 - 2d37c: 04400434 movhi r17,16 - 2d380: 8c7fffc4 addi r17,r17,-1 - 2d384: 180697fa slli r3,r3,31 - 2d388: 2c4a703a and r5,r5,r17 - 2d38c: 288ab03a or r5,r5,r2 - 2d390: 28c6b03a or r3,r5,r3 - 2d394: 9005883a mov r2,r18 - 2d398: dfc00d17 ldw ra,52(sp) - 2d39c: df000c17 ldw fp,48(sp) - 2d3a0: ddc00b17 ldw r23,44(sp) - 2d3a4: dd800a17 ldw r22,40(sp) - 2d3a8: dd400917 ldw r21,36(sp) - 2d3ac: dd000817 ldw r20,32(sp) - 2d3b0: dcc00717 ldw r19,28(sp) - 2d3b4: dc800617 ldw r18,24(sp) - 2d3b8: dc400517 ldw r17,20(sp) - 2d3bc: dc000417 ldw r16,16(sp) - 2d3c0: dec00e04 addi sp,sp,56 - 2d3c4: f800283a ret - 2d3c8: 2404b03a or r2,r4,r16 - 2d3cc: 2027883a mov r19,r4 - 2d3d0: 10003926 beq r2,zero,2d4b8 <__divdf3+0x2c0> - 2d3d4: 80012e26 beq r16,zero,2d890 <__divdf3+0x698> - 2d3d8: 8009883a mov r4,r16 - 2d3dc: d9800315 stw r6,12(sp) - 2d3e0: d9c00215 stw r7,8(sp) - 2d3e4: 002ef500 call 2ef50 <__clzsi2> - 2d3e8: d9800317 ldw r6,12(sp) - 2d3ec: d9c00217 ldw r7,8(sp) - 2d3f0: 113ffd44 addi r4,r2,-11 - 2d3f4: 00c00704 movi r3,28 - 2d3f8: 19012116 blt r3,r4,2d880 <__divdf3+0x688> - 2d3fc: 00c00744 movi r3,29 - 2d400: 147ffe04 addi r17,r2,-8 - 2d404: 1907c83a sub r3,r3,r4 - 2d408: 8460983a sll r16,r16,r17 - 2d40c: 98c6d83a srl r3,r19,r3 - 2d410: 9c64983a sll r18,r19,r17 - 2d414: 1c20b03a or r16,r3,r16 - 2d418: 1080fcc4 addi r2,r2,1011 - 2d41c: 00abc83a sub r21,zero,r2 - 2d420: 0027883a mov r19,zero - 2d424: 0013883a mov r9,zero - 2d428: 003f9106 br 2d270 <_gp+0xffff0d38> - 2d42c: 3446b03a or r3,r6,r17 - 2d430: 18001f1e bne r3,zero,2d4b0 <__divdf3+0x2b8> - 2d434: 0023883a mov r17,zero - 2d438: 0011883a mov r8,zero - 2d43c: 01c00084 movi r7,2 - 2d440: 003f9d06 br 2d2b8 <_gp+0xffff0d80> - 2d444: 3446b03a or r3,r6,r17 - 2d448: 18001526 beq r3,zero,2d4a0 <__divdf3+0x2a8> - 2d44c: 88011b26 beq r17,zero,2d8bc <__divdf3+0x6c4> - 2d450: 8809883a mov r4,r17 - 2d454: d9800315 stw r6,12(sp) - 2d458: da400115 stw r9,4(sp) - 2d45c: 002ef500 call 2ef50 <__clzsi2> - 2d460: d9800317 ldw r6,12(sp) - 2d464: da400117 ldw r9,4(sp) - 2d468: 113ffd44 addi r4,r2,-11 - 2d46c: 00c00704 movi r3,28 - 2d470: 19010e16 blt r3,r4,2d8ac <__divdf3+0x6b4> - 2d474: 00c00744 movi r3,29 - 2d478: 123ffe04 addi r8,r2,-8 - 2d47c: 1907c83a sub r3,r3,r4 - 2d480: 8a22983a sll r17,r17,r8 - 2d484: 30c6d83a srl r3,r6,r3 - 2d488: 3210983a sll r8,r6,r8 - 2d48c: 1c62b03a or r17,r3,r17 - 2d490: 1080fcc4 addi r2,r2,1011 - 2d494: 0085c83a sub r2,zero,r2 - 2d498: 000f883a mov r7,zero - 2d49c: 003f8606 br 2d2b8 <_gp+0xffff0d80> - 2d4a0: 0023883a mov r17,zero - 2d4a4: 0011883a mov r8,zero - 2d4a8: 01c00044 movi r7,1 - 2d4ac: 003f8206 br 2d2b8 <_gp+0xffff0d80> - 2d4b0: 01c000c4 movi r7,3 - 2d4b4: 003f8006 br 2d2b8 <_gp+0xffff0d80> - 2d4b8: 04c00104 movi r19,4 - 2d4bc: 0021883a mov r16,zero - 2d4c0: 0025883a mov r18,zero - 2d4c4: 02400044 movi r9,1 - 2d4c8: 003f6906 br 2d270 <_gp+0xffff0d38> - 2d4cc: 04c00304 movi r19,12 - 2d4d0: 024000c4 movi r9,3 - 2d4d4: 003f6606 br 2d270 <_gp+0xffff0d38> - 2d4d8: 01400434 movhi r5,16 - 2d4dc: 0007883a mov r3,zero - 2d4e0: 297fffc4 addi r5,r5,-1 - 2d4e4: 04bfffc4 movi r18,-1 - 2d4e8: 0081ffc4 movi r2,2047 - 2d4ec: 003fa106 br 2d374 <_gp+0xffff0e3c> - 2d4f0: 00c00044 movi r3,1 - 2d4f4: 1887c83a sub r3,r3,r2 - 2d4f8: 01000e04 movi r4,56 - 2d4fc: 20c1210e bge r4,r3,2d984 <__divdf3+0x78c> - 2d500: 98c0004c andi r3,r19,1 - 2d504: 0005883a mov r2,zero - 2d508: 000b883a mov r5,zero - 2d50c: 0025883a mov r18,zero - 2d510: 003f9806 br 2d374 <_gp+0xffff0e3c> - 2d514: 8c00fd36 bltu r17,r16,2d90c <__divdf3+0x714> - 2d518: 8440fb26 beq r16,r17,2d908 <__divdf3+0x710> - 2d51c: 8007883a mov r3,r16 - 2d520: ad7fffc4 addi r21,r21,-1 - 2d524: 0021883a mov r16,zero - 2d528: 4004d63a srli r2,r8,24 - 2d52c: 8822923a slli r17,r17,8 - 2d530: 1809883a mov r4,r3 - 2d534: 402c923a slli r22,r8,8 - 2d538: 88b8b03a or fp,r17,r2 - 2d53c: e028d43a srli r20,fp,16 - 2d540: d8c00015 stw r3,0(sp) - 2d544: e5ffffcc andi r23,fp,65535 - 2d548: a00b883a mov r5,r20 - 2d54c: 00225dc0 call 225dc <__udivsi3> - 2d550: d8c00017 ldw r3,0(sp) - 2d554: a00b883a mov r5,r20 - 2d558: d8800315 stw r2,12(sp) - 2d55c: 1809883a mov r4,r3 - 2d560: 00226400 call 22640 <__umodsi3> - 2d564: d9800317 ldw r6,12(sp) - 2d568: 1006943a slli r3,r2,16 - 2d56c: 9004d43a srli r2,r18,16 - 2d570: b9a3383a mul r17,r23,r6 - 2d574: 10c4b03a or r2,r2,r3 - 2d578: 1440062e bgeu r2,r17,2d594 <__divdf3+0x39c> - 2d57c: 1705883a add r2,r2,fp - 2d580: 30ffffc4 addi r3,r6,-1 - 2d584: 1700ee36 bltu r2,fp,2d940 <__divdf3+0x748> - 2d588: 1440ed2e bgeu r2,r17,2d940 <__divdf3+0x748> - 2d58c: 31bfff84 addi r6,r6,-2 - 2d590: 1705883a add r2,r2,fp - 2d594: 1463c83a sub r17,r2,r17 - 2d598: a00b883a mov r5,r20 - 2d59c: 8809883a mov r4,r17 - 2d5a0: d9800315 stw r6,12(sp) - 2d5a4: 00225dc0 call 225dc <__udivsi3> - 2d5a8: a00b883a mov r5,r20 - 2d5ac: 8809883a mov r4,r17 - 2d5b0: d8800215 stw r2,8(sp) - 2d5b4: 00226400 call 22640 <__umodsi3> - 2d5b8: d9c00217 ldw r7,8(sp) - 2d5bc: 1004943a slli r2,r2,16 - 2d5c0: 94bfffcc andi r18,r18,65535 - 2d5c4: b9d1383a mul r8,r23,r7 - 2d5c8: 90a4b03a or r18,r18,r2 - 2d5cc: d9800317 ldw r6,12(sp) - 2d5d0: 9200062e bgeu r18,r8,2d5ec <__divdf3+0x3f4> - 2d5d4: 9725883a add r18,r18,fp - 2d5d8: 38bfffc4 addi r2,r7,-1 - 2d5dc: 9700d636 bltu r18,fp,2d938 <__divdf3+0x740> - 2d5e0: 9200d52e bgeu r18,r8,2d938 <__divdf3+0x740> - 2d5e4: 39ffff84 addi r7,r7,-2 - 2d5e8: 9725883a add r18,r18,fp - 2d5ec: 3004943a slli r2,r6,16 - 2d5f0: b012d43a srli r9,r22,16 - 2d5f4: b1bfffcc andi r6,r22,65535 - 2d5f8: 11e2b03a or r17,r2,r7 - 2d5fc: 8806d43a srli r3,r17,16 - 2d600: 893fffcc andi r4,r17,65535 - 2d604: 218b383a mul r5,r4,r6 - 2d608: 30c5383a mul r2,r6,r3 - 2d60c: 2249383a mul r4,r4,r9 - 2d610: 280ed43a srli r7,r5,16 - 2d614: 9225c83a sub r18,r18,r8 - 2d618: 2089883a add r4,r4,r2 - 2d61c: 3909883a add r4,r7,r4 - 2d620: 1a47383a mul r3,r3,r9 - 2d624: 2080022e bgeu r4,r2,2d630 <__divdf3+0x438> - 2d628: 00800074 movhi r2,1 - 2d62c: 1887883a add r3,r3,r2 - 2d630: 2004d43a srli r2,r4,16 - 2d634: 2008943a slli r4,r4,16 - 2d638: 297fffcc andi r5,r5,65535 - 2d63c: 10c7883a add r3,r2,r3 - 2d640: 2149883a add r4,r4,r5 - 2d644: 90c0a536 bltu r18,r3,2d8dc <__divdf3+0x6e4> - 2d648: 90c0bf26 beq r18,r3,2d948 <__divdf3+0x750> - 2d64c: 90c7c83a sub r3,r18,r3 - 2d650: 810fc83a sub r7,r16,r4 - 2d654: 81e5803a cmpltu r18,r16,r7 - 2d658: 1ca5c83a sub r18,r3,r18 - 2d65c: e480c126 beq fp,r18,2d964 <__divdf3+0x76c> - 2d660: a00b883a mov r5,r20 - 2d664: 9009883a mov r4,r18 - 2d668: d9800315 stw r6,12(sp) - 2d66c: d9c00215 stw r7,8(sp) - 2d670: da400115 stw r9,4(sp) - 2d674: 00225dc0 call 225dc <__udivsi3> - 2d678: a00b883a mov r5,r20 - 2d67c: 9009883a mov r4,r18 - 2d680: d8800015 stw r2,0(sp) - 2d684: 00226400 call 22640 <__umodsi3> - 2d688: d9c00217 ldw r7,8(sp) - 2d68c: da000017 ldw r8,0(sp) - 2d690: 1006943a slli r3,r2,16 - 2d694: 3804d43a srli r2,r7,16 - 2d698: ba21383a mul r16,r23,r8 - 2d69c: d9800317 ldw r6,12(sp) - 2d6a0: 10c4b03a or r2,r2,r3 - 2d6a4: da400117 ldw r9,4(sp) - 2d6a8: 1400062e bgeu r2,r16,2d6c4 <__divdf3+0x4cc> - 2d6ac: 1705883a add r2,r2,fp - 2d6b0: 40ffffc4 addi r3,r8,-1 - 2d6b4: 1700ad36 bltu r2,fp,2d96c <__divdf3+0x774> - 2d6b8: 1400ac2e bgeu r2,r16,2d96c <__divdf3+0x774> - 2d6bc: 423fff84 addi r8,r8,-2 - 2d6c0: 1705883a add r2,r2,fp - 2d6c4: 1421c83a sub r16,r2,r16 - 2d6c8: a00b883a mov r5,r20 - 2d6cc: 8009883a mov r4,r16 - 2d6d0: d9800315 stw r6,12(sp) - 2d6d4: d9c00215 stw r7,8(sp) - 2d6d8: da000015 stw r8,0(sp) - 2d6dc: da400115 stw r9,4(sp) - 2d6e0: 00225dc0 call 225dc <__udivsi3> - 2d6e4: 8009883a mov r4,r16 - 2d6e8: a00b883a mov r5,r20 - 2d6ec: 1025883a mov r18,r2 - 2d6f0: 00226400 call 22640 <__umodsi3> - 2d6f4: d9c00217 ldw r7,8(sp) - 2d6f8: 1004943a slli r2,r2,16 - 2d6fc: bcaf383a mul r23,r23,r18 - 2d700: 393fffcc andi r4,r7,65535 - 2d704: 2088b03a or r4,r4,r2 - 2d708: d9800317 ldw r6,12(sp) - 2d70c: da000017 ldw r8,0(sp) - 2d710: da400117 ldw r9,4(sp) - 2d714: 25c0062e bgeu r4,r23,2d730 <__divdf3+0x538> - 2d718: 2709883a add r4,r4,fp - 2d71c: 90bfffc4 addi r2,r18,-1 - 2d720: 27009436 bltu r4,fp,2d974 <__divdf3+0x77c> - 2d724: 25c0932e bgeu r4,r23,2d974 <__divdf3+0x77c> - 2d728: 94bfff84 addi r18,r18,-2 - 2d72c: 2709883a add r4,r4,fp - 2d730: 4004943a slli r2,r8,16 - 2d734: 25efc83a sub r23,r4,r23 - 2d738: 1490b03a or r8,r2,r18 - 2d73c: 4008d43a srli r4,r8,16 - 2d740: 40ffffcc andi r3,r8,65535 - 2d744: 30c5383a mul r2,r6,r3 - 2d748: 1a47383a mul r3,r3,r9 - 2d74c: 310d383a mul r6,r6,r4 - 2d750: 100ad43a srli r5,r2,16 - 2d754: 4913383a mul r9,r9,r4 - 2d758: 1987883a add r3,r3,r6 - 2d75c: 28c7883a add r3,r5,r3 - 2d760: 1980022e bgeu r3,r6,2d76c <__divdf3+0x574> - 2d764: 01000074 movhi r4,1 - 2d768: 4913883a add r9,r9,r4 - 2d76c: 1808d43a srli r4,r3,16 - 2d770: 1806943a slli r3,r3,16 - 2d774: 10bfffcc andi r2,r2,65535 - 2d778: 2253883a add r9,r4,r9 - 2d77c: 1887883a add r3,r3,r2 - 2d780: ba403836 bltu r23,r9,2d864 <__divdf3+0x66c> - 2d784: ba403626 beq r23,r9,2d860 <__divdf3+0x668> - 2d788: 42000054 ori r8,r8,1 - 2d78c: a880ffc4 addi r2,r21,1023 - 2d790: 00bf570e bge zero,r2,2d4f0 <_gp+0xffff0fb8> - 2d794: 40c001cc andi r3,r8,7 - 2d798: 18000726 beq r3,zero,2d7b8 <__divdf3+0x5c0> - 2d79c: 40c003cc andi r3,r8,15 - 2d7a0: 01000104 movi r4,4 - 2d7a4: 19000426 beq r3,r4,2d7b8 <__divdf3+0x5c0> - 2d7a8: 4107883a add r3,r8,r4 - 2d7ac: 1a11803a cmpltu r8,r3,r8 - 2d7b0: 8a23883a add r17,r17,r8 - 2d7b4: 1811883a mov r8,r3 - 2d7b8: 88c0402c andhi r3,r17,256 - 2d7bc: 18000426 beq r3,zero,2d7d0 <__divdf3+0x5d8> - 2d7c0: 00ffc034 movhi r3,65280 - 2d7c4: 18ffffc4 addi r3,r3,-1 - 2d7c8: a8810004 addi r2,r21,1024 - 2d7cc: 88e2703a and r17,r17,r3 - 2d7d0: 00c1ff84 movi r3,2046 - 2d7d4: 18bee316 blt r3,r2,2d364 <_gp+0xffff0e2c> - 2d7d8: 8824977a slli r18,r17,29 - 2d7dc: 4010d0fa srli r8,r8,3 - 2d7e0: 8822927a slli r17,r17,9 - 2d7e4: 1081ffcc andi r2,r2,2047 - 2d7e8: 9224b03a or r18,r18,r8 - 2d7ec: 880ad33a srli r5,r17,12 - 2d7f0: 98c0004c andi r3,r19,1 - 2d7f4: 003edf06 br 2d374 <_gp+0xffff0e3c> - 2d7f8: 8080022c andhi r2,r16,8 - 2d7fc: 10001226 beq r2,zero,2d848 <__divdf3+0x650> - 2d800: 8880022c andhi r2,r17,8 - 2d804: 1000101e bne r2,zero,2d848 <__divdf3+0x650> - 2d808: 00800434 movhi r2,16 - 2d80c: 89400234 orhi r5,r17,8 - 2d810: 10bfffc4 addi r2,r2,-1 - 2d814: b007883a mov r3,r22 - 2d818: 288a703a and r5,r5,r2 - 2d81c: 4025883a mov r18,r8 - 2d820: 003f3106 br 2d4e8 <_gp+0xffff0fb0> - 2d824: 008000c4 movi r2,3 - 2d828: 3880a626 beq r7,r2,2dac4 <__divdf3+0x8cc> - 2d82c: 00800044 movi r2,1 - 2d830: 3880521e bne r7,r2,2d97c <__divdf3+0x784> - 2d834: b807883a mov r3,r23 - 2d838: 0005883a mov r2,zero - 2d83c: 000b883a mov r5,zero - 2d840: 0025883a mov r18,zero - 2d844: 003ecb06 br 2d374 <_gp+0xffff0e3c> - 2d848: 00800434 movhi r2,16 - 2d84c: 81400234 orhi r5,r16,8 - 2d850: 10bfffc4 addi r2,r2,-1 - 2d854: a007883a mov r3,r20 - 2d858: 288a703a and r5,r5,r2 - 2d85c: 003f2206 br 2d4e8 <_gp+0xffff0fb0> - 2d860: 183fca26 beq r3,zero,2d78c <_gp+0xffff1254> - 2d864: e5ef883a add r23,fp,r23 - 2d868: 40bfffc4 addi r2,r8,-1 - 2d86c: bf00392e bgeu r23,fp,2d954 <__divdf3+0x75c> - 2d870: 1011883a mov r8,r2 - 2d874: ba7fc41e bne r23,r9,2d788 <_gp+0xffff1250> - 2d878: b0ffc31e bne r22,r3,2d788 <_gp+0xffff1250> - 2d87c: 003fc306 br 2d78c <_gp+0xffff1254> - 2d880: 143ff604 addi r16,r2,-40 - 2d884: 9c20983a sll r16,r19,r16 - 2d888: 0025883a mov r18,zero - 2d88c: 003ee206 br 2d418 <_gp+0xffff0ee0> - 2d890: d9800315 stw r6,12(sp) - 2d894: d9c00215 stw r7,8(sp) - 2d898: 002ef500 call 2ef50 <__clzsi2> - 2d89c: 10800804 addi r2,r2,32 - 2d8a0: d9c00217 ldw r7,8(sp) - 2d8a4: d9800317 ldw r6,12(sp) - 2d8a8: 003ed106 br 2d3f0 <_gp+0xffff0eb8> - 2d8ac: 147ff604 addi r17,r2,-40 - 2d8b0: 3462983a sll r17,r6,r17 - 2d8b4: 0011883a mov r8,zero - 2d8b8: 003ef506 br 2d490 <_gp+0xffff0f58> - 2d8bc: 3009883a mov r4,r6 - 2d8c0: d9800315 stw r6,12(sp) - 2d8c4: da400115 stw r9,4(sp) - 2d8c8: 002ef500 call 2ef50 <__clzsi2> - 2d8cc: 10800804 addi r2,r2,32 - 2d8d0: da400117 ldw r9,4(sp) - 2d8d4: d9800317 ldw r6,12(sp) - 2d8d8: 003ee306 br 2d468 <_gp+0xffff0f30> - 2d8dc: 85a1883a add r16,r16,r22 - 2d8e0: 8585803a cmpltu r2,r16,r22 - 2d8e4: 1705883a add r2,r2,fp - 2d8e8: 14a5883a add r18,r2,r18 - 2d8ec: 88bfffc4 addi r2,r17,-1 - 2d8f0: e4800c2e bgeu fp,r18,2d924 <__divdf3+0x72c> - 2d8f4: 90c03e36 bltu r18,r3,2d9f0 <__divdf3+0x7f8> - 2d8f8: 1c806926 beq r3,r18,2daa0 <__divdf3+0x8a8> - 2d8fc: 90c7c83a sub r3,r18,r3 - 2d900: 1023883a mov r17,r2 - 2d904: 003f5206 br 2d650 <_gp+0xffff1118> - 2d908: 923f0436 bltu r18,r8,2d51c <_gp+0xffff0fe4> - 2d90c: 800897fa slli r4,r16,31 - 2d910: 9004d07a srli r2,r18,1 - 2d914: 8006d07a srli r3,r16,1 - 2d918: 902097fa slli r16,r18,31 - 2d91c: 20a4b03a or r18,r4,r2 - 2d920: 003f0106 br 2d528 <_gp+0xffff0ff0> - 2d924: e4bff51e bne fp,r18,2d8fc <_gp+0xffff13c4> - 2d928: 85bff22e bgeu r16,r22,2d8f4 <_gp+0xffff13bc> - 2d92c: e0c7c83a sub r3,fp,r3 - 2d930: 1023883a mov r17,r2 - 2d934: 003f4606 br 2d650 <_gp+0xffff1118> - 2d938: 100f883a mov r7,r2 - 2d93c: 003f2b06 br 2d5ec <_gp+0xffff10b4> - 2d940: 180d883a mov r6,r3 - 2d944: 003f1306 br 2d594 <_gp+0xffff105c> - 2d948: 813fe436 bltu r16,r4,2d8dc <_gp+0xffff13a4> - 2d94c: 0007883a mov r3,zero - 2d950: 003f3f06 br 2d650 <_gp+0xffff1118> - 2d954: ba402c36 bltu r23,r9,2da08 <__divdf3+0x810> - 2d958: 4dc05426 beq r9,r23,2daac <__divdf3+0x8b4> - 2d95c: 1011883a mov r8,r2 - 2d960: 003f8906 br 2d788 <_gp+0xffff1250> - 2d964: 023fffc4 movi r8,-1 - 2d968: 003f8806 br 2d78c <_gp+0xffff1254> - 2d96c: 1811883a mov r8,r3 - 2d970: 003f5406 br 2d6c4 <_gp+0xffff118c> - 2d974: 1025883a mov r18,r2 - 2d978: 003f6d06 br 2d730 <_gp+0xffff11f8> - 2d97c: b827883a mov r19,r23 - 2d980: 003f8206 br 2d78c <_gp+0xffff1254> - 2d984: 010007c4 movi r4,31 - 2d988: 20c02616 blt r4,r3,2da24 <__divdf3+0x82c> - 2d98c: 00800804 movi r2,32 - 2d990: 10c5c83a sub r2,r2,r3 - 2d994: 888a983a sll r5,r17,r2 - 2d998: 40c8d83a srl r4,r8,r3 - 2d99c: 4084983a sll r2,r8,r2 - 2d9a0: 88e2d83a srl r17,r17,r3 - 2d9a4: 2906b03a or r3,r5,r4 - 2d9a8: 1004c03a cmpne r2,r2,zero - 2d9ac: 1886b03a or r3,r3,r2 - 2d9b0: 188001cc andi r2,r3,7 - 2d9b4: 10000726 beq r2,zero,2d9d4 <__divdf3+0x7dc> - 2d9b8: 188003cc andi r2,r3,15 - 2d9bc: 01000104 movi r4,4 - 2d9c0: 11000426 beq r2,r4,2d9d4 <__divdf3+0x7dc> - 2d9c4: 1805883a mov r2,r3 - 2d9c8: 10c00104 addi r3,r2,4 - 2d9cc: 1885803a cmpltu r2,r3,r2 - 2d9d0: 88a3883a add r17,r17,r2 - 2d9d4: 8880202c andhi r2,r17,128 - 2d9d8: 10002726 beq r2,zero,2da78 <__divdf3+0x880> - 2d9dc: 98c0004c andi r3,r19,1 - 2d9e0: 00800044 movi r2,1 - 2d9e4: 000b883a mov r5,zero - 2d9e8: 0025883a mov r18,zero - 2d9ec: 003e6106 br 2d374 <_gp+0xffff0e3c> - 2d9f0: 85a1883a add r16,r16,r22 - 2d9f4: 8585803a cmpltu r2,r16,r22 - 2d9f8: 1705883a add r2,r2,fp - 2d9fc: 14a5883a add r18,r2,r18 - 2da00: 8c7fff84 addi r17,r17,-2 - 2da04: 003f1106 br 2d64c <_gp+0xffff1114> - 2da08: b589883a add r4,r22,r22 - 2da0c: 25ad803a cmpltu r22,r4,r22 - 2da10: b739883a add fp,r22,fp - 2da14: 40bfff84 addi r2,r8,-2 - 2da18: bf2f883a add r23,r23,fp - 2da1c: 202d883a mov r22,r4 - 2da20: 003f9306 br 2d870 <_gp+0xffff1338> - 2da24: 013ff844 movi r4,-31 - 2da28: 2085c83a sub r2,r4,r2 - 2da2c: 8888d83a srl r4,r17,r2 - 2da30: 00800804 movi r2,32 - 2da34: 18802126 beq r3,r2,2dabc <__divdf3+0x8c4> - 2da38: 00801004 movi r2,64 - 2da3c: 10c5c83a sub r2,r2,r3 - 2da40: 8884983a sll r2,r17,r2 - 2da44: 1204b03a or r2,r2,r8 - 2da48: 1004c03a cmpne r2,r2,zero - 2da4c: 2084b03a or r2,r4,r2 - 2da50: 144001cc andi r17,r2,7 - 2da54: 88000d1e bne r17,zero,2da8c <__divdf3+0x894> - 2da58: 000b883a mov r5,zero - 2da5c: 1024d0fa srli r18,r2,3 - 2da60: 98c0004c andi r3,r19,1 - 2da64: 0005883a mov r2,zero - 2da68: 9464b03a or r18,r18,r17 - 2da6c: 003e4106 br 2d374 <_gp+0xffff0e3c> - 2da70: 1007883a mov r3,r2 - 2da74: 0023883a mov r17,zero - 2da78: 880a927a slli r5,r17,9 - 2da7c: 1805883a mov r2,r3 - 2da80: 8822977a slli r17,r17,29 - 2da84: 280ad33a srli r5,r5,12 - 2da88: 003ff406 br 2da5c <_gp+0xffff1524> - 2da8c: 10c003cc andi r3,r2,15 - 2da90: 01000104 movi r4,4 - 2da94: 193ff626 beq r3,r4,2da70 <_gp+0xffff1538> - 2da98: 0023883a mov r17,zero - 2da9c: 003fca06 br 2d9c8 <_gp+0xffff1490> - 2daa0: 813fd336 bltu r16,r4,2d9f0 <_gp+0xffff14b8> - 2daa4: 1023883a mov r17,r2 - 2daa8: 003fa806 br 2d94c <_gp+0xffff1414> - 2daac: b0ffd636 bltu r22,r3,2da08 <_gp+0xffff14d0> - 2dab0: 1011883a mov r8,r2 - 2dab4: b0ff341e bne r22,r3,2d788 <_gp+0xffff1250> - 2dab8: 003f3406 br 2d78c <_gp+0xffff1254> - 2dabc: 0005883a mov r2,zero - 2dac0: 003fe006 br 2da44 <_gp+0xffff150c> - 2dac4: 00800434 movhi r2,16 - 2dac8: 89400234 orhi r5,r17,8 - 2dacc: 10bfffc4 addi r2,r2,-1 - 2dad0: b807883a mov r3,r23 - 2dad4: 288a703a and r5,r5,r2 - 2dad8: 4025883a mov r18,r8 - 2dadc: 003e8206 br 2d4e8 <_gp+0xffff0fb0> +0002d100 <__adddf3>: + 2d100: 02c00434 movhi r11,16 + 2d104: 5affffc4 addi r11,r11,-1 + 2d108: 2806d7fa srli r3,r5,31 + 2d10c: 2ad4703a and r10,r5,r11 + 2d110: 3ad2703a and r9,r7,r11 + 2d114: 3804d53a srli r2,r7,20 + 2d118: 3018d77a srli r12,r6,29 + 2d11c: 280ad53a srli r5,r5,20 + 2d120: 501490fa slli r10,r10,3 + 2d124: 2010d77a srli r8,r4,29 + 2d128: 481290fa slli r9,r9,3 + 2d12c: 380ed7fa srli r7,r7,31 + 2d130: defffb04 addi sp,sp,-20 + 2d134: dc800215 stw r18,8(sp) + 2d138: dc400115 stw r17,4(sp) + 2d13c: dc000015 stw r16,0(sp) + 2d140: dfc00415 stw ra,16(sp) + 2d144: dcc00315 stw r19,12(sp) + 2d148: 1c803fcc andi r18,r3,255 + 2d14c: 2c01ffcc andi r16,r5,2047 + 2d150: 5210b03a or r8,r10,r8 + 2d154: 202290fa slli r17,r4,3 + 2d158: 1081ffcc andi r2,r2,2047 + 2d15c: 4b12b03a or r9,r9,r12 + 2d160: 300c90fa slli r6,r6,3 + 2d164: 91c07526 beq r18,r7,2d33c <__adddf3+0x23c> + 2d168: 8087c83a sub r3,r16,r2 + 2d16c: 00c0ab0e bge zero,r3,2d41c <__adddf3+0x31c> + 2d170: 10002a1e bne r2,zero,2d21c <__adddf3+0x11c> + 2d174: 4984b03a or r2,r9,r6 + 2d178: 1000961e bne r2,zero,2d3d4 <__adddf3+0x2d4> + 2d17c: 888001cc andi r2,r17,7 + 2d180: 10000726 beq r2,zero,2d1a0 <__adddf3+0xa0> + 2d184: 888003cc andi r2,r17,15 + 2d188: 00c00104 movi r3,4 + 2d18c: 10c00426 beq r2,r3,2d1a0 <__adddf3+0xa0> + 2d190: 88c7883a add r3,r17,r3 + 2d194: 1c63803a cmpltu r17,r3,r17 + 2d198: 4451883a add r8,r8,r17 + 2d19c: 1823883a mov r17,r3 + 2d1a0: 4080202c andhi r2,r8,128 + 2d1a4: 10005926 beq r2,zero,2d30c <__adddf3+0x20c> + 2d1a8: 84000044 addi r16,r16,1 + 2d1ac: 0081ffc4 movi r2,2047 + 2d1b0: 8080ba26 beq r16,r2,2d49c <__adddf3+0x39c> + 2d1b4: 00bfe034 movhi r2,65408 + 2d1b8: 10bfffc4 addi r2,r2,-1 + 2d1bc: 4090703a and r8,r8,r2 + 2d1c0: 4004977a slli r2,r8,29 + 2d1c4: 4010927a slli r8,r8,9 + 2d1c8: 8822d0fa srli r17,r17,3 + 2d1cc: 8401ffcc andi r16,r16,2047 + 2d1d0: 4010d33a srli r8,r8,12 + 2d1d4: 9007883a mov r3,r18 + 2d1d8: 1444b03a or r2,r2,r17 + 2d1dc: 8401ffcc andi r16,r16,2047 + 2d1e0: 8020953a slli r16,r16,20 + 2d1e4: 18c03fcc andi r3,r3,255 + 2d1e8: 01000434 movhi r4,16 + 2d1ec: 213fffc4 addi r4,r4,-1 + 2d1f0: 180697fa slli r3,r3,31 + 2d1f4: 4110703a and r8,r8,r4 + 2d1f8: 4410b03a or r8,r8,r16 + 2d1fc: 40c6b03a or r3,r8,r3 + 2d200: dfc00417 ldw ra,16(sp) + 2d204: dcc00317 ldw r19,12(sp) + 2d208: dc800217 ldw r18,8(sp) + 2d20c: dc400117 ldw r17,4(sp) + 2d210: dc000017 ldw r16,0(sp) + 2d214: dec00504 addi sp,sp,20 + 2d218: f800283a ret + 2d21c: 0081ffc4 movi r2,2047 + 2d220: 80bfd626 beq r16,r2,2d17c <_gp+0xffff0310> + 2d224: 4a402034 orhi r9,r9,128 + 2d228: 00800e04 movi r2,56 + 2d22c: 10c09f16 blt r2,r3,2d4ac <__adddf3+0x3ac> + 2d230: 008007c4 movi r2,31 + 2d234: 10c0c216 blt r2,r3,2d540 <__adddf3+0x440> + 2d238: 00800804 movi r2,32 + 2d23c: 10c5c83a sub r2,r2,r3 + 2d240: 488a983a sll r5,r9,r2 + 2d244: 30c8d83a srl r4,r6,r3 + 2d248: 3084983a sll r2,r6,r2 + 2d24c: 48c6d83a srl r3,r9,r3 + 2d250: 290cb03a or r6,r5,r4 + 2d254: 1004c03a cmpne r2,r2,zero + 2d258: 308cb03a or r6,r6,r2 + 2d25c: 898dc83a sub r6,r17,r6 + 2d260: 89a3803a cmpltu r17,r17,r6 + 2d264: 40d1c83a sub r8,r8,r3 + 2d268: 4451c83a sub r8,r8,r17 + 2d26c: 3023883a mov r17,r6 + 2d270: 4080202c andhi r2,r8,128 + 2d274: 10002326 beq r2,zero,2d304 <__adddf3+0x204> + 2d278: 04c02034 movhi r19,128 + 2d27c: 9cffffc4 addi r19,r19,-1 + 2d280: 44e6703a and r19,r8,r19 + 2d284: 98007626 beq r19,zero,2d460 <__adddf3+0x360> + 2d288: 9809883a mov r4,r19 + 2d28c: 002f7040 call 2f704 <__clzsi2> + 2d290: 10fffe04 addi r3,r2,-8 + 2d294: 010007c4 movi r4,31 + 2d298: 20c07716 blt r4,r3,2d478 <__adddf3+0x378> + 2d29c: 00800804 movi r2,32 + 2d2a0: 10c5c83a sub r2,r2,r3 + 2d2a4: 8884d83a srl r2,r17,r2 + 2d2a8: 98d0983a sll r8,r19,r3 + 2d2ac: 88e2983a sll r17,r17,r3 + 2d2b0: 1204b03a or r2,r2,r8 + 2d2b4: 1c007416 blt r3,r16,2d488 <__adddf3+0x388> + 2d2b8: 1c21c83a sub r16,r3,r16 + 2d2bc: 82000044 addi r8,r16,1 + 2d2c0: 00c007c4 movi r3,31 + 2d2c4: 1a009116 blt r3,r8,2d50c <__adddf3+0x40c> + 2d2c8: 00c00804 movi r3,32 + 2d2cc: 1a07c83a sub r3,r3,r8 + 2d2d0: 8a08d83a srl r4,r17,r8 + 2d2d4: 88e2983a sll r17,r17,r3 + 2d2d8: 10c6983a sll r3,r2,r3 + 2d2dc: 1210d83a srl r8,r2,r8 + 2d2e0: 8804c03a cmpne r2,r17,zero + 2d2e4: 1906b03a or r3,r3,r4 + 2d2e8: 18a2b03a or r17,r3,r2 + 2d2ec: 0021883a mov r16,zero + 2d2f0: 003fa206 br 2d17c <_gp+0xffff0310> + 2d2f4: 1890b03a or r8,r3,r2 + 2d2f8: 40017d26 beq r8,zero,2d8f0 <__adddf3+0x7f0> + 2d2fc: 1011883a mov r8,r2 + 2d300: 1823883a mov r17,r3 + 2d304: 888001cc andi r2,r17,7 + 2d308: 103f9e1e bne r2,zero,2d184 <_gp+0xffff0318> + 2d30c: 4004977a slli r2,r8,29 + 2d310: 8822d0fa srli r17,r17,3 + 2d314: 4010d0fa srli r8,r8,3 + 2d318: 9007883a mov r3,r18 + 2d31c: 1444b03a or r2,r2,r17 + 2d320: 0101ffc4 movi r4,2047 + 2d324: 81002426 beq r16,r4,2d3b8 <__adddf3+0x2b8> + 2d328: 8120703a and r16,r16,r4 + 2d32c: 01000434 movhi r4,16 + 2d330: 213fffc4 addi r4,r4,-1 + 2d334: 4110703a and r8,r8,r4 + 2d338: 003fa806 br 2d1dc <_gp+0xffff0370> + 2d33c: 8089c83a sub r4,r16,r2 + 2d340: 01005e0e bge zero,r4,2d4bc <__adddf3+0x3bc> + 2d344: 10002b26 beq r2,zero,2d3f4 <__adddf3+0x2f4> + 2d348: 0081ffc4 movi r2,2047 + 2d34c: 80bf8b26 beq r16,r2,2d17c <_gp+0xffff0310> + 2d350: 4a402034 orhi r9,r9,128 + 2d354: 00800e04 movi r2,56 + 2d358: 1100a40e bge r2,r4,2d5ec <__adddf3+0x4ec> + 2d35c: 498cb03a or r6,r9,r6 + 2d360: 300ac03a cmpne r5,r6,zero + 2d364: 0013883a mov r9,zero + 2d368: 2c4b883a add r5,r5,r17 + 2d36c: 2c63803a cmpltu r17,r5,r17 + 2d370: 4a11883a add r8,r9,r8 + 2d374: 8a11883a add r8,r17,r8 + 2d378: 2823883a mov r17,r5 + 2d37c: 4080202c andhi r2,r8,128 + 2d380: 103fe026 beq r2,zero,2d304 <_gp+0xffff0498> + 2d384: 84000044 addi r16,r16,1 + 2d388: 0081ffc4 movi r2,2047 + 2d38c: 8080d226 beq r16,r2,2d6d8 <__adddf3+0x5d8> + 2d390: 00bfe034 movhi r2,65408 + 2d394: 10bfffc4 addi r2,r2,-1 + 2d398: 4090703a and r8,r8,r2 + 2d39c: 880ad07a srli r5,r17,1 + 2d3a0: 400897fa slli r4,r8,31 + 2d3a4: 88c0004c andi r3,r17,1 + 2d3a8: 28e2b03a or r17,r5,r3 + 2d3ac: 4010d07a srli r8,r8,1 + 2d3b0: 2462b03a or r17,r4,r17 + 2d3b4: 003f7106 br 2d17c <_gp+0xffff0310> + 2d3b8: 4088b03a or r4,r8,r2 + 2d3bc: 20014526 beq r4,zero,2d8d4 <__adddf3+0x7d4> + 2d3c0: 01000434 movhi r4,16 + 2d3c4: 42000234 orhi r8,r8,8 + 2d3c8: 213fffc4 addi r4,r4,-1 + 2d3cc: 4110703a and r8,r8,r4 + 2d3d0: 003f8206 br 2d1dc <_gp+0xffff0370> + 2d3d4: 18ffffc4 addi r3,r3,-1 + 2d3d8: 1800491e bne r3,zero,2d500 <__adddf3+0x400> + 2d3dc: 898bc83a sub r5,r17,r6 + 2d3e0: 8963803a cmpltu r17,r17,r5 + 2d3e4: 4251c83a sub r8,r8,r9 + 2d3e8: 4451c83a sub r8,r8,r17 + 2d3ec: 2823883a mov r17,r5 + 2d3f0: 003f9f06 br 2d270 <_gp+0xffff0404> + 2d3f4: 4984b03a or r2,r9,r6 + 2d3f8: 103f6026 beq r2,zero,2d17c <_gp+0xffff0310> + 2d3fc: 213fffc4 addi r4,r4,-1 + 2d400: 2000931e bne r4,zero,2d650 <__adddf3+0x550> + 2d404: 898d883a add r6,r17,r6 + 2d408: 3463803a cmpltu r17,r6,r17 + 2d40c: 4251883a add r8,r8,r9 + 2d410: 8a11883a add r8,r17,r8 + 2d414: 3023883a mov r17,r6 + 2d418: 003fd806 br 2d37c <_gp+0xffff0510> + 2d41c: 1800541e bne r3,zero,2d570 <__adddf3+0x470> + 2d420: 80800044 addi r2,r16,1 + 2d424: 1081ffcc andi r2,r2,2047 + 2d428: 00c00044 movi r3,1 + 2d42c: 1880a00e bge r3,r2,2d6b0 <__adddf3+0x5b0> + 2d430: 8989c83a sub r4,r17,r6 + 2d434: 8905803a cmpltu r2,r17,r4 + 2d438: 4267c83a sub r19,r8,r9 + 2d43c: 98a7c83a sub r19,r19,r2 + 2d440: 9880202c andhi r2,r19,128 + 2d444: 10006326 beq r2,zero,2d5d4 <__adddf3+0x4d4> + 2d448: 3463c83a sub r17,r6,r17 + 2d44c: 4a07c83a sub r3,r9,r8 + 2d450: 344d803a cmpltu r6,r6,r17 + 2d454: 19a7c83a sub r19,r3,r6 + 2d458: 3825883a mov r18,r7 + 2d45c: 983f8a1e bne r19,zero,2d288 <_gp+0xffff041c> + 2d460: 8809883a mov r4,r17 + 2d464: 002f7040 call 2f704 <__clzsi2> + 2d468: 10800804 addi r2,r2,32 + 2d46c: 10fffe04 addi r3,r2,-8 + 2d470: 010007c4 movi r4,31 + 2d474: 20ff890e bge r4,r3,2d29c <_gp+0xffff0430> + 2d478: 10bff604 addi r2,r2,-40 + 2d47c: 8884983a sll r2,r17,r2 + 2d480: 0023883a mov r17,zero + 2d484: 1c3f8c0e bge r3,r16,2d2b8 <_gp+0xffff044c> + 2d488: 023fe034 movhi r8,65408 + 2d48c: 423fffc4 addi r8,r8,-1 + 2d490: 80e1c83a sub r16,r16,r3 + 2d494: 1210703a and r8,r2,r8 + 2d498: 003f3806 br 2d17c <_gp+0xffff0310> + 2d49c: 9007883a mov r3,r18 + 2d4a0: 0011883a mov r8,zero + 2d4a4: 0005883a mov r2,zero + 2d4a8: 003f4c06 br 2d1dc <_gp+0xffff0370> + 2d4ac: 498cb03a or r6,r9,r6 + 2d4b0: 300cc03a cmpne r6,r6,zero + 2d4b4: 0007883a mov r3,zero + 2d4b8: 003f6806 br 2d25c <_gp+0xffff03f0> + 2d4bc: 20009c1e bne r4,zero,2d730 <__adddf3+0x630> + 2d4c0: 80800044 addi r2,r16,1 + 2d4c4: 1141ffcc andi r5,r2,2047 + 2d4c8: 01000044 movi r4,1 + 2d4cc: 2140670e bge r4,r5,2d66c <__adddf3+0x56c> + 2d4d0: 0101ffc4 movi r4,2047 + 2d4d4: 11007f26 beq r2,r4,2d6d4 <__adddf3+0x5d4> + 2d4d8: 898d883a add r6,r17,r6 + 2d4dc: 4247883a add r3,r8,r9 + 2d4e0: 3451803a cmpltu r8,r6,r17 + 2d4e4: 40d1883a add r8,r8,r3 + 2d4e8: 402297fa slli r17,r8,31 + 2d4ec: 300cd07a srli r6,r6,1 + 2d4f0: 4010d07a srli r8,r8,1 + 2d4f4: 1021883a mov r16,r2 + 2d4f8: 89a2b03a or r17,r17,r6 + 2d4fc: 003f1f06 br 2d17c <_gp+0xffff0310> + 2d500: 0081ffc4 movi r2,2047 + 2d504: 80bf481e bne r16,r2,2d228 <_gp+0xffff03bc> + 2d508: 003f1c06 br 2d17c <_gp+0xffff0310> + 2d50c: 843ff844 addi r16,r16,-31 + 2d510: 01000804 movi r4,32 + 2d514: 1406d83a srl r3,r2,r16 + 2d518: 41005026 beq r8,r4,2d65c <__adddf3+0x55c> + 2d51c: 01001004 movi r4,64 + 2d520: 2211c83a sub r8,r4,r8 + 2d524: 1204983a sll r2,r2,r8 + 2d528: 88a2b03a or r17,r17,r2 + 2d52c: 8822c03a cmpne r17,r17,zero + 2d530: 1c62b03a or r17,r3,r17 + 2d534: 0011883a mov r8,zero + 2d538: 0021883a mov r16,zero + 2d53c: 003f7106 br 2d304 <_gp+0xffff0498> + 2d540: 193ff804 addi r4,r3,-32 + 2d544: 00800804 movi r2,32 + 2d548: 4908d83a srl r4,r9,r4 + 2d54c: 18804526 beq r3,r2,2d664 <__adddf3+0x564> + 2d550: 00801004 movi r2,64 + 2d554: 10c5c83a sub r2,r2,r3 + 2d558: 4886983a sll r3,r9,r2 + 2d55c: 198cb03a or r6,r3,r6 + 2d560: 300cc03a cmpne r6,r6,zero + 2d564: 218cb03a or r6,r4,r6 + 2d568: 0007883a mov r3,zero + 2d56c: 003f3b06 br 2d25c <_gp+0xffff03f0> + 2d570: 80002a26 beq r16,zero,2d61c <__adddf3+0x51c> + 2d574: 0101ffc4 movi r4,2047 + 2d578: 11006826 beq r2,r4,2d71c <__adddf3+0x61c> + 2d57c: 00c7c83a sub r3,zero,r3 + 2d580: 42002034 orhi r8,r8,128 + 2d584: 01000e04 movi r4,56 + 2d588: 20c07c16 blt r4,r3,2d77c <__adddf3+0x67c> + 2d58c: 010007c4 movi r4,31 + 2d590: 20c0da16 blt r4,r3,2d8fc <__adddf3+0x7fc> + 2d594: 01000804 movi r4,32 + 2d598: 20c9c83a sub r4,r4,r3 + 2d59c: 4114983a sll r10,r8,r4 + 2d5a0: 88cad83a srl r5,r17,r3 + 2d5a4: 8908983a sll r4,r17,r4 + 2d5a8: 40c6d83a srl r3,r8,r3 + 2d5ac: 5162b03a or r17,r10,r5 + 2d5b0: 2008c03a cmpne r4,r4,zero + 2d5b4: 8922b03a or r17,r17,r4 + 2d5b8: 3463c83a sub r17,r6,r17 + 2d5bc: 48c7c83a sub r3,r9,r3 + 2d5c0: 344d803a cmpltu r6,r6,r17 + 2d5c4: 1991c83a sub r8,r3,r6 + 2d5c8: 1021883a mov r16,r2 + 2d5cc: 3825883a mov r18,r7 + 2d5d0: 003f2706 br 2d270 <_gp+0xffff0404> + 2d5d4: 24d0b03a or r8,r4,r19 + 2d5d8: 40001b1e bne r8,zero,2d648 <__adddf3+0x548> + 2d5dc: 0005883a mov r2,zero + 2d5e0: 0007883a mov r3,zero + 2d5e4: 0021883a mov r16,zero + 2d5e8: 003f4d06 br 2d320 <_gp+0xffff04b4> + 2d5ec: 008007c4 movi r2,31 + 2d5f0: 11003c16 blt r2,r4,2d6e4 <__adddf3+0x5e4> + 2d5f4: 00800804 movi r2,32 + 2d5f8: 1105c83a sub r2,r2,r4 + 2d5fc: 488e983a sll r7,r9,r2 + 2d600: 310ad83a srl r5,r6,r4 + 2d604: 3084983a sll r2,r6,r2 + 2d608: 4912d83a srl r9,r9,r4 + 2d60c: 394ab03a or r5,r7,r5 + 2d610: 1004c03a cmpne r2,r2,zero + 2d614: 288ab03a or r5,r5,r2 + 2d618: 003f5306 br 2d368 <_gp+0xffff04fc> + 2d61c: 4448b03a or r4,r8,r17 + 2d620: 20003e26 beq r4,zero,2d71c <__adddf3+0x61c> + 2d624: 00c6303a nor r3,zero,r3 + 2d628: 18003a1e bne r3,zero,2d714 <__adddf3+0x614> + 2d62c: 3463c83a sub r17,r6,r17 + 2d630: 4a07c83a sub r3,r9,r8 + 2d634: 344d803a cmpltu r6,r6,r17 + 2d638: 1991c83a sub r8,r3,r6 + 2d63c: 1021883a mov r16,r2 + 2d640: 3825883a mov r18,r7 + 2d644: 003f0a06 br 2d270 <_gp+0xffff0404> + 2d648: 2023883a mov r17,r4 + 2d64c: 003f0d06 br 2d284 <_gp+0xffff0418> + 2d650: 0081ffc4 movi r2,2047 + 2d654: 80bf3f1e bne r16,r2,2d354 <_gp+0xffff04e8> + 2d658: 003ec806 br 2d17c <_gp+0xffff0310> + 2d65c: 0005883a mov r2,zero + 2d660: 003fb106 br 2d528 <_gp+0xffff06bc> + 2d664: 0007883a mov r3,zero + 2d668: 003fbc06 br 2d55c <_gp+0xffff06f0> + 2d66c: 4444b03a or r2,r8,r17 + 2d670: 8000871e bne r16,zero,2d890 <__adddf3+0x790> + 2d674: 1000ba26 beq r2,zero,2d960 <__adddf3+0x860> + 2d678: 4984b03a or r2,r9,r6 + 2d67c: 103ebf26 beq r2,zero,2d17c <_gp+0xffff0310> + 2d680: 8985883a add r2,r17,r6 + 2d684: 4247883a add r3,r8,r9 + 2d688: 1451803a cmpltu r8,r2,r17 + 2d68c: 40d1883a add r8,r8,r3 + 2d690: 40c0202c andhi r3,r8,128 + 2d694: 1023883a mov r17,r2 + 2d698: 183f1a26 beq r3,zero,2d304 <_gp+0xffff0498> + 2d69c: 00bfe034 movhi r2,65408 + 2d6a0: 10bfffc4 addi r2,r2,-1 + 2d6a4: 2021883a mov r16,r4 + 2d6a8: 4090703a and r8,r8,r2 + 2d6ac: 003eb306 br 2d17c <_gp+0xffff0310> + 2d6b0: 4444b03a or r2,r8,r17 + 2d6b4: 8000291e bne r16,zero,2d75c <__adddf3+0x65c> + 2d6b8: 10004b1e bne r2,zero,2d7e8 <__adddf3+0x6e8> + 2d6bc: 4990b03a or r8,r9,r6 + 2d6c0: 40008b26 beq r8,zero,2d8f0 <__adddf3+0x7f0> + 2d6c4: 4811883a mov r8,r9 + 2d6c8: 3023883a mov r17,r6 + 2d6cc: 3825883a mov r18,r7 + 2d6d0: 003eaa06 br 2d17c <_gp+0xffff0310> + 2d6d4: 1021883a mov r16,r2 + 2d6d8: 0011883a mov r8,zero + 2d6dc: 0005883a mov r2,zero + 2d6e0: 003f0f06 br 2d320 <_gp+0xffff04b4> + 2d6e4: 217ff804 addi r5,r4,-32 + 2d6e8: 00800804 movi r2,32 + 2d6ec: 494ad83a srl r5,r9,r5 + 2d6f0: 20807d26 beq r4,r2,2d8e8 <__adddf3+0x7e8> + 2d6f4: 00801004 movi r2,64 + 2d6f8: 1109c83a sub r4,r2,r4 + 2d6fc: 4912983a sll r9,r9,r4 + 2d700: 498cb03a or r6,r9,r6 + 2d704: 300cc03a cmpne r6,r6,zero + 2d708: 298ab03a or r5,r5,r6 + 2d70c: 0013883a mov r9,zero + 2d710: 003f1506 br 2d368 <_gp+0xffff04fc> + 2d714: 0101ffc4 movi r4,2047 + 2d718: 113f9a1e bne r2,r4,2d584 <_gp+0xffff0718> + 2d71c: 4811883a mov r8,r9 + 2d720: 3023883a mov r17,r6 + 2d724: 1021883a mov r16,r2 + 2d728: 3825883a mov r18,r7 + 2d72c: 003e9306 br 2d17c <_gp+0xffff0310> + 2d730: 8000161e bne r16,zero,2d78c <__adddf3+0x68c> + 2d734: 444ab03a or r5,r8,r17 + 2d738: 28005126 beq r5,zero,2d880 <__adddf3+0x780> + 2d73c: 0108303a nor r4,zero,r4 + 2d740: 20004d1e bne r4,zero,2d878 <__adddf3+0x778> + 2d744: 89a3883a add r17,r17,r6 + 2d748: 4253883a add r9,r8,r9 + 2d74c: 898d803a cmpltu r6,r17,r6 + 2d750: 3251883a add r8,r6,r9 + 2d754: 1021883a mov r16,r2 + 2d758: 003f0806 br 2d37c <_gp+0xffff0510> + 2d75c: 1000301e bne r2,zero,2d820 <__adddf3+0x720> + 2d760: 4984b03a or r2,r9,r6 + 2d764: 10007126 beq r2,zero,2d92c <__adddf3+0x82c> + 2d768: 4811883a mov r8,r9 + 2d76c: 3023883a mov r17,r6 + 2d770: 3825883a mov r18,r7 + 2d774: 0401ffc4 movi r16,2047 + 2d778: 003e8006 br 2d17c <_gp+0xffff0310> + 2d77c: 4462b03a or r17,r8,r17 + 2d780: 8822c03a cmpne r17,r17,zero + 2d784: 0007883a mov r3,zero + 2d788: 003f8b06 br 2d5b8 <_gp+0xffff074c> + 2d78c: 0141ffc4 movi r5,2047 + 2d790: 11403b26 beq r2,r5,2d880 <__adddf3+0x780> + 2d794: 0109c83a sub r4,zero,r4 + 2d798: 42002034 orhi r8,r8,128 + 2d79c: 01400e04 movi r5,56 + 2d7a0: 29006716 blt r5,r4,2d940 <__adddf3+0x840> + 2d7a4: 014007c4 movi r5,31 + 2d7a8: 29007016 blt r5,r4,2d96c <__adddf3+0x86c> + 2d7ac: 01400804 movi r5,32 + 2d7b0: 290bc83a sub r5,r5,r4 + 2d7b4: 4154983a sll r10,r8,r5 + 2d7b8: 890ed83a srl r7,r17,r4 + 2d7bc: 894a983a sll r5,r17,r5 + 2d7c0: 4108d83a srl r4,r8,r4 + 2d7c4: 51e2b03a or r17,r10,r7 + 2d7c8: 280ac03a cmpne r5,r5,zero + 2d7cc: 8962b03a or r17,r17,r5 + 2d7d0: 89a3883a add r17,r17,r6 + 2d7d4: 2253883a add r9,r4,r9 + 2d7d8: 898d803a cmpltu r6,r17,r6 + 2d7dc: 3251883a add r8,r6,r9 + 2d7e0: 1021883a mov r16,r2 + 2d7e4: 003ee506 br 2d37c <_gp+0xffff0510> + 2d7e8: 4984b03a or r2,r9,r6 + 2d7ec: 103e6326 beq r2,zero,2d17c <_gp+0xffff0310> + 2d7f0: 8987c83a sub r3,r17,r6 + 2d7f4: 88c9803a cmpltu r4,r17,r3 + 2d7f8: 4245c83a sub r2,r8,r9 + 2d7fc: 1105c83a sub r2,r2,r4 + 2d800: 1100202c andhi r4,r2,128 + 2d804: 203ebb26 beq r4,zero,2d2f4 <_gp+0xffff0488> + 2d808: 3463c83a sub r17,r6,r17 + 2d80c: 4a07c83a sub r3,r9,r8 + 2d810: 344d803a cmpltu r6,r6,r17 + 2d814: 1991c83a sub r8,r3,r6 + 2d818: 3825883a mov r18,r7 + 2d81c: 003e5706 br 2d17c <_gp+0xffff0310> + 2d820: 4984b03a or r2,r9,r6 + 2d824: 10002e26 beq r2,zero,2d8e0 <__adddf3+0x7e0> + 2d828: 4004d0fa srli r2,r8,3 + 2d82c: 8822d0fa srli r17,r17,3 + 2d830: 4010977a slli r8,r8,29 + 2d834: 10c0022c andhi r3,r2,8 + 2d838: 4462b03a or r17,r8,r17 + 2d83c: 18000826 beq r3,zero,2d860 <__adddf3+0x760> + 2d840: 4808d0fa srli r4,r9,3 + 2d844: 20c0022c andhi r3,r4,8 + 2d848: 1800051e bne r3,zero,2d860 <__adddf3+0x760> + 2d84c: 300cd0fa srli r6,r6,3 + 2d850: 4806977a slli r3,r9,29 + 2d854: 2005883a mov r2,r4 + 2d858: 3825883a mov r18,r7 + 2d85c: 19a2b03a or r17,r3,r6 + 2d860: 8810d77a srli r8,r17,29 + 2d864: 100490fa slli r2,r2,3 + 2d868: 882290fa slli r17,r17,3 + 2d86c: 0401ffc4 movi r16,2047 + 2d870: 4090b03a or r8,r8,r2 + 2d874: 003e4106 br 2d17c <_gp+0xffff0310> + 2d878: 0141ffc4 movi r5,2047 + 2d87c: 117fc71e bne r2,r5,2d79c <_gp+0xffff0930> + 2d880: 4811883a mov r8,r9 + 2d884: 3023883a mov r17,r6 + 2d888: 1021883a mov r16,r2 + 2d88c: 003e3b06 br 2d17c <_gp+0xffff0310> + 2d890: 10002f26 beq r2,zero,2d950 <__adddf3+0x850> + 2d894: 4984b03a or r2,r9,r6 + 2d898: 10001126 beq r2,zero,2d8e0 <__adddf3+0x7e0> + 2d89c: 4004d0fa srli r2,r8,3 + 2d8a0: 8822d0fa srli r17,r17,3 + 2d8a4: 4010977a slli r8,r8,29 + 2d8a8: 10c0022c andhi r3,r2,8 + 2d8ac: 4462b03a or r17,r8,r17 + 2d8b0: 183feb26 beq r3,zero,2d860 <_gp+0xffff09f4> + 2d8b4: 4808d0fa srli r4,r9,3 + 2d8b8: 20c0022c andhi r3,r4,8 + 2d8bc: 183fe81e bne r3,zero,2d860 <_gp+0xffff09f4> + 2d8c0: 300cd0fa srli r6,r6,3 + 2d8c4: 4806977a slli r3,r9,29 + 2d8c8: 2005883a mov r2,r4 + 2d8cc: 19a2b03a or r17,r3,r6 + 2d8d0: 003fe306 br 2d860 <_gp+0xffff09f4> + 2d8d4: 0011883a mov r8,zero + 2d8d8: 0005883a mov r2,zero + 2d8dc: 003e3f06 br 2d1dc <_gp+0xffff0370> + 2d8e0: 0401ffc4 movi r16,2047 + 2d8e4: 003e2506 br 2d17c <_gp+0xffff0310> + 2d8e8: 0013883a mov r9,zero + 2d8ec: 003f8406 br 2d700 <_gp+0xffff0894> + 2d8f0: 0005883a mov r2,zero + 2d8f4: 0007883a mov r3,zero + 2d8f8: 003e8906 br 2d320 <_gp+0xffff04b4> + 2d8fc: 197ff804 addi r5,r3,-32 + 2d900: 01000804 movi r4,32 + 2d904: 414ad83a srl r5,r8,r5 + 2d908: 19002426 beq r3,r4,2d99c <__adddf3+0x89c> + 2d90c: 01001004 movi r4,64 + 2d910: 20c7c83a sub r3,r4,r3 + 2d914: 40c6983a sll r3,r8,r3 + 2d918: 1c46b03a or r3,r3,r17 + 2d91c: 1806c03a cmpne r3,r3,zero + 2d920: 28e2b03a or r17,r5,r3 + 2d924: 0007883a mov r3,zero + 2d928: 003f2306 br 2d5b8 <_gp+0xffff074c> + 2d92c: 0007883a mov r3,zero + 2d930: 5811883a mov r8,r11 + 2d934: 00bfffc4 movi r2,-1 + 2d938: 0401ffc4 movi r16,2047 + 2d93c: 003e7806 br 2d320 <_gp+0xffff04b4> + 2d940: 4462b03a or r17,r8,r17 + 2d944: 8822c03a cmpne r17,r17,zero + 2d948: 0009883a mov r4,zero + 2d94c: 003fa006 br 2d7d0 <_gp+0xffff0964> + 2d950: 4811883a mov r8,r9 + 2d954: 3023883a mov r17,r6 + 2d958: 0401ffc4 movi r16,2047 + 2d95c: 003e0706 br 2d17c <_gp+0xffff0310> + 2d960: 4811883a mov r8,r9 + 2d964: 3023883a mov r17,r6 + 2d968: 003e0406 br 2d17c <_gp+0xffff0310> + 2d96c: 21fff804 addi r7,r4,-32 + 2d970: 01400804 movi r5,32 + 2d974: 41ced83a srl r7,r8,r7 + 2d978: 21400a26 beq r4,r5,2d9a4 <__adddf3+0x8a4> + 2d97c: 01401004 movi r5,64 + 2d980: 2909c83a sub r4,r5,r4 + 2d984: 4108983a sll r4,r8,r4 + 2d988: 2448b03a or r4,r4,r17 + 2d98c: 2008c03a cmpne r4,r4,zero + 2d990: 3922b03a or r17,r7,r4 + 2d994: 0009883a mov r4,zero + 2d998: 003f8d06 br 2d7d0 <_gp+0xffff0964> + 2d99c: 0007883a mov r3,zero + 2d9a0: 003fdd06 br 2d918 <_gp+0xffff0aac> + 2d9a4: 0009883a mov r4,zero + 2d9a8: 003ff706 br 2d988 <_gp+0xffff0b1c> -0002dae0 <__eqdf2>: - 2dae0: 2804d53a srli r2,r5,20 - 2dae4: 3806d53a srli r3,r7,20 - 2dae8: 02000434 movhi r8,16 - 2daec: 423fffc4 addi r8,r8,-1 - 2daf0: 1081ffcc andi r2,r2,2047 - 2daf4: 0281ffc4 movi r10,2047 - 2daf8: 2a12703a and r9,r5,r8 - 2dafc: 18c1ffcc andi r3,r3,2047 - 2db00: 3a10703a and r8,r7,r8 - 2db04: 280ad7fa srli r5,r5,31 - 2db08: 380ed7fa srli r7,r7,31 - 2db0c: 12801026 beq r2,r10,2db50 <__eqdf2+0x70> - 2db10: 0281ffc4 movi r10,2047 - 2db14: 1a800a26 beq r3,r10,2db40 <__eqdf2+0x60> - 2db18: 10c00226 beq r2,r3,2db24 <__eqdf2+0x44> - 2db1c: 00800044 movi r2,1 - 2db20: f800283a ret - 2db24: 4a3ffd1e bne r9,r8,2db1c <_gp+0xffff15e4> - 2db28: 21bffc1e bne r4,r6,2db1c <_gp+0xffff15e4> - 2db2c: 29c00c26 beq r5,r7,2db60 <__eqdf2+0x80> - 2db30: 103ffa1e bne r2,zero,2db1c <_gp+0xffff15e4> - 2db34: 2244b03a or r2,r4,r9 - 2db38: 1004c03a cmpne r2,r2,zero - 2db3c: f800283a ret - 2db40: 3214b03a or r10,r6,r8 - 2db44: 503ff426 beq r10,zero,2db18 <_gp+0xffff15e0> - 2db48: 00800044 movi r2,1 - 2db4c: f800283a ret - 2db50: 2254b03a or r10,r4,r9 - 2db54: 503fee26 beq r10,zero,2db10 <_gp+0xffff15d8> - 2db58: 00800044 movi r2,1 - 2db5c: f800283a ret - 2db60: 0005883a mov r2,zero - 2db64: f800283a ret +0002d9ac <__divdf3>: + 2d9ac: defff204 addi sp,sp,-56 + 2d9b0: dd400915 stw r21,36(sp) + 2d9b4: 282ad53a srli r21,r5,20 + 2d9b8: dd000815 stw r20,32(sp) + 2d9bc: 2828d7fa srli r20,r5,31 + 2d9c0: dc000415 stw r16,16(sp) + 2d9c4: 04000434 movhi r16,16 + 2d9c8: df000c15 stw fp,48(sp) + 2d9cc: 843fffc4 addi r16,r16,-1 + 2d9d0: dfc00d15 stw ra,52(sp) + 2d9d4: ddc00b15 stw r23,44(sp) + 2d9d8: dd800a15 stw r22,40(sp) + 2d9dc: dcc00715 stw r19,28(sp) + 2d9e0: dc800615 stw r18,24(sp) + 2d9e4: dc400515 stw r17,20(sp) + 2d9e8: ad41ffcc andi r21,r21,2047 + 2d9ec: 2c20703a and r16,r5,r16 + 2d9f0: a7003fcc andi fp,r20,255 + 2d9f4: a8006126 beq r21,zero,2db7c <__divdf3+0x1d0> + 2d9f8: 0081ffc4 movi r2,2047 + 2d9fc: 2025883a mov r18,r4 + 2da00: a8803726 beq r21,r2,2dae0 <__divdf3+0x134> + 2da04: 80800434 orhi r2,r16,16 + 2da08: 100490fa slli r2,r2,3 + 2da0c: 2020d77a srli r16,r4,29 + 2da10: 202490fa slli r18,r4,3 + 2da14: ad7f0044 addi r21,r21,-1023 + 2da18: 80a0b03a or r16,r16,r2 + 2da1c: 0027883a mov r19,zero + 2da20: 0013883a mov r9,zero + 2da24: 3804d53a srli r2,r7,20 + 2da28: 382cd7fa srli r22,r7,31 + 2da2c: 04400434 movhi r17,16 + 2da30: 8c7fffc4 addi r17,r17,-1 + 2da34: 1081ffcc andi r2,r2,2047 + 2da38: 3011883a mov r8,r6 + 2da3c: 3c62703a and r17,r7,r17 + 2da40: b5c03fcc andi r23,r22,255 + 2da44: 10006c26 beq r2,zero,2dbf8 <__divdf3+0x24c> + 2da48: 00c1ffc4 movi r3,2047 + 2da4c: 10c06426 beq r2,r3,2dbe0 <__divdf3+0x234> + 2da50: 88c00434 orhi r3,r17,16 + 2da54: 180690fa slli r3,r3,3 + 2da58: 3022d77a srli r17,r6,29 + 2da5c: 301090fa slli r8,r6,3 + 2da60: 10bf0044 addi r2,r2,-1023 + 2da64: 88e2b03a or r17,r17,r3 + 2da68: 000f883a mov r7,zero + 2da6c: a58cf03a xor r6,r20,r22 + 2da70: 3cc8b03a or r4,r7,r19 + 2da74: a8abc83a sub r21,r21,r2 + 2da78: 008003c4 movi r2,15 + 2da7c: 3007883a mov r3,r6 + 2da80: 34c03fcc andi r19,r6,255 + 2da84: 11009036 bltu r2,r4,2dcc8 <__divdf3+0x31c> + 2da88: 200890ba slli r4,r4,2 + 2da8c: 008000f4 movhi r2,3 + 2da90: 10b6a804 addi r2,r2,-9568 + 2da94: 2089883a add r4,r4,r2 + 2da98: 20800017 ldw r2,0(r4) + 2da9c: 1000683a jmp r2 + 2daa0: 0002dcc8 cmpgei zero,zero,2931 + 2daa4: 0002db18 cmpnei zero,zero,2924 + 2daa8: 0002dcb8 rdprs zero,zero,2930 + 2daac: 0002db0c andi zero,zero,2924 + 2dab0: 0002dcb8 rdprs zero,zero,2930 + 2dab4: 0002dc8c andi zero,zero,2930 + 2dab8: 0002dcb8 rdprs zero,zero,2930 + 2dabc: 0002db0c andi zero,zero,2924 + 2dac0: 0002db18 cmpnei zero,zero,2924 + 2dac4: 0002db18 cmpnei zero,zero,2924 + 2dac8: 0002dc8c andi zero,zero,2930 + 2dacc: 0002db0c andi zero,zero,2924 + 2dad0: 0002dafc xorhi zero,zero,2923 + 2dad4: 0002dafc xorhi zero,zero,2923 + 2dad8: 0002dafc xorhi zero,zero,2923 + 2dadc: 0002dfac andhi zero,zero,2942 + 2dae0: 2404b03a or r2,r4,r16 + 2dae4: 1000661e bne r2,zero,2dc80 <__divdf3+0x2d4> + 2dae8: 04c00204 movi r19,8 + 2daec: 0021883a mov r16,zero + 2daf0: 0025883a mov r18,zero + 2daf4: 02400084 movi r9,2 + 2daf8: 003fca06 br 2da24 <_gp+0xffff0bb8> + 2dafc: 8023883a mov r17,r16 + 2db00: 9011883a mov r8,r18 + 2db04: e02f883a mov r23,fp + 2db08: 480f883a mov r7,r9 + 2db0c: 00800084 movi r2,2 + 2db10: 3881311e bne r7,r2,2dfd8 <__divdf3+0x62c> + 2db14: b827883a mov r19,r23 + 2db18: 98c0004c andi r3,r19,1 + 2db1c: 0081ffc4 movi r2,2047 + 2db20: 000b883a mov r5,zero + 2db24: 0025883a mov r18,zero + 2db28: 1004953a slli r2,r2,20 + 2db2c: 18c03fcc andi r3,r3,255 + 2db30: 04400434 movhi r17,16 + 2db34: 8c7fffc4 addi r17,r17,-1 + 2db38: 180697fa slli r3,r3,31 + 2db3c: 2c4a703a and r5,r5,r17 + 2db40: 288ab03a or r5,r5,r2 + 2db44: 28c6b03a or r3,r5,r3 + 2db48: 9005883a mov r2,r18 + 2db4c: dfc00d17 ldw ra,52(sp) + 2db50: df000c17 ldw fp,48(sp) + 2db54: ddc00b17 ldw r23,44(sp) + 2db58: dd800a17 ldw r22,40(sp) + 2db5c: dd400917 ldw r21,36(sp) + 2db60: dd000817 ldw r20,32(sp) + 2db64: dcc00717 ldw r19,28(sp) + 2db68: dc800617 ldw r18,24(sp) + 2db6c: dc400517 ldw r17,20(sp) + 2db70: dc000417 ldw r16,16(sp) + 2db74: dec00e04 addi sp,sp,56 + 2db78: f800283a ret + 2db7c: 2404b03a or r2,r4,r16 + 2db80: 2027883a mov r19,r4 + 2db84: 10003926 beq r2,zero,2dc6c <__divdf3+0x2c0> + 2db88: 80012e26 beq r16,zero,2e044 <__divdf3+0x698> + 2db8c: 8009883a mov r4,r16 + 2db90: d9800315 stw r6,12(sp) + 2db94: d9c00215 stw r7,8(sp) + 2db98: 002f7040 call 2f704 <__clzsi2> + 2db9c: d9800317 ldw r6,12(sp) + 2dba0: d9c00217 ldw r7,8(sp) + 2dba4: 113ffd44 addi r4,r2,-11 + 2dba8: 00c00704 movi r3,28 + 2dbac: 19012116 blt r3,r4,2e034 <__divdf3+0x688> + 2dbb0: 00c00744 movi r3,29 + 2dbb4: 147ffe04 addi r17,r2,-8 + 2dbb8: 1907c83a sub r3,r3,r4 + 2dbbc: 8460983a sll r16,r16,r17 + 2dbc0: 98c6d83a srl r3,r19,r3 + 2dbc4: 9c64983a sll r18,r19,r17 + 2dbc8: 1c20b03a or r16,r3,r16 + 2dbcc: 1080fcc4 addi r2,r2,1011 + 2dbd0: 00abc83a sub r21,zero,r2 + 2dbd4: 0027883a mov r19,zero + 2dbd8: 0013883a mov r9,zero + 2dbdc: 003f9106 br 2da24 <_gp+0xffff0bb8> + 2dbe0: 3446b03a or r3,r6,r17 + 2dbe4: 18001f1e bne r3,zero,2dc64 <__divdf3+0x2b8> + 2dbe8: 0023883a mov r17,zero + 2dbec: 0011883a mov r8,zero + 2dbf0: 01c00084 movi r7,2 + 2dbf4: 003f9d06 br 2da6c <_gp+0xffff0c00> + 2dbf8: 3446b03a or r3,r6,r17 + 2dbfc: 18001526 beq r3,zero,2dc54 <__divdf3+0x2a8> + 2dc00: 88011b26 beq r17,zero,2e070 <__divdf3+0x6c4> + 2dc04: 8809883a mov r4,r17 + 2dc08: d9800315 stw r6,12(sp) + 2dc0c: da400115 stw r9,4(sp) + 2dc10: 002f7040 call 2f704 <__clzsi2> + 2dc14: d9800317 ldw r6,12(sp) + 2dc18: da400117 ldw r9,4(sp) + 2dc1c: 113ffd44 addi r4,r2,-11 + 2dc20: 00c00704 movi r3,28 + 2dc24: 19010e16 blt r3,r4,2e060 <__divdf3+0x6b4> + 2dc28: 00c00744 movi r3,29 + 2dc2c: 123ffe04 addi r8,r2,-8 + 2dc30: 1907c83a sub r3,r3,r4 + 2dc34: 8a22983a sll r17,r17,r8 + 2dc38: 30c6d83a srl r3,r6,r3 + 2dc3c: 3210983a sll r8,r6,r8 + 2dc40: 1c62b03a or r17,r3,r17 + 2dc44: 1080fcc4 addi r2,r2,1011 + 2dc48: 0085c83a sub r2,zero,r2 + 2dc4c: 000f883a mov r7,zero + 2dc50: 003f8606 br 2da6c <_gp+0xffff0c00> + 2dc54: 0023883a mov r17,zero + 2dc58: 0011883a mov r8,zero + 2dc5c: 01c00044 movi r7,1 + 2dc60: 003f8206 br 2da6c <_gp+0xffff0c00> + 2dc64: 01c000c4 movi r7,3 + 2dc68: 003f8006 br 2da6c <_gp+0xffff0c00> + 2dc6c: 04c00104 movi r19,4 + 2dc70: 0021883a mov r16,zero + 2dc74: 0025883a mov r18,zero + 2dc78: 02400044 movi r9,1 + 2dc7c: 003f6906 br 2da24 <_gp+0xffff0bb8> + 2dc80: 04c00304 movi r19,12 + 2dc84: 024000c4 movi r9,3 + 2dc88: 003f6606 br 2da24 <_gp+0xffff0bb8> + 2dc8c: 01400434 movhi r5,16 + 2dc90: 0007883a mov r3,zero + 2dc94: 297fffc4 addi r5,r5,-1 + 2dc98: 04bfffc4 movi r18,-1 + 2dc9c: 0081ffc4 movi r2,2047 + 2dca0: 003fa106 br 2db28 <_gp+0xffff0cbc> + 2dca4: 00c00044 movi r3,1 + 2dca8: 1887c83a sub r3,r3,r2 + 2dcac: 01000e04 movi r4,56 + 2dcb0: 20c1210e bge r4,r3,2e138 <__divdf3+0x78c> + 2dcb4: 98c0004c andi r3,r19,1 + 2dcb8: 0005883a mov r2,zero + 2dcbc: 000b883a mov r5,zero + 2dcc0: 0025883a mov r18,zero + 2dcc4: 003f9806 br 2db28 <_gp+0xffff0cbc> + 2dcc8: 8c00fd36 bltu r17,r16,2e0c0 <__divdf3+0x714> + 2dccc: 8440fb26 beq r16,r17,2e0bc <__divdf3+0x710> + 2dcd0: 8007883a mov r3,r16 + 2dcd4: ad7fffc4 addi r21,r21,-1 + 2dcd8: 0021883a mov r16,zero + 2dcdc: 4004d63a srli r2,r8,24 + 2dce0: 8822923a slli r17,r17,8 + 2dce4: 1809883a mov r4,r3 + 2dce8: 402c923a slli r22,r8,8 + 2dcec: 88b8b03a or fp,r17,r2 + 2dcf0: e028d43a srli r20,fp,16 + 2dcf4: d8c00015 stw r3,0(sp) + 2dcf8: e5ffffcc andi r23,fp,65535 + 2dcfc: a00b883a mov r5,r20 + 2dd00: 0022a200 call 22a20 <__udivsi3> + 2dd04: d8c00017 ldw r3,0(sp) + 2dd08: a00b883a mov r5,r20 + 2dd0c: d8800315 stw r2,12(sp) + 2dd10: 1809883a mov r4,r3 + 2dd14: 0022a840 call 22a84 <__umodsi3> + 2dd18: d9800317 ldw r6,12(sp) + 2dd1c: 1006943a slli r3,r2,16 + 2dd20: 9004d43a srli r2,r18,16 + 2dd24: b9a3383a mul r17,r23,r6 + 2dd28: 10c4b03a or r2,r2,r3 + 2dd2c: 1440062e bgeu r2,r17,2dd48 <__divdf3+0x39c> + 2dd30: 1705883a add r2,r2,fp + 2dd34: 30ffffc4 addi r3,r6,-1 + 2dd38: 1700ee36 bltu r2,fp,2e0f4 <__divdf3+0x748> + 2dd3c: 1440ed2e bgeu r2,r17,2e0f4 <__divdf3+0x748> + 2dd40: 31bfff84 addi r6,r6,-2 + 2dd44: 1705883a add r2,r2,fp + 2dd48: 1463c83a sub r17,r2,r17 + 2dd4c: a00b883a mov r5,r20 + 2dd50: 8809883a mov r4,r17 + 2dd54: d9800315 stw r6,12(sp) + 2dd58: 0022a200 call 22a20 <__udivsi3> + 2dd5c: a00b883a mov r5,r20 + 2dd60: 8809883a mov r4,r17 + 2dd64: d8800215 stw r2,8(sp) + 2dd68: 0022a840 call 22a84 <__umodsi3> + 2dd6c: d9c00217 ldw r7,8(sp) + 2dd70: 1004943a slli r2,r2,16 + 2dd74: 94bfffcc andi r18,r18,65535 + 2dd78: b9d1383a mul r8,r23,r7 + 2dd7c: 90a4b03a or r18,r18,r2 + 2dd80: d9800317 ldw r6,12(sp) + 2dd84: 9200062e bgeu r18,r8,2dda0 <__divdf3+0x3f4> + 2dd88: 9725883a add r18,r18,fp + 2dd8c: 38bfffc4 addi r2,r7,-1 + 2dd90: 9700d636 bltu r18,fp,2e0ec <__divdf3+0x740> + 2dd94: 9200d52e bgeu r18,r8,2e0ec <__divdf3+0x740> + 2dd98: 39ffff84 addi r7,r7,-2 + 2dd9c: 9725883a add r18,r18,fp + 2dda0: 3004943a slli r2,r6,16 + 2dda4: b012d43a srli r9,r22,16 + 2dda8: b1bfffcc andi r6,r22,65535 + 2ddac: 11e2b03a or r17,r2,r7 + 2ddb0: 8806d43a srli r3,r17,16 + 2ddb4: 893fffcc andi r4,r17,65535 + 2ddb8: 218b383a mul r5,r4,r6 + 2ddbc: 30c5383a mul r2,r6,r3 + 2ddc0: 2249383a mul r4,r4,r9 + 2ddc4: 280ed43a srli r7,r5,16 + 2ddc8: 9225c83a sub r18,r18,r8 + 2ddcc: 2089883a add r4,r4,r2 + 2ddd0: 3909883a add r4,r7,r4 + 2ddd4: 1a47383a mul r3,r3,r9 + 2ddd8: 2080022e bgeu r4,r2,2dde4 <__divdf3+0x438> + 2dddc: 00800074 movhi r2,1 + 2dde0: 1887883a add r3,r3,r2 + 2dde4: 2004d43a srli r2,r4,16 + 2dde8: 2008943a slli r4,r4,16 + 2ddec: 297fffcc andi r5,r5,65535 + 2ddf0: 10c7883a add r3,r2,r3 + 2ddf4: 2149883a add r4,r4,r5 + 2ddf8: 90c0a536 bltu r18,r3,2e090 <__divdf3+0x6e4> + 2ddfc: 90c0bf26 beq r18,r3,2e0fc <__divdf3+0x750> + 2de00: 90c7c83a sub r3,r18,r3 + 2de04: 810fc83a sub r7,r16,r4 + 2de08: 81e5803a cmpltu r18,r16,r7 + 2de0c: 1ca5c83a sub r18,r3,r18 + 2de10: e480c126 beq fp,r18,2e118 <__divdf3+0x76c> + 2de14: a00b883a mov r5,r20 + 2de18: 9009883a mov r4,r18 + 2de1c: d9800315 stw r6,12(sp) + 2de20: d9c00215 stw r7,8(sp) + 2de24: da400115 stw r9,4(sp) + 2de28: 0022a200 call 22a20 <__udivsi3> + 2de2c: a00b883a mov r5,r20 + 2de30: 9009883a mov r4,r18 + 2de34: d8800015 stw r2,0(sp) + 2de38: 0022a840 call 22a84 <__umodsi3> + 2de3c: d9c00217 ldw r7,8(sp) + 2de40: da000017 ldw r8,0(sp) + 2de44: 1006943a slli r3,r2,16 + 2de48: 3804d43a srli r2,r7,16 + 2de4c: ba21383a mul r16,r23,r8 + 2de50: d9800317 ldw r6,12(sp) + 2de54: 10c4b03a or r2,r2,r3 + 2de58: da400117 ldw r9,4(sp) + 2de5c: 1400062e bgeu r2,r16,2de78 <__divdf3+0x4cc> + 2de60: 1705883a add r2,r2,fp + 2de64: 40ffffc4 addi r3,r8,-1 + 2de68: 1700ad36 bltu r2,fp,2e120 <__divdf3+0x774> + 2de6c: 1400ac2e bgeu r2,r16,2e120 <__divdf3+0x774> + 2de70: 423fff84 addi r8,r8,-2 + 2de74: 1705883a add r2,r2,fp + 2de78: 1421c83a sub r16,r2,r16 + 2de7c: a00b883a mov r5,r20 + 2de80: 8009883a mov r4,r16 + 2de84: d9800315 stw r6,12(sp) + 2de88: d9c00215 stw r7,8(sp) + 2de8c: da000015 stw r8,0(sp) + 2de90: da400115 stw r9,4(sp) + 2de94: 0022a200 call 22a20 <__udivsi3> + 2de98: 8009883a mov r4,r16 + 2de9c: a00b883a mov r5,r20 + 2dea0: 1025883a mov r18,r2 + 2dea4: 0022a840 call 22a84 <__umodsi3> + 2dea8: d9c00217 ldw r7,8(sp) + 2deac: 1004943a slli r2,r2,16 + 2deb0: bcaf383a mul r23,r23,r18 + 2deb4: 393fffcc andi r4,r7,65535 + 2deb8: 2088b03a or r4,r4,r2 + 2debc: d9800317 ldw r6,12(sp) + 2dec0: da000017 ldw r8,0(sp) + 2dec4: da400117 ldw r9,4(sp) + 2dec8: 25c0062e bgeu r4,r23,2dee4 <__divdf3+0x538> + 2decc: 2709883a add r4,r4,fp + 2ded0: 90bfffc4 addi r2,r18,-1 + 2ded4: 27009436 bltu r4,fp,2e128 <__divdf3+0x77c> + 2ded8: 25c0932e bgeu r4,r23,2e128 <__divdf3+0x77c> + 2dedc: 94bfff84 addi r18,r18,-2 + 2dee0: 2709883a add r4,r4,fp + 2dee4: 4004943a slli r2,r8,16 + 2dee8: 25efc83a sub r23,r4,r23 + 2deec: 1490b03a or r8,r2,r18 + 2def0: 4008d43a srli r4,r8,16 + 2def4: 40ffffcc andi r3,r8,65535 + 2def8: 30c5383a mul r2,r6,r3 + 2defc: 1a47383a mul r3,r3,r9 + 2df00: 310d383a mul r6,r6,r4 + 2df04: 100ad43a srli r5,r2,16 + 2df08: 4913383a mul r9,r9,r4 + 2df0c: 1987883a add r3,r3,r6 + 2df10: 28c7883a add r3,r5,r3 + 2df14: 1980022e bgeu r3,r6,2df20 <__divdf3+0x574> + 2df18: 01000074 movhi r4,1 + 2df1c: 4913883a add r9,r9,r4 + 2df20: 1808d43a srli r4,r3,16 + 2df24: 1806943a slli r3,r3,16 + 2df28: 10bfffcc andi r2,r2,65535 + 2df2c: 2253883a add r9,r4,r9 + 2df30: 1887883a add r3,r3,r2 + 2df34: ba403836 bltu r23,r9,2e018 <__divdf3+0x66c> + 2df38: ba403626 beq r23,r9,2e014 <__divdf3+0x668> + 2df3c: 42000054 ori r8,r8,1 + 2df40: a880ffc4 addi r2,r21,1023 + 2df44: 00bf570e bge zero,r2,2dca4 <_gp+0xffff0e38> + 2df48: 40c001cc andi r3,r8,7 + 2df4c: 18000726 beq r3,zero,2df6c <__divdf3+0x5c0> + 2df50: 40c003cc andi r3,r8,15 + 2df54: 01000104 movi r4,4 + 2df58: 19000426 beq r3,r4,2df6c <__divdf3+0x5c0> + 2df5c: 4107883a add r3,r8,r4 + 2df60: 1a11803a cmpltu r8,r3,r8 + 2df64: 8a23883a add r17,r17,r8 + 2df68: 1811883a mov r8,r3 + 2df6c: 88c0402c andhi r3,r17,256 + 2df70: 18000426 beq r3,zero,2df84 <__divdf3+0x5d8> + 2df74: 00ffc034 movhi r3,65280 + 2df78: 18ffffc4 addi r3,r3,-1 + 2df7c: a8810004 addi r2,r21,1024 + 2df80: 88e2703a and r17,r17,r3 + 2df84: 00c1ff84 movi r3,2046 + 2df88: 18bee316 blt r3,r2,2db18 <_gp+0xffff0cac> + 2df8c: 8824977a slli r18,r17,29 + 2df90: 4010d0fa srli r8,r8,3 + 2df94: 8822927a slli r17,r17,9 + 2df98: 1081ffcc andi r2,r2,2047 + 2df9c: 9224b03a or r18,r18,r8 + 2dfa0: 880ad33a srli r5,r17,12 + 2dfa4: 98c0004c andi r3,r19,1 + 2dfa8: 003edf06 br 2db28 <_gp+0xffff0cbc> + 2dfac: 8080022c andhi r2,r16,8 + 2dfb0: 10001226 beq r2,zero,2dffc <__divdf3+0x650> + 2dfb4: 8880022c andhi r2,r17,8 + 2dfb8: 1000101e bne r2,zero,2dffc <__divdf3+0x650> + 2dfbc: 00800434 movhi r2,16 + 2dfc0: 89400234 orhi r5,r17,8 + 2dfc4: 10bfffc4 addi r2,r2,-1 + 2dfc8: b007883a mov r3,r22 + 2dfcc: 288a703a and r5,r5,r2 + 2dfd0: 4025883a mov r18,r8 + 2dfd4: 003f3106 br 2dc9c <_gp+0xffff0e30> + 2dfd8: 008000c4 movi r2,3 + 2dfdc: 3880a626 beq r7,r2,2e278 <__divdf3+0x8cc> + 2dfe0: 00800044 movi r2,1 + 2dfe4: 3880521e bne r7,r2,2e130 <__divdf3+0x784> + 2dfe8: b807883a mov r3,r23 + 2dfec: 0005883a mov r2,zero + 2dff0: 000b883a mov r5,zero + 2dff4: 0025883a mov r18,zero + 2dff8: 003ecb06 br 2db28 <_gp+0xffff0cbc> + 2dffc: 00800434 movhi r2,16 + 2e000: 81400234 orhi r5,r16,8 + 2e004: 10bfffc4 addi r2,r2,-1 + 2e008: a007883a mov r3,r20 + 2e00c: 288a703a and r5,r5,r2 + 2e010: 003f2206 br 2dc9c <_gp+0xffff0e30> + 2e014: 183fca26 beq r3,zero,2df40 <_gp+0xffff10d4> + 2e018: e5ef883a add r23,fp,r23 + 2e01c: 40bfffc4 addi r2,r8,-1 + 2e020: bf00392e bgeu r23,fp,2e108 <__divdf3+0x75c> + 2e024: 1011883a mov r8,r2 + 2e028: ba7fc41e bne r23,r9,2df3c <_gp+0xffff10d0> + 2e02c: b0ffc31e bne r22,r3,2df3c <_gp+0xffff10d0> + 2e030: 003fc306 br 2df40 <_gp+0xffff10d4> + 2e034: 143ff604 addi r16,r2,-40 + 2e038: 9c20983a sll r16,r19,r16 + 2e03c: 0025883a mov r18,zero + 2e040: 003ee206 br 2dbcc <_gp+0xffff0d60> + 2e044: d9800315 stw r6,12(sp) + 2e048: d9c00215 stw r7,8(sp) + 2e04c: 002f7040 call 2f704 <__clzsi2> + 2e050: 10800804 addi r2,r2,32 + 2e054: d9c00217 ldw r7,8(sp) + 2e058: d9800317 ldw r6,12(sp) + 2e05c: 003ed106 br 2dba4 <_gp+0xffff0d38> + 2e060: 147ff604 addi r17,r2,-40 + 2e064: 3462983a sll r17,r6,r17 + 2e068: 0011883a mov r8,zero + 2e06c: 003ef506 br 2dc44 <_gp+0xffff0dd8> + 2e070: 3009883a mov r4,r6 + 2e074: d9800315 stw r6,12(sp) + 2e078: da400115 stw r9,4(sp) + 2e07c: 002f7040 call 2f704 <__clzsi2> + 2e080: 10800804 addi r2,r2,32 + 2e084: da400117 ldw r9,4(sp) + 2e088: d9800317 ldw r6,12(sp) + 2e08c: 003ee306 br 2dc1c <_gp+0xffff0db0> + 2e090: 85a1883a add r16,r16,r22 + 2e094: 8585803a cmpltu r2,r16,r22 + 2e098: 1705883a add r2,r2,fp + 2e09c: 14a5883a add r18,r2,r18 + 2e0a0: 88bfffc4 addi r2,r17,-1 + 2e0a4: e4800c2e bgeu fp,r18,2e0d8 <__divdf3+0x72c> + 2e0a8: 90c03e36 bltu r18,r3,2e1a4 <__divdf3+0x7f8> + 2e0ac: 1c806926 beq r3,r18,2e254 <__divdf3+0x8a8> + 2e0b0: 90c7c83a sub r3,r18,r3 + 2e0b4: 1023883a mov r17,r2 + 2e0b8: 003f5206 br 2de04 <_gp+0xffff0f98> + 2e0bc: 923f0436 bltu r18,r8,2dcd0 <_gp+0xffff0e64> + 2e0c0: 800897fa slli r4,r16,31 + 2e0c4: 9004d07a srli r2,r18,1 + 2e0c8: 8006d07a srli r3,r16,1 + 2e0cc: 902097fa slli r16,r18,31 + 2e0d0: 20a4b03a or r18,r4,r2 + 2e0d4: 003f0106 br 2dcdc <_gp+0xffff0e70> + 2e0d8: e4bff51e bne fp,r18,2e0b0 <_gp+0xffff1244> + 2e0dc: 85bff22e bgeu r16,r22,2e0a8 <_gp+0xffff123c> + 2e0e0: e0c7c83a sub r3,fp,r3 + 2e0e4: 1023883a mov r17,r2 + 2e0e8: 003f4606 br 2de04 <_gp+0xffff0f98> + 2e0ec: 100f883a mov r7,r2 + 2e0f0: 003f2b06 br 2dda0 <_gp+0xffff0f34> + 2e0f4: 180d883a mov r6,r3 + 2e0f8: 003f1306 br 2dd48 <_gp+0xffff0edc> + 2e0fc: 813fe436 bltu r16,r4,2e090 <_gp+0xffff1224> + 2e100: 0007883a mov r3,zero + 2e104: 003f3f06 br 2de04 <_gp+0xffff0f98> + 2e108: ba402c36 bltu r23,r9,2e1bc <__divdf3+0x810> + 2e10c: 4dc05426 beq r9,r23,2e260 <__divdf3+0x8b4> + 2e110: 1011883a mov r8,r2 + 2e114: 003f8906 br 2df3c <_gp+0xffff10d0> + 2e118: 023fffc4 movi r8,-1 + 2e11c: 003f8806 br 2df40 <_gp+0xffff10d4> + 2e120: 1811883a mov r8,r3 + 2e124: 003f5406 br 2de78 <_gp+0xffff100c> + 2e128: 1025883a mov r18,r2 + 2e12c: 003f6d06 br 2dee4 <_gp+0xffff1078> + 2e130: b827883a mov r19,r23 + 2e134: 003f8206 br 2df40 <_gp+0xffff10d4> + 2e138: 010007c4 movi r4,31 + 2e13c: 20c02616 blt r4,r3,2e1d8 <__divdf3+0x82c> + 2e140: 00800804 movi r2,32 + 2e144: 10c5c83a sub r2,r2,r3 + 2e148: 888a983a sll r5,r17,r2 + 2e14c: 40c8d83a srl r4,r8,r3 + 2e150: 4084983a sll r2,r8,r2 + 2e154: 88e2d83a srl r17,r17,r3 + 2e158: 2906b03a or r3,r5,r4 + 2e15c: 1004c03a cmpne r2,r2,zero + 2e160: 1886b03a or r3,r3,r2 + 2e164: 188001cc andi r2,r3,7 + 2e168: 10000726 beq r2,zero,2e188 <__divdf3+0x7dc> + 2e16c: 188003cc andi r2,r3,15 + 2e170: 01000104 movi r4,4 + 2e174: 11000426 beq r2,r4,2e188 <__divdf3+0x7dc> + 2e178: 1805883a mov r2,r3 + 2e17c: 10c00104 addi r3,r2,4 + 2e180: 1885803a cmpltu r2,r3,r2 + 2e184: 88a3883a add r17,r17,r2 + 2e188: 8880202c andhi r2,r17,128 + 2e18c: 10002726 beq r2,zero,2e22c <__divdf3+0x880> + 2e190: 98c0004c andi r3,r19,1 + 2e194: 00800044 movi r2,1 + 2e198: 000b883a mov r5,zero + 2e19c: 0025883a mov r18,zero + 2e1a0: 003e6106 br 2db28 <_gp+0xffff0cbc> + 2e1a4: 85a1883a add r16,r16,r22 + 2e1a8: 8585803a cmpltu r2,r16,r22 + 2e1ac: 1705883a add r2,r2,fp + 2e1b0: 14a5883a add r18,r2,r18 + 2e1b4: 8c7fff84 addi r17,r17,-2 + 2e1b8: 003f1106 br 2de00 <_gp+0xffff0f94> + 2e1bc: b589883a add r4,r22,r22 + 2e1c0: 25ad803a cmpltu r22,r4,r22 + 2e1c4: b739883a add fp,r22,fp + 2e1c8: 40bfff84 addi r2,r8,-2 + 2e1cc: bf2f883a add r23,r23,fp + 2e1d0: 202d883a mov r22,r4 + 2e1d4: 003f9306 br 2e024 <_gp+0xffff11b8> + 2e1d8: 013ff844 movi r4,-31 + 2e1dc: 2085c83a sub r2,r4,r2 + 2e1e0: 8888d83a srl r4,r17,r2 + 2e1e4: 00800804 movi r2,32 + 2e1e8: 18802126 beq r3,r2,2e270 <__divdf3+0x8c4> + 2e1ec: 00801004 movi r2,64 + 2e1f0: 10c5c83a sub r2,r2,r3 + 2e1f4: 8884983a sll r2,r17,r2 + 2e1f8: 1204b03a or r2,r2,r8 + 2e1fc: 1004c03a cmpne r2,r2,zero + 2e200: 2084b03a or r2,r4,r2 + 2e204: 144001cc andi r17,r2,7 + 2e208: 88000d1e bne r17,zero,2e240 <__divdf3+0x894> + 2e20c: 000b883a mov r5,zero + 2e210: 1024d0fa srli r18,r2,3 + 2e214: 98c0004c andi r3,r19,1 + 2e218: 0005883a mov r2,zero + 2e21c: 9464b03a or r18,r18,r17 + 2e220: 003e4106 br 2db28 <_gp+0xffff0cbc> + 2e224: 1007883a mov r3,r2 + 2e228: 0023883a mov r17,zero + 2e22c: 880a927a slli r5,r17,9 + 2e230: 1805883a mov r2,r3 + 2e234: 8822977a slli r17,r17,29 + 2e238: 280ad33a srli r5,r5,12 + 2e23c: 003ff406 br 2e210 <_gp+0xffff13a4> + 2e240: 10c003cc andi r3,r2,15 + 2e244: 01000104 movi r4,4 + 2e248: 193ff626 beq r3,r4,2e224 <_gp+0xffff13b8> + 2e24c: 0023883a mov r17,zero + 2e250: 003fca06 br 2e17c <_gp+0xffff1310> + 2e254: 813fd336 bltu r16,r4,2e1a4 <_gp+0xffff1338> + 2e258: 1023883a mov r17,r2 + 2e25c: 003fa806 br 2e100 <_gp+0xffff1294> + 2e260: b0ffd636 bltu r22,r3,2e1bc <_gp+0xffff1350> + 2e264: 1011883a mov r8,r2 + 2e268: b0ff341e bne r22,r3,2df3c <_gp+0xffff10d0> + 2e26c: 003f3406 br 2df40 <_gp+0xffff10d4> + 2e270: 0005883a mov r2,zero + 2e274: 003fe006 br 2e1f8 <_gp+0xffff138c> + 2e278: 00800434 movhi r2,16 + 2e27c: 89400234 orhi r5,r17,8 + 2e280: 10bfffc4 addi r2,r2,-1 + 2e284: b807883a mov r3,r23 + 2e288: 288a703a and r5,r5,r2 + 2e28c: 4025883a mov r18,r8 + 2e290: 003e8206 br 2dc9c <_gp+0xffff0e30> -0002db68 <__gedf2>: - 2db68: 2804d53a srli r2,r5,20 - 2db6c: 3806d53a srli r3,r7,20 - 2db70: 02000434 movhi r8,16 - 2db74: 423fffc4 addi r8,r8,-1 - 2db78: 1081ffcc andi r2,r2,2047 - 2db7c: 0241ffc4 movi r9,2047 - 2db80: 2a14703a and r10,r5,r8 - 2db84: 18c1ffcc andi r3,r3,2047 - 2db88: 3a10703a and r8,r7,r8 - 2db8c: 280ad7fa srli r5,r5,31 - 2db90: 380ed7fa srli r7,r7,31 - 2db94: 12401d26 beq r2,r9,2dc0c <__gedf2+0xa4> - 2db98: 0241ffc4 movi r9,2047 - 2db9c: 1a401226 beq r3,r9,2dbe8 <__gedf2+0x80> - 2dba0: 1000081e bne r2,zero,2dbc4 <__gedf2+0x5c> - 2dba4: 2296b03a or r11,r4,r10 - 2dba8: 5813003a cmpeq r9,r11,zero - 2dbac: 1800091e bne r3,zero,2dbd4 <__gedf2+0x6c> - 2dbb0: 3218b03a or r12,r6,r8 - 2dbb4: 6000071e bne r12,zero,2dbd4 <__gedf2+0x6c> - 2dbb8: 0005883a mov r2,zero - 2dbbc: 5800101e bne r11,zero,2dc00 <__gedf2+0x98> - 2dbc0: f800283a ret - 2dbc4: 18000c1e bne r3,zero,2dbf8 <__gedf2+0x90> - 2dbc8: 3212b03a or r9,r6,r8 - 2dbcc: 48000c26 beq r9,zero,2dc00 <__gedf2+0x98> - 2dbd0: 0013883a mov r9,zero - 2dbd4: 39c03fcc andi r7,r7,255 - 2dbd8: 48000826 beq r9,zero,2dbfc <__gedf2+0x94> - 2dbdc: 38000926 beq r7,zero,2dc04 <__gedf2+0x9c> - 2dbe0: 00800044 movi r2,1 - 2dbe4: f800283a ret - 2dbe8: 3212b03a or r9,r6,r8 - 2dbec: 483fec26 beq r9,zero,2dba0 <_gp+0xffff1668> - 2dbf0: 00bfff84 movi r2,-2 - 2dbf4: f800283a ret - 2dbf8: 39c03fcc andi r7,r7,255 - 2dbfc: 29c00626 beq r5,r7,2dc18 <__gedf2+0xb0> - 2dc00: 283ff726 beq r5,zero,2dbe0 <_gp+0xffff16a8> - 2dc04: 00bfffc4 movi r2,-1 - 2dc08: f800283a ret - 2dc0c: 2292b03a or r9,r4,r10 - 2dc10: 483fe126 beq r9,zero,2db98 <_gp+0xffff1660> - 2dc14: 003ff606 br 2dbf0 <_gp+0xffff16b8> - 2dc18: 18bff916 blt r3,r2,2dc00 <_gp+0xffff16c8> - 2dc1c: 10c00316 blt r2,r3,2dc2c <__gedf2+0xc4> - 2dc20: 42bff736 bltu r8,r10,2dc00 <_gp+0xffff16c8> - 2dc24: 52000326 beq r10,r8,2dc34 <__gedf2+0xcc> - 2dc28: 5200042e bgeu r10,r8,2dc3c <__gedf2+0xd4> - 2dc2c: 283fec1e bne r5,zero,2dbe0 <_gp+0xffff16a8> - 2dc30: 003ff406 br 2dc04 <_gp+0xffff16cc> - 2dc34: 313ff236 bltu r6,r4,2dc00 <_gp+0xffff16c8> - 2dc38: 21bffc36 bltu r4,r6,2dc2c <_gp+0xffff16f4> - 2dc3c: 0005883a mov r2,zero - 2dc40: f800283a ret +0002e294 <__eqdf2>: + 2e294: 2804d53a srli r2,r5,20 + 2e298: 3806d53a srli r3,r7,20 + 2e29c: 02000434 movhi r8,16 + 2e2a0: 423fffc4 addi r8,r8,-1 + 2e2a4: 1081ffcc andi r2,r2,2047 + 2e2a8: 0281ffc4 movi r10,2047 + 2e2ac: 2a12703a and r9,r5,r8 + 2e2b0: 18c1ffcc andi r3,r3,2047 + 2e2b4: 3a10703a and r8,r7,r8 + 2e2b8: 280ad7fa srli r5,r5,31 + 2e2bc: 380ed7fa srli r7,r7,31 + 2e2c0: 12801026 beq r2,r10,2e304 <__eqdf2+0x70> + 2e2c4: 0281ffc4 movi r10,2047 + 2e2c8: 1a800a26 beq r3,r10,2e2f4 <__eqdf2+0x60> + 2e2cc: 10c00226 beq r2,r3,2e2d8 <__eqdf2+0x44> + 2e2d0: 00800044 movi r2,1 + 2e2d4: f800283a ret + 2e2d8: 4a3ffd1e bne r9,r8,2e2d0 <_gp+0xffff1464> + 2e2dc: 21bffc1e bne r4,r6,2e2d0 <_gp+0xffff1464> + 2e2e0: 29c00c26 beq r5,r7,2e314 <__eqdf2+0x80> + 2e2e4: 103ffa1e bne r2,zero,2e2d0 <_gp+0xffff1464> + 2e2e8: 2244b03a or r2,r4,r9 + 2e2ec: 1004c03a cmpne r2,r2,zero + 2e2f0: f800283a ret + 2e2f4: 3214b03a or r10,r6,r8 + 2e2f8: 503ff426 beq r10,zero,2e2cc <_gp+0xffff1460> + 2e2fc: 00800044 movi r2,1 + 2e300: f800283a ret + 2e304: 2254b03a or r10,r4,r9 + 2e308: 503fee26 beq r10,zero,2e2c4 <_gp+0xffff1458> + 2e30c: 00800044 movi r2,1 + 2e310: f800283a ret + 2e314: 0005883a mov r2,zero + 2e318: f800283a ret -0002dc44 <__ledf2>: - 2dc44: 2804d53a srli r2,r5,20 - 2dc48: 3810d53a srli r8,r7,20 - 2dc4c: 00c00434 movhi r3,16 - 2dc50: 18ffffc4 addi r3,r3,-1 - 2dc54: 1081ffcc andi r2,r2,2047 - 2dc58: 0241ffc4 movi r9,2047 - 2dc5c: 28d4703a and r10,r5,r3 - 2dc60: 4201ffcc andi r8,r8,2047 - 2dc64: 38c6703a and r3,r7,r3 - 2dc68: 280ad7fa srli r5,r5,31 - 2dc6c: 380ed7fa srli r7,r7,31 - 2dc70: 12401f26 beq r2,r9,2dcf0 <__ledf2+0xac> - 2dc74: 0241ffc4 movi r9,2047 - 2dc78: 42401426 beq r8,r9,2dccc <__ledf2+0x88> - 2dc7c: 1000091e bne r2,zero,2dca4 <__ledf2+0x60> - 2dc80: 2296b03a or r11,r4,r10 - 2dc84: 5813003a cmpeq r9,r11,zero - 2dc88: 29403fcc andi r5,r5,255 - 2dc8c: 40000a1e bne r8,zero,2dcb8 <__ledf2+0x74> - 2dc90: 30d8b03a or r12,r6,r3 - 2dc94: 6000081e bne r12,zero,2dcb8 <__ledf2+0x74> - 2dc98: 0005883a mov r2,zero - 2dc9c: 5800111e bne r11,zero,2dce4 <__ledf2+0xa0> - 2dca0: f800283a ret - 2dca4: 29403fcc andi r5,r5,255 - 2dca8: 40000c1e bne r8,zero,2dcdc <__ledf2+0x98> - 2dcac: 30d2b03a or r9,r6,r3 - 2dcb0: 48000c26 beq r9,zero,2dce4 <__ledf2+0xa0> - 2dcb4: 0013883a mov r9,zero - 2dcb8: 39c03fcc andi r7,r7,255 - 2dcbc: 48000826 beq r9,zero,2dce0 <__ledf2+0x9c> - 2dcc0: 38001126 beq r7,zero,2dd08 <__ledf2+0xc4> - 2dcc4: 00800044 movi r2,1 - 2dcc8: f800283a ret - 2dccc: 30d2b03a or r9,r6,r3 - 2dcd0: 483fea26 beq r9,zero,2dc7c <_gp+0xffff1744> - 2dcd4: 00800084 movi r2,2 - 2dcd8: f800283a ret - 2dcdc: 39c03fcc andi r7,r7,255 - 2dce0: 39400726 beq r7,r5,2dd00 <__ledf2+0xbc> - 2dce4: 2800081e bne r5,zero,2dd08 <__ledf2+0xc4> - 2dce8: 00800044 movi r2,1 - 2dcec: f800283a ret - 2dcf0: 2292b03a or r9,r4,r10 - 2dcf4: 483fdf26 beq r9,zero,2dc74 <_gp+0xffff173c> - 2dcf8: 00800084 movi r2,2 - 2dcfc: f800283a ret - 2dd00: 4080030e bge r8,r2,2dd10 <__ledf2+0xcc> - 2dd04: 383fef26 beq r7,zero,2dcc4 <_gp+0xffff178c> - 2dd08: 00bfffc4 movi r2,-1 - 2dd0c: f800283a ret - 2dd10: 123feb16 blt r2,r8,2dcc0 <_gp+0xffff1788> - 2dd14: 1abff336 bltu r3,r10,2dce4 <_gp+0xffff17ac> - 2dd18: 50c00326 beq r10,r3,2dd28 <__ledf2+0xe4> - 2dd1c: 50c0042e bgeu r10,r3,2dd30 <__ledf2+0xec> - 2dd20: 283fe81e bne r5,zero,2dcc4 <_gp+0xffff178c> - 2dd24: 003ff806 br 2dd08 <_gp+0xffff17d0> - 2dd28: 313fee36 bltu r6,r4,2dce4 <_gp+0xffff17ac> - 2dd2c: 21bffc36 bltu r4,r6,2dd20 <_gp+0xffff17e8> - 2dd30: 0005883a mov r2,zero - 2dd34: f800283a ret - -0002dd38 <__muldf3>: - 2dd38: defff304 addi sp,sp,-52 - 2dd3c: 2804d53a srli r2,r5,20 - 2dd40: dd800915 stw r22,36(sp) - 2dd44: 282cd7fa srli r22,r5,31 - 2dd48: dc000315 stw r16,12(sp) - 2dd4c: 04000434 movhi r16,16 - 2dd50: dd400815 stw r21,32(sp) - 2dd54: dc800515 stw r18,20(sp) - 2dd58: 843fffc4 addi r16,r16,-1 - 2dd5c: dfc00c15 stw ra,48(sp) - 2dd60: df000b15 stw fp,44(sp) - 2dd64: ddc00a15 stw r23,40(sp) - 2dd68: dd000715 stw r20,28(sp) - 2dd6c: dcc00615 stw r19,24(sp) - 2dd70: dc400415 stw r17,16(sp) - 2dd74: 1481ffcc andi r18,r2,2047 - 2dd78: 2c20703a and r16,r5,r16 - 2dd7c: b02b883a mov r21,r22 - 2dd80: b2403fcc andi r9,r22,255 - 2dd84: 90006026 beq r18,zero,2df08 <__muldf3+0x1d0> - 2dd88: 0081ffc4 movi r2,2047 - 2dd8c: 2029883a mov r20,r4 - 2dd90: 90803626 beq r18,r2,2de6c <__muldf3+0x134> - 2dd94: 80800434 orhi r2,r16,16 - 2dd98: 100490fa slli r2,r2,3 - 2dd9c: 2020d77a srli r16,r4,29 - 2dda0: 202890fa slli r20,r4,3 - 2dda4: 94bf0044 addi r18,r18,-1023 - 2dda8: 80a0b03a or r16,r16,r2 - 2ddac: 0027883a mov r19,zero - 2ddb0: 0039883a mov fp,zero - 2ddb4: 3804d53a srli r2,r7,20 - 2ddb8: 382ed7fa srli r23,r7,31 - 2ddbc: 04400434 movhi r17,16 - 2ddc0: 8c7fffc4 addi r17,r17,-1 - 2ddc4: 1081ffcc andi r2,r2,2047 - 2ddc8: 3011883a mov r8,r6 - 2ddcc: 3c62703a and r17,r7,r17 - 2ddd0: ba803fcc andi r10,r23,255 - 2ddd4: 10006d26 beq r2,zero,2df8c <__muldf3+0x254> - 2ddd8: 00c1ffc4 movi r3,2047 - 2dddc: 10c06526 beq r2,r3,2df74 <__muldf3+0x23c> - 2dde0: 88c00434 orhi r3,r17,16 - 2dde4: 180690fa slli r3,r3,3 - 2dde8: 3022d77a srli r17,r6,29 - 2ddec: 301090fa slli r8,r6,3 - 2ddf0: 10bf0044 addi r2,r2,-1023 - 2ddf4: 88e2b03a or r17,r17,r3 - 2ddf8: 000b883a mov r5,zero - 2ddfc: 9085883a add r2,r18,r2 - 2de00: 2cc8b03a or r4,r5,r19 - 2de04: 00c003c4 movi r3,15 - 2de08: bdacf03a xor r22,r23,r22 - 2de0c: 12c00044 addi r11,r2,1 - 2de10: 19009936 bltu r3,r4,2e078 <__muldf3+0x340> - 2de14: 200890ba slli r4,r4,2 - 2de18: 00c000f4 movhi r3,3 - 2de1c: 18f78b04 addi r3,r3,-8660 - 2de20: 20c9883a add r4,r4,r3 - 2de24: 20c00017 ldw r3,0(r4) - 2de28: 1800683a jmp r3 - 2de2c: 0002e078 rdprs zero,zero,2945 - 2de30: 0002de8c andi zero,zero,2938 - 2de34: 0002de8c andi zero,zero,2938 - 2de38: 0002de88 cmpgei zero,zero,2938 - 2de3c: 0002e054 movui zero,2945 - 2de40: 0002e054 movui zero,2945 - 2de44: 0002e03c xorhi zero,zero,2944 - 2de48: 0002de88 cmpgei zero,zero,2938 - 2de4c: 0002e054 movui zero,2945 - 2de50: 0002e03c xorhi zero,zero,2944 - 2de54: 0002e054 movui zero,2945 - 2de58: 0002de88 cmpgei zero,zero,2938 - 2de5c: 0002e064 muli zero,zero,2945 - 2de60: 0002e064 muli zero,zero,2945 - 2de64: 0002e064 muli zero,zero,2945 - 2de68: 0002e280 call 2e28 <__alt_mem_onchip_memory2_0-0x1d1d8> - 2de6c: 2404b03a or r2,r4,r16 - 2de70: 10006f1e bne r2,zero,2e030 <__muldf3+0x2f8> - 2de74: 04c00204 movi r19,8 - 2de78: 0021883a mov r16,zero - 2de7c: 0029883a mov r20,zero - 2de80: 07000084 movi fp,2 - 2de84: 003fcb06 br 2ddb4 <_gp+0xffff187c> - 2de88: 502d883a mov r22,r10 - 2de8c: 00800084 movi r2,2 - 2de90: 28805726 beq r5,r2,2dff0 <__muldf3+0x2b8> - 2de94: 008000c4 movi r2,3 - 2de98: 28816626 beq r5,r2,2e434 <__muldf3+0x6fc> - 2de9c: 00800044 movi r2,1 - 2dea0: 2881411e bne r5,r2,2e3a8 <__muldf3+0x670> - 2dea4: b02b883a mov r21,r22 - 2dea8: 0005883a mov r2,zero - 2deac: 000b883a mov r5,zero - 2deb0: 0029883a mov r20,zero - 2deb4: 1004953a slli r2,r2,20 - 2deb8: a8c03fcc andi r3,r21,255 - 2debc: 04400434 movhi r17,16 - 2dec0: 8c7fffc4 addi r17,r17,-1 - 2dec4: 180697fa slli r3,r3,31 - 2dec8: 2c4a703a and r5,r5,r17 - 2decc: 288ab03a or r5,r5,r2 - 2ded0: 28c6b03a or r3,r5,r3 - 2ded4: a005883a mov r2,r20 - 2ded8: dfc00c17 ldw ra,48(sp) - 2dedc: df000b17 ldw fp,44(sp) - 2dee0: ddc00a17 ldw r23,40(sp) - 2dee4: dd800917 ldw r22,36(sp) - 2dee8: dd400817 ldw r21,32(sp) - 2deec: dd000717 ldw r20,28(sp) - 2def0: dcc00617 ldw r19,24(sp) - 2def4: dc800517 ldw r18,20(sp) - 2def8: dc400417 ldw r17,16(sp) - 2defc: dc000317 ldw r16,12(sp) - 2df00: dec00d04 addi sp,sp,52 - 2df04: f800283a ret - 2df08: 2404b03a or r2,r4,r16 - 2df0c: 2027883a mov r19,r4 - 2df10: 10004226 beq r2,zero,2e01c <__muldf3+0x2e4> - 2df14: 8000fc26 beq r16,zero,2e308 <__muldf3+0x5d0> - 2df18: 8009883a mov r4,r16 - 2df1c: d9800215 stw r6,8(sp) - 2df20: d9c00015 stw r7,0(sp) - 2df24: da400115 stw r9,4(sp) - 2df28: 002ef500 call 2ef50 <__clzsi2> - 2df2c: d9800217 ldw r6,8(sp) - 2df30: d9c00017 ldw r7,0(sp) - 2df34: da400117 ldw r9,4(sp) - 2df38: 113ffd44 addi r4,r2,-11 - 2df3c: 00c00704 movi r3,28 - 2df40: 1900ed16 blt r3,r4,2e2f8 <__muldf3+0x5c0> - 2df44: 00c00744 movi r3,29 - 2df48: 147ffe04 addi r17,r2,-8 - 2df4c: 1907c83a sub r3,r3,r4 - 2df50: 8460983a sll r16,r16,r17 - 2df54: 98c6d83a srl r3,r19,r3 - 2df58: 9c68983a sll r20,r19,r17 - 2df5c: 1c20b03a or r16,r3,r16 - 2df60: 1080fcc4 addi r2,r2,1011 - 2df64: 00a5c83a sub r18,zero,r2 - 2df68: 0027883a mov r19,zero - 2df6c: 0039883a mov fp,zero - 2df70: 003f9006 br 2ddb4 <_gp+0xffff187c> - 2df74: 3446b03a or r3,r6,r17 - 2df78: 1800261e bne r3,zero,2e014 <__muldf3+0x2dc> - 2df7c: 0023883a mov r17,zero - 2df80: 0011883a mov r8,zero - 2df84: 01400084 movi r5,2 - 2df88: 003f9c06 br 2ddfc <_gp+0xffff18c4> - 2df8c: 3446b03a or r3,r6,r17 - 2df90: 18001c26 beq r3,zero,2e004 <__muldf3+0x2cc> - 2df94: 8800ce26 beq r17,zero,2e2d0 <__muldf3+0x598> - 2df98: 8809883a mov r4,r17 - 2df9c: d9800215 stw r6,8(sp) - 2dfa0: da400115 stw r9,4(sp) - 2dfa4: da800015 stw r10,0(sp) - 2dfa8: 002ef500 call 2ef50 <__clzsi2> - 2dfac: d9800217 ldw r6,8(sp) - 2dfb0: da400117 ldw r9,4(sp) - 2dfb4: da800017 ldw r10,0(sp) - 2dfb8: 113ffd44 addi r4,r2,-11 - 2dfbc: 00c00704 movi r3,28 - 2dfc0: 1900bf16 blt r3,r4,2e2c0 <__muldf3+0x588> - 2dfc4: 00c00744 movi r3,29 - 2dfc8: 123ffe04 addi r8,r2,-8 - 2dfcc: 1907c83a sub r3,r3,r4 - 2dfd0: 8a22983a sll r17,r17,r8 - 2dfd4: 30c6d83a srl r3,r6,r3 - 2dfd8: 3210983a sll r8,r6,r8 - 2dfdc: 1c62b03a or r17,r3,r17 - 2dfe0: 1080fcc4 addi r2,r2,1011 - 2dfe4: 0085c83a sub r2,zero,r2 - 2dfe8: 000b883a mov r5,zero - 2dfec: 003f8306 br 2ddfc <_gp+0xffff18c4> - 2dff0: b02b883a mov r21,r22 - 2dff4: 0081ffc4 movi r2,2047 - 2dff8: 000b883a mov r5,zero - 2dffc: 0029883a mov r20,zero - 2e000: 003fac06 br 2deb4 <_gp+0xffff197c> - 2e004: 0023883a mov r17,zero - 2e008: 0011883a mov r8,zero - 2e00c: 01400044 movi r5,1 - 2e010: 003f7a06 br 2ddfc <_gp+0xffff18c4> - 2e014: 014000c4 movi r5,3 - 2e018: 003f7806 br 2ddfc <_gp+0xffff18c4> - 2e01c: 04c00104 movi r19,4 - 2e020: 0021883a mov r16,zero - 2e024: 0029883a mov r20,zero - 2e028: 07000044 movi fp,1 - 2e02c: 003f6106 br 2ddb4 <_gp+0xffff187c> - 2e030: 04c00304 movi r19,12 - 2e034: 070000c4 movi fp,3 - 2e038: 003f5e06 br 2ddb4 <_gp+0xffff187c> - 2e03c: 01400434 movhi r5,16 - 2e040: 002b883a mov r21,zero - 2e044: 297fffc4 addi r5,r5,-1 - 2e048: 053fffc4 movi r20,-1 - 2e04c: 0081ffc4 movi r2,2047 - 2e050: 003f9806 br 2deb4 <_gp+0xffff197c> - 2e054: 8023883a mov r17,r16 - 2e058: a011883a mov r8,r20 - 2e05c: e00b883a mov r5,fp - 2e060: 003f8a06 br 2de8c <_gp+0xffff1954> - 2e064: 8023883a mov r17,r16 - 2e068: a011883a mov r8,r20 - 2e06c: 482d883a mov r22,r9 - 2e070: e00b883a mov r5,fp - 2e074: 003f8506 br 2de8c <_gp+0xffff1954> - 2e078: a00ad43a srli r5,r20,16 - 2e07c: 401ad43a srli r13,r8,16 - 2e080: a53fffcc andi r20,r20,65535 - 2e084: 423fffcc andi r8,r8,65535 - 2e088: 4519383a mul r12,r8,r20 - 2e08c: 4147383a mul r3,r8,r5 - 2e090: 6d09383a mul r4,r13,r20 - 2e094: 600cd43a srli r6,r12,16 - 2e098: 2b5d383a mul r14,r5,r13 - 2e09c: 20c9883a add r4,r4,r3 - 2e0a0: 310d883a add r6,r6,r4 - 2e0a4: 30c0022e bgeu r6,r3,2e0b0 <__muldf3+0x378> - 2e0a8: 00c00074 movhi r3,1 - 2e0ac: 70dd883a add r14,r14,r3 - 2e0b0: 8826d43a srli r19,r17,16 - 2e0b4: 8bffffcc andi r15,r17,65535 - 2e0b8: 7d23383a mul r17,r15,r20 - 2e0bc: 7949383a mul r4,r15,r5 - 2e0c0: 9d29383a mul r20,r19,r20 - 2e0c4: 8814d43a srli r10,r17,16 - 2e0c8: 3012943a slli r9,r6,16 - 2e0cc: a129883a add r20,r20,r4 - 2e0d0: 633fffcc andi r12,r12,65535 - 2e0d4: 5515883a add r10,r10,r20 - 2e0d8: 3006d43a srli r3,r6,16 - 2e0dc: 4b13883a add r9,r9,r12 - 2e0e0: 2ccb383a mul r5,r5,r19 - 2e0e4: 5100022e bgeu r10,r4,2e0f0 <__muldf3+0x3b8> - 2e0e8: 01000074 movhi r4,1 - 2e0ec: 290b883a add r5,r5,r4 - 2e0f0: 802ad43a srli r21,r16,16 - 2e0f4: 843fffcc andi r16,r16,65535 - 2e0f8: 440d383a mul r6,r8,r16 - 2e0fc: 4565383a mul r18,r8,r21 - 2e100: 8349383a mul r4,r16,r13 - 2e104: 500e943a slli r7,r10,16 - 2e108: 3010d43a srli r8,r6,16 - 2e10c: 5028d43a srli r20,r10,16 - 2e110: 2489883a add r4,r4,r18 - 2e114: 8abfffcc andi r10,r17,65535 - 2e118: 3a95883a add r10,r7,r10 - 2e11c: 4119883a add r12,r8,r4 - 2e120: a169883a add r20,r20,r5 - 2e124: 1a87883a add r3,r3,r10 - 2e128: 6d5b383a mul r13,r13,r21 - 2e12c: 6480022e bgeu r12,r18,2e138 <__muldf3+0x400> - 2e130: 01000074 movhi r4,1 - 2e134: 691b883a add r13,r13,r4 - 2e138: 7c25383a mul r18,r15,r16 - 2e13c: 7d4b383a mul r5,r15,r21 - 2e140: 84cf383a mul r7,r16,r19 - 2e144: 901ed43a srli r15,r18,16 - 2e148: 6008d43a srli r4,r12,16 - 2e14c: 6010943a slli r8,r12,16 - 2e150: 394f883a add r7,r7,r5 - 2e154: 333fffcc andi r12,r6,65535 - 2e158: 79df883a add r15,r15,r7 - 2e15c: 235b883a add r13,r4,r13 - 2e160: 9d63383a mul r17,r19,r21 - 2e164: 4309883a add r4,r8,r12 - 2e168: 7940022e bgeu r15,r5,2e174 <__muldf3+0x43c> - 2e16c: 01400074 movhi r5,1 - 2e170: 8963883a add r17,r17,r5 - 2e174: 780a943a slli r5,r15,16 - 2e178: 91bfffcc andi r6,r18,65535 - 2e17c: 70c7883a add r3,r14,r3 - 2e180: 298d883a add r6,r5,r6 - 2e184: 1a8f803a cmpltu r7,r3,r10 - 2e188: 350b883a add r5,r6,r20 - 2e18c: 20c7883a add r3,r4,r3 - 2e190: 3955883a add r10,r7,r5 - 2e194: 1909803a cmpltu r4,r3,r4 - 2e198: 6a91883a add r8,r13,r10 - 2e19c: 780cd43a srli r6,r15,16 - 2e1a0: 2219883a add r12,r4,r8 - 2e1a4: 2d0b803a cmpltu r5,r5,r20 - 2e1a8: 51cf803a cmpltu r7,r10,r7 - 2e1ac: 29ceb03a or r7,r5,r7 - 2e1b0: 4351803a cmpltu r8,r8,r13 - 2e1b4: 610b803a cmpltu r5,r12,r4 - 2e1b8: 4148b03a or r4,r8,r5 - 2e1bc: 398f883a add r7,r7,r6 - 2e1c0: 3909883a add r4,r7,r4 - 2e1c4: 1810927a slli r8,r3,9 - 2e1c8: 2449883a add r4,r4,r17 - 2e1cc: 2008927a slli r4,r4,9 - 2e1d0: 6022d5fa srli r17,r12,23 - 2e1d4: 1806d5fa srli r3,r3,23 - 2e1d8: 4252b03a or r9,r8,r9 - 2e1dc: 600a927a slli r5,r12,9 - 2e1e0: 4810c03a cmpne r8,r9,zero - 2e1e4: 2462b03a or r17,r4,r17 - 2e1e8: 40c6b03a or r3,r8,r3 - 2e1ec: 8900402c andhi r4,r17,256 - 2e1f0: 1950b03a or r8,r3,r5 - 2e1f4: 20000726 beq r4,zero,2e214 <__muldf3+0x4dc> - 2e1f8: 4006d07a srli r3,r8,1 - 2e1fc: 880497fa slli r2,r17,31 - 2e200: 4200004c andi r8,r8,1 - 2e204: 8822d07a srli r17,r17,1 - 2e208: 1a10b03a or r8,r3,r8 - 2e20c: 1210b03a or r8,r2,r8 - 2e210: 5805883a mov r2,r11 - 2e214: 1140ffc4 addi r5,r2,1023 - 2e218: 0140440e bge zero,r5,2e32c <__muldf3+0x5f4> - 2e21c: 40c001cc andi r3,r8,7 - 2e220: 18000726 beq r3,zero,2e240 <__muldf3+0x508> - 2e224: 40c003cc andi r3,r8,15 - 2e228: 01000104 movi r4,4 - 2e22c: 19000426 beq r3,r4,2e240 <__muldf3+0x508> - 2e230: 4107883a add r3,r8,r4 - 2e234: 1a11803a cmpltu r8,r3,r8 - 2e238: 8a23883a add r17,r17,r8 - 2e23c: 1811883a mov r8,r3 - 2e240: 88c0402c andhi r3,r17,256 - 2e244: 18000426 beq r3,zero,2e258 <__muldf3+0x520> - 2e248: 11410004 addi r5,r2,1024 - 2e24c: 00bfc034 movhi r2,65280 - 2e250: 10bfffc4 addi r2,r2,-1 - 2e254: 88a2703a and r17,r17,r2 - 2e258: 0081ff84 movi r2,2046 - 2e25c: 117f6416 blt r2,r5,2dff0 <_gp+0xffff1ab8> - 2e260: 8828977a slli r20,r17,29 - 2e264: 4010d0fa srli r8,r8,3 - 2e268: 8822927a slli r17,r17,9 - 2e26c: 2881ffcc andi r2,r5,2047 - 2e270: a228b03a or r20,r20,r8 - 2e274: 880ad33a srli r5,r17,12 - 2e278: b02b883a mov r21,r22 - 2e27c: 003f0d06 br 2deb4 <_gp+0xffff197c> - 2e280: 8080022c andhi r2,r16,8 - 2e284: 10000926 beq r2,zero,2e2ac <__muldf3+0x574> - 2e288: 8880022c andhi r2,r17,8 - 2e28c: 1000071e bne r2,zero,2e2ac <__muldf3+0x574> - 2e290: 00800434 movhi r2,16 - 2e294: 89400234 orhi r5,r17,8 - 2e298: 10bfffc4 addi r2,r2,-1 - 2e29c: b82b883a mov r21,r23 - 2e2a0: 288a703a and r5,r5,r2 - 2e2a4: 4029883a mov r20,r8 - 2e2a8: 003f6806 br 2e04c <_gp+0xffff1b14> - 2e2ac: 00800434 movhi r2,16 - 2e2b0: 81400234 orhi r5,r16,8 - 2e2b4: 10bfffc4 addi r2,r2,-1 - 2e2b8: 288a703a and r5,r5,r2 - 2e2bc: 003f6306 br 2e04c <_gp+0xffff1b14> - 2e2c0: 147ff604 addi r17,r2,-40 - 2e2c4: 3462983a sll r17,r6,r17 - 2e2c8: 0011883a mov r8,zero - 2e2cc: 003f4406 br 2dfe0 <_gp+0xffff1aa8> - 2e2d0: 3009883a mov r4,r6 - 2e2d4: d9800215 stw r6,8(sp) - 2e2d8: da400115 stw r9,4(sp) - 2e2dc: da800015 stw r10,0(sp) - 2e2e0: 002ef500 call 2ef50 <__clzsi2> - 2e2e4: 10800804 addi r2,r2,32 - 2e2e8: da800017 ldw r10,0(sp) - 2e2ec: da400117 ldw r9,4(sp) - 2e2f0: d9800217 ldw r6,8(sp) - 2e2f4: 003f3006 br 2dfb8 <_gp+0xffff1a80> - 2e2f8: 143ff604 addi r16,r2,-40 - 2e2fc: 9c20983a sll r16,r19,r16 - 2e300: 0029883a mov r20,zero - 2e304: 003f1606 br 2df60 <_gp+0xffff1a28> - 2e308: d9800215 stw r6,8(sp) - 2e30c: d9c00015 stw r7,0(sp) - 2e310: da400115 stw r9,4(sp) - 2e314: 002ef500 call 2ef50 <__clzsi2> - 2e318: 10800804 addi r2,r2,32 - 2e31c: da400117 ldw r9,4(sp) - 2e320: d9c00017 ldw r7,0(sp) - 2e324: d9800217 ldw r6,8(sp) - 2e328: 003f0306 br 2df38 <_gp+0xffff1a00> - 2e32c: 00c00044 movi r3,1 - 2e330: 1947c83a sub r3,r3,r5 - 2e334: 00800e04 movi r2,56 - 2e338: 10feda16 blt r2,r3,2dea4 <_gp+0xffff196c> - 2e33c: 008007c4 movi r2,31 - 2e340: 10c01b16 blt r2,r3,2e3b0 <__muldf3+0x678> - 2e344: 00800804 movi r2,32 - 2e348: 10c5c83a sub r2,r2,r3 - 2e34c: 888a983a sll r5,r17,r2 - 2e350: 40c8d83a srl r4,r8,r3 - 2e354: 4084983a sll r2,r8,r2 - 2e358: 88e2d83a srl r17,r17,r3 - 2e35c: 2906b03a or r3,r5,r4 - 2e360: 1004c03a cmpne r2,r2,zero - 2e364: 1886b03a or r3,r3,r2 - 2e368: 188001cc andi r2,r3,7 - 2e36c: 10000726 beq r2,zero,2e38c <__muldf3+0x654> - 2e370: 188003cc andi r2,r3,15 - 2e374: 01000104 movi r4,4 - 2e378: 11000426 beq r2,r4,2e38c <__muldf3+0x654> - 2e37c: 1805883a mov r2,r3 - 2e380: 10c00104 addi r3,r2,4 - 2e384: 1885803a cmpltu r2,r3,r2 - 2e388: 88a3883a add r17,r17,r2 - 2e38c: 8880202c andhi r2,r17,128 - 2e390: 10001c26 beq r2,zero,2e404 <__muldf3+0x6cc> - 2e394: b02b883a mov r21,r22 - 2e398: 00800044 movi r2,1 - 2e39c: 000b883a mov r5,zero - 2e3a0: 0029883a mov r20,zero - 2e3a4: 003ec306 br 2deb4 <_gp+0xffff197c> - 2e3a8: 5805883a mov r2,r11 - 2e3ac: 003f9906 br 2e214 <_gp+0xffff1cdc> - 2e3b0: 00bff844 movi r2,-31 - 2e3b4: 1145c83a sub r2,r2,r5 - 2e3b8: 8888d83a srl r4,r17,r2 - 2e3bc: 00800804 movi r2,32 - 2e3c0: 18801a26 beq r3,r2,2e42c <__muldf3+0x6f4> - 2e3c4: 00801004 movi r2,64 - 2e3c8: 10c5c83a sub r2,r2,r3 - 2e3cc: 8884983a sll r2,r17,r2 - 2e3d0: 1204b03a or r2,r2,r8 - 2e3d4: 1004c03a cmpne r2,r2,zero - 2e3d8: 2084b03a or r2,r4,r2 - 2e3dc: 144001cc andi r17,r2,7 - 2e3e0: 88000d1e bne r17,zero,2e418 <__muldf3+0x6e0> - 2e3e4: 000b883a mov r5,zero - 2e3e8: 1028d0fa srli r20,r2,3 - 2e3ec: b02b883a mov r21,r22 +0002e31c <__gedf2>: + 2e31c: 2804d53a srli r2,r5,20 + 2e320: 3806d53a srli r3,r7,20 + 2e324: 02000434 movhi r8,16 + 2e328: 423fffc4 addi r8,r8,-1 + 2e32c: 1081ffcc andi r2,r2,2047 + 2e330: 0241ffc4 movi r9,2047 + 2e334: 2a14703a and r10,r5,r8 + 2e338: 18c1ffcc andi r3,r3,2047 + 2e33c: 3a10703a and r8,r7,r8 + 2e340: 280ad7fa srli r5,r5,31 + 2e344: 380ed7fa srli r7,r7,31 + 2e348: 12401d26 beq r2,r9,2e3c0 <__gedf2+0xa4> + 2e34c: 0241ffc4 movi r9,2047 + 2e350: 1a401226 beq r3,r9,2e39c <__gedf2+0x80> + 2e354: 1000081e bne r2,zero,2e378 <__gedf2+0x5c> + 2e358: 2296b03a or r11,r4,r10 + 2e35c: 5813003a cmpeq r9,r11,zero + 2e360: 1800091e bne r3,zero,2e388 <__gedf2+0x6c> + 2e364: 3218b03a or r12,r6,r8 + 2e368: 6000071e bne r12,zero,2e388 <__gedf2+0x6c> + 2e36c: 0005883a mov r2,zero + 2e370: 5800101e bne r11,zero,2e3b4 <__gedf2+0x98> + 2e374: f800283a ret + 2e378: 18000c1e bne r3,zero,2e3ac <__gedf2+0x90> + 2e37c: 3212b03a or r9,r6,r8 + 2e380: 48000c26 beq r9,zero,2e3b4 <__gedf2+0x98> + 2e384: 0013883a mov r9,zero + 2e388: 39c03fcc andi r7,r7,255 + 2e38c: 48000826 beq r9,zero,2e3b0 <__gedf2+0x94> + 2e390: 38000926 beq r7,zero,2e3b8 <__gedf2+0x9c> + 2e394: 00800044 movi r2,1 + 2e398: f800283a ret + 2e39c: 3212b03a or r9,r6,r8 + 2e3a0: 483fec26 beq r9,zero,2e354 <_gp+0xffff14e8> + 2e3a4: 00bfff84 movi r2,-2 + 2e3a8: f800283a ret + 2e3ac: 39c03fcc andi r7,r7,255 + 2e3b0: 29c00626 beq r5,r7,2e3cc <__gedf2+0xb0> + 2e3b4: 283ff726 beq r5,zero,2e394 <_gp+0xffff1528> + 2e3b8: 00bfffc4 movi r2,-1 + 2e3bc: f800283a ret + 2e3c0: 2292b03a or r9,r4,r10 + 2e3c4: 483fe126 beq r9,zero,2e34c <_gp+0xffff14e0> + 2e3c8: 003ff606 br 2e3a4 <_gp+0xffff1538> + 2e3cc: 18bff916 blt r3,r2,2e3b4 <_gp+0xffff1548> + 2e3d0: 10c00316 blt r2,r3,2e3e0 <__gedf2+0xc4> + 2e3d4: 42bff736 bltu r8,r10,2e3b4 <_gp+0xffff1548> + 2e3d8: 52000326 beq r10,r8,2e3e8 <__gedf2+0xcc> + 2e3dc: 5200042e bgeu r10,r8,2e3f0 <__gedf2+0xd4> + 2e3e0: 283fec1e bne r5,zero,2e394 <_gp+0xffff1528> + 2e3e4: 003ff406 br 2e3b8 <_gp+0xffff154c> + 2e3e8: 313ff236 bltu r6,r4,2e3b4 <_gp+0xffff1548> + 2e3ec: 21bffc36 bltu r4,r6,2e3e0 <_gp+0xffff1574> 2e3f0: 0005883a mov r2,zero - 2e3f4: a468b03a or r20,r20,r17 - 2e3f8: 003eae06 br 2deb4 <_gp+0xffff197c> - 2e3fc: 1007883a mov r3,r2 - 2e400: 0023883a mov r17,zero - 2e404: 880a927a slli r5,r17,9 - 2e408: 1805883a mov r2,r3 - 2e40c: 8822977a slli r17,r17,29 - 2e410: 280ad33a srli r5,r5,12 - 2e414: 003ff406 br 2e3e8 <_gp+0xffff1eb0> - 2e418: 10c003cc andi r3,r2,15 - 2e41c: 01000104 movi r4,4 - 2e420: 193ff626 beq r3,r4,2e3fc <_gp+0xffff1ec4> - 2e424: 0023883a mov r17,zero - 2e428: 003fd506 br 2e380 <_gp+0xffff1e48> - 2e42c: 0005883a mov r2,zero - 2e430: 003fe706 br 2e3d0 <_gp+0xffff1e98> - 2e434: 00800434 movhi r2,16 - 2e438: 89400234 orhi r5,r17,8 - 2e43c: 10bfffc4 addi r2,r2,-1 - 2e440: b02b883a mov r21,r22 - 2e444: 288a703a and r5,r5,r2 - 2e448: 4029883a mov r20,r8 - 2e44c: 003eff06 br 2e04c <_gp+0xffff1b14> + 2e3f4: f800283a ret -0002e450 <__subdf3>: - 2e450: 02000434 movhi r8,16 - 2e454: 423fffc4 addi r8,r8,-1 - 2e458: defffb04 addi sp,sp,-20 - 2e45c: 2a14703a and r10,r5,r8 - 2e460: 3812d53a srli r9,r7,20 - 2e464: 3a10703a and r8,r7,r8 - 2e468: 2006d77a srli r3,r4,29 - 2e46c: 3004d77a srli r2,r6,29 - 2e470: dc000015 stw r16,0(sp) - 2e474: 501490fa slli r10,r10,3 - 2e478: 2820d53a srli r16,r5,20 - 2e47c: 401090fa slli r8,r8,3 - 2e480: dc800215 stw r18,8(sp) - 2e484: dc400115 stw r17,4(sp) - 2e488: dfc00415 stw ra,16(sp) - 2e48c: 202290fa slli r17,r4,3 - 2e490: dcc00315 stw r19,12(sp) - 2e494: 4a41ffcc andi r9,r9,2047 - 2e498: 0101ffc4 movi r4,2047 - 2e49c: 2824d7fa srli r18,r5,31 - 2e4a0: 8401ffcc andi r16,r16,2047 - 2e4a4: 50c6b03a or r3,r10,r3 - 2e4a8: 380ed7fa srli r7,r7,31 - 2e4ac: 408ab03a or r5,r8,r2 - 2e4b0: 300c90fa slli r6,r6,3 - 2e4b4: 49009626 beq r9,r4,2e710 <__subdf3+0x2c0> - 2e4b8: 39c0005c xori r7,r7,1 - 2e4bc: 8245c83a sub r2,r16,r9 - 2e4c0: 3c807426 beq r7,r18,2e694 <__subdf3+0x244> - 2e4c4: 0080af0e bge zero,r2,2e784 <__subdf3+0x334> - 2e4c8: 48002a1e bne r9,zero,2e574 <__subdf3+0x124> - 2e4cc: 2988b03a or r4,r5,r6 - 2e4d0: 20009a1e bne r4,zero,2e73c <__subdf3+0x2ec> - 2e4d4: 888001cc andi r2,r17,7 - 2e4d8: 10000726 beq r2,zero,2e4f8 <__subdf3+0xa8> - 2e4dc: 888003cc andi r2,r17,15 - 2e4e0: 01000104 movi r4,4 - 2e4e4: 11000426 beq r2,r4,2e4f8 <__subdf3+0xa8> - 2e4e8: 890b883a add r5,r17,r4 - 2e4ec: 2c63803a cmpltu r17,r5,r17 - 2e4f0: 1c47883a add r3,r3,r17 - 2e4f4: 2823883a mov r17,r5 - 2e4f8: 1880202c andhi r2,r3,128 - 2e4fc: 10005926 beq r2,zero,2e664 <__subdf3+0x214> - 2e500: 84000044 addi r16,r16,1 - 2e504: 0081ffc4 movi r2,2047 - 2e508: 8080be26 beq r16,r2,2e804 <__subdf3+0x3b4> - 2e50c: 017fe034 movhi r5,65408 - 2e510: 297fffc4 addi r5,r5,-1 - 2e514: 1946703a and r3,r3,r5 - 2e518: 1804977a slli r2,r3,29 - 2e51c: 1806927a slli r3,r3,9 - 2e520: 8822d0fa srli r17,r17,3 - 2e524: 8401ffcc andi r16,r16,2047 - 2e528: 180ad33a srli r5,r3,12 - 2e52c: 9100004c andi r4,r18,1 - 2e530: 1444b03a or r2,r2,r17 - 2e534: 80c1ffcc andi r3,r16,2047 - 2e538: 1820953a slli r16,r3,20 - 2e53c: 20c03fcc andi r3,r4,255 - 2e540: 180897fa slli r4,r3,31 - 2e544: 00c00434 movhi r3,16 - 2e548: 18ffffc4 addi r3,r3,-1 - 2e54c: 28c6703a and r3,r5,r3 - 2e550: 1c06b03a or r3,r3,r16 - 2e554: 1906b03a or r3,r3,r4 - 2e558: dfc00417 ldw ra,16(sp) - 2e55c: dcc00317 ldw r19,12(sp) - 2e560: dc800217 ldw r18,8(sp) - 2e564: dc400117 ldw r17,4(sp) - 2e568: dc000017 ldw r16,0(sp) - 2e56c: dec00504 addi sp,sp,20 - 2e570: f800283a ret - 2e574: 0101ffc4 movi r4,2047 - 2e578: 813fd626 beq r16,r4,2e4d4 <_gp+0xffff1f9c> - 2e57c: 29402034 orhi r5,r5,128 - 2e580: 01000e04 movi r4,56 - 2e584: 2080a316 blt r4,r2,2e814 <__subdf3+0x3c4> - 2e588: 010007c4 movi r4,31 - 2e58c: 2080c616 blt r4,r2,2e8a8 <__subdf3+0x458> - 2e590: 01000804 movi r4,32 - 2e594: 2089c83a sub r4,r4,r2 - 2e598: 2910983a sll r8,r5,r4 - 2e59c: 308ed83a srl r7,r6,r2 - 2e5a0: 3108983a sll r4,r6,r4 - 2e5a4: 2884d83a srl r2,r5,r2 - 2e5a8: 41ccb03a or r6,r8,r7 - 2e5ac: 2008c03a cmpne r4,r4,zero - 2e5b0: 310cb03a or r6,r6,r4 - 2e5b4: 898dc83a sub r6,r17,r6 - 2e5b8: 89a3803a cmpltu r17,r17,r6 - 2e5bc: 1887c83a sub r3,r3,r2 - 2e5c0: 1c47c83a sub r3,r3,r17 - 2e5c4: 3023883a mov r17,r6 - 2e5c8: 1880202c andhi r2,r3,128 - 2e5cc: 10002326 beq r2,zero,2e65c <__subdf3+0x20c> - 2e5d0: 04c02034 movhi r19,128 - 2e5d4: 9cffffc4 addi r19,r19,-1 - 2e5d8: 1ce6703a and r19,r3,r19 - 2e5dc: 98007a26 beq r19,zero,2e7c8 <__subdf3+0x378> - 2e5e0: 9809883a mov r4,r19 - 2e5e4: 002ef500 call 2ef50 <__clzsi2> - 2e5e8: 113ffe04 addi r4,r2,-8 - 2e5ec: 00c007c4 movi r3,31 - 2e5f0: 19007b16 blt r3,r4,2e7e0 <__subdf3+0x390> - 2e5f4: 00800804 movi r2,32 - 2e5f8: 1105c83a sub r2,r2,r4 - 2e5fc: 8884d83a srl r2,r17,r2 - 2e600: 9906983a sll r3,r19,r4 - 2e604: 8922983a sll r17,r17,r4 - 2e608: 10c4b03a or r2,r2,r3 - 2e60c: 24007816 blt r4,r16,2e7f0 <__subdf3+0x3a0> - 2e610: 2421c83a sub r16,r4,r16 - 2e614: 80c00044 addi r3,r16,1 - 2e618: 010007c4 movi r4,31 - 2e61c: 20c09516 blt r4,r3,2e874 <__subdf3+0x424> - 2e620: 01400804 movi r5,32 - 2e624: 28cbc83a sub r5,r5,r3 - 2e628: 88c8d83a srl r4,r17,r3 - 2e62c: 8962983a sll r17,r17,r5 - 2e630: 114a983a sll r5,r2,r5 - 2e634: 10c6d83a srl r3,r2,r3 - 2e638: 8804c03a cmpne r2,r17,zero - 2e63c: 290ab03a or r5,r5,r4 - 2e640: 28a2b03a or r17,r5,r2 - 2e644: 0021883a mov r16,zero - 2e648: 003fa206 br 2e4d4 <_gp+0xffff1f9c> - 2e64c: 2090b03a or r8,r4,r2 - 2e650: 40018e26 beq r8,zero,2ec8c <__subdf3+0x83c> - 2e654: 1007883a mov r3,r2 - 2e658: 2023883a mov r17,r4 - 2e65c: 888001cc andi r2,r17,7 - 2e660: 103f9e1e bne r2,zero,2e4dc <_gp+0xffff1fa4> - 2e664: 1804977a slli r2,r3,29 - 2e668: 8822d0fa srli r17,r17,3 - 2e66c: 1810d0fa srli r8,r3,3 - 2e670: 9100004c andi r4,r18,1 - 2e674: 1444b03a or r2,r2,r17 - 2e678: 00c1ffc4 movi r3,2047 - 2e67c: 80c02826 beq r16,r3,2e720 <__subdf3+0x2d0> - 2e680: 01400434 movhi r5,16 - 2e684: 297fffc4 addi r5,r5,-1 - 2e688: 80e0703a and r16,r16,r3 - 2e68c: 414a703a and r5,r8,r5 - 2e690: 003fa806 br 2e534 <_gp+0xffff1ffc> - 2e694: 0080630e bge zero,r2,2e824 <__subdf3+0x3d4> - 2e698: 48003026 beq r9,zero,2e75c <__subdf3+0x30c> - 2e69c: 0101ffc4 movi r4,2047 - 2e6a0: 813f8c26 beq r16,r4,2e4d4 <_gp+0xffff1f9c> - 2e6a4: 29402034 orhi r5,r5,128 - 2e6a8: 01000e04 movi r4,56 - 2e6ac: 2080a90e bge r4,r2,2e954 <__subdf3+0x504> - 2e6b0: 298cb03a or r6,r5,r6 - 2e6b4: 3012c03a cmpne r9,r6,zero - 2e6b8: 0005883a mov r2,zero - 2e6bc: 4c53883a add r9,r9,r17 - 2e6c0: 4c63803a cmpltu r17,r9,r17 - 2e6c4: 10c7883a add r3,r2,r3 - 2e6c8: 88c7883a add r3,r17,r3 - 2e6cc: 4823883a mov r17,r9 - 2e6d0: 1880202c andhi r2,r3,128 - 2e6d4: 1000d026 beq r2,zero,2ea18 <__subdf3+0x5c8> - 2e6d8: 84000044 addi r16,r16,1 - 2e6dc: 0081ffc4 movi r2,2047 - 2e6e0: 8080fe26 beq r16,r2,2eadc <__subdf3+0x68c> - 2e6e4: 00bfe034 movhi r2,65408 - 2e6e8: 10bfffc4 addi r2,r2,-1 - 2e6ec: 1886703a and r3,r3,r2 - 2e6f0: 880ad07a srli r5,r17,1 - 2e6f4: 180497fa slli r2,r3,31 - 2e6f8: 8900004c andi r4,r17,1 - 2e6fc: 2922b03a or r17,r5,r4 - 2e700: 1806d07a srli r3,r3,1 - 2e704: 1462b03a or r17,r2,r17 - 2e708: 3825883a mov r18,r7 - 2e70c: 003f7106 br 2e4d4 <_gp+0xffff1f9c> - 2e710: 2984b03a or r2,r5,r6 - 2e714: 103f6826 beq r2,zero,2e4b8 <_gp+0xffff1f80> - 2e718: 39c03fcc andi r7,r7,255 - 2e71c: 003f6706 br 2e4bc <_gp+0xffff1f84> - 2e720: 4086b03a or r3,r8,r2 - 2e724: 18015226 beq r3,zero,2ec70 <__subdf3+0x820> - 2e728: 00c00434 movhi r3,16 - 2e72c: 41400234 orhi r5,r8,8 - 2e730: 18ffffc4 addi r3,r3,-1 - 2e734: 28ca703a and r5,r5,r3 - 2e738: 003f7e06 br 2e534 <_gp+0xffff1ffc> - 2e73c: 10bfffc4 addi r2,r2,-1 - 2e740: 1000491e bne r2,zero,2e868 <__subdf3+0x418> - 2e744: 898fc83a sub r7,r17,r6 - 2e748: 89e3803a cmpltu r17,r17,r7 - 2e74c: 1947c83a sub r3,r3,r5 - 2e750: 1c47c83a sub r3,r3,r17 - 2e754: 3823883a mov r17,r7 - 2e758: 003f9b06 br 2e5c8 <_gp+0xffff2090> - 2e75c: 2988b03a or r4,r5,r6 - 2e760: 203f5c26 beq r4,zero,2e4d4 <_gp+0xffff1f9c> - 2e764: 10bfffc4 addi r2,r2,-1 - 2e768: 1000931e bne r2,zero,2e9b8 <__subdf3+0x568> - 2e76c: 898d883a add r6,r17,r6 - 2e770: 3463803a cmpltu r17,r6,r17 - 2e774: 1947883a add r3,r3,r5 - 2e778: 88c7883a add r3,r17,r3 - 2e77c: 3023883a mov r17,r6 - 2e780: 003fd306 br 2e6d0 <_gp+0xffff2198> - 2e784: 1000541e bne r2,zero,2e8d8 <__subdf3+0x488> - 2e788: 80800044 addi r2,r16,1 - 2e78c: 1081ffcc andi r2,r2,2047 - 2e790: 01000044 movi r4,1 - 2e794: 2080a20e bge r4,r2,2ea20 <__subdf3+0x5d0> - 2e798: 8989c83a sub r4,r17,r6 - 2e79c: 8905803a cmpltu r2,r17,r4 - 2e7a0: 1967c83a sub r19,r3,r5 - 2e7a4: 98a7c83a sub r19,r19,r2 - 2e7a8: 9880202c andhi r2,r19,128 - 2e7ac: 10006326 beq r2,zero,2e93c <__subdf3+0x4ec> - 2e7b0: 3463c83a sub r17,r6,r17 - 2e7b4: 28c7c83a sub r3,r5,r3 - 2e7b8: 344d803a cmpltu r6,r6,r17 - 2e7bc: 19a7c83a sub r19,r3,r6 - 2e7c0: 3825883a mov r18,r7 - 2e7c4: 983f861e bne r19,zero,2e5e0 <_gp+0xffff20a8> - 2e7c8: 8809883a mov r4,r17 - 2e7cc: 002ef500 call 2ef50 <__clzsi2> - 2e7d0: 10800804 addi r2,r2,32 - 2e7d4: 113ffe04 addi r4,r2,-8 - 2e7d8: 00c007c4 movi r3,31 - 2e7dc: 193f850e bge r3,r4,2e5f4 <_gp+0xffff20bc> - 2e7e0: 10bff604 addi r2,r2,-40 - 2e7e4: 8884983a sll r2,r17,r2 - 2e7e8: 0023883a mov r17,zero - 2e7ec: 243f880e bge r4,r16,2e610 <_gp+0xffff20d8> - 2e7f0: 00ffe034 movhi r3,65408 - 2e7f4: 18ffffc4 addi r3,r3,-1 - 2e7f8: 8121c83a sub r16,r16,r4 - 2e7fc: 10c6703a and r3,r2,r3 - 2e800: 003f3406 br 2e4d4 <_gp+0xffff1f9c> - 2e804: 9100004c andi r4,r18,1 - 2e808: 000b883a mov r5,zero - 2e80c: 0005883a mov r2,zero - 2e810: 003f4806 br 2e534 <_gp+0xffff1ffc> - 2e814: 298cb03a or r6,r5,r6 - 2e818: 300cc03a cmpne r6,r6,zero - 2e81c: 0005883a mov r2,zero - 2e820: 003f6406 br 2e5b4 <_gp+0xffff207c> - 2e824: 10009a1e bne r2,zero,2ea90 <__subdf3+0x640> - 2e828: 82400044 addi r9,r16,1 - 2e82c: 4881ffcc andi r2,r9,2047 - 2e830: 02800044 movi r10,1 - 2e834: 5080670e bge r10,r2,2e9d4 <__subdf3+0x584> - 2e838: 0081ffc4 movi r2,2047 - 2e83c: 4880af26 beq r9,r2,2eafc <__subdf3+0x6ac> - 2e840: 898d883a add r6,r17,r6 - 2e844: 1945883a add r2,r3,r5 - 2e848: 3447803a cmpltu r3,r6,r17 - 2e84c: 1887883a add r3,r3,r2 - 2e850: 182297fa slli r17,r3,31 - 2e854: 300cd07a srli r6,r6,1 - 2e858: 1806d07a srli r3,r3,1 - 2e85c: 4821883a mov r16,r9 - 2e860: 89a2b03a or r17,r17,r6 - 2e864: 003f1b06 br 2e4d4 <_gp+0xffff1f9c> - 2e868: 0101ffc4 movi r4,2047 - 2e86c: 813f441e bne r16,r4,2e580 <_gp+0xffff2048> - 2e870: 003f1806 br 2e4d4 <_gp+0xffff1f9c> - 2e874: 843ff844 addi r16,r16,-31 - 2e878: 01400804 movi r5,32 - 2e87c: 1408d83a srl r4,r2,r16 - 2e880: 19405026 beq r3,r5,2e9c4 <__subdf3+0x574> - 2e884: 01401004 movi r5,64 - 2e888: 28c7c83a sub r3,r5,r3 - 2e88c: 10c4983a sll r2,r2,r3 - 2e890: 88a2b03a or r17,r17,r2 - 2e894: 8822c03a cmpne r17,r17,zero - 2e898: 2462b03a or r17,r4,r17 - 2e89c: 0007883a mov r3,zero - 2e8a0: 0021883a mov r16,zero - 2e8a4: 003f6d06 br 2e65c <_gp+0xffff2124> - 2e8a8: 11fff804 addi r7,r2,-32 - 2e8ac: 01000804 movi r4,32 - 2e8b0: 29ced83a srl r7,r5,r7 - 2e8b4: 11004526 beq r2,r4,2e9cc <__subdf3+0x57c> - 2e8b8: 01001004 movi r4,64 - 2e8bc: 2089c83a sub r4,r4,r2 - 2e8c0: 2904983a sll r2,r5,r4 - 2e8c4: 118cb03a or r6,r2,r6 - 2e8c8: 300cc03a cmpne r6,r6,zero - 2e8cc: 398cb03a or r6,r7,r6 - 2e8d0: 0005883a mov r2,zero - 2e8d4: 003f3706 br 2e5b4 <_gp+0xffff207c> - 2e8d8: 80002a26 beq r16,zero,2e984 <__subdf3+0x534> - 2e8dc: 0101ffc4 movi r4,2047 - 2e8e0: 49006626 beq r9,r4,2ea7c <__subdf3+0x62c> - 2e8e4: 0085c83a sub r2,zero,r2 - 2e8e8: 18c02034 orhi r3,r3,128 - 2e8ec: 01000e04 movi r4,56 - 2e8f0: 20807e16 blt r4,r2,2eaec <__subdf3+0x69c> - 2e8f4: 010007c4 movi r4,31 - 2e8f8: 2080e716 blt r4,r2,2ec98 <__subdf3+0x848> - 2e8fc: 01000804 movi r4,32 - 2e900: 2089c83a sub r4,r4,r2 - 2e904: 1914983a sll r10,r3,r4 - 2e908: 8890d83a srl r8,r17,r2 - 2e90c: 8908983a sll r4,r17,r4 - 2e910: 1884d83a srl r2,r3,r2 - 2e914: 5222b03a or r17,r10,r8 - 2e918: 2006c03a cmpne r3,r4,zero - 2e91c: 88e2b03a or r17,r17,r3 - 2e920: 3463c83a sub r17,r6,r17 - 2e924: 2885c83a sub r2,r5,r2 - 2e928: 344d803a cmpltu r6,r6,r17 - 2e92c: 1187c83a sub r3,r2,r6 - 2e930: 4821883a mov r16,r9 - 2e934: 3825883a mov r18,r7 - 2e938: 003f2306 br 2e5c8 <_gp+0xffff2090> - 2e93c: 24d0b03a or r8,r4,r19 - 2e940: 40001b1e bne r8,zero,2e9b0 <__subdf3+0x560> - 2e944: 0005883a mov r2,zero - 2e948: 0009883a mov r4,zero - 2e94c: 0021883a mov r16,zero - 2e950: 003f4906 br 2e678 <_gp+0xffff2140> - 2e954: 010007c4 movi r4,31 - 2e958: 20803a16 blt r4,r2,2ea44 <__subdf3+0x5f4> - 2e95c: 01000804 movi r4,32 - 2e960: 2089c83a sub r4,r4,r2 - 2e964: 2912983a sll r9,r5,r4 - 2e968: 3090d83a srl r8,r6,r2 - 2e96c: 3108983a sll r4,r6,r4 - 2e970: 2884d83a srl r2,r5,r2 - 2e974: 4a12b03a or r9,r9,r8 - 2e978: 2008c03a cmpne r4,r4,zero - 2e97c: 4912b03a or r9,r9,r4 - 2e980: 003f4e06 br 2e6bc <_gp+0xffff2184> - 2e984: 1c48b03a or r4,r3,r17 - 2e988: 20003c26 beq r4,zero,2ea7c <__subdf3+0x62c> - 2e98c: 0084303a nor r2,zero,r2 - 2e990: 1000381e bne r2,zero,2ea74 <__subdf3+0x624> - 2e994: 3463c83a sub r17,r6,r17 - 2e998: 28c5c83a sub r2,r5,r3 - 2e99c: 344d803a cmpltu r6,r6,r17 - 2e9a0: 1187c83a sub r3,r2,r6 - 2e9a4: 4821883a mov r16,r9 - 2e9a8: 3825883a mov r18,r7 - 2e9ac: 003f0606 br 2e5c8 <_gp+0xffff2090> - 2e9b0: 2023883a mov r17,r4 - 2e9b4: 003f0906 br 2e5dc <_gp+0xffff20a4> - 2e9b8: 0101ffc4 movi r4,2047 - 2e9bc: 813f3a1e bne r16,r4,2e6a8 <_gp+0xffff2170> - 2e9c0: 003ec406 br 2e4d4 <_gp+0xffff1f9c> - 2e9c4: 0005883a mov r2,zero - 2e9c8: 003fb106 br 2e890 <_gp+0xffff2358> - 2e9cc: 0005883a mov r2,zero - 2e9d0: 003fbc06 br 2e8c4 <_gp+0xffff238c> - 2e9d4: 1c44b03a or r2,r3,r17 - 2e9d8: 80008e1e bne r16,zero,2ec14 <__subdf3+0x7c4> - 2e9dc: 1000c826 beq r2,zero,2ed00 <__subdf3+0x8b0> - 2e9e0: 2984b03a or r2,r5,r6 - 2e9e4: 103ebb26 beq r2,zero,2e4d4 <_gp+0xffff1f9c> - 2e9e8: 8989883a add r4,r17,r6 - 2e9ec: 1945883a add r2,r3,r5 - 2e9f0: 2447803a cmpltu r3,r4,r17 - 2e9f4: 1887883a add r3,r3,r2 - 2e9f8: 1880202c andhi r2,r3,128 - 2e9fc: 2023883a mov r17,r4 - 2ea00: 103f1626 beq r2,zero,2e65c <_gp+0xffff2124> - 2ea04: 00bfe034 movhi r2,65408 - 2ea08: 10bfffc4 addi r2,r2,-1 - 2ea0c: 5021883a mov r16,r10 - 2ea10: 1886703a and r3,r3,r2 - 2ea14: 003eaf06 br 2e4d4 <_gp+0xffff1f9c> - 2ea18: 3825883a mov r18,r7 - 2ea1c: 003f0f06 br 2e65c <_gp+0xffff2124> - 2ea20: 1c44b03a or r2,r3,r17 - 2ea24: 8000251e bne r16,zero,2eabc <__subdf3+0x66c> - 2ea28: 1000661e bne r2,zero,2ebc4 <__subdf3+0x774> - 2ea2c: 2990b03a or r8,r5,r6 - 2ea30: 40009626 beq r8,zero,2ec8c <__subdf3+0x83c> - 2ea34: 2807883a mov r3,r5 - 2ea38: 3023883a mov r17,r6 - 2ea3c: 3825883a mov r18,r7 - 2ea40: 003ea406 br 2e4d4 <_gp+0xffff1f9c> - 2ea44: 127ff804 addi r9,r2,-32 - 2ea48: 01000804 movi r4,32 - 2ea4c: 2a52d83a srl r9,r5,r9 - 2ea50: 11008c26 beq r2,r4,2ec84 <__subdf3+0x834> - 2ea54: 01001004 movi r4,64 - 2ea58: 2085c83a sub r2,r4,r2 - 2ea5c: 2884983a sll r2,r5,r2 - 2ea60: 118cb03a or r6,r2,r6 - 2ea64: 300cc03a cmpne r6,r6,zero - 2ea68: 4992b03a or r9,r9,r6 - 2ea6c: 0005883a mov r2,zero - 2ea70: 003f1206 br 2e6bc <_gp+0xffff2184> - 2ea74: 0101ffc4 movi r4,2047 - 2ea78: 493f9c1e bne r9,r4,2e8ec <_gp+0xffff23b4> - 2ea7c: 2807883a mov r3,r5 - 2ea80: 3023883a mov r17,r6 - 2ea84: 4821883a mov r16,r9 - 2ea88: 3825883a mov r18,r7 - 2ea8c: 003e9106 br 2e4d4 <_gp+0xffff1f9c> - 2ea90: 80001f1e bne r16,zero,2eb10 <__subdf3+0x6c0> - 2ea94: 1c48b03a or r4,r3,r17 - 2ea98: 20005a26 beq r4,zero,2ec04 <__subdf3+0x7b4> - 2ea9c: 0084303a nor r2,zero,r2 - 2eaa0: 1000561e bne r2,zero,2ebfc <__subdf3+0x7ac> - 2eaa4: 89a3883a add r17,r17,r6 - 2eaa8: 1945883a add r2,r3,r5 - 2eaac: 898d803a cmpltu r6,r17,r6 - 2eab0: 3087883a add r3,r6,r2 - 2eab4: 4821883a mov r16,r9 - 2eab8: 003f0506 br 2e6d0 <_gp+0xffff2198> - 2eabc: 10002b1e bne r2,zero,2eb6c <__subdf3+0x71c> - 2eac0: 2984b03a or r2,r5,r6 - 2eac4: 10008026 beq r2,zero,2ecc8 <__subdf3+0x878> - 2eac8: 2807883a mov r3,r5 - 2eacc: 3023883a mov r17,r6 - 2ead0: 3825883a mov r18,r7 - 2ead4: 0401ffc4 movi r16,2047 - 2ead8: 003e7e06 br 2e4d4 <_gp+0xffff1f9c> - 2eadc: 3809883a mov r4,r7 - 2eae0: 0011883a mov r8,zero - 2eae4: 0005883a mov r2,zero - 2eae8: 003ee306 br 2e678 <_gp+0xffff2140> - 2eaec: 1c62b03a or r17,r3,r17 - 2eaf0: 8822c03a cmpne r17,r17,zero - 2eaf4: 0005883a mov r2,zero - 2eaf8: 003f8906 br 2e920 <_gp+0xffff23e8> - 2eafc: 3809883a mov r4,r7 - 2eb00: 4821883a mov r16,r9 - 2eb04: 0011883a mov r8,zero - 2eb08: 0005883a mov r2,zero - 2eb0c: 003eda06 br 2e678 <_gp+0xffff2140> - 2eb10: 0101ffc4 movi r4,2047 - 2eb14: 49003b26 beq r9,r4,2ec04 <__subdf3+0x7b4> - 2eb18: 0085c83a sub r2,zero,r2 - 2eb1c: 18c02034 orhi r3,r3,128 - 2eb20: 01000e04 movi r4,56 - 2eb24: 20806e16 blt r4,r2,2ece0 <__subdf3+0x890> - 2eb28: 010007c4 movi r4,31 - 2eb2c: 20807716 blt r4,r2,2ed0c <__subdf3+0x8bc> - 2eb30: 01000804 movi r4,32 - 2eb34: 2089c83a sub r4,r4,r2 - 2eb38: 1914983a sll r10,r3,r4 - 2eb3c: 8890d83a srl r8,r17,r2 - 2eb40: 8908983a sll r4,r17,r4 - 2eb44: 1884d83a srl r2,r3,r2 - 2eb48: 5222b03a or r17,r10,r8 - 2eb4c: 2006c03a cmpne r3,r4,zero - 2eb50: 88e2b03a or r17,r17,r3 - 2eb54: 89a3883a add r17,r17,r6 - 2eb58: 1145883a add r2,r2,r5 - 2eb5c: 898d803a cmpltu r6,r17,r6 - 2eb60: 3087883a add r3,r6,r2 - 2eb64: 4821883a mov r16,r9 - 2eb68: 003ed906 br 2e6d0 <_gp+0xffff2198> - 2eb6c: 2984b03a or r2,r5,r6 - 2eb70: 10004226 beq r2,zero,2ec7c <__subdf3+0x82c> - 2eb74: 1808d0fa srli r4,r3,3 - 2eb78: 8822d0fa srli r17,r17,3 - 2eb7c: 1806977a slli r3,r3,29 - 2eb80: 2080022c andhi r2,r4,8 - 2eb84: 1c62b03a or r17,r3,r17 - 2eb88: 10000826 beq r2,zero,2ebac <__subdf3+0x75c> - 2eb8c: 2812d0fa srli r9,r5,3 - 2eb90: 4880022c andhi r2,r9,8 - 2eb94: 1000051e bne r2,zero,2ebac <__subdf3+0x75c> - 2eb98: 300cd0fa srli r6,r6,3 - 2eb9c: 2804977a slli r2,r5,29 - 2eba0: 4809883a mov r4,r9 - 2eba4: 3825883a mov r18,r7 - 2eba8: 11a2b03a or r17,r2,r6 - 2ebac: 8806d77a srli r3,r17,29 - 2ebb0: 200890fa slli r4,r4,3 - 2ebb4: 882290fa slli r17,r17,3 - 2ebb8: 0401ffc4 movi r16,2047 - 2ebbc: 1906b03a or r3,r3,r4 - 2ebc0: 003e4406 br 2e4d4 <_gp+0xffff1f9c> - 2ebc4: 2984b03a or r2,r5,r6 - 2ebc8: 103e4226 beq r2,zero,2e4d4 <_gp+0xffff1f9c> - 2ebcc: 8989c83a sub r4,r17,r6 - 2ebd0: 8911803a cmpltu r8,r17,r4 - 2ebd4: 1945c83a sub r2,r3,r5 - 2ebd8: 1205c83a sub r2,r2,r8 - 2ebdc: 1200202c andhi r8,r2,128 - 2ebe0: 403e9a26 beq r8,zero,2e64c <_gp+0xffff2114> - 2ebe4: 3463c83a sub r17,r6,r17 - 2ebe8: 28c5c83a sub r2,r5,r3 - 2ebec: 344d803a cmpltu r6,r6,r17 - 2ebf0: 1187c83a sub r3,r2,r6 - 2ebf4: 3825883a mov r18,r7 - 2ebf8: 003e3606 br 2e4d4 <_gp+0xffff1f9c> - 2ebfc: 0101ffc4 movi r4,2047 - 2ec00: 493fc71e bne r9,r4,2eb20 <_gp+0xffff25e8> - 2ec04: 2807883a mov r3,r5 - 2ec08: 3023883a mov r17,r6 - 2ec0c: 4821883a mov r16,r9 - 2ec10: 003e3006 br 2e4d4 <_gp+0xffff1f9c> - 2ec14: 10003626 beq r2,zero,2ecf0 <__subdf3+0x8a0> - 2ec18: 2984b03a or r2,r5,r6 - 2ec1c: 10001726 beq r2,zero,2ec7c <__subdf3+0x82c> - 2ec20: 1808d0fa srli r4,r3,3 - 2ec24: 8822d0fa srli r17,r17,3 - 2ec28: 1806977a slli r3,r3,29 - 2ec2c: 2080022c andhi r2,r4,8 - 2ec30: 1c62b03a or r17,r3,r17 - 2ec34: 10000726 beq r2,zero,2ec54 <__subdf3+0x804> - 2ec38: 2812d0fa srli r9,r5,3 - 2ec3c: 4880022c andhi r2,r9,8 - 2ec40: 1000041e bne r2,zero,2ec54 <__subdf3+0x804> - 2ec44: 300cd0fa srli r6,r6,3 - 2ec48: 2804977a slli r2,r5,29 - 2ec4c: 4809883a mov r4,r9 - 2ec50: 11a2b03a or r17,r2,r6 - 2ec54: 8806d77a srli r3,r17,29 - 2ec58: 200890fa slli r4,r4,3 - 2ec5c: 882290fa slli r17,r17,3 - 2ec60: 3825883a mov r18,r7 - 2ec64: 1906b03a or r3,r3,r4 - 2ec68: 0401ffc4 movi r16,2047 - 2ec6c: 003e1906 br 2e4d4 <_gp+0xffff1f9c> - 2ec70: 000b883a mov r5,zero - 2ec74: 0005883a mov r2,zero - 2ec78: 003e2e06 br 2e534 <_gp+0xffff1ffc> - 2ec7c: 0401ffc4 movi r16,2047 - 2ec80: 003e1406 br 2e4d4 <_gp+0xffff1f9c> - 2ec84: 0005883a mov r2,zero - 2ec88: 003f7506 br 2ea60 <_gp+0xffff2528> - 2ec8c: 0005883a mov r2,zero - 2ec90: 0009883a mov r4,zero - 2ec94: 003e7806 br 2e678 <_gp+0xffff2140> - 2ec98: 123ff804 addi r8,r2,-32 - 2ec9c: 01000804 movi r4,32 - 2eca0: 1a10d83a srl r8,r3,r8 - 2eca4: 11002526 beq r2,r4,2ed3c <__subdf3+0x8ec> - 2eca8: 01001004 movi r4,64 - 2ecac: 2085c83a sub r2,r4,r2 - 2ecb0: 1884983a sll r2,r3,r2 - 2ecb4: 1444b03a or r2,r2,r17 - 2ecb8: 1004c03a cmpne r2,r2,zero - 2ecbc: 40a2b03a or r17,r8,r2 - 2ecc0: 0005883a mov r2,zero - 2ecc4: 003f1606 br 2e920 <_gp+0xffff23e8> - 2ecc8: 02000434 movhi r8,16 - 2eccc: 0009883a mov r4,zero - 2ecd0: 423fffc4 addi r8,r8,-1 - 2ecd4: 00bfffc4 movi r2,-1 - 2ecd8: 0401ffc4 movi r16,2047 - 2ecdc: 003e6606 br 2e678 <_gp+0xffff2140> - 2ece0: 1c62b03a or r17,r3,r17 - 2ece4: 8822c03a cmpne r17,r17,zero - 2ece8: 0005883a mov r2,zero - 2ecec: 003f9906 br 2eb54 <_gp+0xffff261c> - 2ecf0: 2807883a mov r3,r5 - 2ecf4: 3023883a mov r17,r6 - 2ecf8: 0401ffc4 movi r16,2047 - 2ecfc: 003df506 br 2e4d4 <_gp+0xffff1f9c> - 2ed00: 2807883a mov r3,r5 - 2ed04: 3023883a mov r17,r6 - 2ed08: 003df206 br 2e4d4 <_gp+0xffff1f9c> - 2ed0c: 123ff804 addi r8,r2,-32 - 2ed10: 01000804 movi r4,32 - 2ed14: 1a10d83a srl r8,r3,r8 - 2ed18: 11000a26 beq r2,r4,2ed44 <__subdf3+0x8f4> - 2ed1c: 01001004 movi r4,64 - 2ed20: 2085c83a sub r2,r4,r2 - 2ed24: 1884983a sll r2,r3,r2 - 2ed28: 1444b03a or r2,r2,r17 - 2ed2c: 1004c03a cmpne r2,r2,zero - 2ed30: 40a2b03a or r17,r8,r2 - 2ed34: 0005883a mov r2,zero - 2ed38: 003f8606 br 2eb54 <_gp+0xffff261c> - 2ed3c: 0005883a mov r2,zero - 2ed40: 003fdc06 br 2ecb4 <_gp+0xffff277c> - 2ed44: 0005883a mov r2,zero - 2ed48: 003ff706 br 2ed28 <_gp+0xffff27f0> +0002e3f8 <__ledf2>: + 2e3f8: 2804d53a srli r2,r5,20 + 2e3fc: 3810d53a srli r8,r7,20 + 2e400: 00c00434 movhi r3,16 + 2e404: 18ffffc4 addi r3,r3,-1 + 2e408: 1081ffcc andi r2,r2,2047 + 2e40c: 0241ffc4 movi r9,2047 + 2e410: 28d4703a and r10,r5,r3 + 2e414: 4201ffcc andi r8,r8,2047 + 2e418: 38c6703a and r3,r7,r3 + 2e41c: 280ad7fa srli r5,r5,31 + 2e420: 380ed7fa srli r7,r7,31 + 2e424: 12401f26 beq r2,r9,2e4a4 <__ledf2+0xac> + 2e428: 0241ffc4 movi r9,2047 + 2e42c: 42401426 beq r8,r9,2e480 <__ledf2+0x88> + 2e430: 1000091e bne r2,zero,2e458 <__ledf2+0x60> + 2e434: 2296b03a or r11,r4,r10 + 2e438: 5813003a cmpeq r9,r11,zero + 2e43c: 29403fcc andi r5,r5,255 + 2e440: 40000a1e bne r8,zero,2e46c <__ledf2+0x74> + 2e444: 30d8b03a or r12,r6,r3 + 2e448: 6000081e bne r12,zero,2e46c <__ledf2+0x74> + 2e44c: 0005883a mov r2,zero + 2e450: 5800111e bne r11,zero,2e498 <__ledf2+0xa0> + 2e454: f800283a ret + 2e458: 29403fcc andi r5,r5,255 + 2e45c: 40000c1e bne r8,zero,2e490 <__ledf2+0x98> + 2e460: 30d2b03a or r9,r6,r3 + 2e464: 48000c26 beq r9,zero,2e498 <__ledf2+0xa0> + 2e468: 0013883a mov r9,zero + 2e46c: 39c03fcc andi r7,r7,255 + 2e470: 48000826 beq r9,zero,2e494 <__ledf2+0x9c> + 2e474: 38001126 beq r7,zero,2e4bc <__ledf2+0xc4> + 2e478: 00800044 movi r2,1 + 2e47c: f800283a ret + 2e480: 30d2b03a or r9,r6,r3 + 2e484: 483fea26 beq r9,zero,2e430 <_gp+0xffff15c4> + 2e488: 00800084 movi r2,2 + 2e48c: f800283a ret + 2e490: 39c03fcc andi r7,r7,255 + 2e494: 39400726 beq r7,r5,2e4b4 <__ledf2+0xbc> + 2e498: 2800081e bne r5,zero,2e4bc <__ledf2+0xc4> + 2e49c: 00800044 movi r2,1 + 2e4a0: f800283a ret + 2e4a4: 2292b03a or r9,r4,r10 + 2e4a8: 483fdf26 beq r9,zero,2e428 <_gp+0xffff15bc> + 2e4ac: 00800084 movi r2,2 + 2e4b0: f800283a ret + 2e4b4: 4080030e bge r8,r2,2e4c4 <__ledf2+0xcc> + 2e4b8: 383fef26 beq r7,zero,2e478 <_gp+0xffff160c> + 2e4bc: 00bfffc4 movi r2,-1 + 2e4c0: f800283a ret + 2e4c4: 123feb16 blt r2,r8,2e474 <_gp+0xffff1608> + 2e4c8: 1abff336 bltu r3,r10,2e498 <_gp+0xffff162c> + 2e4cc: 50c00326 beq r10,r3,2e4dc <__ledf2+0xe4> + 2e4d0: 50c0042e bgeu r10,r3,2e4e4 <__ledf2+0xec> + 2e4d4: 283fe81e bne r5,zero,2e478 <_gp+0xffff160c> + 2e4d8: 003ff806 br 2e4bc <_gp+0xffff1650> + 2e4dc: 313fee36 bltu r6,r4,2e498 <_gp+0xffff162c> + 2e4e0: 21bffc36 bltu r4,r6,2e4d4 <_gp+0xffff1668> + 2e4e4: 0005883a mov r2,zero + 2e4e8: f800283a ret -0002ed4c <__fixdfsi>: - 2ed4c: 280cd53a srli r6,r5,20 - 2ed50: 00c00434 movhi r3,16 - 2ed54: 18ffffc4 addi r3,r3,-1 - 2ed58: 3181ffcc andi r6,r6,2047 - 2ed5c: 01c0ff84 movi r7,1022 - 2ed60: 28c6703a and r3,r5,r3 - 2ed64: 280ad7fa srli r5,r5,31 - 2ed68: 3980120e bge r7,r6,2edb4 <__fixdfsi+0x68> - 2ed6c: 00810744 movi r2,1053 - 2ed70: 11800c16 blt r2,r6,2eda4 <__fixdfsi+0x58> - 2ed74: 00810cc4 movi r2,1075 - 2ed78: 1185c83a sub r2,r2,r6 - 2ed7c: 01c007c4 movi r7,31 - 2ed80: 18c00434 orhi r3,r3,16 - 2ed84: 38800d16 blt r7,r2,2edbc <__fixdfsi+0x70> - 2ed88: 31befb44 addi r6,r6,-1043 - 2ed8c: 2084d83a srl r2,r4,r2 - 2ed90: 1986983a sll r3,r3,r6 - 2ed94: 1884b03a or r2,r3,r2 - 2ed98: 28000726 beq r5,zero,2edb8 <__fixdfsi+0x6c> - 2ed9c: 0085c83a sub r2,zero,r2 - 2eda0: f800283a ret - 2eda4: 00a00034 movhi r2,32768 - 2eda8: 10bfffc4 addi r2,r2,-1 - 2edac: 2885883a add r2,r5,r2 - 2edb0: f800283a ret - 2edb4: 0005883a mov r2,zero - 2edb8: f800283a ret - 2edbc: 008104c4 movi r2,1043 - 2edc0: 1185c83a sub r2,r2,r6 - 2edc4: 1884d83a srl r2,r3,r2 - 2edc8: 003ff306 br 2ed98 <_gp+0xffff2860> +0002e4ec <__muldf3>: + 2e4ec: defff304 addi sp,sp,-52 + 2e4f0: 2804d53a srli r2,r5,20 + 2e4f4: dd800915 stw r22,36(sp) + 2e4f8: 282cd7fa srli r22,r5,31 + 2e4fc: dc000315 stw r16,12(sp) + 2e500: 04000434 movhi r16,16 + 2e504: dd400815 stw r21,32(sp) + 2e508: dc800515 stw r18,20(sp) + 2e50c: 843fffc4 addi r16,r16,-1 + 2e510: dfc00c15 stw ra,48(sp) + 2e514: df000b15 stw fp,44(sp) + 2e518: ddc00a15 stw r23,40(sp) + 2e51c: dd000715 stw r20,28(sp) + 2e520: dcc00615 stw r19,24(sp) + 2e524: dc400415 stw r17,16(sp) + 2e528: 1481ffcc andi r18,r2,2047 + 2e52c: 2c20703a and r16,r5,r16 + 2e530: b02b883a mov r21,r22 + 2e534: b2403fcc andi r9,r22,255 + 2e538: 90006026 beq r18,zero,2e6bc <__muldf3+0x1d0> + 2e53c: 0081ffc4 movi r2,2047 + 2e540: 2029883a mov r20,r4 + 2e544: 90803626 beq r18,r2,2e620 <__muldf3+0x134> + 2e548: 80800434 orhi r2,r16,16 + 2e54c: 100490fa slli r2,r2,3 + 2e550: 2020d77a srli r16,r4,29 + 2e554: 202890fa slli r20,r4,3 + 2e558: 94bf0044 addi r18,r18,-1023 + 2e55c: 80a0b03a or r16,r16,r2 + 2e560: 0027883a mov r19,zero + 2e564: 0039883a mov fp,zero + 2e568: 3804d53a srli r2,r7,20 + 2e56c: 382ed7fa srli r23,r7,31 + 2e570: 04400434 movhi r17,16 + 2e574: 8c7fffc4 addi r17,r17,-1 + 2e578: 1081ffcc andi r2,r2,2047 + 2e57c: 3011883a mov r8,r6 + 2e580: 3c62703a and r17,r7,r17 + 2e584: ba803fcc andi r10,r23,255 + 2e588: 10006d26 beq r2,zero,2e740 <__muldf3+0x254> + 2e58c: 00c1ffc4 movi r3,2047 + 2e590: 10c06526 beq r2,r3,2e728 <__muldf3+0x23c> + 2e594: 88c00434 orhi r3,r17,16 + 2e598: 180690fa slli r3,r3,3 + 2e59c: 3022d77a srli r17,r6,29 + 2e5a0: 301090fa slli r8,r6,3 + 2e5a4: 10bf0044 addi r2,r2,-1023 + 2e5a8: 88e2b03a or r17,r17,r3 + 2e5ac: 000b883a mov r5,zero + 2e5b0: 9085883a add r2,r18,r2 + 2e5b4: 2cc8b03a or r4,r5,r19 + 2e5b8: 00c003c4 movi r3,15 + 2e5bc: bdacf03a xor r22,r23,r22 + 2e5c0: 12c00044 addi r11,r2,1 + 2e5c4: 19009936 bltu r3,r4,2e82c <__muldf3+0x340> + 2e5c8: 200890ba slli r4,r4,2 + 2e5cc: 00c000f4 movhi r3,3 + 2e5d0: 18f97804 addi r3,r3,-6688 + 2e5d4: 20c9883a add r4,r4,r3 + 2e5d8: 20c00017 ldw r3,0(r4) + 2e5dc: 1800683a jmp r3 + 2e5e0: 0002e82c andhi zero,zero,2976 + 2e5e4: 0002e640 call 2e64 <__alt_mem_onchip_memory2_0-0x1d19c> + 2e5e8: 0002e640 call 2e64 <__alt_mem_onchip_memory2_0-0x1d19c> + 2e5ec: 0002e63c xorhi zero,zero,2968 + 2e5f0: 0002e808 cmpgei zero,zero,2976 + 2e5f4: 0002e808 cmpgei zero,zero,2976 + 2e5f8: 0002e7f0 cmpltui zero,zero,2975 + 2e5fc: 0002e63c xorhi zero,zero,2968 + 2e600: 0002e808 cmpgei zero,zero,2976 + 2e604: 0002e7f0 cmpltui zero,zero,2975 + 2e608: 0002e808 cmpgei zero,zero,2976 + 2e60c: 0002e63c xorhi zero,zero,2968 + 2e610: 0002e818 cmpnei zero,zero,2976 + 2e614: 0002e818 cmpnei zero,zero,2976 + 2e618: 0002e818 cmpnei zero,zero,2976 + 2e61c: 0002ea34 movhi zero,2984 + 2e620: 2404b03a or r2,r4,r16 + 2e624: 10006f1e bne r2,zero,2e7e4 <__muldf3+0x2f8> + 2e628: 04c00204 movi r19,8 + 2e62c: 0021883a mov r16,zero + 2e630: 0029883a mov r20,zero + 2e634: 07000084 movi fp,2 + 2e638: 003fcb06 br 2e568 <_gp+0xffff16fc> + 2e63c: 502d883a mov r22,r10 + 2e640: 00800084 movi r2,2 + 2e644: 28805726 beq r5,r2,2e7a4 <__muldf3+0x2b8> + 2e648: 008000c4 movi r2,3 + 2e64c: 28816626 beq r5,r2,2ebe8 <__muldf3+0x6fc> + 2e650: 00800044 movi r2,1 + 2e654: 2881411e bne r5,r2,2eb5c <__muldf3+0x670> + 2e658: b02b883a mov r21,r22 + 2e65c: 0005883a mov r2,zero + 2e660: 000b883a mov r5,zero + 2e664: 0029883a mov r20,zero + 2e668: 1004953a slli r2,r2,20 + 2e66c: a8c03fcc andi r3,r21,255 + 2e670: 04400434 movhi r17,16 + 2e674: 8c7fffc4 addi r17,r17,-1 + 2e678: 180697fa slli r3,r3,31 + 2e67c: 2c4a703a and r5,r5,r17 + 2e680: 288ab03a or r5,r5,r2 + 2e684: 28c6b03a or r3,r5,r3 + 2e688: a005883a mov r2,r20 + 2e68c: dfc00c17 ldw ra,48(sp) + 2e690: df000b17 ldw fp,44(sp) + 2e694: ddc00a17 ldw r23,40(sp) + 2e698: dd800917 ldw r22,36(sp) + 2e69c: dd400817 ldw r21,32(sp) + 2e6a0: dd000717 ldw r20,28(sp) + 2e6a4: dcc00617 ldw r19,24(sp) + 2e6a8: dc800517 ldw r18,20(sp) + 2e6ac: dc400417 ldw r17,16(sp) + 2e6b0: dc000317 ldw r16,12(sp) + 2e6b4: dec00d04 addi sp,sp,52 + 2e6b8: f800283a ret + 2e6bc: 2404b03a or r2,r4,r16 + 2e6c0: 2027883a mov r19,r4 + 2e6c4: 10004226 beq r2,zero,2e7d0 <__muldf3+0x2e4> + 2e6c8: 8000fc26 beq r16,zero,2eabc <__muldf3+0x5d0> + 2e6cc: 8009883a mov r4,r16 + 2e6d0: d9800215 stw r6,8(sp) + 2e6d4: d9c00015 stw r7,0(sp) + 2e6d8: da400115 stw r9,4(sp) + 2e6dc: 002f7040 call 2f704 <__clzsi2> + 2e6e0: d9800217 ldw r6,8(sp) + 2e6e4: d9c00017 ldw r7,0(sp) + 2e6e8: da400117 ldw r9,4(sp) + 2e6ec: 113ffd44 addi r4,r2,-11 + 2e6f0: 00c00704 movi r3,28 + 2e6f4: 1900ed16 blt r3,r4,2eaac <__muldf3+0x5c0> + 2e6f8: 00c00744 movi r3,29 + 2e6fc: 147ffe04 addi r17,r2,-8 + 2e700: 1907c83a sub r3,r3,r4 + 2e704: 8460983a sll r16,r16,r17 + 2e708: 98c6d83a srl r3,r19,r3 + 2e70c: 9c68983a sll r20,r19,r17 + 2e710: 1c20b03a or r16,r3,r16 + 2e714: 1080fcc4 addi r2,r2,1011 + 2e718: 00a5c83a sub r18,zero,r2 + 2e71c: 0027883a mov r19,zero + 2e720: 0039883a mov fp,zero + 2e724: 003f9006 br 2e568 <_gp+0xffff16fc> + 2e728: 3446b03a or r3,r6,r17 + 2e72c: 1800261e bne r3,zero,2e7c8 <__muldf3+0x2dc> + 2e730: 0023883a mov r17,zero + 2e734: 0011883a mov r8,zero + 2e738: 01400084 movi r5,2 + 2e73c: 003f9c06 br 2e5b0 <_gp+0xffff1744> + 2e740: 3446b03a or r3,r6,r17 + 2e744: 18001c26 beq r3,zero,2e7b8 <__muldf3+0x2cc> + 2e748: 8800ce26 beq r17,zero,2ea84 <__muldf3+0x598> + 2e74c: 8809883a mov r4,r17 + 2e750: d9800215 stw r6,8(sp) + 2e754: da400115 stw r9,4(sp) + 2e758: da800015 stw r10,0(sp) + 2e75c: 002f7040 call 2f704 <__clzsi2> + 2e760: d9800217 ldw r6,8(sp) + 2e764: da400117 ldw r9,4(sp) + 2e768: da800017 ldw r10,0(sp) + 2e76c: 113ffd44 addi r4,r2,-11 + 2e770: 00c00704 movi r3,28 + 2e774: 1900bf16 blt r3,r4,2ea74 <__muldf3+0x588> + 2e778: 00c00744 movi r3,29 + 2e77c: 123ffe04 addi r8,r2,-8 + 2e780: 1907c83a sub r3,r3,r4 + 2e784: 8a22983a sll r17,r17,r8 + 2e788: 30c6d83a srl r3,r6,r3 + 2e78c: 3210983a sll r8,r6,r8 + 2e790: 1c62b03a or r17,r3,r17 + 2e794: 1080fcc4 addi r2,r2,1011 + 2e798: 0085c83a sub r2,zero,r2 + 2e79c: 000b883a mov r5,zero + 2e7a0: 003f8306 br 2e5b0 <_gp+0xffff1744> + 2e7a4: b02b883a mov r21,r22 + 2e7a8: 0081ffc4 movi r2,2047 + 2e7ac: 000b883a mov r5,zero + 2e7b0: 0029883a mov r20,zero + 2e7b4: 003fac06 br 2e668 <_gp+0xffff17fc> + 2e7b8: 0023883a mov r17,zero + 2e7bc: 0011883a mov r8,zero + 2e7c0: 01400044 movi r5,1 + 2e7c4: 003f7a06 br 2e5b0 <_gp+0xffff1744> + 2e7c8: 014000c4 movi r5,3 + 2e7cc: 003f7806 br 2e5b0 <_gp+0xffff1744> + 2e7d0: 04c00104 movi r19,4 + 2e7d4: 0021883a mov r16,zero + 2e7d8: 0029883a mov r20,zero + 2e7dc: 07000044 movi fp,1 + 2e7e0: 003f6106 br 2e568 <_gp+0xffff16fc> + 2e7e4: 04c00304 movi r19,12 + 2e7e8: 070000c4 movi fp,3 + 2e7ec: 003f5e06 br 2e568 <_gp+0xffff16fc> + 2e7f0: 01400434 movhi r5,16 + 2e7f4: 002b883a mov r21,zero + 2e7f8: 297fffc4 addi r5,r5,-1 + 2e7fc: 053fffc4 movi r20,-1 + 2e800: 0081ffc4 movi r2,2047 + 2e804: 003f9806 br 2e668 <_gp+0xffff17fc> + 2e808: 8023883a mov r17,r16 + 2e80c: a011883a mov r8,r20 + 2e810: e00b883a mov r5,fp + 2e814: 003f8a06 br 2e640 <_gp+0xffff17d4> + 2e818: 8023883a mov r17,r16 + 2e81c: a011883a mov r8,r20 + 2e820: 482d883a mov r22,r9 + 2e824: e00b883a mov r5,fp + 2e828: 003f8506 br 2e640 <_gp+0xffff17d4> + 2e82c: a00ad43a srli r5,r20,16 + 2e830: 401ad43a srli r13,r8,16 + 2e834: a53fffcc andi r20,r20,65535 + 2e838: 423fffcc andi r8,r8,65535 + 2e83c: 4519383a mul r12,r8,r20 + 2e840: 4147383a mul r3,r8,r5 + 2e844: 6d09383a mul r4,r13,r20 + 2e848: 600cd43a srli r6,r12,16 + 2e84c: 2b5d383a mul r14,r5,r13 + 2e850: 20c9883a add r4,r4,r3 + 2e854: 310d883a add r6,r6,r4 + 2e858: 30c0022e bgeu r6,r3,2e864 <__muldf3+0x378> + 2e85c: 00c00074 movhi r3,1 + 2e860: 70dd883a add r14,r14,r3 + 2e864: 8826d43a srli r19,r17,16 + 2e868: 8bffffcc andi r15,r17,65535 + 2e86c: 7d23383a mul r17,r15,r20 + 2e870: 7949383a mul r4,r15,r5 + 2e874: 9d29383a mul r20,r19,r20 + 2e878: 8814d43a srli r10,r17,16 + 2e87c: 3012943a slli r9,r6,16 + 2e880: a129883a add r20,r20,r4 + 2e884: 633fffcc andi r12,r12,65535 + 2e888: 5515883a add r10,r10,r20 + 2e88c: 3006d43a srli r3,r6,16 + 2e890: 4b13883a add r9,r9,r12 + 2e894: 2ccb383a mul r5,r5,r19 + 2e898: 5100022e bgeu r10,r4,2e8a4 <__muldf3+0x3b8> + 2e89c: 01000074 movhi r4,1 + 2e8a0: 290b883a add r5,r5,r4 + 2e8a4: 802ad43a srli r21,r16,16 + 2e8a8: 843fffcc andi r16,r16,65535 + 2e8ac: 440d383a mul r6,r8,r16 + 2e8b0: 4565383a mul r18,r8,r21 + 2e8b4: 8349383a mul r4,r16,r13 + 2e8b8: 500e943a slli r7,r10,16 + 2e8bc: 3010d43a srli r8,r6,16 + 2e8c0: 5028d43a srli r20,r10,16 + 2e8c4: 2489883a add r4,r4,r18 + 2e8c8: 8abfffcc andi r10,r17,65535 + 2e8cc: 3a95883a add r10,r7,r10 + 2e8d0: 4119883a add r12,r8,r4 + 2e8d4: a169883a add r20,r20,r5 + 2e8d8: 1a87883a add r3,r3,r10 + 2e8dc: 6d5b383a mul r13,r13,r21 + 2e8e0: 6480022e bgeu r12,r18,2e8ec <__muldf3+0x400> + 2e8e4: 01000074 movhi r4,1 + 2e8e8: 691b883a add r13,r13,r4 + 2e8ec: 7c25383a mul r18,r15,r16 + 2e8f0: 7d4b383a mul r5,r15,r21 + 2e8f4: 84cf383a mul r7,r16,r19 + 2e8f8: 901ed43a srli r15,r18,16 + 2e8fc: 6008d43a srli r4,r12,16 + 2e900: 6010943a slli r8,r12,16 + 2e904: 394f883a add r7,r7,r5 + 2e908: 333fffcc andi r12,r6,65535 + 2e90c: 79df883a add r15,r15,r7 + 2e910: 235b883a add r13,r4,r13 + 2e914: 9d63383a mul r17,r19,r21 + 2e918: 4309883a add r4,r8,r12 + 2e91c: 7940022e bgeu r15,r5,2e928 <__muldf3+0x43c> + 2e920: 01400074 movhi r5,1 + 2e924: 8963883a add r17,r17,r5 + 2e928: 780a943a slli r5,r15,16 + 2e92c: 91bfffcc andi r6,r18,65535 + 2e930: 70c7883a add r3,r14,r3 + 2e934: 298d883a add r6,r5,r6 + 2e938: 1a8f803a cmpltu r7,r3,r10 + 2e93c: 350b883a add r5,r6,r20 + 2e940: 20c7883a add r3,r4,r3 + 2e944: 3955883a add r10,r7,r5 + 2e948: 1909803a cmpltu r4,r3,r4 + 2e94c: 6a91883a add r8,r13,r10 + 2e950: 780cd43a srli r6,r15,16 + 2e954: 2219883a add r12,r4,r8 + 2e958: 2d0b803a cmpltu r5,r5,r20 + 2e95c: 51cf803a cmpltu r7,r10,r7 + 2e960: 29ceb03a or r7,r5,r7 + 2e964: 4351803a cmpltu r8,r8,r13 + 2e968: 610b803a cmpltu r5,r12,r4 + 2e96c: 4148b03a or r4,r8,r5 + 2e970: 398f883a add r7,r7,r6 + 2e974: 3909883a add r4,r7,r4 + 2e978: 1810927a slli r8,r3,9 + 2e97c: 2449883a add r4,r4,r17 + 2e980: 2008927a slli r4,r4,9 + 2e984: 6022d5fa srli r17,r12,23 + 2e988: 1806d5fa srli r3,r3,23 + 2e98c: 4252b03a or r9,r8,r9 + 2e990: 600a927a slli r5,r12,9 + 2e994: 4810c03a cmpne r8,r9,zero + 2e998: 2462b03a or r17,r4,r17 + 2e99c: 40c6b03a or r3,r8,r3 + 2e9a0: 8900402c andhi r4,r17,256 + 2e9a4: 1950b03a or r8,r3,r5 + 2e9a8: 20000726 beq r4,zero,2e9c8 <__muldf3+0x4dc> + 2e9ac: 4006d07a srli r3,r8,1 + 2e9b0: 880497fa slli r2,r17,31 + 2e9b4: 4200004c andi r8,r8,1 + 2e9b8: 8822d07a srli r17,r17,1 + 2e9bc: 1a10b03a or r8,r3,r8 + 2e9c0: 1210b03a or r8,r2,r8 + 2e9c4: 5805883a mov r2,r11 + 2e9c8: 1140ffc4 addi r5,r2,1023 + 2e9cc: 0140440e bge zero,r5,2eae0 <__muldf3+0x5f4> + 2e9d0: 40c001cc andi r3,r8,7 + 2e9d4: 18000726 beq r3,zero,2e9f4 <__muldf3+0x508> + 2e9d8: 40c003cc andi r3,r8,15 + 2e9dc: 01000104 movi r4,4 + 2e9e0: 19000426 beq r3,r4,2e9f4 <__muldf3+0x508> + 2e9e4: 4107883a add r3,r8,r4 + 2e9e8: 1a11803a cmpltu r8,r3,r8 + 2e9ec: 8a23883a add r17,r17,r8 + 2e9f0: 1811883a mov r8,r3 + 2e9f4: 88c0402c andhi r3,r17,256 + 2e9f8: 18000426 beq r3,zero,2ea0c <__muldf3+0x520> + 2e9fc: 11410004 addi r5,r2,1024 + 2ea00: 00bfc034 movhi r2,65280 + 2ea04: 10bfffc4 addi r2,r2,-1 + 2ea08: 88a2703a and r17,r17,r2 + 2ea0c: 0081ff84 movi r2,2046 + 2ea10: 117f6416 blt r2,r5,2e7a4 <_gp+0xffff1938> + 2ea14: 8828977a slli r20,r17,29 + 2ea18: 4010d0fa srli r8,r8,3 + 2ea1c: 8822927a slli r17,r17,9 + 2ea20: 2881ffcc andi r2,r5,2047 + 2ea24: a228b03a or r20,r20,r8 + 2ea28: 880ad33a srli r5,r17,12 + 2ea2c: b02b883a mov r21,r22 + 2ea30: 003f0d06 br 2e668 <_gp+0xffff17fc> + 2ea34: 8080022c andhi r2,r16,8 + 2ea38: 10000926 beq r2,zero,2ea60 <__muldf3+0x574> + 2ea3c: 8880022c andhi r2,r17,8 + 2ea40: 1000071e bne r2,zero,2ea60 <__muldf3+0x574> + 2ea44: 00800434 movhi r2,16 + 2ea48: 89400234 orhi r5,r17,8 + 2ea4c: 10bfffc4 addi r2,r2,-1 + 2ea50: b82b883a mov r21,r23 + 2ea54: 288a703a and r5,r5,r2 + 2ea58: 4029883a mov r20,r8 + 2ea5c: 003f6806 br 2e800 <_gp+0xffff1994> + 2ea60: 00800434 movhi r2,16 + 2ea64: 81400234 orhi r5,r16,8 + 2ea68: 10bfffc4 addi r2,r2,-1 + 2ea6c: 288a703a and r5,r5,r2 + 2ea70: 003f6306 br 2e800 <_gp+0xffff1994> + 2ea74: 147ff604 addi r17,r2,-40 + 2ea78: 3462983a sll r17,r6,r17 + 2ea7c: 0011883a mov r8,zero + 2ea80: 003f4406 br 2e794 <_gp+0xffff1928> + 2ea84: 3009883a mov r4,r6 + 2ea88: d9800215 stw r6,8(sp) + 2ea8c: da400115 stw r9,4(sp) + 2ea90: da800015 stw r10,0(sp) + 2ea94: 002f7040 call 2f704 <__clzsi2> + 2ea98: 10800804 addi r2,r2,32 + 2ea9c: da800017 ldw r10,0(sp) + 2eaa0: da400117 ldw r9,4(sp) + 2eaa4: d9800217 ldw r6,8(sp) + 2eaa8: 003f3006 br 2e76c <_gp+0xffff1900> + 2eaac: 143ff604 addi r16,r2,-40 + 2eab0: 9c20983a sll r16,r19,r16 + 2eab4: 0029883a mov r20,zero + 2eab8: 003f1606 br 2e714 <_gp+0xffff18a8> + 2eabc: d9800215 stw r6,8(sp) + 2eac0: d9c00015 stw r7,0(sp) + 2eac4: da400115 stw r9,4(sp) + 2eac8: 002f7040 call 2f704 <__clzsi2> + 2eacc: 10800804 addi r2,r2,32 + 2ead0: da400117 ldw r9,4(sp) + 2ead4: d9c00017 ldw r7,0(sp) + 2ead8: d9800217 ldw r6,8(sp) + 2eadc: 003f0306 br 2e6ec <_gp+0xffff1880> + 2eae0: 00c00044 movi r3,1 + 2eae4: 1947c83a sub r3,r3,r5 + 2eae8: 00800e04 movi r2,56 + 2eaec: 10feda16 blt r2,r3,2e658 <_gp+0xffff17ec> + 2eaf0: 008007c4 movi r2,31 + 2eaf4: 10c01b16 blt r2,r3,2eb64 <__muldf3+0x678> + 2eaf8: 00800804 movi r2,32 + 2eafc: 10c5c83a sub r2,r2,r3 + 2eb00: 888a983a sll r5,r17,r2 + 2eb04: 40c8d83a srl r4,r8,r3 + 2eb08: 4084983a sll r2,r8,r2 + 2eb0c: 88e2d83a srl r17,r17,r3 + 2eb10: 2906b03a or r3,r5,r4 + 2eb14: 1004c03a cmpne r2,r2,zero + 2eb18: 1886b03a or r3,r3,r2 + 2eb1c: 188001cc andi r2,r3,7 + 2eb20: 10000726 beq r2,zero,2eb40 <__muldf3+0x654> + 2eb24: 188003cc andi r2,r3,15 + 2eb28: 01000104 movi r4,4 + 2eb2c: 11000426 beq r2,r4,2eb40 <__muldf3+0x654> + 2eb30: 1805883a mov r2,r3 + 2eb34: 10c00104 addi r3,r2,4 + 2eb38: 1885803a cmpltu r2,r3,r2 + 2eb3c: 88a3883a add r17,r17,r2 + 2eb40: 8880202c andhi r2,r17,128 + 2eb44: 10001c26 beq r2,zero,2ebb8 <__muldf3+0x6cc> + 2eb48: b02b883a mov r21,r22 + 2eb4c: 00800044 movi r2,1 + 2eb50: 000b883a mov r5,zero + 2eb54: 0029883a mov r20,zero + 2eb58: 003ec306 br 2e668 <_gp+0xffff17fc> + 2eb5c: 5805883a mov r2,r11 + 2eb60: 003f9906 br 2e9c8 <_gp+0xffff1b5c> + 2eb64: 00bff844 movi r2,-31 + 2eb68: 1145c83a sub r2,r2,r5 + 2eb6c: 8888d83a srl r4,r17,r2 + 2eb70: 00800804 movi r2,32 + 2eb74: 18801a26 beq r3,r2,2ebe0 <__muldf3+0x6f4> + 2eb78: 00801004 movi r2,64 + 2eb7c: 10c5c83a sub r2,r2,r3 + 2eb80: 8884983a sll r2,r17,r2 + 2eb84: 1204b03a or r2,r2,r8 + 2eb88: 1004c03a cmpne r2,r2,zero + 2eb8c: 2084b03a or r2,r4,r2 + 2eb90: 144001cc andi r17,r2,7 + 2eb94: 88000d1e bne r17,zero,2ebcc <__muldf3+0x6e0> + 2eb98: 000b883a mov r5,zero + 2eb9c: 1028d0fa srli r20,r2,3 + 2eba0: b02b883a mov r21,r22 + 2eba4: 0005883a mov r2,zero + 2eba8: a468b03a or r20,r20,r17 + 2ebac: 003eae06 br 2e668 <_gp+0xffff17fc> + 2ebb0: 1007883a mov r3,r2 + 2ebb4: 0023883a mov r17,zero + 2ebb8: 880a927a slli r5,r17,9 + 2ebbc: 1805883a mov r2,r3 + 2ebc0: 8822977a slli r17,r17,29 + 2ebc4: 280ad33a srli r5,r5,12 + 2ebc8: 003ff406 br 2eb9c <_gp+0xffff1d30> + 2ebcc: 10c003cc andi r3,r2,15 + 2ebd0: 01000104 movi r4,4 + 2ebd4: 193ff626 beq r3,r4,2ebb0 <_gp+0xffff1d44> + 2ebd8: 0023883a mov r17,zero + 2ebdc: 003fd506 br 2eb34 <_gp+0xffff1cc8> + 2ebe0: 0005883a mov r2,zero + 2ebe4: 003fe706 br 2eb84 <_gp+0xffff1d18> + 2ebe8: 00800434 movhi r2,16 + 2ebec: 89400234 orhi r5,r17,8 + 2ebf0: 10bfffc4 addi r2,r2,-1 + 2ebf4: b02b883a mov r21,r22 + 2ebf8: 288a703a and r5,r5,r2 + 2ebfc: 4029883a mov r20,r8 + 2ec00: 003eff06 br 2e800 <_gp+0xffff1994> -0002edcc <__floatsidf>: - 2edcc: defffd04 addi sp,sp,-12 - 2edd0: dfc00215 stw ra,8(sp) - 2edd4: dc400115 stw r17,4(sp) - 2edd8: dc000015 stw r16,0(sp) - 2eddc: 20002b26 beq r4,zero,2ee8c <__floatsidf+0xc0> - 2ede0: 2023883a mov r17,r4 - 2ede4: 2020d7fa srli r16,r4,31 - 2ede8: 20002d16 blt r4,zero,2eea0 <__floatsidf+0xd4> - 2edec: 8809883a mov r4,r17 - 2edf0: 002ef500 call 2ef50 <__clzsi2> - 2edf4: 01410784 movi r5,1054 - 2edf8: 288bc83a sub r5,r5,r2 - 2edfc: 01010cc4 movi r4,1075 - 2ee00: 2149c83a sub r4,r4,r5 - 2ee04: 00c007c4 movi r3,31 - 2ee08: 1900160e bge r3,r4,2ee64 <__floatsidf+0x98> - 2ee0c: 00c104c4 movi r3,1043 - 2ee10: 1947c83a sub r3,r3,r5 - 2ee14: 88c6983a sll r3,r17,r3 - 2ee18: 00800434 movhi r2,16 - 2ee1c: 10bfffc4 addi r2,r2,-1 - 2ee20: 1886703a and r3,r3,r2 - 2ee24: 2941ffcc andi r5,r5,2047 - 2ee28: 800d883a mov r6,r16 - 2ee2c: 0005883a mov r2,zero - 2ee30: 280a953a slli r5,r5,20 - 2ee34: 31803fcc andi r6,r6,255 - 2ee38: 01000434 movhi r4,16 - 2ee3c: 300c97fa slli r6,r6,31 - 2ee40: 213fffc4 addi r4,r4,-1 - 2ee44: 1906703a and r3,r3,r4 - 2ee48: 1946b03a or r3,r3,r5 - 2ee4c: 1986b03a or r3,r3,r6 - 2ee50: dfc00217 ldw ra,8(sp) - 2ee54: dc400117 ldw r17,4(sp) - 2ee58: dc000017 ldw r16,0(sp) - 2ee5c: dec00304 addi sp,sp,12 - 2ee60: f800283a ret - 2ee64: 00c002c4 movi r3,11 - 2ee68: 1887c83a sub r3,r3,r2 - 2ee6c: 88c6d83a srl r3,r17,r3 - 2ee70: 8904983a sll r2,r17,r4 - 2ee74: 01000434 movhi r4,16 - 2ee78: 213fffc4 addi r4,r4,-1 - 2ee7c: 2941ffcc andi r5,r5,2047 - 2ee80: 1906703a and r3,r3,r4 - 2ee84: 800d883a mov r6,r16 - 2ee88: 003fe906 br 2ee30 <_gp+0xffff28f8> - 2ee8c: 000d883a mov r6,zero - 2ee90: 000b883a mov r5,zero - 2ee94: 0007883a mov r3,zero - 2ee98: 0005883a mov r2,zero - 2ee9c: 003fe406 br 2ee30 <_gp+0xffff28f8> - 2eea0: 0123c83a sub r17,zero,r4 - 2eea4: 003fd106 br 2edec <_gp+0xffff28b4> +0002ec04 <__subdf3>: + 2ec04: 02000434 movhi r8,16 + 2ec08: 423fffc4 addi r8,r8,-1 + 2ec0c: defffb04 addi sp,sp,-20 + 2ec10: 2a14703a and r10,r5,r8 + 2ec14: 3812d53a srli r9,r7,20 + 2ec18: 3a10703a and r8,r7,r8 + 2ec1c: 2006d77a srli r3,r4,29 + 2ec20: 3004d77a srli r2,r6,29 + 2ec24: dc000015 stw r16,0(sp) + 2ec28: 501490fa slli r10,r10,3 + 2ec2c: 2820d53a srli r16,r5,20 + 2ec30: 401090fa slli r8,r8,3 + 2ec34: dc800215 stw r18,8(sp) + 2ec38: dc400115 stw r17,4(sp) + 2ec3c: dfc00415 stw ra,16(sp) + 2ec40: 202290fa slli r17,r4,3 + 2ec44: dcc00315 stw r19,12(sp) + 2ec48: 4a41ffcc andi r9,r9,2047 + 2ec4c: 0101ffc4 movi r4,2047 + 2ec50: 2824d7fa srli r18,r5,31 + 2ec54: 8401ffcc andi r16,r16,2047 + 2ec58: 50c6b03a or r3,r10,r3 + 2ec5c: 380ed7fa srli r7,r7,31 + 2ec60: 408ab03a or r5,r8,r2 + 2ec64: 300c90fa slli r6,r6,3 + 2ec68: 49009626 beq r9,r4,2eec4 <__subdf3+0x2c0> + 2ec6c: 39c0005c xori r7,r7,1 + 2ec70: 8245c83a sub r2,r16,r9 + 2ec74: 3c807426 beq r7,r18,2ee48 <__subdf3+0x244> + 2ec78: 0080af0e bge zero,r2,2ef38 <__subdf3+0x334> + 2ec7c: 48002a1e bne r9,zero,2ed28 <__subdf3+0x124> + 2ec80: 2988b03a or r4,r5,r6 + 2ec84: 20009a1e bne r4,zero,2eef0 <__subdf3+0x2ec> + 2ec88: 888001cc andi r2,r17,7 + 2ec8c: 10000726 beq r2,zero,2ecac <__subdf3+0xa8> + 2ec90: 888003cc andi r2,r17,15 + 2ec94: 01000104 movi r4,4 + 2ec98: 11000426 beq r2,r4,2ecac <__subdf3+0xa8> + 2ec9c: 890b883a add r5,r17,r4 + 2eca0: 2c63803a cmpltu r17,r5,r17 + 2eca4: 1c47883a add r3,r3,r17 + 2eca8: 2823883a mov r17,r5 + 2ecac: 1880202c andhi r2,r3,128 + 2ecb0: 10005926 beq r2,zero,2ee18 <__subdf3+0x214> + 2ecb4: 84000044 addi r16,r16,1 + 2ecb8: 0081ffc4 movi r2,2047 + 2ecbc: 8080be26 beq r16,r2,2efb8 <__subdf3+0x3b4> + 2ecc0: 017fe034 movhi r5,65408 + 2ecc4: 297fffc4 addi r5,r5,-1 + 2ecc8: 1946703a and r3,r3,r5 + 2eccc: 1804977a slli r2,r3,29 + 2ecd0: 1806927a slli r3,r3,9 + 2ecd4: 8822d0fa srli r17,r17,3 + 2ecd8: 8401ffcc andi r16,r16,2047 + 2ecdc: 180ad33a srli r5,r3,12 + 2ece0: 9100004c andi r4,r18,1 + 2ece4: 1444b03a or r2,r2,r17 + 2ece8: 80c1ffcc andi r3,r16,2047 + 2ecec: 1820953a slli r16,r3,20 + 2ecf0: 20c03fcc andi r3,r4,255 + 2ecf4: 180897fa slli r4,r3,31 + 2ecf8: 00c00434 movhi r3,16 + 2ecfc: 18ffffc4 addi r3,r3,-1 + 2ed00: 28c6703a and r3,r5,r3 + 2ed04: 1c06b03a or r3,r3,r16 + 2ed08: 1906b03a or r3,r3,r4 + 2ed0c: dfc00417 ldw ra,16(sp) + 2ed10: dcc00317 ldw r19,12(sp) + 2ed14: dc800217 ldw r18,8(sp) + 2ed18: dc400117 ldw r17,4(sp) + 2ed1c: dc000017 ldw r16,0(sp) + 2ed20: dec00504 addi sp,sp,20 + 2ed24: f800283a ret + 2ed28: 0101ffc4 movi r4,2047 + 2ed2c: 813fd626 beq r16,r4,2ec88 <_gp+0xffff1e1c> + 2ed30: 29402034 orhi r5,r5,128 + 2ed34: 01000e04 movi r4,56 + 2ed38: 2080a316 blt r4,r2,2efc8 <__subdf3+0x3c4> + 2ed3c: 010007c4 movi r4,31 + 2ed40: 2080c616 blt r4,r2,2f05c <__subdf3+0x458> + 2ed44: 01000804 movi r4,32 + 2ed48: 2089c83a sub r4,r4,r2 + 2ed4c: 2910983a sll r8,r5,r4 + 2ed50: 308ed83a srl r7,r6,r2 + 2ed54: 3108983a sll r4,r6,r4 + 2ed58: 2884d83a srl r2,r5,r2 + 2ed5c: 41ccb03a or r6,r8,r7 + 2ed60: 2008c03a cmpne r4,r4,zero + 2ed64: 310cb03a or r6,r6,r4 + 2ed68: 898dc83a sub r6,r17,r6 + 2ed6c: 89a3803a cmpltu r17,r17,r6 + 2ed70: 1887c83a sub r3,r3,r2 + 2ed74: 1c47c83a sub r3,r3,r17 + 2ed78: 3023883a mov r17,r6 + 2ed7c: 1880202c andhi r2,r3,128 + 2ed80: 10002326 beq r2,zero,2ee10 <__subdf3+0x20c> + 2ed84: 04c02034 movhi r19,128 + 2ed88: 9cffffc4 addi r19,r19,-1 + 2ed8c: 1ce6703a and r19,r3,r19 + 2ed90: 98007a26 beq r19,zero,2ef7c <__subdf3+0x378> + 2ed94: 9809883a mov r4,r19 + 2ed98: 002f7040 call 2f704 <__clzsi2> + 2ed9c: 113ffe04 addi r4,r2,-8 + 2eda0: 00c007c4 movi r3,31 + 2eda4: 19007b16 blt r3,r4,2ef94 <__subdf3+0x390> + 2eda8: 00800804 movi r2,32 + 2edac: 1105c83a sub r2,r2,r4 + 2edb0: 8884d83a srl r2,r17,r2 + 2edb4: 9906983a sll r3,r19,r4 + 2edb8: 8922983a sll r17,r17,r4 + 2edbc: 10c4b03a or r2,r2,r3 + 2edc0: 24007816 blt r4,r16,2efa4 <__subdf3+0x3a0> + 2edc4: 2421c83a sub r16,r4,r16 + 2edc8: 80c00044 addi r3,r16,1 + 2edcc: 010007c4 movi r4,31 + 2edd0: 20c09516 blt r4,r3,2f028 <__subdf3+0x424> + 2edd4: 01400804 movi r5,32 + 2edd8: 28cbc83a sub r5,r5,r3 + 2eddc: 88c8d83a srl r4,r17,r3 + 2ede0: 8962983a sll r17,r17,r5 + 2ede4: 114a983a sll r5,r2,r5 + 2ede8: 10c6d83a srl r3,r2,r3 + 2edec: 8804c03a cmpne r2,r17,zero + 2edf0: 290ab03a or r5,r5,r4 + 2edf4: 28a2b03a or r17,r5,r2 + 2edf8: 0021883a mov r16,zero + 2edfc: 003fa206 br 2ec88 <_gp+0xffff1e1c> + 2ee00: 2090b03a or r8,r4,r2 + 2ee04: 40018e26 beq r8,zero,2f440 <__subdf3+0x83c> + 2ee08: 1007883a mov r3,r2 + 2ee0c: 2023883a mov r17,r4 + 2ee10: 888001cc andi r2,r17,7 + 2ee14: 103f9e1e bne r2,zero,2ec90 <_gp+0xffff1e24> + 2ee18: 1804977a slli r2,r3,29 + 2ee1c: 8822d0fa srli r17,r17,3 + 2ee20: 1810d0fa srli r8,r3,3 + 2ee24: 9100004c andi r4,r18,1 + 2ee28: 1444b03a or r2,r2,r17 + 2ee2c: 00c1ffc4 movi r3,2047 + 2ee30: 80c02826 beq r16,r3,2eed4 <__subdf3+0x2d0> + 2ee34: 01400434 movhi r5,16 + 2ee38: 297fffc4 addi r5,r5,-1 + 2ee3c: 80e0703a and r16,r16,r3 + 2ee40: 414a703a and r5,r8,r5 + 2ee44: 003fa806 br 2ece8 <_gp+0xffff1e7c> + 2ee48: 0080630e bge zero,r2,2efd8 <__subdf3+0x3d4> + 2ee4c: 48003026 beq r9,zero,2ef10 <__subdf3+0x30c> + 2ee50: 0101ffc4 movi r4,2047 + 2ee54: 813f8c26 beq r16,r4,2ec88 <_gp+0xffff1e1c> + 2ee58: 29402034 orhi r5,r5,128 + 2ee5c: 01000e04 movi r4,56 + 2ee60: 2080a90e bge r4,r2,2f108 <__subdf3+0x504> + 2ee64: 298cb03a or r6,r5,r6 + 2ee68: 3012c03a cmpne r9,r6,zero + 2ee6c: 0005883a mov r2,zero + 2ee70: 4c53883a add r9,r9,r17 + 2ee74: 4c63803a cmpltu r17,r9,r17 + 2ee78: 10c7883a add r3,r2,r3 + 2ee7c: 88c7883a add r3,r17,r3 + 2ee80: 4823883a mov r17,r9 + 2ee84: 1880202c andhi r2,r3,128 + 2ee88: 1000d026 beq r2,zero,2f1cc <__subdf3+0x5c8> + 2ee8c: 84000044 addi r16,r16,1 + 2ee90: 0081ffc4 movi r2,2047 + 2ee94: 8080fe26 beq r16,r2,2f290 <__subdf3+0x68c> + 2ee98: 00bfe034 movhi r2,65408 + 2ee9c: 10bfffc4 addi r2,r2,-1 + 2eea0: 1886703a and r3,r3,r2 + 2eea4: 880ad07a srli r5,r17,1 + 2eea8: 180497fa slli r2,r3,31 + 2eeac: 8900004c andi r4,r17,1 + 2eeb0: 2922b03a or r17,r5,r4 + 2eeb4: 1806d07a srli r3,r3,1 + 2eeb8: 1462b03a or r17,r2,r17 + 2eebc: 3825883a mov r18,r7 + 2eec0: 003f7106 br 2ec88 <_gp+0xffff1e1c> + 2eec4: 2984b03a or r2,r5,r6 + 2eec8: 103f6826 beq r2,zero,2ec6c <_gp+0xffff1e00> + 2eecc: 39c03fcc andi r7,r7,255 + 2eed0: 003f6706 br 2ec70 <_gp+0xffff1e04> + 2eed4: 4086b03a or r3,r8,r2 + 2eed8: 18015226 beq r3,zero,2f424 <__subdf3+0x820> + 2eedc: 00c00434 movhi r3,16 + 2eee0: 41400234 orhi r5,r8,8 + 2eee4: 18ffffc4 addi r3,r3,-1 + 2eee8: 28ca703a and r5,r5,r3 + 2eeec: 003f7e06 br 2ece8 <_gp+0xffff1e7c> + 2eef0: 10bfffc4 addi r2,r2,-1 + 2eef4: 1000491e bne r2,zero,2f01c <__subdf3+0x418> + 2eef8: 898fc83a sub r7,r17,r6 + 2eefc: 89e3803a cmpltu r17,r17,r7 + 2ef00: 1947c83a sub r3,r3,r5 + 2ef04: 1c47c83a sub r3,r3,r17 + 2ef08: 3823883a mov r17,r7 + 2ef0c: 003f9b06 br 2ed7c <_gp+0xffff1f10> + 2ef10: 2988b03a or r4,r5,r6 + 2ef14: 203f5c26 beq r4,zero,2ec88 <_gp+0xffff1e1c> + 2ef18: 10bfffc4 addi r2,r2,-1 + 2ef1c: 1000931e bne r2,zero,2f16c <__subdf3+0x568> + 2ef20: 898d883a add r6,r17,r6 + 2ef24: 3463803a cmpltu r17,r6,r17 + 2ef28: 1947883a add r3,r3,r5 + 2ef2c: 88c7883a add r3,r17,r3 + 2ef30: 3023883a mov r17,r6 + 2ef34: 003fd306 br 2ee84 <_gp+0xffff2018> + 2ef38: 1000541e bne r2,zero,2f08c <__subdf3+0x488> + 2ef3c: 80800044 addi r2,r16,1 + 2ef40: 1081ffcc andi r2,r2,2047 + 2ef44: 01000044 movi r4,1 + 2ef48: 2080a20e bge r4,r2,2f1d4 <__subdf3+0x5d0> + 2ef4c: 8989c83a sub r4,r17,r6 + 2ef50: 8905803a cmpltu r2,r17,r4 + 2ef54: 1967c83a sub r19,r3,r5 + 2ef58: 98a7c83a sub r19,r19,r2 + 2ef5c: 9880202c andhi r2,r19,128 + 2ef60: 10006326 beq r2,zero,2f0f0 <__subdf3+0x4ec> + 2ef64: 3463c83a sub r17,r6,r17 + 2ef68: 28c7c83a sub r3,r5,r3 + 2ef6c: 344d803a cmpltu r6,r6,r17 + 2ef70: 19a7c83a sub r19,r3,r6 + 2ef74: 3825883a mov r18,r7 + 2ef78: 983f861e bne r19,zero,2ed94 <_gp+0xffff1f28> + 2ef7c: 8809883a mov r4,r17 + 2ef80: 002f7040 call 2f704 <__clzsi2> + 2ef84: 10800804 addi r2,r2,32 + 2ef88: 113ffe04 addi r4,r2,-8 + 2ef8c: 00c007c4 movi r3,31 + 2ef90: 193f850e bge r3,r4,2eda8 <_gp+0xffff1f3c> + 2ef94: 10bff604 addi r2,r2,-40 + 2ef98: 8884983a sll r2,r17,r2 + 2ef9c: 0023883a mov r17,zero + 2efa0: 243f880e bge r4,r16,2edc4 <_gp+0xffff1f58> + 2efa4: 00ffe034 movhi r3,65408 + 2efa8: 18ffffc4 addi r3,r3,-1 + 2efac: 8121c83a sub r16,r16,r4 + 2efb0: 10c6703a and r3,r2,r3 + 2efb4: 003f3406 br 2ec88 <_gp+0xffff1e1c> + 2efb8: 9100004c andi r4,r18,1 + 2efbc: 000b883a mov r5,zero + 2efc0: 0005883a mov r2,zero + 2efc4: 003f4806 br 2ece8 <_gp+0xffff1e7c> + 2efc8: 298cb03a or r6,r5,r6 + 2efcc: 300cc03a cmpne r6,r6,zero + 2efd0: 0005883a mov r2,zero + 2efd4: 003f6406 br 2ed68 <_gp+0xffff1efc> + 2efd8: 10009a1e bne r2,zero,2f244 <__subdf3+0x640> + 2efdc: 82400044 addi r9,r16,1 + 2efe0: 4881ffcc andi r2,r9,2047 + 2efe4: 02800044 movi r10,1 + 2efe8: 5080670e bge r10,r2,2f188 <__subdf3+0x584> + 2efec: 0081ffc4 movi r2,2047 + 2eff0: 4880af26 beq r9,r2,2f2b0 <__subdf3+0x6ac> + 2eff4: 898d883a add r6,r17,r6 + 2eff8: 1945883a add r2,r3,r5 + 2effc: 3447803a cmpltu r3,r6,r17 + 2f000: 1887883a add r3,r3,r2 + 2f004: 182297fa slli r17,r3,31 + 2f008: 300cd07a srli r6,r6,1 + 2f00c: 1806d07a srli r3,r3,1 + 2f010: 4821883a mov r16,r9 + 2f014: 89a2b03a or r17,r17,r6 + 2f018: 003f1b06 br 2ec88 <_gp+0xffff1e1c> + 2f01c: 0101ffc4 movi r4,2047 + 2f020: 813f441e bne r16,r4,2ed34 <_gp+0xffff1ec8> + 2f024: 003f1806 br 2ec88 <_gp+0xffff1e1c> + 2f028: 843ff844 addi r16,r16,-31 + 2f02c: 01400804 movi r5,32 + 2f030: 1408d83a srl r4,r2,r16 + 2f034: 19405026 beq r3,r5,2f178 <__subdf3+0x574> + 2f038: 01401004 movi r5,64 + 2f03c: 28c7c83a sub r3,r5,r3 + 2f040: 10c4983a sll r2,r2,r3 + 2f044: 88a2b03a or r17,r17,r2 + 2f048: 8822c03a cmpne r17,r17,zero + 2f04c: 2462b03a or r17,r4,r17 + 2f050: 0007883a mov r3,zero + 2f054: 0021883a mov r16,zero + 2f058: 003f6d06 br 2ee10 <_gp+0xffff1fa4> + 2f05c: 11fff804 addi r7,r2,-32 + 2f060: 01000804 movi r4,32 + 2f064: 29ced83a srl r7,r5,r7 + 2f068: 11004526 beq r2,r4,2f180 <__subdf3+0x57c> + 2f06c: 01001004 movi r4,64 + 2f070: 2089c83a sub r4,r4,r2 + 2f074: 2904983a sll r2,r5,r4 + 2f078: 118cb03a or r6,r2,r6 + 2f07c: 300cc03a cmpne r6,r6,zero + 2f080: 398cb03a or r6,r7,r6 + 2f084: 0005883a mov r2,zero + 2f088: 003f3706 br 2ed68 <_gp+0xffff1efc> + 2f08c: 80002a26 beq r16,zero,2f138 <__subdf3+0x534> + 2f090: 0101ffc4 movi r4,2047 + 2f094: 49006626 beq r9,r4,2f230 <__subdf3+0x62c> + 2f098: 0085c83a sub r2,zero,r2 + 2f09c: 18c02034 orhi r3,r3,128 + 2f0a0: 01000e04 movi r4,56 + 2f0a4: 20807e16 blt r4,r2,2f2a0 <__subdf3+0x69c> + 2f0a8: 010007c4 movi r4,31 + 2f0ac: 2080e716 blt r4,r2,2f44c <__subdf3+0x848> + 2f0b0: 01000804 movi r4,32 + 2f0b4: 2089c83a sub r4,r4,r2 + 2f0b8: 1914983a sll r10,r3,r4 + 2f0bc: 8890d83a srl r8,r17,r2 + 2f0c0: 8908983a sll r4,r17,r4 + 2f0c4: 1884d83a srl r2,r3,r2 + 2f0c8: 5222b03a or r17,r10,r8 + 2f0cc: 2006c03a cmpne r3,r4,zero + 2f0d0: 88e2b03a or r17,r17,r3 + 2f0d4: 3463c83a sub r17,r6,r17 + 2f0d8: 2885c83a sub r2,r5,r2 + 2f0dc: 344d803a cmpltu r6,r6,r17 + 2f0e0: 1187c83a sub r3,r2,r6 + 2f0e4: 4821883a mov r16,r9 + 2f0e8: 3825883a mov r18,r7 + 2f0ec: 003f2306 br 2ed7c <_gp+0xffff1f10> + 2f0f0: 24d0b03a or r8,r4,r19 + 2f0f4: 40001b1e bne r8,zero,2f164 <__subdf3+0x560> + 2f0f8: 0005883a mov r2,zero + 2f0fc: 0009883a mov r4,zero + 2f100: 0021883a mov r16,zero + 2f104: 003f4906 br 2ee2c <_gp+0xffff1fc0> + 2f108: 010007c4 movi r4,31 + 2f10c: 20803a16 blt r4,r2,2f1f8 <__subdf3+0x5f4> + 2f110: 01000804 movi r4,32 + 2f114: 2089c83a sub r4,r4,r2 + 2f118: 2912983a sll r9,r5,r4 + 2f11c: 3090d83a srl r8,r6,r2 + 2f120: 3108983a sll r4,r6,r4 + 2f124: 2884d83a srl r2,r5,r2 + 2f128: 4a12b03a or r9,r9,r8 + 2f12c: 2008c03a cmpne r4,r4,zero + 2f130: 4912b03a or r9,r9,r4 + 2f134: 003f4e06 br 2ee70 <_gp+0xffff2004> + 2f138: 1c48b03a or r4,r3,r17 + 2f13c: 20003c26 beq r4,zero,2f230 <__subdf3+0x62c> + 2f140: 0084303a nor r2,zero,r2 + 2f144: 1000381e bne r2,zero,2f228 <__subdf3+0x624> + 2f148: 3463c83a sub r17,r6,r17 + 2f14c: 28c5c83a sub r2,r5,r3 + 2f150: 344d803a cmpltu r6,r6,r17 + 2f154: 1187c83a sub r3,r2,r6 + 2f158: 4821883a mov r16,r9 + 2f15c: 3825883a mov r18,r7 + 2f160: 003f0606 br 2ed7c <_gp+0xffff1f10> + 2f164: 2023883a mov r17,r4 + 2f168: 003f0906 br 2ed90 <_gp+0xffff1f24> + 2f16c: 0101ffc4 movi r4,2047 + 2f170: 813f3a1e bne r16,r4,2ee5c <_gp+0xffff1ff0> + 2f174: 003ec406 br 2ec88 <_gp+0xffff1e1c> + 2f178: 0005883a mov r2,zero + 2f17c: 003fb106 br 2f044 <_gp+0xffff21d8> + 2f180: 0005883a mov r2,zero + 2f184: 003fbc06 br 2f078 <_gp+0xffff220c> + 2f188: 1c44b03a or r2,r3,r17 + 2f18c: 80008e1e bne r16,zero,2f3c8 <__subdf3+0x7c4> + 2f190: 1000c826 beq r2,zero,2f4b4 <__subdf3+0x8b0> + 2f194: 2984b03a or r2,r5,r6 + 2f198: 103ebb26 beq r2,zero,2ec88 <_gp+0xffff1e1c> + 2f19c: 8989883a add r4,r17,r6 + 2f1a0: 1945883a add r2,r3,r5 + 2f1a4: 2447803a cmpltu r3,r4,r17 + 2f1a8: 1887883a add r3,r3,r2 + 2f1ac: 1880202c andhi r2,r3,128 + 2f1b0: 2023883a mov r17,r4 + 2f1b4: 103f1626 beq r2,zero,2ee10 <_gp+0xffff1fa4> + 2f1b8: 00bfe034 movhi r2,65408 + 2f1bc: 10bfffc4 addi r2,r2,-1 + 2f1c0: 5021883a mov r16,r10 + 2f1c4: 1886703a and r3,r3,r2 + 2f1c8: 003eaf06 br 2ec88 <_gp+0xffff1e1c> + 2f1cc: 3825883a mov r18,r7 + 2f1d0: 003f0f06 br 2ee10 <_gp+0xffff1fa4> + 2f1d4: 1c44b03a or r2,r3,r17 + 2f1d8: 8000251e bne r16,zero,2f270 <__subdf3+0x66c> + 2f1dc: 1000661e bne r2,zero,2f378 <__subdf3+0x774> + 2f1e0: 2990b03a or r8,r5,r6 + 2f1e4: 40009626 beq r8,zero,2f440 <__subdf3+0x83c> + 2f1e8: 2807883a mov r3,r5 + 2f1ec: 3023883a mov r17,r6 + 2f1f0: 3825883a mov r18,r7 + 2f1f4: 003ea406 br 2ec88 <_gp+0xffff1e1c> + 2f1f8: 127ff804 addi r9,r2,-32 + 2f1fc: 01000804 movi r4,32 + 2f200: 2a52d83a srl r9,r5,r9 + 2f204: 11008c26 beq r2,r4,2f438 <__subdf3+0x834> + 2f208: 01001004 movi r4,64 + 2f20c: 2085c83a sub r2,r4,r2 + 2f210: 2884983a sll r2,r5,r2 + 2f214: 118cb03a or r6,r2,r6 + 2f218: 300cc03a cmpne r6,r6,zero + 2f21c: 4992b03a or r9,r9,r6 + 2f220: 0005883a mov r2,zero + 2f224: 003f1206 br 2ee70 <_gp+0xffff2004> + 2f228: 0101ffc4 movi r4,2047 + 2f22c: 493f9c1e bne r9,r4,2f0a0 <_gp+0xffff2234> + 2f230: 2807883a mov r3,r5 + 2f234: 3023883a mov r17,r6 + 2f238: 4821883a mov r16,r9 + 2f23c: 3825883a mov r18,r7 + 2f240: 003e9106 br 2ec88 <_gp+0xffff1e1c> + 2f244: 80001f1e bne r16,zero,2f2c4 <__subdf3+0x6c0> + 2f248: 1c48b03a or r4,r3,r17 + 2f24c: 20005a26 beq r4,zero,2f3b8 <__subdf3+0x7b4> + 2f250: 0084303a nor r2,zero,r2 + 2f254: 1000561e bne r2,zero,2f3b0 <__subdf3+0x7ac> + 2f258: 89a3883a add r17,r17,r6 + 2f25c: 1945883a add r2,r3,r5 + 2f260: 898d803a cmpltu r6,r17,r6 + 2f264: 3087883a add r3,r6,r2 + 2f268: 4821883a mov r16,r9 + 2f26c: 003f0506 br 2ee84 <_gp+0xffff2018> + 2f270: 10002b1e bne r2,zero,2f320 <__subdf3+0x71c> + 2f274: 2984b03a or r2,r5,r6 + 2f278: 10008026 beq r2,zero,2f47c <__subdf3+0x878> + 2f27c: 2807883a mov r3,r5 + 2f280: 3023883a mov r17,r6 + 2f284: 3825883a mov r18,r7 + 2f288: 0401ffc4 movi r16,2047 + 2f28c: 003e7e06 br 2ec88 <_gp+0xffff1e1c> + 2f290: 3809883a mov r4,r7 + 2f294: 0011883a mov r8,zero + 2f298: 0005883a mov r2,zero + 2f29c: 003ee306 br 2ee2c <_gp+0xffff1fc0> + 2f2a0: 1c62b03a or r17,r3,r17 + 2f2a4: 8822c03a cmpne r17,r17,zero + 2f2a8: 0005883a mov r2,zero + 2f2ac: 003f8906 br 2f0d4 <_gp+0xffff2268> + 2f2b0: 3809883a mov r4,r7 + 2f2b4: 4821883a mov r16,r9 + 2f2b8: 0011883a mov r8,zero + 2f2bc: 0005883a mov r2,zero + 2f2c0: 003eda06 br 2ee2c <_gp+0xffff1fc0> + 2f2c4: 0101ffc4 movi r4,2047 + 2f2c8: 49003b26 beq r9,r4,2f3b8 <__subdf3+0x7b4> + 2f2cc: 0085c83a sub r2,zero,r2 + 2f2d0: 18c02034 orhi r3,r3,128 + 2f2d4: 01000e04 movi r4,56 + 2f2d8: 20806e16 blt r4,r2,2f494 <__subdf3+0x890> + 2f2dc: 010007c4 movi r4,31 + 2f2e0: 20807716 blt r4,r2,2f4c0 <__subdf3+0x8bc> + 2f2e4: 01000804 movi r4,32 + 2f2e8: 2089c83a sub r4,r4,r2 + 2f2ec: 1914983a sll r10,r3,r4 + 2f2f0: 8890d83a srl r8,r17,r2 + 2f2f4: 8908983a sll r4,r17,r4 + 2f2f8: 1884d83a srl r2,r3,r2 + 2f2fc: 5222b03a or r17,r10,r8 + 2f300: 2006c03a cmpne r3,r4,zero + 2f304: 88e2b03a or r17,r17,r3 + 2f308: 89a3883a add r17,r17,r6 + 2f30c: 1145883a add r2,r2,r5 + 2f310: 898d803a cmpltu r6,r17,r6 + 2f314: 3087883a add r3,r6,r2 + 2f318: 4821883a mov r16,r9 + 2f31c: 003ed906 br 2ee84 <_gp+0xffff2018> + 2f320: 2984b03a or r2,r5,r6 + 2f324: 10004226 beq r2,zero,2f430 <__subdf3+0x82c> + 2f328: 1808d0fa srli r4,r3,3 + 2f32c: 8822d0fa srli r17,r17,3 + 2f330: 1806977a slli r3,r3,29 + 2f334: 2080022c andhi r2,r4,8 + 2f338: 1c62b03a or r17,r3,r17 + 2f33c: 10000826 beq r2,zero,2f360 <__subdf3+0x75c> + 2f340: 2812d0fa srli r9,r5,3 + 2f344: 4880022c andhi r2,r9,8 + 2f348: 1000051e bne r2,zero,2f360 <__subdf3+0x75c> + 2f34c: 300cd0fa srli r6,r6,3 + 2f350: 2804977a slli r2,r5,29 + 2f354: 4809883a mov r4,r9 + 2f358: 3825883a mov r18,r7 + 2f35c: 11a2b03a or r17,r2,r6 + 2f360: 8806d77a srli r3,r17,29 + 2f364: 200890fa slli r4,r4,3 + 2f368: 882290fa slli r17,r17,3 + 2f36c: 0401ffc4 movi r16,2047 + 2f370: 1906b03a or r3,r3,r4 + 2f374: 003e4406 br 2ec88 <_gp+0xffff1e1c> + 2f378: 2984b03a or r2,r5,r6 + 2f37c: 103e4226 beq r2,zero,2ec88 <_gp+0xffff1e1c> + 2f380: 8989c83a sub r4,r17,r6 + 2f384: 8911803a cmpltu r8,r17,r4 + 2f388: 1945c83a sub r2,r3,r5 + 2f38c: 1205c83a sub r2,r2,r8 + 2f390: 1200202c andhi r8,r2,128 + 2f394: 403e9a26 beq r8,zero,2ee00 <_gp+0xffff1f94> + 2f398: 3463c83a sub r17,r6,r17 + 2f39c: 28c5c83a sub r2,r5,r3 + 2f3a0: 344d803a cmpltu r6,r6,r17 + 2f3a4: 1187c83a sub r3,r2,r6 + 2f3a8: 3825883a mov r18,r7 + 2f3ac: 003e3606 br 2ec88 <_gp+0xffff1e1c> + 2f3b0: 0101ffc4 movi r4,2047 + 2f3b4: 493fc71e bne r9,r4,2f2d4 <_gp+0xffff2468> + 2f3b8: 2807883a mov r3,r5 + 2f3bc: 3023883a mov r17,r6 + 2f3c0: 4821883a mov r16,r9 + 2f3c4: 003e3006 br 2ec88 <_gp+0xffff1e1c> + 2f3c8: 10003626 beq r2,zero,2f4a4 <__subdf3+0x8a0> + 2f3cc: 2984b03a or r2,r5,r6 + 2f3d0: 10001726 beq r2,zero,2f430 <__subdf3+0x82c> + 2f3d4: 1808d0fa srli r4,r3,3 + 2f3d8: 8822d0fa srli r17,r17,3 + 2f3dc: 1806977a slli r3,r3,29 + 2f3e0: 2080022c andhi r2,r4,8 + 2f3e4: 1c62b03a or r17,r3,r17 + 2f3e8: 10000726 beq r2,zero,2f408 <__subdf3+0x804> + 2f3ec: 2812d0fa srli r9,r5,3 + 2f3f0: 4880022c andhi r2,r9,8 + 2f3f4: 1000041e bne r2,zero,2f408 <__subdf3+0x804> + 2f3f8: 300cd0fa srli r6,r6,3 + 2f3fc: 2804977a slli r2,r5,29 + 2f400: 4809883a mov r4,r9 + 2f404: 11a2b03a or r17,r2,r6 + 2f408: 8806d77a srli r3,r17,29 + 2f40c: 200890fa slli r4,r4,3 + 2f410: 882290fa slli r17,r17,3 + 2f414: 3825883a mov r18,r7 + 2f418: 1906b03a or r3,r3,r4 + 2f41c: 0401ffc4 movi r16,2047 + 2f420: 003e1906 br 2ec88 <_gp+0xffff1e1c> + 2f424: 000b883a mov r5,zero + 2f428: 0005883a mov r2,zero + 2f42c: 003e2e06 br 2ece8 <_gp+0xffff1e7c> + 2f430: 0401ffc4 movi r16,2047 + 2f434: 003e1406 br 2ec88 <_gp+0xffff1e1c> + 2f438: 0005883a mov r2,zero + 2f43c: 003f7506 br 2f214 <_gp+0xffff23a8> + 2f440: 0005883a mov r2,zero + 2f444: 0009883a mov r4,zero + 2f448: 003e7806 br 2ee2c <_gp+0xffff1fc0> + 2f44c: 123ff804 addi r8,r2,-32 + 2f450: 01000804 movi r4,32 + 2f454: 1a10d83a srl r8,r3,r8 + 2f458: 11002526 beq r2,r4,2f4f0 <__subdf3+0x8ec> + 2f45c: 01001004 movi r4,64 + 2f460: 2085c83a sub r2,r4,r2 + 2f464: 1884983a sll r2,r3,r2 + 2f468: 1444b03a or r2,r2,r17 + 2f46c: 1004c03a cmpne r2,r2,zero + 2f470: 40a2b03a or r17,r8,r2 + 2f474: 0005883a mov r2,zero + 2f478: 003f1606 br 2f0d4 <_gp+0xffff2268> + 2f47c: 02000434 movhi r8,16 + 2f480: 0009883a mov r4,zero + 2f484: 423fffc4 addi r8,r8,-1 + 2f488: 00bfffc4 movi r2,-1 + 2f48c: 0401ffc4 movi r16,2047 + 2f490: 003e6606 br 2ee2c <_gp+0xffff1fc0> + 2f494: 1c62b03a or r17,r3,r17 + 2f498: 8822c03a cmpne r17,r17,zero + 2f49c: 0005883a mov r2,zero + 2f4a0: 003f9906 br 2f308 <_gp+0xffff249c> + 2f4a4: 2807883a mov r3,r5 + 2f4a8: 3023883a mov r17,r6 + 2f4ac: 0401ffc4 movi r16,2047 + 2f4b0: 003df506 br 2ec88 <_gp+0xffff1e1c> + 2f4b4: 2807883a mov r3,r5 + 2f4b8: 3023883a mov r17,r6 + 2f4bc: 003df206 br 2ec88 <_gp+0xffff1e1c> + 2f4c0: 123ff804 addi r8,r2,-32 + 2f4c4: 01000804 movi r4,32 + 2f4c8: 1a10d83a srl r8,r3,r8 + 2f4cc: 11000a26 beq r2,r4,2f4f8 <__subdf3+0x8f4> + 2f4d0: 01001004 movi r4,64 + 2f4d4: 2085c83a sub r2,r4,r2 + 2f4d8: 1884983a sll r2,r3,r2 + 2f4dc: 1444b03a or r2,r2,r17 + 2f4e0: 1004c03a cmpne r2,r2,zero + 2f4e4: 40a2b03a or r17,r8,r2 + 2f4e8: 0005883a mov r2,zero + 2f4ec: 003f8606 br 2f308 <_gp+0xffff249c> + 2f4f0: 0005883a mov r2,zero + 2f4f4: 003fdc06 br 2f468 <_gp+0xffff25fc> + 2f4f8: 0005883a mov r2,zero + 2f4fc: 003ff706 br 2f4dc <_gp+0xffff2670> -0002eea8 <__floatunsidf>: - 2eea8: defffe04 addi sp,sp,-8 - 2eeac: dc000015 stw r16,0(sp) - 2eeb0: dfc00115 stw ra,4(sp) - 2eeb4: 2021883a mov r16,r4 - 2eeb8: 20002226 beq r4,zero,2ef44 <__floatunsidf+0x9c> - 2eebc: 002ef500 call 2ef50 <__clzsi2> - 2eec0: 01010784 movi r4,1054 - 2eec4: 2089c83a sub r4,r4,r2 - 2eec8: 01810cc4 movi r6,1075 - 2eecc: 310dc83a sub r6,r6,r4 - 2eed0: 00c007c4 movi r3,31 - 2eed4: 1980120e bge r3,r6,2ef20 <__floatunsidf+0x78> - 2eed8: 00c104c4 movi r3,1043 - 2eedc: 1907c83a sub r3,r3,r4 - 2eee0: 80ca983a sll r5,r16,r3 - 2eee4: 00800434 movhi r2,16 - 2eee8: 10bfffc4 addi r2,r2,-1 - 2eeec: 2101ffcc andi r4,r4,2047 - 2eef0: 0021883a mov r16,zero - 2eef4: 288a703a and r5,r5,r2 - 2eef8: 2008953a slli r4,r4,20 - 2eefc: 00c00434 movhi r3,16 - 2ef00: 18ffffc4 addi r3,r3,-1 - 2ef04: 28c6703a and r3,r5,r3 - 2ef08: 8005883a mov r2,r16 - 2ef0c: 1906b03a or r3,r3,r4 - 2ef10: dfc00117 ldw ra,4(sp) - 2ef14: dc000017 ldw r16,0(sp) - 2ef18: dec00204 addi sp,sp,8 - 2ef1c: f800283a ret - 2ef20: 00c002c4 movi r3,11 - 2ef24: 188bc83a sub r5,r3,r2 - 2ef28: 814ad83a srl r5,r16,r5 - 2ef2c: 00c00434 movhi r3,16 - 2ef30: 18ffffc4 addi r3,r3,-1 - 2ef34: 81a0983a sll r16,r16,r6 - 2ef38: 2101ffcc andi r4,r4,2047 - 2ef3c: 28ca703a and r5,r5,r3 - 2ef40: 003fed06 br 2eef8 <_gp+0xffff29c0> - 2ef44: 0009883a mov r4,zero - 2ef48: 000b883a mov r5,zero - 2ef4c: 003fea06 br 2eef8 <_gp+0xffff29c0> +0002f500 <__fixdfsi>: + 2f500: 280cd53a srli r6,r5,20 + 2f504: 00c00434 movhi r3,16 + 2f508: 18ffffc4 addi r3,r3,-1 + 2f50c: 3181ffcc andi r6,r6,2047 + 2f510: 01c0ff84 movi r7,1022 + 2f514: 28c6703a and r3,r5,r3 + 2f518: 280ad7fa srli r5,r5,31 + 2f51c: 3980120e bge r7,r6,2f568 <__fixdfsi+0x68> + 2f520: 00810744 movi r2,1053 + 2f524: 11800c16 blt r2,r6,2f558 <__fixdfsi+0x58> + 2f528: 00810cc4 movi r2,1075 + 2f52c: 1185c83a sub r2,r2,r6 + 2f530: 01c007c4 movi r7,31 + 2f534: 18c00434 orhi r3,r3,16 + 2f538: 38800d16 blt r7,r2,2f570 <__fixdfsi+0x70> + 2f53c: 31befb44 addi r6,r6,-1043 + 2f540: 2084d83a srl r2,r4,r2 + 2f544: 1986983a sll r3,r3,r6 + 2f548: 1884b03a or r2,r3,r2 + 2f54c: 28000726 beq r5,zero,2f56c <__fixdfsi+0x6c> + 2f550: 0085c83a sub r2,zero,r2 + 2f554: f800283a ret + 2f558: 00a00034 movhi r2,32768 + 2f55c: 10bfffc4 addi r2,r2,-1 + 2f560: 2885883a add r2,r5,r2 + 2f564: f800283a ret + 2f568: 0005883a mov r2,zero + 2f56c: f800283a ret + 2f570: 008104c4 movi r2,1043 + 2f574: 1185c83a sub r2,r2,r6 + 2f578: 1884d83a srl r2,r3,r2 + 2f57c: 003ff306 br 2f54c <_gp+0xffff26e0> -0002ef50 <__clzsi2>: - 2ef50: 00bfffd4 movui r2,65535 - 2ef54: 11000536 bltu r2,r4,2ef6c <__clzsi2+0x1c> - 2ef58: 00803fc4 movi r2,255 - 2ef5c: 11000f36 bltu r2,r4,2ef9c <__clzsi2+0x4c> - 2ef60: 00800804 movi r2,32 - 2ef64: 0007883a mov r3,zero - 2ef68: 00000506 br 2ef80 <__clzsi2+0x30> - 2ef6c: 00804034 movhi r2,256 - 2ef70: 10bfffc4 addi r2,r2,-1 - 2ef74: 11000c2e bgeu r2,r4,2efa8 <__clzsi2+0x58> - 2ef78: 00800204 movi r2,8 - 2ef7c: 00c00604 movi r3,24 - 2ef80: 20c8d83a srl r4,r4,r3 - 2ef84: 00c000f4 movhi r3,3 - 2ef88: 18c86e04 addi r3,r3,8632 - 2ef8c: 1909883a add r4,r3,r4 - 2ef90: 20c00003 ldbu r3,0(r4) - 2ef94: 10c5c83a sub r2,r2,r3 - 2ef98: f800283a ret - 2ef9c: 00800604 movi r2,24 - 2efa0: 00c00204 movi r3,8 - 2efa4: 003ff606 br 2ef80 <_gp+0xffff2a48> - 2efa8: 00800404 movi r2,16 - 2efac: 1007883a mov r3,r2 - 2efb0: 003ff306 br 2ef80 <_gp+0xffff2a48> +0002f580 <__floatsidf>: + 2f580: defffd04 addi sp,sp,-12 + 2f584: dfc00215 stw ra,8(sp) + 2f588: dc400115 stw r17,4(sp) + 2f58c: dc000015 stw r16,0(sp) + 2f590: 20002b26 beq r4,zero,2f640 <__floatsidf+0xc0> + 2f594: 2023883a mov r17,r4 + 2f598: 2020d7fa srli r16,r4,31 + 2f59c: 20002d16 blt r4,zero,2f654 <__floatsidf+0xd4> + 2f5a0: 8809883a mov r4,r17 + 2f5a4: 002f7040 call 2f704 <__clzsi2> + 2f5a8: 01410784 movi r5,1054 + 2f5ac: 288bc83a sub r5,r5,r2 + 2f5b0: 01010cc4 movi r4,1075 + 2f5b4: 2149c83a sub r4,r4,r5 + 2f5b8: 00c007c4 movi r3,31 + 2f5bc: 1900160e bge r3,r4,2f618 <__floatsidf+0x98> + 2f5c0: 00c104c4 movi r3,1043 + 2f5c4: 1947c83a sub r3,r3,r5 + 2f5c8: 88c6983a sll r3,r17,r3 + 2f5cc: 00800434 movhi r2,16 + 2f5d0: 10bfffc4 addi r2,r2,-1 + 2f5d4: 1886703a and r3,r3,r2 + 2f5d8: 2941ffcc andi r5,r5,2047 + 2f5dc: 800d883a mov r6,r16 + 2f5e0: 0005883a mov r2,zero + 2f5e4: 280a953a slli r5,r5,20 + 2f5e8: 31803fcc andi r6,r6,255 + 2f5ec: 01000434 movhi r4,16 + 2f5f0: 300c97fa slli r6,r6,31 + 2f5f4: 213fffc4 addi r4,r4,-1 + 2f5f8: 1906703a and r3,r3,r4 + 2f5fc: 1946b03a or r3,r3,r5 + 2f600: 1986b03a or r3,r3,r6 + 2f604: dfc00217 ldw ra,8(sp) + 2f608: dc400117 ldw r17,4(sp) + 2f60c: dc000017 ldw r16,0(sp) + 2f610: dec00304 addi sp,sp,12 + 2f614: f800283a ret + 2f618: 00c002c4 movi r3,11 + 2f61c: 1887c83a sub r3,r3,r2 + 2f620: 88c6d83a srl r3,r17,r3 + 2f624: 8904983a sll r2,r17,r4 + 2f628: 01000434 movhi r4,16 + 2f62c: 213fffc4 addi r4,r4,-1 + 2f630: 2941ffcc andi r5,r5,2047 + 2f634: 1906703a and r3,r3,r4 + 2f638: 800d883a mov r6,r16 + 2f63c: 003fe906 br 2f5e4 <_gp+0xffff2778> + 2f640: 000d883a mov r6,zero + 2f644: 000b883a mov r5,zero + 2f648: 0007883a mov r3,zero + 2f64c: 0005883a mov r2,zero + 2f650: 003fe406 br 2f5e4 <_gp+0xffff2778> + 2f654: 0123c83a sub r17,zero,r4 + 2f658: 003fd106 br 2f5a0 <_gp+0xffff2734> -0002efb4 : +0002f65c <__floatunsidf>: + 2f65c: defffe04 addi sp,sp,-8 + 2f660: dc000015 stw r16,0(sp) + 2f664: dfc00115 stw ra,4(sp) + 2f668: 2021883a mov r16,r4 + 2f66c: 20002226 beq r4,zero,2f6f8 <__floatunsidf+0x9c> + 2f670: 002f7040 call 2f704 <__clzsi2> + 2f674: 01010784 movi r4,1054 + 2f678: 2089c83a sub r4,r4,r2 + 2f67c: 01810cc4 movi r6,1075 + 2f680: 310dc83a sub r6,r6,r4 + 2f684: 00c007c4 movi r3,31 + 2f688: 1980120e bge r3,r6,2f6d4 <__floatunsidf+0x78> + 2f68c: 00c104c4 movi r3,1043 + 2f690: 1907c83a sub r3,r3,r4 + 2f694: 80ca983a sll r5,r16,r3 + 2f698: 00800434 movhi r2,16 + 2f69c: 10bfffc4 addi r2,r2,-1 + 2f6a0: 2101ffcc andi r4,r4,2047 + 2f6a4: 0021883a mov r16,zero + 2f6a8: 288a703a and r5,r5,r2 + 2f6ac: 2008953a slli r4,r4,20 + 2f6b0: 00c00434 movhi r3,16 + 2f6b4: 18ffffc4 addi r3,r3,-1 + 2f6b8: 28c6703a and r3,r5,r3 + 2f6bc: 8005883a mov r2,r16 + 2f6c0: 1906b03a or r3,r3,r4 + 2f6c4: dfc00117 ldw ra,4(sp) + 2f6c8: dc000017 ldw r16,0(sp) + 2f6cc: dec00204 addi sp,sp,8 + 2f6d0: f800283a ret + 2f6d4: 00c002c4 movi r3,11 + 2f6d8: 188bc83a sub r5,r3,r2 + 2f6dc: 814ad83a srl r5,r16,r5 + 2f6e0: 00c00434 movhi r3,16 + 2f6e4: 18ffffc4 addi r3,r3,-1 + 2f6e8: 81a0983a sll r16,r16,r6 + 2f6ec: 2101ffcc andi r4,r4,2047 + 2f6f0: 28ca703a and r5,r5,r3 + 2f6f4: 003fed06 br 2f6ac <_gp+0xffff2840> + 2f6f8: 0009883a mov r4,zero + 2f6fc: 000b883a mov r5,zero + 2f700: 003fea06 br 2f6ac <_gp+0xffff2840> + +0002f704 <__clzsi2>: + 2f704: 00bfffd4 movui r2,65535 + 2f708: 11000536 bltu r2,r4,2f720 <__clzsi2+0x1c> + 2f70c: 00803fc4 movi r2,255 + 2f710: 11000f36 bltu r2,r4,2f750 <__clzsi2+0x4c> + 2f714: 00800804 movi r2,32 + 2f718: 0007883a mov r3,zero + 2f71c: 00000506 br 2f734 <__clzsi2+0x30> + 2f720: 00804034 movhi r2,256 + 2f724: 10bfffc4 addi r2,r2,-1 + 2f728: 11000c2e bgeu r2,r4,2f75c <__clzsi2+0x58> + 2f72c: 00800204 movi r2,8 + 2f730: 00c00604 movi r3,24 + 2f734: 20c8d83a srl r4,r4,r3 + 2f738: 00c000f4 movhi r3,3 + 2f73c: 18cabb04 addi r3,r3,10988 + 2f740: 1909883a add r4,r3,r4 + 2f744: 20c00003 ldbu r3,0(r4) + 2f748: 10c5c83a sub r2,r2,r3 + 2f74c: f800283a ret + 2f750: 00800604 movi r2,24 + 2f754: 00c00204 movi r3,8 + 2f758: 003ff606 br 2f734 <_gp+0xffff28c8> + 2f75c: 00800404 movi r2,16 + 2f760: 1007883a mov r3,r2 + 2f764: 003ff306 br 2f734 <_gp+0xffff28c8> + +0002f768 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2efb4: defffe04 addi sp,sp,-8 - 2efb8: dfc00115 stw ra,4(sp) - 2efbc: df000015 stw fp,0(sp) - 2efc0: d839883a mov fp,sp + 2f768: defffe04 addi sp,sp,-8 + 2f76c: dfc00115 stw ra,4(sp) + 2f770: df000015 stw fp,0(sp) + 2f774: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2efc4: 008000f4 movhi r2,3 - 2efc8: 10915c04 addi r2,r2,17776 - 2efcc: 10800017 ldw r2,0(r2) - 2efd0: 10000526 beq r2,zero,2efe8 - 2efd4: 008000f4 movhi r2,3 - 2efd8: 10915c04 addi r2,r2,17776 - 2efdc: 10800017 ldw r2,0(r2) - 2efe0: 103ee83a callr r2 - 2efe4: 00000206 br 2eff0 - 2efe8: 008000f4 movhi r2,3 - 2efec: 109a0c04 addi r2,r2,26672 + 2f778: 008000f4 movhi r2,3 + 2f77c: 1093a904 addi r2,r2,20132 + 2f780: 10800017 ldw r2,0(r2) + 2f784: 10000526 beq r2,zero,2f79c + 2f788: 008000f4 movhi r2,3 + 2f78c: 1093a904 addi r2,r2,20132 + 2f790: 10800017 ldw r2,0(r2) + 2f794: 103ee83a callr r2 + 2f798: 00000206 br 2f7a4 + 2f79c: 008000f4 movhi r2,3 + 2f7a0: 109c5904 addi r2,r2,29028 } - 2eff0: e037883a mov sp,fp - 2eff4: dfc00117 ldw ra,4(sp) - 2eff8: df000017 ldw fp,0(sp) - 2effc: dec00204 addi sp,sp,8 - 2f000: f800283a ret + 2f7a4: e037883a mov sp,fp + 2f7a8: dfc00117 ldw ra,4(sp) + 2f7ac: df000017 ldw fp,0(sp) + 2f7b0: dec00204 addi sp,sp,8 + 2f7b4: f800283a ret -0002f004 : +0002f7b8 : * * ALT_CLOSE is mapped onto the close() system call in alt_syscall.h */ int ALT_CLOSE (int fildes) { - 2f004: defffb04 addi sp,sp,-20 - 2f008: dfc00415 stw ra,16(sp) - 2f00c: df000315 stw fp,12(sp) - 2f010: df000304 addi fp,sp,12 - 2f014: e13fff15 stw r4,-4(fp) + 2f7b8: defffb04 addi sp,sp,-20 + 2f7bc: dfc00415 stw ra,16(sp) + 2f7c0: df000315 stw fp,12(sp) + 2f7c4: df000304 addi fp,sp,12 + 2f7c8: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (fildes < 0) ? NULL : &alt_fd_list[fildes]; - 2f018: e0bfff17 ldw r2,-4(fp) - 2f01c: 10000616 blt r2,zero,2f038 - 2f020: e0bfff17 ldw r2,-4(fp) - 2f024: 10c00324 muli r3,r2,12 - 2f028: 008000f4 movhi r2,3 - 2f02c: 108cd604 addi r2,r2,13144 - 2f030: 1885883a add r2,r3,r2 - 2f034: 00000106 br 2f03c - 2f038: 0005883a mov r2,zero - 2f03c: e0bffd15 stw r2,-12(fp) + 2f7cc: e0bfff17 ldw r2,-4(fp) + 2f7d0: 10000616 blt r2,zero,2f7ec + 2f7d4: e0bfff17 ldw r2,-4(fp) + 2f7d8: 10c00324 muli r3,r2,12 + 2f7dc: 008000f4 movhi r2,3 + 2f7e0: 108f2304 addi r2,r2,15500 + 2f7e4: 1885883a add r2,r3,r2 + 2f7e8: 00000106 br 2f7f0 + 2f7ec: 0005883a mov r2,zero + 2f7f0: e0bffd15 stw r2,-12(fp) if (fd) - 2f040: e0bffd17 ldw r2,-12(fp) - 2f044: 10001926 beq r2,zero,2f0ac + 2f7f4: e0bffd17 ldw r2,-12(fp) + 2f7f8: 10001926 beq r2,zero,2f860 /* * If the associated file system/device has a close function, call it so * that any necessary cleanup code can run. */ rval = (fd->dev->close) ? fd->dev->close(fd) : 0; - 2f048: e0bffd17 ldw r2,-12(fp) - 2f04c: 10800017 ldw r2,0(r2) - 2f050: 10800417 ldw r2,16(r2) - 2f054: 10000626 beq r2,zero,2f070 - 2f058: e0bffd17 ldw r2,-12(fp) - 2f05c: 10800017 ldw r2,0(r2) - 2f060: 10800417 ldw r2,16(r2) - 2f064: e13ffd17 ldw r4,-12(fp) - 2f068: 103ee83a callr r2 - 2f06c: 00000106 br 2f074 - 2f070: 0005883a mov r2,zero - 2f074: e0bffe15 stw r2,-8(fp) + 2f7fc: e0bffd17 ldw r2,-12(fp) + 2f800: 10800017 ldw r2,0(r2) + 2f804: 10800417 ldw r2,16(r2) + 2f808: 10000626 beq r2,zero,2f824 + 2f80c: e0bffd17 ldw r2,-12(fp) + 2f810: 10800017 ldw r2,0(r2) + 2f814: 10800417 ldw r2,16(r2) + 2f818: e13ffd17 ldw r4,-12(fp) + 2f81c: 103ee83a callr r2 + 2f820: 00000106 br 2f828 + 2f824: 0005883a mov r2,zero + 2f828: e0bffe15 stw r2,-8(fp) /* Free the file descriptor structure and return. */ alt_release_fd (fildes); - 2f078: e13fff17 ldw r4,-4(fp) - 2f07c: 002f7140 call 2f714 + 2f82c: e13fff17 ldw r4,-4(fp) + 2f830: 00300380 call 30038 if (rval < 0) - 2f080: e0bffe17 ldw r2,-8(fp) - 2f084: 1000070e bge r2,zero,2f0a4 + 2f834: e0bffe17 ldw r2,-8(fp) + 2f838: 1000070e bge r2,zero,2f858 { ALT_ERRNO = -rval; - 2f088: 002efb40 call 2efb4 - 2f08c: 1007883a mov r3,r2 - 2f090: e0bffe17 ldw r2,-8(fp) - 2f094: 0085c83a sub r2,zero,r2 - 2f098: 18800015 stw r2,0(r3) + 2f83c: 002f7680 call 2f768 + 2f840: 1007883a mov r3,r2 + 2f844: e0bffe17 ldw r2,-8(fp) + 2f848: 0085c83a sub r2,zero,r2 + 2f84c: 18800015 stw r2,0(r3) return -1; - 2f09c: 00bfffc4 movi r2,-1 - 2f0a0: 00000706 br 2f0c0 + 2f850: 00bfffc4 movi r2,-1 + 2f854: 00000706 br 2f874 } return 0; - 2f0a4: 0005883a mov r2,zero - 2f0a8: 00000506 br 2f0c0 + 2f858: 0005883a mov r2,zero + 2f85c: 00000506 br 2f874 } else { ALT_ERRNO = EBADFD; - 2f0ac: 002efb40 call 2efb4 - 2f0b0: 1007883a mov r3,r2 - 2f0b4: 00801444 movi r2,81 - 2f0b8: 18800015 stw r2,0(r3) + 2f860: 002f7680 call 2f768 + 2f864: 1007883a mov r3,r2 + 2f868: 00801444 movi r2,81 + 2f86c: 18800015 stw r2,0(r3) return -1; - 2f0bc: 00bfffc4 movi r2,-1 + 2f870: 00bfffc4 movi r2,-1 } } - 2f0c0: e037883a mov sp,fp - 2f0c4: dfc00117 ldw ra,4(sp) - 2f0c8: df000017 ldw fp,0(sp) - 2f0cc: dec00204 addi sp,sp,8 - 2f0d0: f800283a ret + 2f874: e037883a mov sp,fp + 2f878: dfc00117 ldw ra,4(sp) + 2f87c: df000017 ldw fp,0(sp) + 2f880: dec00204 addi sp,sp,8 + 2f884: f800283a ret -0002f0d4 : +0002f888 : * by the alt_dev_null device. It simple discards all data passed to it, and * indicates that the data has been successfully transmitted. */ static int alt_dev_null_write (alt_fd* fd, const char* ptr, int len) { - 2f0d4: defffc04 addi sp,sp,-16 - 2f0d8: df000315 stw fp,12(sp) - 2f0dc: df000304 addi fp,sp,12 - 2f0e0: e13ffd15 stw r4,-12(fp) - 2f0e4: e17ffe15 stw r5,-8(fp) - 2f0e8: e1bfff15 stw r6,-4(fp) + 2f888: defffc04 addi sp,sp,-16 + 2f88c: df000315 stw fp,12(sp) + 2f890: df000304 addi fp,sp,12 + 2f894: e13ffd15 stw r4,-12(fp) + 2f898: e17ffe15 stw r5,-8(fp) + 2f89c: e1bfff15 stw r6,-4(fp) return len; - 2f0ec: e0bfff17 ldw r2,-4(fp) + 2f8a0: e0bfff17 ldw r2,-4(fp) } - 2f0f0: e037883a mov sp,fp - 2f0f4: df000017 ldw fp,0(sp) - 2f0f8: dec00104 addi sp,sp,4 - 2f0fc: f800283a ret + 2f8a4: e037883a mov sp,fp + 2f8a8: df000017 ldw fp,0(sp) + 2f8ac: dec00104 addi sp,sp,4 + 2f8b0: f800283a ret -0002f100 : +0002f8b4 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2f100: defffe04 addi sp,sp,-8 - 2f104: dfc00115 stw ra,4(sp) - 2f108: df000015 stw fp,0(sp) - 2f10c: d839883a mov fp,sp + 2f8b4: defffe04 addi sp,sp,-8 + 2f8b8: dfc00115 stw ra,4(sp) + 2f8bc: df000015 stw fp,0(sp) + 2f8c0: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2f110: 008000f4 movhi r2,3 - 2f114: 10915c04 addi r2,r2,17776 - 2f118: 10800017 ldw r2,0(r2) - 2f11c: 10000526 beq r2,zero,2f134 - 2f120: 008000f4 movhi r2,3 - 2f124: 10915c04 addi r2,r2,17776 - 2f128: 10800017 ldw r2,0(r2) - 2f12c: 103ee83a callr r2 - 2f130: 00000206 br 2f13c - 2f134: 008000f4 movhi r2,3 - 2f138: 109a0c04 addi r2,r2,26672 + 2f8c4: 008000f4 movhi r2,3 + 2f8c8: 1093a904 addi r2,r2,20132 + 2f8cc: 10800017 ldw r2,0(r2) + 2f8d0: 10000526 beq r2,zero,2f8e8 + 2f8d4: 008000f4 movhi r2,3 + 2f8d8: 1093a904 addi r2,r2,20132 + 2f8dc: 10800017 ldw r2,0(r2) + 2f8e0: 103ee83a callr r2 + 2f8e4: 00000206 br 2f8f0 + 2f8e8: 008000f4 movhi r2,3 + 2f8ec: 109c5904 addi r2,r2,29028 } - 2f13c: e037883a mov sp,fp - 2f140: dfc00117 ldw ra,4(sp) - 2f144: df000017 ldw fp,0(sp) - 2f148: dec00204 addi sp,sp,8 - 2f14c: f800283a ret + 2f8f0: e037883a mov sp,fp + 2f8f4: dfc00117 ldw ra,4(sp) + 2f8f8: df000017 ldw fp,0(sp) + 2f8fc: dec00204 addi sp,sp,8 + 2f900: f800283a ret -0002f150 : +0002f904 : + * + * ALT_FCNTL is mapped onto the fcntl() system call in alt_syscall.h + */ + +int ALT_FCNTL (int file, int cmd, ...) +{ + 2f904: defff704 addi sp,sp,-36 + 2f908: dfc00615 stw ra,24(sp) + 2f90c: df000515 stw fp,20(sp) + 2f910: df000504 addi fp,sp,20 + 2f914: e13ffe15 stw r4,-8(fp) + 2f918: e17fff15 stw r5,-4(fp) + 2f91c: e1800215 stw r6,8(fp) + 2f920: e1c00315 stw r7,12(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 2f924: e0bffe17 ldw r2,-8(fp) + 2f928: 10000616 blt r2,zero,2f944 + 2f92c: e0bffe17 ldw r2,-8(fp) + 2f930: 10c00324 muli r3,r2,12 + 2f934: 008000f4 movhi r2,3 + 2f938: 108f2304 addi r2,r2,15500 + 2f93c: 1885883a add r2,r3,r2 + 2f940: 00000106 br 2f948 + 2f944: 0005883a mov r2,zero + 2f948: e0bffb15 stw r2,-20(fp) + + if (fd) + 2f94c: e0bffb17 ldw r2,-20(fp) + 2f950: 10002a26 beq r2,zero,2f9fc + { + switch (cmd) + 2f954: e0bfff17 ldw r2,-4(fp) + 2f958: 10c000e0 cmpeqi r3,r2,3 + 2f95c: 1800031e bne r3,zero,2f96c + 2f960: 10800120 cmpeqi r2,r2,4 + 2f964: 1000071e bne r2,zero,2f984 + 2f968: 00001e06 br 2f9e4 + { + case F_GETFL: + return fd->fd_flags & ~((alt_u32) ALT_FD_FLAGS_MASK); + 2f96c: e0bffb17 ldw r2,-20(fp) + 2f970: 10c00217 ldw r3,8(r2) + 2f974: 00900034 movhi r2,16384 + 2f978: 10bfffc4 addi r2,r2,-1 + 2f97c: 1884703a and r2,r3,r2 + 2f980: 00002306 br 2fa10 + case F_SETFL: + va_start(argp, cmd); + 2f984: e0800204 addi r2,fp,8 + 2f988: e0bffd15 stw r2,-12(fp) + flags = va_arg(argp, long); + 2f98c: e0bffd17 ldw r2,-12(fp) + 2f990: 10c00104 addi r3,r2,4 + 2f994: e0fffd15 stw r3,-12(fp) + 2f998: 10800017 ldw r2,0(r2) + 2f99c: e0bffc15 stw r2,-16(fp) + fd->fd_flags &= ~ALT_FCNTL_FLAGS_MASK; + 2f9a0: e0bffb17 ldw r2,-20(fp) + 2f9a4: 10c00217 ldw r3,8(r2) + 2f9a8: 00affdc4 movi r2,-16393 + 2f9ac: 1886703a and r3,r3,r2 + 2f9b0: e0bffb17 ldw r2,-20(fp) + 2f9b4: 10c00215 stw r3,8(r2) + fd->fd_flags |= (flags & ALT_FCNTL_FLAGS_MASK); + 2f9b8: e0bffb17 ldw r2,-20(fp) + 2f9bc: 10800217 ldw r2,8(r2) + 2f9c0: 1007883a mov r3,r2 + 2f9c4: e0bffc17 ldw r2,-16(fp) + 2f9c8: 1090020c andi r2,r2,16392 + 2f9cc: 1884b03a or r2,r3,r2 + 2f9d0: 1007883a mov r3,r2 + 2f9d4: e0bffb17 ldw r2,-20(fp) + 2f9d8: 10c00215 stw r3,8(r2) + va_end(argp); + return 0; + 2f9dc: 0005883a mov r2,zero + 2f9e0: 00000b06 br 2fa10 + default: + ALT_ERRNO = EINVAL; + 2f9e4: 002f8b40 call 2f8b4 + 2f9e8: 1007883a mov r3,r2 + 2f9ec: 00800584 movi r2,22 + 2f9f0: 18800015 stw r2,0(r3) + return -1; + 2f9f4: 00bfffc4 movi r2,-1 + 2f9f8: 00000506 br 2fa10 + } + } + + ALT_ERRNO = EBADFD; + 2f9fc: 002f8b40 call 2f8b4 + 2fa00: 1007883a mov r3,r2 + 2fa04: 00801444 movi r2,81 + 2fa08: 18800015 stw r2,0(r3) + return -1; + 2fa0c: 00bfffc4 movi r2,-1 +} + 2fa10: e037883a mov sp,fp + 2fa14: dfc00117 ldw ra,4(sp) + 2fa18: df000017 ldw fp,0(sp) + 2fa1c: dec00404 addi sp,sp,16 + 2fa20: f800283a ret + +0002fa24 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 2fa24: defffe04 addi sp,sp,-8 + 2fa28: dfc00115 stw ra,4(sp) + 2fa2c: df000015 stw fp,0(sp) + 2fa30: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 2fa34: 008000f4 movhi r2,3 + 2fa38: 1093a904 addi r2,r2,20132 + 2fa3c: 10800017 ldw r2,0(r2) + 2fa40: 10000526 beq r2,zero,2fa58 + 2fa44: 008000f4 movhi r2,3 + 2fa48: 1093a904 addi r2,r2,20132 + 2fa4c: 10800017 ldw r2,0(r2) + 2fa50: 103ee83a callr r2 + 2fa54: 00000206 br 2fa60 + 2fa58: 008000f4 movhi r2,3 + 2fa5c: 109c5904 addi r2,r2,29028 +} + 2fa60: e037883a mov sp,fp + 2fa64: dfc00117 ldw ra,4(sp) + 2fa68: df000017 ldw fp,0(sp) + 2fa6c: dec00204 addi sp,sp,8 + 2fa70: f800283a ret + +0002fa74 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_FSTAT (int file, struct stat *st) { - 2f150: defffb04 addi sp,sp,-20 - 2f154: dfc00415 stw ra,16(sp) - 2f158: df000315 stw fp,12(sp) - 2f15c: df000304 addi fp,sp,12 - 2f160: e13ffe15 stw r4,-8(fp) - 2f164: e17fff15 stw r5,-4(fp) + 2fa74: defffb04 addi sp,sp,-20 + 2fa78: dfc00415 stw ra,16(sp) + 2fa7c: df000315 stw fp,12(sp) + 2fa80: df000304 addi fp,sp,12 + 2fa84: e13ffe15 stw r4,-8(fp) + 2fa88: e17fff15 stw r5,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2f168: e0bffe17 ldw r2,-8(fp) - 2f16c: 10000616 blt r2,zero,2f188 - 2f170: e0bffe17 ldw r2,-8(fp) - 2f174: 10c00324 muli r3,r2,12 - 2f178: 008000f4 movhi r2,3 - 2f17c: 108cd604 addi r2,r2,13144 - 2f180: 1885883a add r2,r3,r2 - 2f184: 00000106 br 2f18c - 2f188: 0005883a mov r2,zero - 2f18c: e0bffd15 stw r2,-12(fp) + 2fa8c: e0bffe17 ldw r2,-8(fp) + 2fa90: 10000616 blt r2,zero,2faac + 2fa94: e0bffe17 ldw r2,-8(fp) + 2fa98: 10c00324 muli r3,r2,12 + 2fa9c: 008000f4 movhi r2,3 + 2faa0: 108f2304 addi r2,r2,15500 + 2faa4: 1885883a add r2,r3,r2 + 2faa8: 00000106 br 2fab0 + 2faac: 0005883a mov r2,zero + 2fab0: e0bffd15 stw r2,-12(fp) if (fd) - 2f190: e0bffd17 ldw r2,-12(fp) - 2f194: 10001026 beq r2,zero,2f1d8 + 2fab4: e0bffd17 ldw r2,-12(fp) + 2fab8: 10001026 beq r2,zero,2fafc { /* Call the drivers fstat() function to fill out the "st" structure. */ if (fd->dev->fstat) - 2f198: e0bffd17 ldw r2,-12(fp) - 2f19c: 10800017 ldw r2,0(r2) - 2f1a0: 10800817 ldw r2,32(r2) - 2f1a4: 10000726 beq r2,zero,2f1c4 + 2fabc: e0bffd17 ldw r2,-12(fp) + 2fac0: 10800017 ldw r2,0(r2) + 2fac4: 10800817 ldw r2,32(r2) + 2fac8: 10000726 beq r2,zero,2fae8 { return fd->dev->fstat(fd, st); - 2f1a8: e0bffd17 ldw r2,-12(fp) - 2f1ac: 10800017 ldw r2,0(r2) - 2f1b0: 10800817 ldw r2,32(r2) - 2f1b4: e17fff17 ldw r5,-4(fp) - 2f1b8: e13ffd17 ldw r4,-12(fp) - 2f1bc: 103ee83a callr r2 - 2f1c0: 00000a06 br 2f1ec + 2facc: e0bffd17 ldw r2,-12(fp) + 2fad0: 10800017 ldw r2,0(r2) + 2fad4: 10800817 ldw r2,32(r2) + 2fad8: e17fff17 ldw r5,-4(fp) + 2fadc: e13ffd17 ldw r4,-12(fp) + 2fae0: 103ee83a callr r2 + 2fae4: 00000a06 br 2fb10 * device. */ else { st->st_mode = _IFCHR; - 2f1c4: e0bfff17 ldw r2,-4(fp) - 2f1c8: 00c80004 movi r3,8192 - 2f1cc: 10c00115 stw r3,4(r2) + 2fae8: e0bfff17 ldw r2,-4(fp) + 2faec: 00c80004 movi r3,8192 + 2faf0: 10c00115 stw r3,4(r2) return 0; - 2f1d0: 0005883a mov r2,zero - 2f1d4: 00000506 br 2f1ec + 2faf4: 0005883a mov r2,zero + 2faf8: 00000506 br 2fb10 } } else { ALT_ERRNO = EBADFD; - 2f1d8: 002f1000 call 2f100 - 2f1dc: 1007883a mov r3,r2 - 2f1e0: 00801444 movi r2,81 - 2f1e4: 18800015 stw r2,0(r3) + 2fafc: 002fa240 call 2fa24 + 2fb00: 1007883a mov r3,r2 + 2fb04: 00801444 movi r2,81 + 2fb08: 18800015 stw r2,0(r3) return -1; - 2f1e8: 00bfffc4 movi r2,-1 + 2fb0c: 00bfffc4 movi r2,-1 } } - 2f1ec: e037883a mov sp,fp - 2f1f0: dfc00117 ldw ra,4(sp) - 2f1f4: df000017 ldw fp,0(sp) - 2f1f8: dec00204 addi sp,sp,8 - 2f1fc: f800283a ret + 2fb10: e037883a mov sp,fp + 2fb14: dfc00117 ldw ra,4(sp) + 2fb18: df000017 ldw fp,0(sp) + 2fb1c: dec00204 addi sp,sp,8 + 2fb20: f800283a ret -0002f200 : +0002fb24 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 2f200: defffe04 addi sp,sp,-8 - 2f204: dfc00115 stw ra,4(sp) - 2f208: df000015 stw fp,0(sp) - 2f20c: d839883a mov fp,sp + 2fb24: defffe04 addi sp,sp,-8 + 2fb28: dfc00115 stw ra,4(sp) + 2fb2c: df000015 stw fp,0(sp) + 2fb30: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 2f210: 008000f4 movhi r2,3 - 2f214: 10915c04 addi r2,r2,17776 - 2f218: 10800017 ldw r2,0(r2) - 2f21c: 10000526 beq r2,zero,2f234 - 2f220: 008000f4 movhi r2,3 - 2f224: 10915c04 addi r2,r2,17776 - 2f228: 10800017 ldw r2,0(r2) - 2f22c: 103ee83a callr r2 - 2f230: 00000206 br 2f23c - 2f234: 008000f4 movhi r2,3 - 2f238: 109a0c04 addi r2,r2,26672 + 2fb34: 008000f4 movhi r2,3 + 2fb38: 1093a904 addi r2,r2,20132 + 2fb3c: 10800017 ldw r2,0(r2) + 2fb40: 10000526 beq r2,zero,2fb58 + 2fb44: 008000f4 movhi r2,3 + 2fb48: 1093a904 addi r2,r2,20132 + 2fb4c: 10800017 ldw r2,0(r2) + 2fb50: 103ee83a callr r2 + 2fb54: 00000206 br 2fb60 + 2fb58: 008000f4 movhi r2,3 + 2fb5c: 109c5904 addi r2,r2,29028 } - 2f23c: e037883a mov sp,fp - 2f240: dfc00117 ldw ra,4(sp) - 2f244: df000017 ldw fp,0(sp) - 2f248: dec00204 addi sp,sp,8 - 2f24c: f800283a ret + 2fb60: e037883a mov sp,fp + 2fb64: dfc00117 ldw ra,4(sp) + 2fb68: df000017 ldw fp,0(sp) + 2fb6c: dec00204 addi sp,sp,8 + 2fb70: f800283a ret -0002f250 : +0002fb74 : * * ALT_ISATTY is mapped onto the isatty() system call in alt_syscall.h */ int ALT_ISATTY (int file) { - 2f250: deffed04 addi sp,sp,-76 - 2f254: dfc01215 stw ra,72(sp) - 2f258: df001115 stw fp,68(sp) - 2f25c: df001104 addi fp,sp,68 - 2f260: e13fff15 stw r4,-4(fp) + 2fb74: deffed04 addi sp,sp,-76 + 2fb78: dfc01215 stw ra,72(sp) + 2fb7c: df001115 stw fp,68(sp) + 2fb80: df001104 addi fp,sp,68 + 2fb84: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2f264: e0bfff17 ldw r2,-4(fp) - 2f268: 10000616 blt r2,zero,2f284 - 2f26c: e0bfff17 ldw r2,-4(fp) - 2f270: 10c00324 muli r3,r2,12 - 2f274: 008000f4 movhi r2,3 - 2f278: 108cd604 addi r2,r2,13144 - 2f27c: 1885883a add r2,r3,r2 - 2f280: 00000106 br 2f288 - 2f284: 0005883a mov r2,zero - 2f288: e0bfef15 stw r2,-68(fp) + 2fb88: e0bfff17 ldw r2,-4(fp) + 2fb8c: 10000616 blt r2,zero,2fba8 + 2fb90: e0bfff17 ldw r2,-4(fp) + 2fb94: 10c00324 muli r3,r2,12 + 2fb98: 008000f4 movhi r2,3 + 2fb9c: 108f2304 addi r2,r2,15500 + 2fba0: 1885883a add r2,r3,r2 + 2fba4: 00000106 br 2fbac + 2fba8: 0005883a mov r2,zero + 2fbac: e0bfef15 stw r2,-68(fp) if (fd) - 2f28c: e0bfef17 ldw r2,-68(fp) - 2f290: 10000e26 beq r2,zero,2f2cc + 2fbb0: e0bfef17 ldw r2,-68(fp) + 2fbb4: 10000e26 beq r2,zero,2fbf0 /* * If a device driver does not provide an fstat() function, then it is * treated as a terminal device by default. */ if (!fd->dev->fstat) - 2f294: e0bfef17 ldw r2,-68(fp) - 2f298: 10800017 ldw r2,0(r2) - 2f29c: 10800817 ldw r2,32(r2) - 2f2a0: 1000021e bne r2,zero,2f2ac + 2fbb8: e0bfef17 ldw r2,-68(fp) + 2fbbc: 10800017 ldw r2,0(r2) + 2fbc0: 10800817 ldw r2,32(r2) + 2fbc4: 1000021e bne r2,zero,2fbd0 { return 1; - 2f2a4: 00800044 movi r2,1 - 2f2a8: 00000d06 br 2f2e0 + 2fbc8: 00800044 movi r2,1 + 2fbcc: 00000d06 br 2fc04 * this is called so that the device can identify itself. */ else { fstat (file, &stat); - 2f2ac: e0bff004 addi r2,fp,-64 - 2f2b0: 100b883a mov r5,r2 - 2f2b4: e13fff17 ldw r4,-4(fp) - 2f2b8: 002f1500 call 2f150 + 2fbd0: e0bff004 addi r2,fp,-64 + 2fbd4: 100b883a mov r5,r2 + 2fbd8: e13fff17 ldw r4,-4(fp) + 2fbdc: 002fa740 call 2fa74 return (stat.st_mode == _IFCHR) ? 1 : 0; - 2f2bc: e0bff117 ldw r2,-60(fp) - 2f2c0: 10880020 cmpeqi r2,r2,8192 - 2f2c4: 10803fcc andi r2,r2,255 - 2f2c8: 00000506 br 2f2e0 + 2fbe0: e0bff117 ldw r2,-60(fp) + 2fbe4: 10880020 cmpeqi r2,r2,8192 + 2fbe8: 10803fcc andi r2,r2,255 + 2fbec: 00000506 br 2fc04 } } else { ALT_ERRNO = EBADFD; - 2f2cc: 002f2000 call 2f200 - 2f2d0: 1007883a mov r3,r2 - 2f2d4: 00801444 movi r2,81 - 2f2d8: 18800015 stw r2,0(r3) + 2fbf0: 002fb240 call 2fb24 + 2fbf4: 1007883a mov r3,r2 + 2fbf8: 00801444 movi r2,81 + 2fbfc: 18800015 stw r2,0(r3) return 0; - 2f2dc: 0005883a mov r2,zero + 2fc00: 0005883a mov r2,zero } } - 2f2e0: e037883a mov sp,fp - 2f2e4: dfc00117 ldw ra,4(sp) - 2f2e8: df000017 ldw fp,0(sp) - 2f2ec: dec00204 addi sp,sp,8 - 2f2f0: f800283a ret - -0002f2f4 : - */ - -static void ALT_INLINE alt_load_section (alt_u32* from, - alt_u32* to, - alt_u32* end) -{ - 2f2f4: defffc04 addi sp,sp,-16 - 2f2f8: df000315 stw fp,12(sp) - 2f2fc: df000304 addi fp,sp,12 - 2f300: e13ffd15 stw r4,-12(fp) - 2f304: e17ffe15 stw r5,-8(fp) - 2f308: e1bfff15 stw r6,-4(fp) - if (to != from) - 2f30c: e0fffe17 ldw r3,-8(fp) - 2f310: e0bffd17 ldw r2,-12(fp) - 2f314: 18800c26 beq r3,r2,2f348 - { - while( to != end ) - 2f318: 00000806 br 2f33c - { - *to++ = *from++; - 2f31c: e0bffe17 ldw r2,-8(fp) - 2f320: 10c00104 addi r3,r2,4 - 2f324: e0fffe15 stw r3,-8(fp) - 2f328: e0fffd17 ldw r3,-12(fp) - 2f32c: 19000104 addi r4,r3,4 - 2f330: e13ffd15 stw r4,-12(fp) - 2f334: 18c00017 ldw r3,0(r3) - 2f338: 10c00015 stw r3,0(r2) - alt_u32* to, - alt_u32* end) -{ - if (to != from) - { - while( to != end ) - 2f33c: e0fffe17 ldw r3,-8(fp) - 2f340: e0bfff17 ldw r2,-4(fp) - 2f344: 18bff51e bne r3,r2,2f31c <_gp+0xffff2de4> - { - *to++ = *from++; - } - } -} - 2f348: 0001883a nop - 2f34c: e037883a mov sp,fp - 2f350: df000017 ldw fp,0(sp) - 2f354: dec00104 addi sp,sp,4 - 2f358: f800283a ret - -0002f35c : - * there is no bootloader, so this application is responsible for loading to - * RAM any sections that are required. - */ - -void alt_load (void) -{ - 2f35c: defffe04 addi sp,sp,-8 - 2f360: dfc00115 stw ra,4(sp) - 2f364: df000015 stw fp,0(sp) - 2f368: d839883a mov fp,sp - /* - * Copy the .rwdata section. - */ - - alt_load_section (&__flash_rwdata_start, - 2f36c: 018000f4 movhi r6,3 - 2f370: 31916104 addi r6,r6,17796 - 2f374: 014000f4 movhi r5,3 - 2f378: 2948b904 addi r5,r5,8932 - 2f37c: 010000f4 movhi r4,3 - 2f380: 21116104 addi r4,r4,17796 - 2f384: 002f2f40 call 2f2f4 - - /* - * Copy the exception handler. - */ - - alt_load_section (&__flash_exceptions_start, - 2f388: 018000b4 movhi r6,2 - 2f38c: 31809104 addi r6,r6,580 - 2f390: 014000b4 movhi r5,2 - 2f394: 29400804 addi r5,r5,32 - 2f398: 010000b4 movhi r4,2 - 2f39c: 21000804 addi r4,r4,32 - 2f3a0: 002f2f40 call 2f2f4 - - /* - * Copy the .rodata section. - */ - - alt_load_section (&__flash_rodata_start, - 2f3a4: 018000f4 movhi r6,3 - 2f3a8: 3188b904 addi r6,r6,8932 - 2f3ac: 014000f4 movhi r5,3 - 2f3b0: 29468704 addi r5,r5,6684 - 2f3b4: 010000f4 movhi r4,3 - 2f3b8: 21068704 addi r4,r4,6684 - 2f3bc: 002f2f40 call 2f2f4 - - /* - * Now ensure that the caches are in synch. - */ - - alt_dcache_flush_all(); - 2f3c0: 0030a2c0 call 30a2c - alt_icache_flush_all(); - 2f3c4: 0030c280 call 30c28 -} - 2f3c8: 0001883a nop - 2f3cc: e037883a mov sp,fp - 2f3d0: dfc00117 ldw ra,4(sp) - 2f3d4: df000017 ldw fp,0(sp) - 2f3d8: dec00204 addi sp,sp,8 - 2f3dc: f800283a ret - -0002f3e0 : -#undef errno - -extern int errno; - -static ALT_INLINE int* alt_get_errno(void) -{ - 2f3e0: defffe04 addi sp,sp,-8 - 2f3e4: dfc00115 stw ra,4(sp) - 2f3e8: df000015 stw fp,0(sp) - 2f3ec: d839883a mov fp,sp - return ((alt_errno) ? alt_errno() : &errno); - 2f3f0: 008000f4 movhi r2,3 - 2f3f4: 10915c04 addi r2,r2,17776 - 2f3f8: 10800017 ldw r2,0(r2) - 2f3fc: 10000526 beq r2,zero,2f414 - 2f400: 008000f4 movhi r2,3 - 2f404: 10915c04 addi r2,r2,17776 - 2f408: 10800017 ldw r2,0(r2) - 2f40c: 103ee83a callr r2 - 2f410: 00000206 br 2f41c - 2f414: 008000f4 movhi r2,3 - 2f418: 109a0c04 addi r2,r2,26672 -} - 2f41c: e037883a mov sp,fp - 2f420: dfc00117 ldw ra,4(sp) - 2f424: df000017 ldw fp,0(sp) - 2f428: dec00204 addi sp,sp,8 - 2f42c: f800283a ret - -0002f430 : - * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h - * - */ - -off_t ALT_LSEEK (int file, off_t ptr, int dir) -{ - 2f430: defff904 addi sp,sp,-28 - 2f434: dfc00615 stw ra,24(sp) - 2f438: df000515 stw fp,20(sp) - 2f43c: df000504 addi fp,sp,20 - 2f440: e13ffd15 stw r4,-12(fp) - 2f444: e17ffe15 stw r5,-8(fp) - 2f448: e1bfff15 stw r6,-4(fp) - alt_fd* fd; - off_t rc = 0; - 2f44c: e03ffb15 stw zero,-20(fp) - * A common error case is that when the file descriptor was created, the call - * to open() failed resulting in a negative file descriptor. This is trapped - * below so that we don't try and process an invalid file descriptor. - */ - - fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2f450: e0bffd17 ldw r2,-12(fp) - 2f454: 10000616 blt r2,zero,2f470 - 2f458: e0bffd17 ldw r2,-12(fp) - 2f45c: 10c00324 muli r3,r2,12 - 2f460: 008000f4 movhi r2,3 - 2f464: 108cd604 addi r2,r2,13144 - 2f468: 1885883a add r2,r3,r2 - 2f46c: 00000106 br 2f474 - 2f470: 0005883a mov r2,zero - 2f474: e0bffc15 stw r2,-16(fp) - - if (fd) - 2f478: e0bffc17 ldw r2,-16(fp) - 2f47c: 10001026 beq r2,zero,2f4c0 - /* - * If the device driver provides an implementation of the lseek() function, - * then call that to process the request. - */ - - if (fd->dev->lseek) - 2f480: e0bffc17 ldw r2,-16(fp) - 2f484: 10800017 ldw r2,0(r2) - 2f488: 10800717 ldw r2,28(r2) - 2f48c: 10000926 beq r2,zero,2f4b4 - { - rc = fd->dev->lseek(fd, ptr, dir); - 2f490: e0bffc17 ldw r2,-16(fp) - 2f494: 10800017 ldw r2,0(r2) - 2f498: 10800717 ldw r2,28(r2) - 2f49c: e1bfff17 ldw r6,-4(fp) - 2f4a0: e17ffe17 ldw r5,-8(fp) - 2f4a4: e13ffc17 ldw r4,-16(fp) - 2f4a8: 103ee83a callr r2 - 2f4ac: e0bffb15 stw r2,-20(fp) - 2f4b0: 00000506 br 2f4c8 - * Otherwise return an error. - */ - - else - { - rc = -ENOTSUP; - 2f4b4: 00bfde84 movi r2,-134 - 2f4b8: e0bffb15 stw r2,-20(fp) - 2f4bc: 00000206 br 2f4c8 - } - } - else - { - rc = -EBADFD; - 2f4c0: 00bfebc4 movi r2,-81 - 2f4c4: e0bffb15 stw r2,-20(fp) - } - - if (rc < 0) - 2f4c8: e0bffb17 ldw r2,-20(fp) - 2f4cc: 1000070e bge r2,zero,2f4ec - { - ALT_ERRNO = -rc; - 2f4d0: 002f3e00 call 2f3e0 - 2f4d4: 1007883a mov r3,r2 - 2f4d8: e0bffb17 ldw r2,-20(fp) - 2f4dc: 0085c83a sub r2,zero,r2 - 2f4e0: 18800015 stw r2,0(r3) - rc = -1; - 2f4e4: 00bfffc4 movi r2,-1 - 2f4e8: e0bffb15 stw r2,-20(fp) - } - - return rc; - 2f4ec: e0bffb17 ldw r2,-20(fp) -} - 2f4f0: e037883a mov sp,fp - 2f4f4: dfc00117 ldw ra,4(sp) - 2f4f8: df000017 ldw fp,0(sp) - 2f4fc: dec00204 addi sp,sp,8 - 2f500: f800283a ret - -0002f504 : - * devices/filesystems/components in the system; and call the entry point for - * the users application, i.e. main(). - */ - -void alt_main (void) -{ - 2f504: defffd04 addi sp,sp,-12 - 2f508: dfc00215 stw ra,8(sp) - 2f50c: df000115 stw fp,4(sp) - 2f510: df000104 addi fp,sp,4 -#endif - - /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ - ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); - /* Initialize the interrupt controller. */ - alt_irq_init (NULL); - 2f514: 0009883a mov r4,zero - 2f518: 002fb7c0 call 2fb7c - - /* Initialize the operating system */ - ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); - ALT_OS_INIT(); - 2f51c: 0001883a nop - ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); - ALT_SEM_CREATE (&alt_fd_list_lock, 1); - - /* Initialize the device drivers/software components. */ - ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); - alt_sys_init(); - 2f520: 002fbb40 call 2fbb4 - * devices be present (not equal to /dev/null) and if direct drivers - * aren't being used. - */ - - ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); - alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); - 2f524: 018000f4 movhi r6,3 - 2f528: 3188b104 addi r6,r6,8900 - 2f52c: 014000f4 movhi r5,3 - 2f530: 2948b104 addi r5,r5,8900 - 2f534: 010000f4 movhi r4,3 - 2f538: 2108b104 addi r4,r4,8900 - 2f53c: 0030ff00 call 30ff0 - /* - * Call the C++ constructors - */ - - ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); - _do_ctors (); - 2f540: 0030b680 call 30b68 <_do_ctors> - * redefined as _exit()). This is in the interest of reducing code footprint, - * in that the atexit() overhead is removed when it's not needed. - */ - - ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); - atexit (_do_dtors); - 2f544: 010000f4 movhi r4,3 - 2f548: 2102f204 addi r4,r4,3016 - 2f54c: 00316880 call 31688 - ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); - -#ifdef ALT_NO_EXIT - main (alt_argc, alt_argv, alt_envp); -#else - result = main (alt_argc, alt_argv, alt_envp); - 2f550: d0a8c217 ldw r2,-23800(gp) - 2f554: d0e8c317 ldw r3,-23796(gp) - 2f558: d128c417 ldw r4,-23792(gp) - 2f55c: 200d883a mov r6,r4 - 2f560: 180b883a mov r5,r3 - 2f564: 1009883a mov r4,r2 - 2f568: 00217440 call 21744

    - 2f56c: e0bfff15 stw r2,-4(fp) - close(STDOUT_FILENO); - 2f570: 01000044 movi r4,1 - 2f574: 002f0040 call 2f004 - exit (result); - 2f578: e13fff17 ldw r4,-4(fp) - 2f57c: 003169c0 call 3169c - -0002f580 <__malloc_lock>: - * configuration is single threaded, so there is nothing to do here. Note that - * this requires that malloc is never called by an interrupt service routine. - */ - -void __malloc_lock ( struct _reent *_r ) -{ - 2f580: defffe04 addi sp,sp,-8 - 2f584: df000115 stw fp,4(sp) - 2f588: df000104 addi fp,sp,4 - 2f58c: e13fff15 stw r4,-4(fp) -} - 2f590: 0001883a nop - 2f594: e037883a mov sp,fp - 2f598: df000017 ldw fp,0(sp) - 2f59c: dec00104 addi sp,sp,4 - 2f5a0: f800283a ret - -0002f5a4 <__malloc_unlock>: -/* - * - */ - -void __malloc_unlock ( struct _reent *_r ) -{ - 2f5a4: defffe04 addi sp,sp,-8 - 2f5a8: df000115 stw fp,4(sp) - 2f5ac: df000104 addi fp,sp,4 - 2f5b0: e13fff15 stw r4,-4(fp) -} - 2f5b4: 0001883a nop - 2f5b8: e037883a mov sp,fp - 2f5bc: df000017 ldw fp,0(sp) - 2f5c0: dec00104 addi sp,sp,4 - 2f5c4: f800283a ret - -0002f5c8 : -#undef errno - -extern int errno; - -static ALT_INLINE int* alt_get_errno(void) -{ - 2f5c8: defffe04 addi sp,sp,-8 - 2f5cc: dfc00115 stw ra,4(sp) - 2f5d0: df000015 stw fp,0(sp) - 2f5d4: d839883a mov fp,sp - return ((alt_errno) ? alt_errno() : &errno); - 2f5d8: 008000f4 movhi r2,3 - 2f5dc: 10915c04 addi r2,r2,17776 - 2f5e0: 10800017 ldw r2,0(r2) - 2f5e4: 10000526 beq r2,zero,2f5fc - 2f5e8: 008000f4 movhi r2,3 - 2f5ec: 10915c04 addi r2,r2,17776 - 2f5f0: 10800017 ldw r2,0(r2) - 2f5f4: 103ee83a callr r2 - 2f5f8: 00000206 br 2f604 - 2f5fc: 008000f4 movhi r2,3 - 2f600: 109a0c04 addi r2,r2,26672 -} - 2f604: e037883a mov sp,fp - 2f608: dfc00117 ldw ra,4(sp) - 2f60c: df000017 ldw fp,0(sp) - 2f610: dec00204 addi sp,sp,8 - 2f614: f800283a ret - -0002f618 : -} - -#else /* !ALT_USE_DIRECT_DRIVERS */ - -int ALT_READ (int file, void *ptr, size_t len) -{ - 2f618: defff904 addi sp,sp,-28 - 2f61c: dfc00615 stw ra,24(sp) - 2f620: df000515 stw fp,20(sp) - 2f624: df000504 addi fp,sp,20 - 2f628: e13ffd15 stw r4,-12(fp) - 2f62c: e17ffe15 stw r5,-8(fp) - 2f630: e1bfff15 stw r6,-4(fp) - * A common error case is that when the file descriptor was created, the call - * to open() failed resulting in a negative file descriptor. This is trapped - * below so that we don't try and process an invalid file descriptor. - */ - - fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2f634: e0bffd17 ldw r2,-12(fp) - 2f638: 10000616 blt r2,zero,2f654 - 2f63c: e0bffd17 ldw r2,-12(fp) - 2f640: 10c00324 muli r3,r2,12 - 2f644: 008000f4 movhi r2,3 - 2f648: 108cd604 addi r2,r2,13144 - 2f64c: 1885883a add r2,r3,r2 - 2f650: 00000106 br 2f658 - 2f654: 0005883a mov r2,zero - 2f658: e0bffb15 stw r2,-20(fp) - - if (fd) - 2f65c: e0bffb17 ldw r2,-20(fp) - 2f660: 10002226 beq r2,zero,2f6ec - * If the file has not been opened with read access, or if the driver does - * not provide an implementation of read(), generate an error. Otherwise - * call the drivers read() function to process the request. - */ - - if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 2f664: e0bffb17 ldw r2,-20(fp) - 2f668: 10800217 ldw r2,8(r2) - 2f66c: 108000cc andi r2,r2,3 - 2f670: 10800060 cmpeqi r2,r2,1 - 2f674: 1000181e bne r2,zero,2f6d8 - (fd->dev->read)) - 2f678: e0bffb17 ldw r2,-20(fp) - 2f67c: 10800017 ldw r2,0(r2) - 2f680: 10800517 ldw r2,20(r2) - * If the file has not been opened with read access, or if the driver does - * not provide an implementation of read(), generate an error. Otherwise - * call the drivers read() function to process the request. - */ - - if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 2f684: 10001426 beq r2,zero,2f6d8 - (fd->dev->read)) - { - if ((rval = fd->dev->read(fd, ptr, len)) < 0) - 2f688: e0bffb17 ldw r2,-20(fp) - 2f68c: 10800017 ldw r2,0(r2) - 2f690: 10800517 ldw r2,20(r2) - 2f694: e0ffff17 ldw r3,-4(fp) - 2f698: 180d883a mov r6,r3 - 2f69c: e17ffe17 ldw r5,-8(fp) - 2f6a0: e13ffb17 ldw r4,-20(fp) - 2f6a4: 103ee83a callr r2 - 2f6a8: e0bffc15 stw r2,-16(fp) - 2f6ac: e0bffc17 ldw r2,-16(fp) - 2f6b0: 1000070e bge r2,zero,2f6d0 - { - ALT_ERRNO = -rval; - 2f6b4: 002f5c80 call 2f5c8 - 2f6b8: 1007883a mov r3,r2 - 2f6bc: e0bffc17 ldw r2,-16(fp) - 2f6c0: 0085c83a sub r2,zero,r2 - 2f6c4: 18800015 stw r2,0(r3) - return -1; - 2f6c8: 00bfffc4 movi r2,-1 - 2f6cc: 00000c06 br 2f700 - } - return rval; - 2f6d0: e0bffc17 ldw r2,-16(fp) - 2f6d4: 00000a06 br 2f700 - } - else - { - ALT_ERRNO = EACCES; - 2f6d8: 002f5c80 call 2f5c8 - 2f6dc: 1007883a mov r3,r2 - 2f6e0: 00800344 movi r2,13 - 2f6e4: 18800015 stw r2,0(r3) - 2f6e8: 00000406 br 2f6fc - } - } - else - { - ALT_ERRNO = EBADFD; - 2f6ec: 002f5c80 call 2f5c8 - 2f6f0: 1007883a mov r3,r2 - 2f6f4: 00801444 movi r2,81 - 2f6f8: 18800015 stw r2,0(r3) - } - return -1; - 2f6fc: 00bfffc4 movi r2,-1 -} - 2f700: e037883a mov sp,fp - 2f704: dfc00117 ldw ra,4(sp) - 2f708: df000017 ldw fp,0(sp) - 2f70c: dec00204 addi sp,sp,8 - 2f710: f800283a ret - -0002f714 : - * File descriptors correcponding to standard in, standard out and standard - * error cannont be released backed to the pool. They are always reserved. - */ - -void alt_release_fd (int fd) -{ - 2f714: defffe04 addi sp,sp,-8 - 2f718: df000115 stw fp,4(sp) - 2f71c: df000104 addi fp,sp,4 - 2f720: e13fff15 stw r4,-4(fp) - if (fd > 2) - 2f724: e0bfff17 ldw r2,-4(fp) - 2f728: 108000d0 cmplti r2,r2,3 - 2f72c: 10000d1e bne r2,zero,2f764 - { - alt_fd_list[fd].fd_flags = 0; - 2f730: 008000f4 movhi r2,3 - 2f734: 108cd604 addi r2,r2,13144 - 2f738: e0ffff17 ldw r3,-4(fp) - 2f73c: 18c00324 muli r3,r3,12 - 2f740: 10c5883a add r2,r2,r3 - 2f744: 10800204 addi r2,r2,8 - 2f748: 10000015 stw zero,0(r2) - alt_fd_list[fd].dev = 0; - 2f74c: 008000f4 movhi r2,3 - 2f750: 108cd604 addi r2,r2,13144 - 2f754: e0ffff17 ldw r3,-4(fp) - 2f758: 18c00324 muli r3,r3,12 - 2f75c: 10c5883a add r2,r2,r3 - 2f760: 10000015 stw zero,0(r2) - } -} - 2f764: 0001883a nop - 2f768: e037883a mov sp,fp - 2f76c: df000017 ldw fp,0(sp) - 2f770: dec00104 addi sp,sp,4 - 2f774: f800283a ret - -0002f778 : -#endif - -caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); - -caddr_t ALT_SBRK (int incr) -{ - 2f778: defff904 addi sp,sp,-28 - 2f77c: df000615 stw fp,24(sp) - 2f780: df000604 addi fp,sp,24 - 2f784: e13fff15 stw r4,-4(fp) -static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE - alt_irq_disable_all (void) -{ - alt_irq_context context; - - NIOS2_READ_STATUS (context); - 2f788: 0005303a rdctl r2,status - 2f78c: e0bffe15 stw r2,-8(fp) - - NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 2f790: e0fffe17 ldw r3,-8(fp) - 2f794: 00bfff84 movi r2,-2 - 2f798: 1884703a and r2,r3,r2 - 2f79c: 1001703a wrctl status,r2 - - return context; - 2f7a0: e0bffe17 ldw r2,-8(fp) - alt_irq_context context; - char *prev_heap_end; - - context = alt_irq_disable_all(); - 2f7a4: e0bffb15 stw r2,-20(fp) - - /* Always return data aligned on a word boundary */ - heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); - 2f7a8: d0a00f17 ldw r2,-32708(gp) - 2f7ac: 10c000c4 addi r3,r2,3 - 2f7b0: 00bfff04 movi r2,-4 - 2f7b4: 1884703a and r2,r3,r2 - 2f7b8: d0a00f15 stw r2,-32708(gp) - if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { - alt_irq_enable_all(context); - return (caddr_t)-1; - } -#else - if ((heap_end + incr) > __alt_heap_limit) { - 2f7bc: d0e00f17 ldw r3,-32708(gp) - 2f7c0: e0bfff17 ldw r2,-4(fp) - 2f7c4: 1887883a add r3,r3,r2 - 2f7c8: 00800134 movhi r2,4 - 2f7cc: 10a1a804 addi r2,r2,-31072 - 2f7d0: 10c0062e bgeu r2,r3,2f7ec - 2f7d4: e0bffb17 ldw r2,-20(fp) - 2f7d8: e0bffa15 stw r2,-24(fp) - status &= ~NIOS2_STATUS_PIE_MSK; - status |= (context & NIOS2_STATUS_PIE_MSK); - - NIOS2_WRITE_STATUS (status); -#else - NIOS2_WRITE_STATUS (context); - 2f7dc: e0bffa17 ldw r2,-24(fp) - 2f7e0: 1001703a wrctl status,r2 - alt_irq_enable_all(context); - return (caddr_t)-1; - 2f7e4: 00bfffc4 movi r2,-1 - 2f7e8: 00000b06 br 2f818 - } -#endif - - prev_heap_end = heap_end; - 2f7ec: d0a00f17 ldw r2,-32708(gp) - 2f7f0: e0bffd15 stw r2,-12(fp) - heap_end += incr; - 2f7f4: d0e00f17 ldw r3,-32708(gp) - 2f7f8: e0bfff17 ldw r2,-4(fp) - 2f7fc: 1885883a add r2,r3,r2 - 2f800: d0a00f15 stw r2,-32708(gp) - 2f804: e0bffb17 ldw r2,-20(fp) - 2f808: e0bffc15 stw r2,-16(fp) - 2f80c: e0bffc17 ldw r2,-16(fp) - 2f810: 1001703a wrctl status,r2 - -#endif - - alt_irq_enable_all(context); - - return (caddr_t) prev_heap_end; - 2f814: e0bffd17 ldw r2,-12(fp) -} - 2f818: e037883a mov sp,fp - 2f81c: df000017 ldw fp,0(sp) - 2f820: dec00104 addi sp,sp,4 - 2f824: f800283a ret - -0002f828 : - * alarms. Alternatively an alarm can unregister itself by returning zero when - * the alarm executes. - */ - -void alt_alarm_stop (alt_alarm* alarm) -{ - 2f828: defffa04 addi sp,sp,-24 - 2f82c: df000515 stw fp,20(sp) - 2f830: df000504 addi fp,sp,20 - 2f834: e13fff15 stw r4,-4(fp) -static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE - alt_irq_disable_all (void) -{ - alt_irq_context context; - - NIOS2_READ_STATUS (context); - 2f838: 0005303a rdctl r2,status - 2f83c: e0bffc15 stw r2,-16(fp) - - NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 2f840: e0fffc17 ldw r3,-16(fp) - 2f844: 00bfff84 movi r2,-2 - 2f848: 1884703a and r2,r3,r2 - 2f84c: 1001703a wrctl status,r2 - - return context; - 2f850: e0bffc17 ldw r2,-16(fp) - alt_irq_context irq_context; - - irq_context = alt_irq_disable_all(); - 2f854: e0bffb15 stw r2,-20(fp) - alt_llist_remove (&alarm->llist); - 2f858: e0bfff17 ldw r2,-4(fp) - 2f85c: e0bffd15 stw r2,-12(fp) - * input argument is the element to remove. - */ - -static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) -{ - entry->next->previous = entry->previous; - 2f860: e0bffd17 ldw r2,-12(fp) - 2f864: 10800017 ldw r2,0(r2) - 2f868: e0fffd17 ldw r3,-12(fp) - 2f86c: 18c00117 ldw r3,4(r3) - 2f870: 10c00115 stw r3,4(r2) - entry->previous->next = entry->next; - 2f874: e0bffd17 ldw r2,-12(fp) - 2f878: 10800117 ldw r2,4(r2) - 2f87c: e0fffd17 ldw r3,-12(fp) - 2f880: 18c00017 ldw r3,0(r3) - 2f884: 10c00015 stw r3,0(r2) - /* - * Set the entry to point to itself, so that any further calls to - * alt_llist_remove() are harmless. - */ - - entry->previous = entry; - 2f888: e0bffd17 ldw r2,-12(fp) - 2f88c: e0fffd17 ldw r3,-12(fp) - 2f890: 10c00115 stw r3,4(r2) - entry->next = entry; - 2f894: e0bffd17 ldw r2,-12(fp) - 2f898: e0fffd17 ldw r3,-12(fp) - 2f89c: 10c00015 stw r3,0(r2) - 2f8a0: e0bffb17 ldw r2,-20(fp) - 2f8a4: e0bffe15 stw r2,-8(fp) - status &= ~NIOS2_STATUS_PIE_MSK; - status |= (context & NIOS2_STATUS_PIE_MSK); - - NIOS2_WRITE_STATUS (status); -#else - NIOS2_WRITE_STATUS (context); - 2f8a8: e0bffe17 ldw r2,-8(fp) - 2f8ac: 1001703a wrctl status,r2 - alt_irq_enable_all (irq_context); -} - 2f8b0: 0001883a nop - 2f8b4: e037883a mov sp,fp - 2f8b8: df000017 ldw fp,0(sp) - 2f8bc: dec00104 addi sp,sp,4 - 2f8c0: f800283a ret - -0002f8c4 : - * - * alt_tick() is expected to run at interrupt level. - */ - -void alt_tick (void) -{ - 2f8c4: defffb04 addi sp,sp,-20 - 2f8c8: dfc00415 stw ra,16(sp) - 2f8cc: df000315 stw fp,12(sp) - 2f8d0: df000304 addi fp,sp,12 - alt_alarm* next; - alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; - 2f8d4: d0a01017 ldw r2,-32704(gp) - 2f8d8: e0bffd15 stw r2,-12(fp) - - alt_u32 next_callback; - - /* update the tick counter */ - - _alt_nticks++; - 2f8dc: d0a8c617 ldw r2,-23784(gp) - 2f8e0: 10800044 addi r2,r2,1 - 2f8e4: d0a8c615 stw r2,-23784(gp) - - /* process the registered callbacks */ - - while (alarm != (alt_alarm*) &alt_alarm_list) - 2f8e8: 00002e06 br 2f9a4 - { - next = (alt_alarm*) alarm->llist.next; - 2f8ec: e0bffd17 ldw r2,-12(fp) - 2f8f0: 10800017 ldw r2,0(r2) - 2f8f4: e0bffe15 stw r2,-8(fp) - /* - * Upon the tick-counter rolling over it is safe to clear the - * roll-over flag; once the flag is cleared this (or subsequnt) - * tick events are enabled to generate an alarm event. - */ - if ((alarm->rollover) && (_alt_nticks == 0)) - 2f8f8: e0bffd17 ldw r2,-12(fp) - 2f8fc: 10800403 ldbu r2,16(r2) - 2f900: 10803fcc andi r2,r2,255 - 2f904: 10000426 beq r2,zero,2f918 - 2f908: d0a8c617 ldw r2,-23784(gp) - 2f90c: 1000021e bne r2,zero,2f918 - { - alarm->rollover = 0; - 2f910: e0bffd17 ldw r2,-12(fp) - 2f914: 10000405 stb zero,16(r2) - } - - /* if the alarm period has expired, make the callback */ - if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) - 2f918: e0bffd17 ldw r2,-12(fp) - 2f91c: 10800217 ldw r2,8(r2) - 2f920: d0e8c617 ldw r3,-23784(gp) - 2f924: 18801d36 bltu r3,r2,2f99c - 2f928: e0bffd17 ldw r2,-12(fp) - 2f92c: 10800403 ldbu r2,16(r2) - 2f930: 10803fcc andi r2,r2,255 - 2f934: 1000191e bne r2,zero,2f99c - { - next_callback = alarm->callback (alarm->context); - 2f938: e0bffd17 ldw r2,-12(fp) - 2f93c: 10800317 ldw r2,12(r2) - 2f940: e0fffd17 ldw r3,-12(fp) - 2f944: 18c00517 ldw r3,20(r3) - 2f948: 1809883a mov r4,r3 - 2f94c: 103ee83a callr r2 - 2f950: e0bfff15 stw r2,-4(fp) - - /* deactivate the alarm if the return value is zero */ - - if (next_callback == 0) - 2f954: e0bfff17 ldw r2,-4(fp) - 2f958: 1000031e bne r2,zero,2f968 - { - alt_alarm_stop (alarm); - 2f95c: e13ffd17 ldw r4,-12(fp) - 2f960: 002f8280 call 2f828 - 2f964: 00000d06 br 2f99c - } - else - { - alarm->time += next_callback; - 2f968: e0bffd17 ldw r2,-12(fp) - 2f96c: 10c00217 ldw r3,8(r2) - 2f970: e0bfff17 ldw r2,-4(fp) - 2f974: 1887883a add r3,r3,r2 - 2f978: e0bffd17 ldw r2,-12(fp) - 2f97c: 10c00215 stw r3,8(r2) - /* - * If the desired alarm time causes a roll-over, set the rollover - * flag. This will prevent the subsequent tick event from causing - * an alarm too early. - */ - if(alarm->time < _alt_nticks) - 2f980: e0bffd17 ldw r2,-12(fp) - 2f984: 10c00217 ldw r3,8(r2) - 2f988: d0a8c617 ldw r2,-23784(gp) - 2f98c: 1880032e bgeu r3,r2,2f99c - { - alarm->rollover = 1; - 2f990: e0bffd17 ldw r2,-12(fp) - 2f994: 00c00044 movi r3,1 - 2f998: 10c00405 stb r3,16(r2) - } - } - } - alarm = next; - 2f99c: e0bffe17 ldw r2,-8(fp) - 2f9a0: e0bffd15 stw r2,-12(fp) - - _alt_nticks++; - - /* process the registered callbacks */ - - while (alarm != (alt_alarm*) &alt_alarm_list) - 2f9a4: e0fffd17 ldw r3,-12(fp) - 2f9a8: d0a01004 addi r2,gp,-32704 - 2f9ac: 18bfcf1e bne r3,r2,2f8ec <_gp+0xffff33b4> - - /* - * Update the operating system specific timer facilities. - */ - - ALT_OS_TIME_TICK(); - 2f9b0: 0001883a nop -} - 2f9b4: 0001883a nop - 2f9b8: e037883a mov sp,fp - 2f9bc: dfc00117 ldw ra,4(sp) - 2f9c0: df000017 ldw fp,0(sp) - 2f9c4: dec00204 addi sp,sp,8 - 2f9c8: f800283a ret - -0002f9cc : -#if defined (__GNUC__) && __GNUC__ >= 4 -int ALT_USLEEP (useconds_t us) -#else -unsigned int ALT_USLEEP (unsigned int us) -#endif -{ - 2f9cc: defffd04 addi sp,sp,-12 - 2f9d0: dfc00215 stw ra,8(sp) - 2f9d4: df000115 stw fp,4(sp) - 2f9d8: df000104 addi fp,sp,4 - 2f9dc: e13fff15 stw r4,-4(fp) - return alt_busy_sleep(us); - 2f9e0: e13fff17 ldw r4,-4(fp) - 2f9e4: 00308d40 call 308d4 -} - 2f9e8: e037883a mov sp,fp - 2f9ec: dfc00117 ldw ra,4(sp) - 2f9f0: df000017 ldw fp,0(sp) - 2f9f4: dec00204 addi sp,sp,8 - 2f9f8: f800283a ret - -0002f9fc : -#undef errno - -extern int errno; - -static ALT_INLINE int* alt_get_errno(void) -{ - 2f9fc: defffe04 addi sp,sp,-8 - 2fa00: dfc00115 stw ra,4(sp) - 2fa04: df000015 stw fp,0(sp) - 2fa08: d839883a mov fp,sp - return ((alt_errno) ? alt_errno() : &errno); - 2fa0c: 008000f4 movhi r2,3 - 2fa10: 10915c04 addi r2,r2,17776 - 2fa14: 10800017 ldw r2,0(r2) - 2fa18: 10000526 beq r2,zero,2fa30 - 2fa1c: 008000f4 movhi r2,3 - 2fa20: 10915c04 addi r2,r2,17776 - 2fa24: 10800017 ldw r2,0(r2) - 2fa28: 103ee83a callr r2 - 2fa2c: 00000206 br 2fa38 - 2fa30: 008000f4 movhi r2,3 - 2fa34: 109a0c04 addi r2,r2,26672 -} - 2fa38: e037883a mov sp,fp - 2fa3c: dfc00117 ldw ra,4(sp) - 2fa40: df000017 ldw fp,0(sp) - 2fa44: dec00204 addi sp,sp,8 - 2fa48: f800283a ret - -0002fa4c : -} - -#else /* !ALT_USE_DIRECT_DRIVERS */ - -int ALT_WRITE (int file, const void *ptr, size_t len) -{ - 2fa4c: defff904 addi sp,sp,-28 - 2fa50: dfc00615 stw ra,24(sp) - 2fa54: df000515 stw fp,20(sp) - 2fa58: df000504 addi fp,sp,20 - 2fa5c: e13ffd15 stw r4,-12(fp) - 2fa60: e17ffe15 stw r5,-8(fp) - 2fa64: e1bfff15 stw r6,-4(fp) - * A common error case is that when the file descriptor was created, the call - * to open() failed resulting in a negative file descriptor. This is trapped - * below so that we don't try and process an invalid file descriptor. - */ - - fd = (file < 0) ? NULL : &alt_fd_list[file]; - 2fa68: e0bffd17 ldw r2,-12(fp) - 2fa6c: 10000616 blt r2,zero,2fa88 - 2fa70: e0bffd17 ldw r2,-12(fp) - 2fa74: 10c00324 muli r3,r2,12 - 2fa78: 008000f4 movhi r2,3 - 2fa7c: 108cd604 addi r2,r2,13144 - 2fa80: 1885883a add r2,r3,r2 - 2fa84: 00000106 br 2fa8c - 2fa88: 0005883a mov r2,zero - 2fa8c: e0bffb15 stw r2,-20(fp) - - if (fd) - 2fa90: e0bffb17 ldw r2,-20(fp) - 2fa94: 10002126 beq r2,zero,2fb1c - * If the file has not been opened with write access, or if the driver does - * not provide an implementation of write(), generate an error. Otherwise - * call the drivers write() function to process the request. - */ - - if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) - 2fa98: e0bffb17 ldw r2,-20(fp) - 2fa9c: 10800217 ldw r2,8(r2) - 2faa0: 108000cc andi r2,r2,3 - 2faa4: 10001826 beq r2,zero,2fb08 - 2faa8: e0bffb17 ldw r2,-20(fp) - 2faac: 10800017 ldw r2,0(r2) - 2fab0: 10800617 ldw r2,24(r2) - 2fab4: 10001426 beq r2,zero,2fb08 - { - - /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ - ALT_LOG_WRITE_FUNCTION(ptr,len); - - if ((rval = fd->dev->write(fd, ptr, len)) < 0) - 2fab8: e0bffb17 ldw r2,-20(fp) - 2fabc: 10800017 ldw r2,0(r2) - 2fac0: 10800617 ldw r2,24(r2) - 2fac4: e0ffff17 ldw r3,-4(fp) - 2fac8: 180d883a mov r6,r3 - 2facc: e17ffe17 ldw r5,-8(fp) - 2fad0: e13ffb17 ldw r4,-20(fp) - 2fad4: 103ee83a callr r2 - 2fad8: e0bffc15 stw r2,-16(fp) - 2fadc: e0bffc17 ldw r2,-16(fp) - 2fae0: 1000070e bge r2,zero,2fb00 - { - ALT_ERRNO = -rval; - 2fae4: 002f9fc0 call 2f9fc - 2fae8: 1007883a mov r3,r2 - 2faec: e0bffc17 ldw r2,-16(fp) - 2faf0: 0085c83a sub r2,zero,r2 - 2faf4: 18800015 stw r2,0(r3) - return -1; - 2faf8: 00bfffc4 movi r2,-1 - 2fafc: 00000c06 br 2fb30 - } - return rval; - 2fb00: e0bffc17 ldw r2,-16(fp) - 2fb04: 00000a06 br 2fb30 - } - else - { - ALT_ERRNO = EACCES; - 2fb08: 002f9fc0 call 2f9fc - 2fb0c: 1007883a mov r3,r2 - 2fb10: 00800344 movi r2,13 - 2fb14: 18800015 stw r2,0(r3) - 2fb18: 00000406 br 2fb2c - } - } - else - { - ALT_ERRNO = EBADFD; - 2fb1c: 002f9fc0 call 2f9fc - 2fb20: 1007883a mov r3,r2 - 2fb24: 00801444 movi r2,81 - 2fb28: 18800015 stw r2,0(r3) - } - return -1; - 2fb2c: 00bfffc4 movi r2,-1 -} - 2fb30: e037883a mov sp,fp - 2fb34: dfc00117 ldw ra,4(sp) - 2fb38: df000017 ldw fp,0(sp) - 2fb3c: dec00204 addi sp,sp,8 - 2fb40: f800283a ret - -0002fb44 : - */ - -extern int alt_fs_reg (alt_dev* dev); - -static ALT_INLINE int alt_dev_reg (alt_dev* dev) -{ - 2fb44: defffd04 addi sp,sp,-12 - 2fb48: dfc00215 stw ra,8(sp) - 2fb4c: df000115 stw fp,4(sp) - 2fb50: df000104 addi fp,sp,4 - 2fb54: e13fff15 stw r4,-4(fp) - extern alt_llist alt_dev_list; - - return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); - 2fb58: 014000f4 movhi r5,3 - 2fb5c: 29515904 addi r5,r5,17764 - 2fb60: e13fff17 ldw r4,-4(fp) - 2fb64: 0030ac40 call 30ac4 -} - 2fb68: e037883a mov sp,fp - 2fb6c: dfc00117 ldw ra,4(sp) - 2fb70: df000017 ldw fp,0(sp) - 2fb74: dec00204 addi sp,sp,8 - 2fb78: f800283a ret - -0002fb7c : - * The "base" parameter is ignored and only - * present for backwards-compatibility. - */ - -void alt_irq_init ( const void* base ) -{ - 2fb7c: defffd04 addi sp,sp,-12 - 2fb80: dfc00215 stw ra,8(sp) - 2fb84: df000115 stw fp,4(sp) - 2fb88: df000104 addi fp,sp,4 - 2fb8c: e13fff15 stw r4,-4(fp) - ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_GEN2, nios2_gen2); - 2fb90: 00312e00 call 312e0 - * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. - */ -static ALT_INLINE void ALT_ALWAYS_INLINE - alt_irq_cpu_enable_interrupts (void) -{ - NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK - 2fb94: 00800044 movi r2,1 - 2fb98: 1001703a wrctl status,r2 - alt_irq_cpu_enable_interrupts(); -} - 2fb9c: 0001883a nop - 2fba0: e037883a mov sp,fp - 2fba4: dfc00117 ldw ra,4(sp) - 2fba8: df000017 ldw fp,0(sp) - 2fbac: dec00204 addi sp,sp,8 - 2fbb0: f800283a ret - -0002fbb4 : - * Initialize the non-interrupt controller devices. - * Called after alt_irq_init(). - */ - -void alt_sys_init( void ) -{ - 2fbb4: defffe04 addi sp,sp,-8 - 2fbb8: dfc00115 stw ra,4(sp) - 2fbbc: df000015 stw fp,0(sp) - 2fbc0: d839883a mov fp,sp - ALTERA_AVALON_TIMER_INIT ( TIMER, timer); - 2fbc4: 01c0fa04 movi r7,1000 - 2fbc8: 018000c4 movi r6,3 - 2fbcc: 000b883a mov r5,zero - 2fbd0: 01000134 movhi r4,4 - 2fbd4: 21040004 addi r4,r4,4096 - 2fbd8: 00307080 call 30708 - ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); - 2fbdc: 01800084 movi r6,2 - 2fbe0: 000b883a mov r5,zero - 2fbe4: 010000f4 movhi r4,3 - 2fbe8: 210d4004 addi r4,r4,13568 - 2fbec: 002fd7c0 call 2fd7c - 2fbf0: 010000f4 movhi r4,3 - 2fbf4: 210d3604 addi r4,r4,13528 - 2fbf8: 002fb440 call 2fb44 - ALTERA_AVALON_SYSID_QSYS_INIT ( SYSID_QSYS, sysid_qsys); - 2fbfc: 0001883a nop -} - 2fc00: 0001883a nop 2fc04: e037883a mov sp,fp 2fc08: dfc00117 ldw ra,4(sp) 2fc0c: df000017 ldw fp,0(sp) 2fc10: dec00204 addi sp,sp,8 2fc14: f800283a ret -0002fc18 : +0002fc18 : + */ + +static void ALT_INLINE alt_load_section (alt_u32* from, + alt_u32* to, + alt_u32* end) +{ + 2fc18: defffc04 addi sp,sp,-16 + 2fc1c: df000315 stw fp,12(sp) + 2fc20: df000304 addi fp,sp,12 + 2fc24: e13ffd15 stw r4,-12(fp) + 2fc28: e17ffe15 stw r5,-8(fp) + 2fc2c: e1bfff15 stw r6,-4(fp) + if (to != from) + 2fc30: e0fffe17 ldw r3,-8(fp) + 2fc34: e0bffd17 ldw r2,-12(fp) + 2fc38: 18800c26 beq r3,r2,2fc6c + { + while( to != end ) + 2fc3c: 00000806 br 2fc60 + { + *to++ = *from++; + 2fc40: e0bffe17 ldw r2,-8(fp) + 2fc44: 10c00104 addi r3,r2,4 + 2fc48: e0fffe15 stw r3,-8(fp) + 2fc4c: e0fffd17 ldw r3,-12(fp) + 2fc50: 19000104 addi r4,r3,4 + 2fc54: e13ffd15 stw r4,-12(fp) + 2fc58: 18c00017 ldw r3,0(r3) + 2fc5c: 10c00015 stw r3,0(r2) + alt_u32* to, + alt_u32* end) +{ + if (to != from) + { + while( to != end ) + 2fc60: e0fffe17 ldw r3,-8(fp) + 2fc64: e0bfff17 ldw r2,-4(fp) + 2fc68: 18bff51e bne r3,r2,2fc40 <_gp+0xffff2dd4> + { + *to++ = *from++; + } + } +} + 2fc6c: 0001883a nop + 2fc70: e037883a mov sp,fp + 2fc74: df000017 ldw fp,0(sp) + 2fc78: dec00104 addi sp,sp,4 + 2fc7c: f800283a ret + +0002fc80 : + * there is no bootloader, so this application is responsible for loading to + * RAM any sections that are required. + */ + +void alt_load (void) +{ + 2fc80: defffe04 addi sp,sp,-8 + 2fc84: dfc00115 stw ra,4(sp) + 2fc88: df000015 stw fp,0(sp) + 2fc8c: d839883a mov fp,sp + /* + * Copy the .rwdata section. + */ + + alt_load_section (&__flash_rwdata_start, + 2fc90: 018000f4 movhi r6,3 + 2fc94: 3193ae04 addi r6,r6,20152 + 2fc98: 014000f4 movhi r5,3 + 2fc9c: 294b0604 addi r5,r5,11288 + 2fca0: 010000f4 movhi r4,3 + 2fca4: 2113ae04 addi r4,r4,20152 + 2fca8: 002fc180 call 2fc18 + + /* + * Copy the exception handler. + */ + + alt_load_section (&__flash_exceptions_start, + 2fcac: 018000b4 movhi r6,2 + 2fcb0: 31809104 addi r6,r6,580 + 2fcb4: 014000b4 movhi r5,2 + 2fcb8: 29400804 addi r5,r5,32 + 2fcbc: 010000b4 movhi r4,2 + 2fcc0: 21000804 addi r4,r4,32 + 2fcc4: 002fc180 call 2fc18 + + /* + * Copy the .rodata section. + */ + + alt_load_section (&__flash_rodata_start, + 2fcc8: 018000f4 movhi r6,3 + 2fccc: 318b0604 addi r6,r6,11288 + 2fcd0: 014000f4 movhi r5,3 + 2fcd4: 2948d004 addi r5,r5,9024 + 2fcd8: 010000f4 movhi r4,3 + 2fcdc: 2108d004 addi r4,r4,9024 + 2fce0: 002fc180 call 2fc18 + + /* + * Now ensure that the caches are in synch. + */ + + alt_dcache_flush_all(); + 2fce4: 00313500 call 31350 + alt_icache_flush_all(); + 2fce8: 003154c0 call 3154c +} + 2fcec: 0001883a nop + 2fcf0: e037883a mov sp,fp + 2fcf4: dfc00117 ldw ra,4(sp) + 2fcf8: df000017 ldw fp,0(sp) + 2fcfc: dec00204 addi sp,sp,8 + 2fd00: f800283a ret + +0002fd04 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 2fd04: defffe04 addi sp,sp,-8 + 2fd08: dfc00115 stw ra,4(sp) + 2fd0c: df000015 stw fp,0(sp) + 2fd10: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 2fd14: 008000f4 movhi r2,3 + 2fd18: 1093a904 addi r2,r2,20132 + 2fd1c: 10800017 ldw r2,0(r2) + 2fd20: 10000526 beq r2,zero,2fd38 + 2fd24: 008000f4 movhi r2,3 + 2fd28: 1093a904 addi r2,r2,20132 + 2fd2c: 10800017 ldw r2,0(r2) + 2fd30: 103ee83a callr r2 + 2fd34: 00000206 br 2fd40 + 2fd38: 008000f4 movhi r2,3 + 2fd3c: 109c5904 addi r2,r2,29028 +} + 2fd40: e037883a mov sp,fp + 2fd44: dfc00117 ldw ra,4(sp) + 2fd48: df000017 ldw fp,0(sp) + 2fd4c: dec00204 addi sp,sp,8 + 2fd50: f800283a ret + +0002fd54 : + * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h + * + */ + +off_t ALT_LSEEK (int file, off_t ptr, int dir) +{ + 2fd54: defff904 addi sp,sp,-28 + 2fd58: dfc00615 stw ra,24(sp) + 2fd5c: df000515 stw fp,20(sp) + 2fd60: df000504 addi fp,sp,20 + 2fd64: e13ffd15 stw r4,-12(fp) + 2fd68: e17ffe15 stw r5,-8(fp) + 2fd6c: e1bfff15 stw r6,-4(fp) + alt_fd* fd; + off_t rc = 0; + 2fd70: e03ffb15 stw zero,-20(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 2fd74: e0bffd17 ldw r2,-12(fp) + 2fd78: 10000616 blt r2,zero,2fd94 + 2fd7c: e0bffd17 ldw r2,-12(fp) + 2fd80: 10c00324 muli r3,r2,12 + 2fd84: 008000f4 movhi r2,3 + 2fd88: 108f2304 addi r2,r2,15500 + 2fd8c: 1885883a add r2,r3,r2 + 2fd90: 00000106 br 2fd98 + 2fd94: 0005883a mov r2,zero + 2fd98: e0bffc15 stw r2,-16(fp) + + if (fd) + 2fd9c: e0bffc17 ldw r2,-16(fp) + 2fda0: 10001026 beq r2,zero,2fde4 + /* + * If the device driver provides an implementation of the lseek() function, + * then call that to process the request. + */ + + if (fd->dev->lseek) + 2fda4: e0bffc17 ldw r2,-16(fp) + 2fda8: 10800017 ldw r2,0(r2) + 2fdac: 10800717 ldw r2,28(r2) + 2fdb0: 10000926 beq r2,zero,2fdd8 + { + rc = fd->dev->lseek(fd, ptr, dir); + 2fdb4: e0bffc17 ldw r2,-16(fp) + 2fdb8: 10800017 ldw r2,0(r2) + 2fdbc: 10800717 ldw r2,28(r2) + 2fdc0: e1bfff17 ldw r6,-4(fp) + 2fdc4: e17ffe17 ldw r5,-8(fp) + 2fdc8: e13ffc17 ldw r4,-16(fp) + 2fdcc: 103ee83a callr r2 + 2fdd0: e0bffb15 stw r2,-20(fp) + 2fdd4: 00000506 br 2fdec + * Otherwise return an error. + */ + + else + { + rc = -ENOTSUP; + 2fdd8: 00bfde84 movi r2,-134 + 2fddc: e0bffb15 stw r2,-20(fp) + 2fde0: 00000206 br 2fdec + } + } + else + { + rc = -EBADFD; + 2fde4: 00bfebc4 movi r2,-81 + 2fde8: e0bffb15 stw r2,-20(fp) + } + + if (rc < 0) + 2fdec: e0bffb17 ldw r2,-20(fp) + 2fdf0: 1000070e bge r2,zero,2fe10 + { + ALT_ERRNO = -rc; + 2fdf4: 002fd040 call 2fd04 + 2fdf8: 1007883a mov r3,r2 + 2fdfc: e0bffb17 ldw r2,-20(fp) + 2fe00: 0085c83a sub r2,zero,r2 + 2fe04: 18800015 stw r2,0(r3) + rc = -1; + 2fe08: 00bfffc4 movi r2,-1 + 2fe0c: e0bffb15 stw r2,-20(fp) + } + + return rc; + 2fe10: e0bffb17 ldw r2,-20(fp) +} + 2fe14: e037883a mov sp,fp + 2fe18: dfc00117 ldw ra,4(sp) + 2fe1c: df000017 ldw fp,0(sp) + 2fe20: dec00204 addi sp,sp,8 + 2fe24: f800283a ret + +0002fe28 : + * devices/filesystems/components in the system; and call the entry point for + * the users application, i.e. main(). + */ + +void alt_main (void) +{ + 2fe28: defffd04 addi sp,sp,-12 + 2fe2c: dfc00215 stw ra,8(sp) + 2fe30: df000115 stw fp,4(sp) + 2fe34: df000104 addi fp,sp,4 +#endif + + /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); + /* Initialize the interrupt controller. */ + alt_irq_init (NULL); + 2fe38: 0009883a mov r4,zero + 2fe3c: 00304a00 call 304a0 + + /* Initialize the operating system */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); + ALT_OS_INIT(); + 2fe40: 0001883a nop + ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); + ALT_SEM_CREATE (&alt_fd_list_lock, 1); + + /* Initialize the device drivers/software components. */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); + alt_sys_init(); + 2fe44: 00304d80 call 304d8 + * devices be present (not equal to /dev/null) and if direct drivers + * aren't being used. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); + alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); + 2fe48: 018000f4 movhi r6,3 + 2fe4c: 318afe04 addi r6,r6,11256 + 2fe50: 014000f4 movhi r5,3 + 2fe54: 294afe04 addi r5,r5,11256 + 2fe58: 010000f4 movhi r4,3 + 2fe5c: 210afe04 addi r4,r4,11256 + 2fe60: 00319140 call 31914 + /* + * Call the C++ constructors + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); + _do_ctors (); + 2fe64: 003148c0 call 3148c <_do_ctors> + * redefined as _exit()). This is in the interest of reducing code footprint, + * in that the atexit() overhead is removed when it's not needed. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); + atexit (_do_dtors); + 2fe68: 010000f4 movhi r4,3 + 2fe6c: 21053b04 addi r4,r4,5356 + 2fe70: 0031fac0 call 31fac + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); + +#ifdef ALT_NO_EXIT + main (alt_argc, alt_argv, alt_envp); +#else + result = main (alt_argc, alt_argv, alt_envp); + 2fe74: d0a8c217 ldw r2,-23800(gp) + 2fe78: d0e8c317 ldw r3,-23796(gp) + 2fe7c: d128c417 ldw r4,-23792(gp) + 2fe80: 200d883a mov r6,r4 + 2fe84: 180b883a mov r5,r3 + 2fe88: 1009883a mov r4,r2 + 2fe8c: 00217440 call 21744
    + 2fe90: e0bfff15 stw r2,-4(fp) + close(STDOUT_FILENO); + 2fe94: 01000044 movi r4,1 + 2fe98: 002f7b80 call 2f7b8 + exit (result); + 2fe9c: e13fff17 ldw r4,-4(fp) + 2fea0: 0031fc00 call 31fc0 + +0002fea4 <__malloc_lock>: + * configuration is single threaded, so there is nothing to do here. Note that + * this requires that malloc is never called by an interrupt service routine. + */ + +void __malloc_lock ( struct _reent *_r ) +{ + 2fea4: defffe04 addi sp,sp,-8 + 2fea8: df000115 stw fp,4(sp) + 2feac: df000104 addi fp,sp,4 + 2feb0: e13fff15 stw r4,-4(fp) +} + 2feb4: 0001883a nop + 2feb8: e037883a mov sp,fp + 2febc: df000017 ldw fp,0(sp) + 2fec0: dec00104 addi sp,sp,4 + 2fec4: f800283a ret + +0002fec8 <__malloc_unlock>: +/* + * + */ + +void __malloc_unlock ( struct _reent *_r ) +{ + 2fec8: defffe04 addi sp,sp,-8 + 2fecc: df000115 stw fp,4(sp) + 2fed0: df000104 addi fp,sp,4 + 2fed4: e13fff15 stw r4,-4(fp) +} + 2fed8: 0001883a nop + 2fedc: e037883a mov sp,fp + 2fee0: df000017 ldw fp,0(sp) + 2fee4: dec00104 addi sp,sp,4 + 2fee8: f800283a ret + +0002feec : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 2feec: defffe04 addi sp,sp,-8 + 2fef0: dfc00115 stw ra,4(sp) + 2fef4: df000015 stw fp,0(sp) + 2fef8: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 2fefc: 008000f4 movhi r2,3 + 2ff00: 1093a904 addi r2,r2,20132 + 2ff04: 10800017 ldw r2,0(r2) + 2ff08: 10000526 beq r2,zero,2ff20 + 2ff0c: 008000f4 movhi r2,3 + 2ff10: 1093a904 addi r2,r2,20132 + 2ff14: 10800017 ldw r2,0(r2) + 2ff18: 103ee83a callr r2 + 2ff1c: 00000206 br 2ff28 + 2ff20: 008000f4 movhi r2,3 + 2ff24: 109c5904 addi r2,r2,29028 +} + 2ff28: e037883a mov sp,fp + 2ff2c: dfc00117 ldw ra,4(sp) + 2ff30: df000017 ldw fp,0(sp) + 2ff34: dec00204 addi sp,sp,8 + 2ff38: f800283a ret + +0002ff3c : +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_READ (int file, void *ptr, size_t len) +{ + 2ff3c: defff904 addi sp,sp,-28 + 2ff40: dfc00615 stw ra,24(sp) + 2ff44: df000515 stw fp,20(sp) + 2ff48: df000504 addi fp,sp,20 + 2ff4c: e13ffd15 stw r4,-12(fp) + 2ff50: e17ffe15 stw r5,-8(fp) + 2ff54: e1bfff15 stw r6,-4(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 2ff58: e0bffd17 ldw r2,-12(fp) + 2ff5c: 10000616 blt r2,zero,2ff78 + 2ff60: e0bffd17 ldw r2,-12(fp) + 2ff64: 10c00324 muli r3,r2,12 + 2ff68: 008000f4 movhi r2,3 + 2ff6c: 108f2304 addi r2,r2,15500 + 2ff70: 1885883a add r2,r3,r2 + 2ff74: 00000106 br 2ff7c + 2ff78: 0005883a mov r2,zero + 2ff7c: e0bffb15 stw r2,-20(fp) + + if (fd) + 2ff80: e0bffb17 ldw r2,-20(fp) + 2ff84: 10002226 beq r2,zero,30010 + * If the file has not been opened with read access, or if the driver does + * not provide an implementation of read(), generate an error. Otherwise + * call the drivers read() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && + 2ff88: e0bffb17 ldw r2,-20(fp) + 2ff8c: 10800217 ldw r2,8(r2) + 2ff90: 108000cc andi r2,r2,3 + 2ff94: 10800060 cmpeqi r2,r2,1 + 2ff98: 1000181e bne r2,zero,2fffc + (fd->dev->read)) + 2ff9c: e0bffb17 ldw r2,-20(fp) + 2ffa0: 10800017 ldw r2,0(r2) + 2ffa4: 10800517 ldw r2,20(r2) + * If the file has not been opened with read access, or if the driver does + * not provide an implementation of read(), generate an error. Otherwise + * call the drivers read() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && + 2ffa8: 10001426 beq r2,zero,2fffc + (fd->dev->read)) + { + if ((rval = fd->dev->read(fd, ptr, len)) < 0) + 2ffac: e0bffb17 ldw r2,-20(fp) + 2ffb0: 10800017 ldw r2,0(r2) + 2ffb4: 10800517 ldw r2,20(r2) + 2ffb8: e0ffff17 ldw r3,-4(fp) + 2ffbc: 180d883a mov r6,r3 + 2ffc0: e17ffe17 ldw r5,-8(fp) + 2ffc4: e13ffb17 ldw r4,-20(fp) + 2ffc8: 103ee83a callr r2 + 2ffcc: e0bffc15 stw r2,-16(fp) + 2ffd0: e0bffc17 ldw r2,-16(fp) + 2ffd4: 1000070e bge r2,zero,2fff4 + { + ALT_ERRNO = -rval; + 2ffd8: 002feec0 call 2feec + 2ffdc: 1007883a mov r3,r2 + 2ffe0: e0bffc17 ldw r2,-16(fp) + 2ffe4: 0085c83a sub r2,zero,r2 + 2ffe8: 18800015 stw r2,0(r3) + return -1; + 2ffec: 00bfffc4 movi r2,-1 + 2fff0: 00000c06 br 30024 + } + return rval; + 2fff4: e0bffc17 ldw r2,-16(fp) + 2fff8: 00000a06 br 30024 + } + else + { + ALT_ERRNO = EACCES; + 2fffc: 002feec0 call 2feec + 30000: 1007883a mov r3,r2 + 30004: 00800344 movi r2,13 + 30008: 18800015 stw r2,0(r3) + 3000c: 00000406 br 30020 + } + } + else + { + ALT_ERRNO = EBADFD; + 30010: 002feec0 call 2feec + 30014: 1007883a mov r3,r2 + 30018: 00801444 movi r2,81 + 3001c: 18800015 stw r2,0(r3) + } + return -1; + 30020: 00bfffc4 movi r2,-1 +} + 30024: e037883a mov sp,fp + 30028: dfc00117 ldw ra,4(sp) + 3002c: df000017 ldw fp,0(sp) + 30030: dec00204 addi sp,sp,8 + 30034: f800283a ret + +00030038 : + * File descriptors correcponding to standard in, standard out and standard + * error cannont be released backed to the pool. They are always reserved. + */ + +void alt_release_fd (int fd) +{ + 30038: defffe04 addi sp,sp,-8 + 3003c: df000115 stw fp,4(sp) + 30040: df000104 addi fp,sp,4 + 30044: e13fff15 stw r4,-4(fp) + if (fd > 2) + 30048: e0bfff17 ldw r2,-4(fp) + 3004c: 108000d0 cmplti r2,r2,3 + 30050: 10000d1e bne r2,zero,30088 + { + alt_fd_list[fd].fd_flags = 0; + 30054: 008000f4 movhi r2,3 + 30058: 108f2304 addi r2,r2,15500 + 3005c: e0ffff17 ldw r3,-4(fp) + 30060: 18c00324 muli r3,r3,12 + 30064: 10c5883a add r2,r2,r3 + 30068: 10800204 addi r2,r2,8 + 3006c: 10000015 stw zero,0(r2) + alt_fd_list[fd].dev = 0; + 30070: 008000f4 movhi r2,3 + 30074: 108f2304 addi r2,r2,15500 + 30078: e0ffff17 ldw r3,-4(fp) + 3007c: 18c00324 muli r3,r3,12 + 30080: 10c5883a add r2,r2,r3 + 30084: 10000015 stw zero,0(r2) + } +} + 30088: 0001883a nop + 3008c: e037883a mov sp,fp + 30090: df000017 ldw fp,0(sp) + 30094: dec00104 addi sp,sp,4 + 30098: f800283a ret + +0003009c : +#endif + +caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); + +caddr_t ALT_SBRK (int incr) +{ + 3009c: defff904 addi sp,sp,-28 + 300a0: df000615 stw fp,24(sp) + 300a4: df000604 addi fp,sp,24 + 300a8: e13fff15 stw r4,-4(fp) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 300ac: 0005303a rdctl r2,status + 300b0: e0bffe15 stw r2,-8(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 300b4: e0fffe17 ldw r3,-8(fp) + 300b8: 00bfff84 movi r2,-2 + 300bc: 1884703a and r2,r3,r2 + 300c0: 1001703a wrctl status,r2 + + return context; + 300c4: e0bffe17 ldw r2,-8(fp) + alt_irq_context context; + char *prev_heap_end; + + context = alt_irq_disable_all(); + 300c8: e0bffb15 stw r2,-20(fp) + + /* Always return data aligned on a word boundary */ + heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); + 300cc: d0a00f17 ldw r2,-32708(gp) + 300d0: 10c000c4 addi r3,r2,3 + 300d4: 00bfff04 movi r2,-4 + 300d8: 1884703a and r2,r3,r2 + 300dc: d0a00f15 stw r2,-32708(gp) + if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { + alt_irq_enable_all(context); + return (caddr_t)-1; + } +#else + if ((heap_end + incr) > __alt_heap_limit) { + 300e0: d0e00f17 ldw r3,-32708(gp) + 300e4: e0bfff17 ldw r2,-4(fp) + 300e8: 1887883a add r3,r3,r2 + 300ec: 00800134 movhi r2,4 + 300f0: 10a1a804 addi r2,r2,-31072 + 300f4: 10c0062e bgeu r2,r3,30110 + 300f8: e0bffb17 ldw r2,-20(fp) + 300fc: e0bffa15 stw r2,-24(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 30100: e0bffa17 ldw r2,-24(fp) + 30104: 1001703a wrctl status,r2 + alt_irq_enable_all(context); + return (caddr_t)-1; + 30108: 00bfffc4 movi r2,-1 + 3010c: 00000b06 br 3013c + } +#endif + + prev_heap_end = heap_end; + 30110: d0a00f17 ldw r2,-32708(gp) + 30114: e0bffd15 stw r2,-12(fp) + heap_end += incr; + 30118: d0e00f17 ldw r3,-32708(gp) + 3011c: e0bfff17 ldw r2,-4(fp) + 30120: 1885883a add r2,r3,r2 + 30124: d0a00f15 stw r2,-32708(gp) + 30128: e0bffb17 ldw r2,-20(fp) + 3012c: e0bffc15 stw r2,-16(fp) + 30130: e0bffc17 ldw r2,-16(fp) + 30134: 1001703a wrctl status,r2 + +#endif + + alt_irq_enable_all(context); + + return (caddr_t) prev_heap_end; + 30138: e0bffd17 ldw r2,-12(fp) +} + 3013c: e037883a mov sp,fp + 30140: df000017 ldw fp,0(sp) + 30144: dec00104 addi sp,sp,4 + 30148: f800283a ret + +0003014c : + * alarms. Alternatively an alarm can unregister itself by returning zero when + * the alarm executes. + */ + +void alt_alarm_stop (alt_alarm* alarm) +{ + 3014c: defffa04 addi sp,sp,-24 + 30150: df000515 stw fp,20(sp) + 30154: df000504 addi fp,sp,20 + 30158: e13fff15 stw r4,-4(fp) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 3015c: 0005303a rdctl r2,status + 30160: e0bffc15 stw r2,-16(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 30164: e0fffc17 ldw r3,-16(fp) + 30168: 00bfff84 movi r2,-2 + 3016c: 1884703a and r2,r3,r2 + 30170: 1001703a wrctl status,r2 + + return context; + 30174: e0bffc17 ldw r2,-16(fp) + alt_irq_context irq_context; + + irq_context = alt_irq_disable_all(); + 30178: e0bffb15 stw r2,-20(fp) + alt_llist_remove (&alarm->llist); + 3017c: e0bfff17 ldw r2,-4(fp) + 30180: e0bffd15 stw r2,-12(fp) + * input argument is the element to remove. + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) +{ + entry->next->previous = entry->previous; + 30184: e0bffd17 ldw r2,-12(fp) + 30188: 10800017 ldw r2,0(r2) + 3018c: e0fffd17 ldw r3,-12(fp) + 30190: 18c00117 ldw r3,4(r3) + 30194: 10c00115 stw r3,4(r2) + entry->previous->next = entry->next; + 30198: e0bffd17 ldw r2,-12(fp) + 3019c: 10800117 ldw r2,4(r2) + 301a0: e0fffd17 ldw r3,-12(fp) + 301a4: 18c00017 ldw r3,0(r3) + 301a8: 10c00015 stw r3,0(r2) + /* + * Set the entry to point to itself, so that any further calls to + * alt_llist_remove() are harmless. + */ + + entry->previous = entry; + 301ac: e0bffd17 ldw r2,-12(fp) + 301b0: e0fffd17 ldw r3,-12(fp) + 301b4: 10c00115 stw r3,4(r2) + entry->next = entry; + 301b8: e0bffd17 ldw r2,-12(fp) + 301bc: e0fffd17 ldw r3,-12(fp) + 301c0: 10c00015 stw r3,0(r2) + 301c4: e0bffb17 ldw r2,-20(fp) + 301c8: e0bffe15 stw r2,-8(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 301cc: e0bffe17 ldw r2,-8(fp) + 301d0: 1001703a wrctl status,r2 + alt_irq_enable_all (irq_context); +} + 301d4: 0001883a nop + 301d8: e037883a mov sp,fp + 301dc: df000017 ldw fp,0(sp) + 301e0: dec00104 addi sp,sp,4 + 301e4: f800283a ret + +000301e8 : + * + * alt_tick() is expected to run at interrupt level. + */ + +void alt_tick (void) +{ + 301e8: defffb04 addi sp,sp,-20 + 301ec: dfc00415 stw ra,16(sp) + 301f0: df000315 stw fp,12(sp) + 301f4: df000304 addi fp,sp,12 + alt_alarm* next; + alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; + 301f8: d0a01017 ldw r2,-32704(gp) + 301fc: e0bffd15 stw r2,-12(fp) + + alt_u32 next_callback; + + /* update the tick counter */ + + _alt_nticks++; + 30200: d0a8c617 ldw r2,-23784(gp) + 30204: 10800044 addi r2,r2,1 + 30208: d0a8c615 stw r2,-23784(gp) + + /* process the registered callbacks */ + + while (alarm != (alt_alarm*) &alt_alarm_list) + 3020c: 00002e06 br 302c8 + { + next = (alt_alarm*) alarm->llist.next; + 30210: e0bffd17 ldw r2,-12(fp) + 30214: 10800017 ldw r2,0(r2) + 30218: e0bffe15 stw r2,-8(fp) + /* + * Upon the tick-counter rolling over it is safe to clear the + * roll-over flag; once the flag is cleared this (or subsequnt) + * tick events are enabled to generate an alarm event. + */ + if ((alarm->rollover) && (_alt_nticks == 0)) + 3021c: e0bffd17 ldw r2,-12(fp) + 30220: 10800403 ldbu r2,16(r2) + 30224: 10803fcc andi r2,r2,255 + 30228: 10000426 beq r2,zero,3023c + 3022c: d0a8c617 ldw r2,-23784(gp) + 30230: 1000021e bne r2,zero,3023c + { + alarm->rollover = 0; + 30234: e0bffd17 ldw r2,-12(fp) + 30238: 10000405 stb zero,16(r2) + } + + /* if the alarm period has expired, make the callback */ + if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) + 3023c: e0bffd17 ldw r2,-12(fp) + 30240: 10800217 ldw r2,8(r2) + 30244: d0e8c617 ldw r3,-23784(gp) + 30248: 18801d36 bltu r3,r2,302c0 + 3024c: e0bffd17 ldw r2,-12(fp) + 30250: 10800403 ldbu r2,16(r2) + 30254: 10803fcc andi r2,r2,255 + 30258: 1000191e bne r2,zero,302c0 + { + next_callback = alarm->callback (alarm->context); + 3025c: e0bffd17 ldw r2,-12(fp) + 30260: 10800317 ldw r2,12(r2) + 30264: e0fffd17 ldw r3,-12(fp) + 30268: 18c00517 ldw r3,20(r3) + 3026c: 1809883a mov r4,r3 + 30270: 103ee83a callr r2 + 30274: e0bfff15 stw r2,-4(fp) + + /* deactivate the alarm if the return value is zero */ + + if (next_callback == 0) + 30278: e0bfff17 ldw r2,-4(fp) + 3027c: 1000031e bne r2,zero,3028c + { + alt_alarm_stop (alarm); + 30280: e13ffd17 ldw r4,-12(fp) + 30284: 003014c0 call 3014c + 30288: 00000d06 br 302c0 + } + else + { + alarm->time += next_callback; + 3028c: e0bffd17 ldw r2,-12(fp) + 30290: 10c00217 ldw r3,8(r2) + 30294: e0bfff17 ldw r2,-4(fp) + 30298: 1887883a add r3,r3,r2 + 3029c: e0bffd17 ldw r2,-12(fp) + 302a0: 10c00215 stw r3,8(r2) + /* + * If the desired alarm time causes a roll-over, set the rollover + * flag. This will prevent the subsequent tick event from causing + * an alarm too early. + */ + if(alarm->time < _alt_nticks) + 302a4: e0bffd17 ldw r2,-12(fp) + 302a8: 10c00217 ldw r3,8(r2) + 302ac: d0a8c617 ldw r2,-23784(gp) + 302b0: 1880032e bgeu r3,r2,302c0 + { + alarm->rollover = 1; + 302b4: e0bffd17 ldw r2,-12(fp) + 302b8: 00c00044 movi r3,1 + 302bc: 10c00405 stb r3,16(r2) + } + } + } + alarm = next; + 302c0: e0bffe17 ldw r2,-8(fp) + 302c4: e0bffd15 stw r2,-12(fp) + + _alt_nticks++; + + /* process the registered callbacks */ + + while (alarm != (alt_alarm*) &alt_alarm_list) + 302c8: e0fffd17 ldw r3,-12(fp) + 302cc: d0a01004 addi r2,gp,-32704 + 302d0: 18bfcf1e bne r3,r2,30210 <_gp+0xffff33a4> + + /* + * Update the operating system specific timer facilities. + */ + + ALT_OS_TIME_TICK(); + 302d4: 0001883a nop +} + 302d8: 0001883a nop + 302dc: e037883a mov sp,fp + 302e0: dfc00117 ldw ra,4(sp) + 302e4: df000017 ldw fp,0(sp) + 302e8: dec00204 addi sp,sp,8 + 302ec: f800283a ret + +000302f0 : +#if defined (__GNUC__) && __GNUC__ >= 4 +int ALT_USLEEP (useconds_t us) +#else +unsigned int ALT_USLEEP (unsigned int us) +#endif +{ + 302f0: defffd04 addi sp,sp,-12 + 302f4: dfc00215 stw ra,8(sp) + 302f8: df000115 stw fp,4(sp) + 302fc: df000104 addi fp,sp,4 + 30300: e13fff15 stw r4,-4(fp) + return alt_busy_sleep(us); + 30304: e13fff17 ldw r4,-4(fp) + 30308: 00311f80 call 311f8 +} + 3030c: e037883a mov sp,fp + 30310: dfc00117 ldw ra,4(sp) + 30314: df000017 ldw fp,0(sp) + 30318: dec00204 addi sp,sp,8 + 3031c: f800283a ret + +00030320 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 30320: defffe04 addi sp,sp,-8 + 30324: dfc00115 stw ra,4(sp) + 30328: df000015 stw fp,0(sp) + 3032c: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 30330: 008000f4 movhi r2,3 + 30334: 1093a904 addi r2,r2,20132 + 30338: 10800017 ldw r2,0(r2) + 3033c: 10000526 beq r2,zero,30354 + 30340: 008000f4 movhi r2,3 + 30344: 1093a904 addi r2,r2,20132 + 30348: 10800017 ldw r2,0(r2) + 3034c: 103ee83a callr r2 + 30350: 00000206 br 3035c + 30354: 008000f4 movhi r2,3 + 30358: 109c5904 addi r2,r2,29028 +} + 3035c: e037883a mov sp,fp + 30360: dfc00117 ldw ra,4(sp) + 30364: df000017 ldw fp,0(sp) + 30368: dec00204 addi sp,sp,8 + 3036c: f800283a ret + +00030370 : +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_WRITE (int file, const void *ptr, size_t len) +{ + 30370: defff904 addi sp,sp,-28 + 30374: dfc00615 stw ra,24(sp) + 30378: df000515 stw fp,20(sp) + 3037c: df000504 addi fp,sp,20 + 30380: e13ffd15 stw r4,-12(fp) + 30384: e17ffe15 stw r5,-8(fp) + 30388: e1bfff15 stw r6,-4(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 3038c: e0bffd17 ldw r2,-12(fp) + 30390: 10000616 blt r2,zero,303ac + 30394: e0bffd17 ldw r2,-12(fp) + 30398: 10c00324 muli r3,r2,12 + 3039c: 008000f4 movhi r2,3 + 303a0: 108f2304 addi r2,r2,15500 + 303a4: 1885883a add r2,r3,r2 + 303a8: 00000106 br 303b0 + 303ac: 0005883a mov r2,zero + 303b0: e0bffb15 stw r2,-20(fp) + + if (fd) + 303b4: e0bffb17 ldw r2,-20(fp) + 303b8: 10002126 beq r2,zero,30440 + * If the file has not been opened with write access, or if the driver does + * not provide an implementation of write(), generate an error. Otherwise + * call the drivers write() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) + 303bc: e0bffb17 ldw r2,-20(fp) + 303c0: 10800217 ldw r2,8(r2) + 303c4: 108000cc andi r2,r2,3 + 303c8: 10001826 beq r2,zero,3042c + 303cc: e0bffb17 ldw r2,-20(fp) + 303d0: 10800017 ldw r2,0(r2) + 303d4: 10800617 ldw r2,24(r2) + 303d8: 10001426 beq r2,zero,3042c + { + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_WRITE_FUNCTION(ptr,len); + + if ((rval = fd->dev->write(fd, ptr, len)) < 0) + 303dc: e0bffb17 ldw r2,-20(fp) + 303e0: 10800017 ldw r2,0(r2) + 303e4: 10800617 ldw r2,24(r2) + 303e8: e0ffff17 ldw r3,-4(fp) + 303ec: 180d883a mov r6,r3 + 303f0: e17ffe17 ldw r5,-8(fp) + 303f4: e13ffb17 ldw r4,-20(fp) + 303f8: 103ee83a callr r2 + 303fc: e0bffc15 stw r2,-16(fp) + 30400: e0bffc17 ldw r2,-16(fp) + 30404: 1000070e bge r2,zero,30424 + { + ALT_ERRNO = -rval; + 30408: 00303200 call 30320 + 3040c: 1007883a mov r3,r2 + 30410: e0bffc17 ldw r2,-16(fp) + 30414: 0085c83a sub r2,zero,r2 + 30418: 18800015 stw r2,0(r3) + return -1; + 3041c: 00bfffc4 movi r2,-1 + 30420: 00000c06 br 30454 + } + return rval; + 30424: e0bffc17 ldw r2,-16(fp) + 30428: 00000a06 br 30454 + } + else + { + ALT_ERRNO = EACCES; + 3042c: 00303200 call 30320 + 30430: 1007883a mov r3,r2 + 30434: 00800344 movi r2,13 + 30438: 18800015 stw r2,0(r3) + 3043c: 00000406 br 30450 + } + } + else + { + ALT_ERRNO = EBADFD; + 30440: 00303200 call 30320 + 30444: 1007883a mov r3,r2 + 30448: 00801444 movi r2,81 + 3044c: 18800015 stw r2,0(r3) + } + return -1; + 30450: 00bfffc4 movi r2,-1 +} + 30454: e037883a mov sp,fp + 30458: dfc00117 ldw ra,4(sp) + 3045c: df000017 ldw fp,0(sp) + 30460: dec00204 addi sp,sp,8 + 30464: f800283a ret + +00030468 : + */ + +extern int alt_fs_reg (alt_dev* dev); + +static ALT_INLINE int alt_dev_reg (alt_dev* dev) +{ + 30468: defffd04 addi sp,sp,-12 + 3046c: dfc00215 stw ra,8(sp) + 30470: df000115 stw fp,4(sp) + 30474: df000104 addi fp,sp,4 + 30478: e13fff15 stw r4,-4(fp) + extern alt_llist alt_dev_list; + + return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); + 3047c: 014000f4 movhi r5,3 + 30480: 2953a604 addi r5,r5,20120 + 30484: e13fff17 ldw r4,-4(fp) + 30488: 00313e80 call 313e8 +} + 3048c: e037883a mov sp,fp + 30490: dfc00117 ldw ra,4(sp) + 30494: df000017 ldw fp,0(sp) + 30498: dec00204 addi sp,sp,8 + 3049c: f800283a ret + +000304a0 : + * The "base" parameter is ignored and only + * present for backwards-compatibility. + */ + +void alt_irq_init ( const void* base ) +{ + 304a0: defffd04 addi sp,sp,-12 + 304a4: dfc00215 stw ra,8(sp) + 304a8: df000115 stw fp,4(sp) + 304ac: df000104 addi fp,sp,4 + 304b0: e13fff15 stw r4,-4(fp) + ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_GEN2, nios2_gen2); + 304b4: 0031c040 call 31c04 + * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. + */ +static ALT_INLINE void ALT_ALWAYS_INLINE + alt_irq_cpu_enable_interrupts (void) +{ + NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK + 304b8: 00800044 movi r2,1 + 304bc: 1001703a wrctl status,r2 + alt_irq_cpu_enable_interrupts(); +} + 304c0: 0001883a nop + 304c4: e037883a mov sp,fp + 304c8: dfc00117 ldw ra,4(sp) + 304cc: df000017 ldw fp,0(sp) + 304d0: dec00204 addi sp,sp,8 + 304d4: f800283a ret + +000304d8 : + * Initialize the non-interrupt controller devices. + * Called after alt_irq_init(). + */ + +void alt_sys_init( void ) +{ + 304d8: defffe04 addi sp,sp,-8 + 304dc: dfc00115 stw ra,4(sp) + 304e0: df000015 stw fp,0(sp) + 304e4: d839883a mov fp,sp + ALTERA_AVALON_TIMER_INIT ( TIMER, timer); + 304e8: 01c0fa04 movi r7,1000 + 304ec: 018000c4 movi r6,3 + 304f0: 000b883a mov r5,zero + 304f4: 01000134 movhi r4,4 + 304f8: 21040004 addi r4,r4,4096 + 304fc: 003102c0 call 3102c + ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); + 30500: 01800084 movi r6,2 + 30504: 000b883a mov r5,zero + 30508: 010000f4 movhi r4,3 + 3050c: 210f8d04 addi r4,r4,15924 + 30510: 00306a00 call 306a0 + 30514: 010000f4 movhi r4,3 + 30518: 210f8304 addi r4,r4,15884 + 3051c: 00304680 call 30468 + ALTERA_AVALON_SYSID_QSYS_INIT ( SYSID_QSYS, sysid_qsys); + 30520: 0001883a nop +} + 30524: 0001883a nop + 30528: e037883a mov sp,fp + 3052c: dfc00117 ldw ra,4(sp) + 30530: df000017 ldw fp,0(sp) + 30534: dec00204 addi sp,sp,8 + 30538: f800283a ret + +0003053c : * */ int altera_avalon_jtag_uart_read_fd(alt_fd* fd, char* buffer, int space) { - 2fc18: defffa04 addi sp,sp,-24 - 2fc1c: dfc00515 stw ra,20(sp) - 2fc20: df000415 stw fp,16(sp) - 2fc24: df000404 addi fp,sp,16 - 2fc28: e13ffd15 stw r4,-12(fp) - 2fc2c: e17ffe15 stw r5,-8(fp) - 2fc30: e1bfff15 stw r6,-4(fp) + 3053c: defffa04 addi sp,sp,-24 + 30540: dfc00515 stw ra,20(sp) + 30544: df000415 stw fp,16(sp) + 30548: df000404 addi fp,sp,16 + 3054c: e13ffd15 stw r4,-12(fp) + 30550: e17ffe15 stw r5,-8(fp) + 30554: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 2fc34: e0bffd17 ldw r2,-12(fp) - 2fc38: 10800017 ldw r2,0(r2) - 2fc3c: e0bffc15 stw r2,-16(fp) + 30558: e0bffd17 ldw r2,-12(fp) + 3055c: 10800017 ldw r2,0(r2) + 30560: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_read(&dev->state, buffer, space, - 2fc40: e0bffc17 ldw r2,-16(fp) - 2fc44: 10c00a04 addi r3,r2,40 - 2fc48: e0bffd17 ldw r2,-12(fp) - 2fc4c: 10800217 ldw r2,8(r2) - 2fc50: 100f883a mov r7,r2 - 2fc54: e1bfff17 ldw r6,-4(fp) - 2fc58: e17ffe17 ldw r5,-8(fp) - 2fc5c: 1809883a mov r4,r3 - 2fc60: 00302500 call 30250 + 30564: e0bffc17 ldw r2,-16(fp) + 30568: 10c00a04 addi r3,r2,40 + 3056c: e0bffd17 ldw r2,-12(fp) + 30570: 10800217 ldw r2,8(r2) + 30574: 100f883a mov r7,r2 + 30578: e1bfff17 ldw r6,-4(fp) + 3057c: e17ffe17 ldw r5,-8(fp) + 30580: 1809883a mov r4,r3 + 30584: 0030b740 call 30b74 fd->fd_flags); } - 2fc64: e037883a mov sp,fp - 2fc68: dfc00117 ldw ra,4(sp) - 2fc6c: df000017 ldw fp,0(sp) - 2fc70: dec00204 addi sp,sp,8 - 2fc74: f800283a ret + 30588: e037883a mov sp,fp + 3058c: dfc00117 ldw ra,4(sp) + 30590: df000017 ldw fp,0(sp) + 30594: dec00204 addi sp,sp,8 + 30598: f800283a ret -0002fc78 : +0003059c : int altera_avalon_jtag_uart_write_fd(alt_fd* fd, const char* buffer, int space) { - 2fc78: defffa04 addi sp,sp,-24 - 2fc7c: dfc00515 stw ra,20(sp) - 2fc80: df000415 stw fp,16(sp) - 2fc84: df000404 addi fp,sp,16 - 2fc88: e13ffd15 stw r4,-12(fp) - 2fc8c: e17ffe15 stw r5,-8(fp) - 2fc90: e1bfff15 stw r6,-4(fp) + 3059c: defffa04 addi sp,sp,-24 + 305a0: dfc00515 stw ra,20(sp) + 305a4: df000415 stw fp,16(sp) + 305a8: df000404 addi fp,sp,16 + 305ac: e13ffd15 stw r4,-12(fp) + 305b0: e17ffe15 stw r5,-8(fp) + 305b4: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 2fc94: e0bffd17 ldw r2,-12(fp) - 2fc98: 10800017 ldw r2,0(r2) - 2fc9c: e0bffc15 stw r2,-16(fp) + 305b8: e0bffd17 ldw r2,-12(fp) + 305bc: 10800017 ldw r2,0(r2) + 305c0: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_write(&dev->state, buffer, space, - 2fca0: e0bffc17 ldw r2,-16(fp) - 2fca4: 10c00a04 addi r3,r2,40 - 2fca8: e0bffd17 ldw r2,-12(fp) - 2fcac: 10800217 ldw r2,8(r2) - 2fcb0: 100f883a mov r7,r2 - 2fcb4: e1bfff17 ldw r6,-4(fp) - 2fcb8: e17ffe17 ldw r5,-8(fp) - 2fcbc: 1809883a mov r4,r3 - 2fcc0: 003046c0 call 3046c + 305c4: e0bffc17 ldw r2,-16(fp) + 305c8: 10c00a04 addi r3,r2,40 + 305cc: e0bffd17 ldw r2,-12(fp) + 305d0: 10800217 ldw r2,8(r2) + 305d4: 100f883a mov r7,r2 + 305d8: e1bfff17 ldw r6,-4(fp) + 305dc: e17ffe17 ldw r5,-8(fp) + 305e0: 1809883a mov r4,r3 + 305e4: 0030d900 call 30d90 fd->fd_flags); } - 2fcc4: e037883a mov sp,fp - 2fcc8: dfc00117 ldw ra,4(sp) - 2fccc: df000017 ldw fp,0(sp) - 2fcd0: dec00204 addi sp,sp,8 - 2fcd4: f800283a ret + 305e8: e037883a mov sp,fp + 305ec: dfc00117 ldw ra,4(sp) + 305f0: df000017 ldw fp,0(sp) + 305f4: dec00204 addi sp,sp,8 + 305f8: f800283a ret -0002fcd8 : +000305fc : #ifndef ALTERA_AVALON_JTAG_UART_SMALL int altera_avalon_jtag_uart_close_fd(alt_fd* fd) { - 2fcd8: defffc04 addi sp,sp,-16 - 2fcdc: dfc00315 stw ra,12(sp) - 2fce0: df000215 stw fp,8(sp) - 2fce4: df000204 addi fp,sp,8 - 2fce8: e13fff15 stw r4,-4(fp) + 305fc: defffc04 addi sp,sp,-16 + 30600: dfc00315 stw ra,12(sp) + 30604: df000215 stw fp,8(sp) + 30608: df000204 addi fp,sp,8 + 3060c: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 2fcec: e0bfff17 ldw r2,-4(fp) - 2fcf0: 10800017 ldw r2,0(r2) - 2fcf4: e0bffe15 stw r2,-8(fp) + 30610: e0bfff17 ldw r2,-4(fp) + 30614: 10800017 ldw r2,0(r2) + 30618: e0bffe15 stw r2,-8(fp) return altera_avalon_jtag_uart_close(&dev->state, fd->fd_flags); - 2fcf8: e0bffe17 ldw r2,-8(fp) - 2fcfc: 10c00a04 addi r3,r2,40 - 2fd00: e0bfff17 ldw r2,-4(fp) - 2fd04: 10800217 ldw r2,8(r2) - 2fd08: 100b883a mov r5,r2 - 2fd0c: 1809883a mov r4,r3 - 2fd10: 00300f80 call 300f8 + 3061c: e0bffe17 ldw r2,-8(fp) + 30620: 10c00a04 addi r3,r2,40 + 30624: e0bfff17 ldw r2,-4(fp) + 30628: 10800217 ldw r2,8(r2) + 3062c: 100b883a mov r5,r2 + 30630: 1809883a mov r4,r3 + 30634: 0030a1c0 call 30a1c } - 2fd14: e037883a mov sp,fp - 2fd18: dfc00117 ldw ra,4(sp) - 2fd1c: df000017 ldw fp,0(sp) - 2fd20: dec00204 addi sp,sp,8 - 2fd24: f800283a ret + 30638: e037883a mov sp,fp + 3063c: dfc00117 ldw ra,4(sp) + 30640: df000017 ldw fp,0(sp) + 30644: dec00204 addi sp,sp,8 + 30648: f800283a ret -0002fd28 : +0003064c : int altera_avalon_jtag_uart_ioctl_fd(alt_fd* fd, int req, void* arg) { - 2fd28: defffa04 addi sp,sp,-24 - 2fd2c: dfc00515 stw ra,20(sp) - 2fd30: df000415 stw fp,16(sp) - 2fd34: df000404 addi fp,sp,16 - 2fd38: e13ffd15 stw r4,-12(fp) - 2fd3c: e17ffe15 stw r5,-8(fp) - 2fd40: e1bfff15 stw r6,-4(fp) + 3064c: defffa04 addi sp,sp,-24 + 30650: dfc00515 stw ra,20(sp) + 30654: df000415 stw fp,16(sp) + 30658: df000404 addi fp,sp,16 + 3065c: e13ffd15 stw r4,-12(fp) + 30660: e17ffe15 stw r5,-8(fp) + 30664: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 2fd44: e0bffd17 ldw r2,-12(fp) - 2fd48: 10800017 ldw r2,0(r2) - 2fd4c: e0bffc15 stw r2,-16(fp) + 30668: e0bffd17 ldw r2,-12(fp) + 3066c: 10800017 ldw r2,0(r2) + 30670: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_ioctl(&dev->state, req, arg); - 2fd50: e0bffc17 ldw r2,-16(fp) - 2fd54: 10800a04 addi r2,r2,40 - 2fd58: e1bfff17 ldw r6,-4(fp) - 2fd5c: e17ffe17 ldw r5,-8(fp) - 2fd60: 1009883a mov r4,r2 - 2fd64: 00301600 call 30160 + 30674: e0bffc17 ldw r2,-16(fp) + 30678: 10800a04 addi r2,r2,40 + 3067c: e1bfff17 ldw r6,-4(fp) + 30680: e17ffe17 ldw r5,-8(fp) + 30684: 1009883a mov r4,r2 + 30688: 0030a840 call 30a84 } - 2fd68: e037883a mov sp,fp - 2fd6c: dfc00117 ldw ra,4(sp) - 2fd70: df000017 ldw fp,0(sp) - 2fd74: dec00204 addi sp,sp,8 - 2fd78: f800283a ret + 3068c: e037883a mov sp,fp + 30690: dfc00117 ldw ra,4(sp) + 30694: df000017 ldw fp,0(sp) + 30698: dec00204 addi sp,sp,8 + 3069c: f800283a ret -0002fd7c : +000306a0 : * Return 1 on sucessful IRQ register and 0 on failure. */ void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, int irq_controller_id, int irq) { - 2fd7c: defffa04 addi sp,sp,-24 - 2fd80: dfc00515 stw ra,20(sp) - 2fd84: df000415 stw fp,16(sp) - 2fd88: df000404 addi fp,sp,16 - 2fd8c: e13ffd15 stw r4,-12(fp) - 2fd90: e17ffe15 stw r5,-8(fp) - 2fd94: e1bfff15 stw r6,-4(fp) + 306a0: defffa04 addi sp,sp,-24 + 306a4: dfc00515 stw ra,20(sp) + 306a8: df000415 stw fp,16(sp) + 306ac: df000404 addi fp,sp,16 + 306b0: e13ffd15 stw r4,-12(fp) + 306b4: e17ffe15 stw r5,-8(fp) + 306b8: e1bfff15 stw r6,-4(fp) ALT_FLAG_CREATE(&sp->events, 0); ALT_SEM_CREATE(&sp->read_lock, 1); ALT_SEM_CREATE(&sp->write_lock, 1); /* enable read interrupts at the device */ sp->irq_enable = ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 2fd98: e0bffd17 ldw r2,-12(fp) - 2fd9c: 00c00044 movi r3,1 - 2fda0: 10c00815 stw r3,32(r2) + 306bc: e0bffd17 ldw r2,-12(fp) + 306c0: 00c00044 movi r3,1 + 306c4: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 2fda4: e0bffd17 ldw r2,-12(fp) - 2fda8: 10800017 ldw r2,0(r2) - 2fdac: 10800104 addi r2,r2,4 - 2fdb0: 1007883a mov r3,r2 - 2fdb4: e0bffd17 ldw r2,-12(fp) - 2fdb8: 10800817 ldw r2,32(r2) - 2fdbc: 18800035 stwio r2,0(r3) + 306c8: e0bffd17 ldw r2,-12(fp) + 306cc: 10800017 ldw r2,0(r2) + 306d0: 10800104 addi r2,r2,4 + 306d4: 1007883a mov r3,r2 + 306d8: e0bffd17 ldw r2,-12(fp) + 306dc: 10800817 ldw r2,32(r2) + 306e0: 18800035 stwio r2,0(r3) /* register the interrupt handler */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, altera_avalon_jtag_uart_irq, - 2fdc0: e0bffe17 ldw r2,-8(fp) - 2fdc4: e0ffff17 ldw r3,-4(fp) - 2fdc8: d8000015 stw zero,0(sp) - 2fdcc: e1fffd17 ldw r7,-12(fp) - 2fdd0: 018000f4 movhi r6,3 - 2fdd4: 31bf9104 addi r6,r6,-444 - 2fdd8: 180b883a mov r5,r3 - 2fddc: 1009883a mov r4,r2 - 2fde0: 0030c5c0 call 30c5c + 306e4: e0bffe17 ldw r2,-8(fp) + 306e8: e0ffff17 ldw r3,-4(fp) + 306ec: d8000015 stw zero,0(sp) + 306f0: e1fffd17 ldw r7,-12(fp) + 306f4: 018000f4 movhi r6,3 + 306f8: 3181da04 addi r6,r6,1896 + 306fc: 180b883a mov r5,r3 + 30700: 1009883a mov r4,r2 + 30704: 00315800 call 31580 #else alt_irq_register(irq, sp, altera_avalon_jtag_uart_irq); #endif /* Register an alarm to go off every second to check for presence of host */ sp->host_inactive = 0; - 2fde4: e0bffd17 ldw r2,-12(fp) - 2fde8: 10000915 stw zero,36(r2) + 30708: e0bffd17 ldw r2,-12(fp) + 3070c: 10000915 stw zero,36(r2) if (alt_alarm_start(&sp->alarm, alt_ticks_per_second(), - 2fdec: e0bffd17 ldw r2,-12(fp) - 2fdf0: 10c00204 addi r3,r2,8 + 30710: e0bffd17 ldw r2,-12(fp) + 30714: 10c00204 addi r3,r2,8 * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 2fdf4: 008000f4 movhi r2,3 - 2fdf8: 109a1304 addi r2,r2,26700 - 2fdfc: 10800017 ldw r2,0(r2) - 2fe00: e1fffd17 ldw r7,-12(fp) - 2fe04: 018000f4 movhi r6,3 - 2fe08: 31801404 addi r6,r6,80 - 2fe0c: 100b883a mov r5,r2 - 2fe10: 1809883a mov r4,r3 - 2fe14: 00307940 call 30794 - 2fe18: 1000040e bge r2,zero,2fe2c + 30718: 008000f4 movhi r2,3 + 3071c: 109c6004 addi r2,r2,29056 + 30720: 10800017 ldw r2,0(r2) + 30724: e1fffd17 ldw r7,-12(fp) + 30728: 018000f4 movhi r6,3 + 3072c: 31825d04 addi r6,r6,2420 + 30730: 100b883a mov r5,r2 + 30734: 1809883a mov r4,r3 + 30738: 00310b80 call 310b8 + 3073c: 1000040e bge r2,zero,30750 &altera_avalon_jtag_uart_timeout, sp) < 0) { /* If we can't set the alarm then record "don't know if host present" * and behave as though the host is present. */ sp->timeout = INT_MAX; - 2fe1c: e0fffd17 ldw r3,-12(fp) - 2fe20: 00a00034 movhi r2,32768 - 2fe24: 10bfffc4 addi r2,r2,-1 - 2fe28: 18800115 stw r2,4(r3) + 30740: e0fffd17 ldw r3,-12(fp) + 30744: 00a00034 movhi r2,32768 + 30748: 10bfffc4 addi r2,r2,-1 + 3074c: 18800115 stw r2,4(r3) } /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ALARM_REGISTER(sp, sp->base); } - 2fe2c: 0001883a nop - 2fe30: e037883a mov sp,fp - 2fe34: dfc00117 ldw ra,4(sp) - 2fe38: df000017 ldw fp,0(sp) - 2fe3c: dec00204 addi sp,sp,8 - 2fe40: f800283a ret + 30750: 0001883a nop + 30754: e037883a mov sp,fp + 30758: dfc00117 ldw ra,4(sp) + 3075c: df000017 ldw fp,0(sp) + 30760: dec00204 addi sp,sp,8 + 30764: f800283a ret -0002fe44 : +00030768 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void altera_avalon_jtag_uart_irq(void* context) #else static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) #endif { - 2fe44: defff804 addi sp,sp,-32 - 2fe48: df000715 stw fp,28(sp) - 2fe4c: df000704 addi fp,sp,28 - 2fe50: e13fff15 stw r4,-4(fp) + 30768: defff804 addi sp,sp,-32 + 3076c: df000715 stw fp,28(sp) + 30770: df000704 addi fp,sp,28 + 30774: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state*) context; - 2fe54: e0bfff17 ldw r2,-4(fp) - 2fe58: e0bffb15 stw r2,-20(fp) + 30778: e0bfff17 ldw r2,-4(fp) + 3077c: e0bffb15 stw r2,-20(fp) unsigned int base = sp->base; - 2fe5c: e0bffb17 ldw r2,-20(fp) - 2fe60: 10800017 ldw r2,0(r2) - 2fe64: e0bffc15 stw r2,-16(fp) + 30780: e0bffb17 ldw r2,-20(fp) + 30784: 10800017 ldw r2,0(r2) + 30788: e0bffc15 stw r2,-16(fp) /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ISR_FUNCTION(base, sp); for ( ; ; ) { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 2fe68: e0bffc17 ldw r2,-16(fp) - 2fe6c: 10800104 addi r2,r2,4 - 2fe70: 10800037 ldwio r2,0(r2) - 2fe74: e0bffd15 stw r2,-12(fp) + 3078c: e0bffc17 ldw r2,-16(fp) + 30790: 10800104 addi r2,r2,4 + 30794: 10800037 ldwio r2,0(r2) + 30798: e0bffd15 stw r2,-12(fp) /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) - 2fe78: e0bffd17 ldw r2,-12(fp) - 2fe7c: 1080c00c andi r2,r2,768 - 2fe80: 10006d26 beq r2,zero,30038 + 3079c: e0bffd17 ldw r2,-12(fp) + 307a0: 1080c00c andi r2,r2,768 + 307a4: 10006d26 beq r2,zero,3095c break; if (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) - 2fe84: e0bffd17 ldw r2,-12(fp) - 2fe88: 1080400c andi r2,r2,256 - 2fe8c: 10003526 beq r2,zero,2ff64 + 307a8: e0bffd17 ldw r2,-12(fp) + 307ac: 1080400c andi r2,r2,256 + 307b0: 10003526 beq r2,zero,30888 { /* process a read irq. Start by assuming that there is data in the * receive FIFO (otherwise why would we have been interrupted?) */ unsigned int data = 1 << ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST; - 2fe90: 00800074 movhi r2,1 - 2fe94: e0bff915 stw r2,-28(fp) + 307b4: 00800074 movhi r2,1 + 307b8: e0bff915 stw r2,-28(fp) for ( ; ; ) { /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 2fe98: e0bffb17 ldw r2,-20(fp) - 2fe9c: 10800a17 ldw r2,40(r2) - 2fea0: 10800044 addi r2,r2,1 - 2fea4: 1081ffcc andi r2,r2,2047 - 2fea8: e0bffe15 stw r2,-8(fp) + 307bc: e0bffb17 ldw r2,-20(fp) + 307c0: 10800a17 ldw r2,40(r2) + 307c4: 10800044 addi r2,r2,1 + 307c8: 1081ffcc andi r2,r2,2047 + 307cc: e0bffe15 stw r2,-8(fp) if (next == sp->rx_out) - 2feac: e0bffb17 ldw r2,-20(fp) - 2feb0: 10c00b17 ldw r3,44(r2) - 2feb4: e0bffe17 ldw r2,-8(fp) - 2feb8: 18801526 beq r3,r2,2ff10 + 307d0: e0bffb17 ldw r2,-20(fp) + 307d4: 10c00b17 ldw r3,44(r2) + 307d8: e0bffe17 ldw r2,-8(fp) + 307dc: 18801526 beq r3,r2,30834 break; /* Try to remove a character from the FIFO and find out whether there * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); - 2febc: e0bffc17 ldw r2,-16(fp) - 2fec0: 10800037 ldwio r2,0(r2) - 2fec4: e0bff915 stw r2,-28(fp) + 307e0: e0bffc17 ldw r2,-16(fp) + 307e4: 10800037 ldwio r2,0(r2) + 307e8: e0bff915 stw r2,-28(fp) if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) - 2fec8: e0bff917 ldw r2,-28(fp) - 2fecc: 10a0000c andi r2,r2,32768 - 2fed0: 10001126 beq r2,zero,2ff18 + 307ec: e0bff917 ldw r2,-28(fp) + 307f0: 10a0000c andi r2,r2,32768 + 307f4: 10001126 beq r2,zero,3083c break; sp->rx_buf[sp->rx_in] = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; - 2fed4: e0bffb17 ldw r2,-20(fp) - 2fed8: 10800a17 ldw r2,40(r2) - 2fedc: e0fff917 ldw r3,-28(fp) - 2fee0: 1809883a mov r4,r3 - 2fee4: e0fffb17 ldw r3,-20(fp) - 2fee8: 1885883a add r2,r3,r2 - 2feec: 10800e04 addi r2,r2,56 - 2fef0: 11000005 stb r4,0(r2) + 307f8: e0bffb17 ldw r2,-20(fp) + 307fc: 10800a17 ldw r2,40(r2) + 30800: e0fff917 ldw r3,-28(fp) + 30804: 1809883a mov r4,r3 + 30808: e0fffb17 ldw r3,-20(fp) + 3080c: 1885883a add r2,r3,r2 + 30810: 10800e04 addi r2,r2,56 + 30814: 11000005 stb r4,0(r2) sp->rx_in = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 2fef4: e0bffb17 ldw r2,-20(fp) - 2fef8: 10800a17 ldw r2,40(r2) - 2fefc: 10800044 addi r2,r2,1 - 2ff00: 10c1ffcc andi r3,r2,2047 - 2ff04: e0bffb17 ldw r2,-20(fp) - 2ff08: 10c00a15 stw r3,40(r2) + 30818: e0bffb17 ldw r2,-20(fp) + 3081c: 10800a17 ldw r2,40(r2) + 30820: 10800044 addi r2,r2,1 + 30824: 10c1ffcc andi r3,r2,2047 + 30828: e0bffb17 ldw r2,-20(fp) + 3082c: 10c00a15 stw r3,40(r2) /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } - 2ff0c: 003fe206 br 2fe98 <_gp+0xffff3960> + 30830: 003fe206 br 307bc <_gp+0xffff3950> /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; if (next == sp->rx_out) break; - 2ff10: 0001883a nop - 2ff14: 00000106 br 2ff1c + 30834: 0001883a nop + 30838: 00000106 br 30840 * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) break; - 2ff18: 0001883a nop + 3083c: 0001883a nop /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } if (data & ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK) - 2ff1c: e0bff917 ldw r2,-28(fp) - 2ff20: 10bfffec andhi r2,r2,65535 - 2ff24: 10000f26 beq r2,zero,2ff64 + 30840: e0bff917 ldw r2,-28(fp) + 30844: 10bfffec andhi r2,r2,65535 + 30848: 10000f26 beq r2,zero,30888 { /* If there is still data available here then the buffer is full * so turn off receive interrupts until some space becomes available. */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 2ff28: e0bffb17 ldw r2,-20(fp) - 2ff2c: 10c00817 ldw r3,32(r2) - 2ff30: 00bfff84 movi r2,-2 - 2ff34: 1886703a and r3,r3,r2 - 2ff38: e0bffb17 ldw r2,-20(fp) - 2ff3c: 10c00815 stw r3,32(r2) + 3084c: e0bffb17 ldw r2,-20(fp) + 30850: 10c00817 ldw r3,32(r2) + 30854: 00bfff84 movi r2,-2 + 30858: 1886703a and r3,r3,r2 + 3085c: e0bffb17 ldw r2,-20(fp) + 30860: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, sp->irq_enable); - 2ff40: e0bffc17 ldw r2,-16(fp) - 2ff44: 10800104 addi r2,r2,4 - 2ff48: 1007883a mov r3,r2 - 2ff4c: e0bffb17 ldw r2,-20(fp) - 2ff50: 10800817 ldw r2,32(r2) - 2ff54: 18800035 stwio r2,0(r3) + 30864: e0bffc17 ldw r2,-16(fp) + 30868: 10800104 addi r2,r2,4 + 3086c: 1007883a mov r3,r2 + 30870: e0bffb17 ldw r2,-20(fp) + 30874: 10800817 ldw r2,32(r2) + 30878: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 2ff58: e0bffc17 ldw r2,-16(fp) - 2ff5c: 10800104 addi r2,r2,4 - 2ff60: 10800037 ldwio r2,0(r2) + 3087c: e0bffc17 ldw r2,-16(fp) + 30880: 10800104 addi r2,r2,4 + 30884: 10800037 ldwio r2,0(r2) } } if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) - 2ff64: e0bffd17 ldw r2,-12(fp) - 2ff68: 1080800c andi r2,r2,512 - 2ff6c: 103fbe26 beq r2,zero,2fe68 <_gp+0xffff3930> + 30888: e0bffd17 ldw r2,-12(fp) + 3088c: 1080800c andi r2,r2,512 + 30890: 103fbe26 beq r2,zero,3078c <_gp+0xffff3920> { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; - 2ff70: e0bffd17 ldw r2,-12(fp) - 2ff74: 1004d43a srli r2,r2,16 - 2ff78: e0bffa15 stw r2,-24(fp) + 30894: e0bffd17 ldw r2,-12(fp) + 30898: 1004d43a srli r2,r2,16 + 3089c: e0bffa15 stw r2,-24(fp) while (space > 0 && sp->tx_out != sp->tx_in) - 2ff7c: 00001406 br 2ffd0 + 308a0: 00001406 br 308f4 { IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, sp->tx_buf[sp->tx_out]); - 2ff80: e0bffc17 ldw r2,-16(fp) - 2ff84: e0fffb17 ldw r3,-20(fp) - 2ff88: 18c00d17 ldw r3,52(r3) - 2ff8c: e13ffb17 ldw r4,-20(fp) - 2ff90: 20c7883a add r3,r4,r3 - 2ff94: 18c20e04 addi r3,r3,2104 - 2ff98: 18c00003 ldbu r3,0(r3) - 2ff9c: 18c03fcc andi r3,r3,255 - 2ffa0: 18c0201c xori r3,r3,128 - 2ffa4: 18ffe004 addi r3,r3,-128 - 2ffa8: 10c00035 stwio r3,0(r2) + 308a4: e0bffc17 ldw r2,-16(fp) + 308a8: e0fffb17 ldw r3,-20(fp) + 308ac: 18c00d17 ldw r3,52(r3) + 308b0: e13ffb17 ldw r4,-20(fp) + 308b4: 20c7883a add r3,r4,r3 + 308b8: 18c20e04 addi r3,r3,2104 + 308bc: 18c00003 ldbu r3,0(r3) + 308c0: 18c03fcc andi r3,r3,255 + 308c4: 18c0201c xori r3,r3,128 + 308c8: 18ffe004 addi r3,r3,-128 + 308cc: 10c00035 stwio r3,0(r2) sp->tx_out = (sp->tx_out + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 2ffac: e0bffb17 ldw r2,-20(fp) - 2ffb0: 10800d17 ldw r2,52(r2) - 2ffb4: 10800044 addi r2,r2,1 - 2ffb8: 10c1ffcc andi r3,r2,2047 - 2ffbc: e0bffb17 ldw r2,-20(fp) - 2ffc0: 10c00d15 stw r3,52(r2) + 308d0: e0bffb17 ldw r2,-20(fp) + 308d4: 10800d17 ldw r2,52(r2) + 308d8: 10800044 addi r2,r2,1 + 308dc: 10c1ffcc andi r3,r2,2047 + 308e0: e0bffb17 ldw r2,-20(fp) + 308e4: 10c00d15 stw r3,52(r2) /* Post an event to notify jtag_uart_write that a character has been written */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; - 2ffc4: e0bffa17 ldw r2,-24(fp) - 2ffc8: 10bfffc4 addi r2,r2,-1 - 2ffcc: e0bffa15 stw r2,-24(fp) + 308e8: e0bffa17 ldw r2,-24(fp) + 308ec: 10bfffc4 addi r2,r2,-1 + 308f0: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; while (space > 0 && sp->tx_out != sp->tx_in) - 2ffd0: e0bffa17 ldw r2,-24(fp) - 2ffd4: 10000526 beq r2,zero,2ffec - 2ffd8: e0bffb17 ldw r2,-20(fp) - 2ffdc: 10c00d17 ldw r3,52(r2) - 2ffe0: e0bffb17 ldw r2,-20(fp) - 2ffe4: 10800c17 ldw r2,48(r2) - 2ffe8: 18bfe51e bne r3,r2,2ff80 <_gp+0xffff3a48> + 308f4: e0bffa17 ldw r2,-24(fp) + 308f8: 10000526 beq r2,zero,30910 + 308fc: e0bffb17 ldw r2,-20(fp) + 30900: 10c00d17 ldw r3,52(r2) + 30904: e0bffb17 ldw r2,-20(fp) + 30908: 10800c17 ldw r2,48(r2) + 3090c: 18bfe51e bne r3,r2,308a4 <_gp+0xffff3a38> ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; } if (space > 0) - 2ffec: e0bffa17 ldw r2,-24(fp) - 2fff0: 103f9d26 beq r2,zero,2fe68 <_gp+0xffff3930> + 30910: e0bffa17 ldw r2,-24(fp) + 30914: 103f9d26 beq r2,zero,3078c <_gp+0xffff3920> { /* If we don't have any more data available then turn off the TX interrupt */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 2fff4: e0bffb17 ldw r2,-20(fp) - 2fff8: 10c00817 ldw r3,32(r2) - 2fffc: 00bfff44 movi r2,-3 - 30000: 1886703a and r3,r3,r2 - 30004: e0bffb17 ldw r2,-20(fp) - 30008: 10c00815 stw r3,32(r2) + 30918: e0bffb17 ldw r2,-20(fp) + 3091c: 10c00817 ldw r3,32(r2) + 30920: 00bfff44 movi r2,-3 + 30924: 1886703a and r3,r3,r2 + 30928: e0bffb17 ldw r2,-20(fp) + 3092c: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 3000c: e0bffb17 ldw r2,-20(fp) - 30010: 10800017 ldw r2,0(r2) - 30014: 10800104 addi r2,r2,4 - 30018: 1007883a mov r3,r2 - 3001c: e0bffb17 ldw r2,-20(fp) - 30020: 10800817 ldw r2,32(r2) - 30024: 18800035 stwio r2,0(r3) + 30930: e0bffb17 ldw r2,-20(fp) + 30934: 10800017 ldw r2,0(r2) + 30938: 10800104 addi r2,r2,4 + 3093c: 1007883a mov r3,r2 + 30940: e0bffb17 ldw r2,-20(fp) + 30944: 10800817 ldw r2,32(r2) + 30948: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 30028: e0bffc17 ldw r2,-16(fp) - 3002c: 10800104 addi r2,r2,4 - 30030: 10800037 ldwio r2,0(r2) + 3094c: e0bffc17 ldw r2,-16(fp) + 30950: 10800104 addi r2,r2,4 + 30954: 10800037 ldwio r2,0(r2) } } } - 30034: 003f8c06 br 2fe68 <_gp+0xffff3930> + 30958: 003f8c06 br 3078c <_gp+0xffff3920> { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) break; - 30038: 0001883a nop + 3095c: 0001883a nop /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); } } } } - 3003c: 0001883a nop - 30040: e037883a mov sp,fp - 30044: df000017 ldw fp,0(sp) - 30048: dec00104 addi sp,sp,4 - 3004c: f800283a ret + 30960: 0001883a nop + 30964: e037883a mov sp,fp + 30968: df000017 ldw fp,0(sp) + 3096c: dec00104 addi sp,sp,4 + 30970: f800283a ret -00030050 : +00030974 : * Timeout routine is called every second */ static alt_u32 altera_avalon_jtag_uart_timeout(void* context) { - 30050: defff804 addi sp,sp,-32 - 30054: df000715 stw fp,28(sp) - 30058: df000704 addi fp,sp,28 - 3005c: e13ffb15 stw r4,-20(fp) + 30974: defff804 addi sp,sp,-32 + 30978: df000715 stw fp,28(sp) + 3097c: df000704 addi fp,sp,28 + 30980: e13ffb15 stw r4,-20(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state *) context; - 30060: e0bffb17 ldw r2,-20(fp) - 30064: e0bff915 stw r2,-28(fp) + 30984: e0bffb17 ldw r2,-20(fp) + 30988: e0bff915 stw r2,-28(fp) unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base); - 30068: e0bff917 ldw r2,-28(fp) - 3006c: 10800017 ldw r2,0(r2) - 30070: 10800104 addi r2,r2,4 - 30074: 10800037 ldwio r2,0(r2) - 30078: e0bffa15 stw r2,-24(fp) + 3098c: e0bff917 ldw r2,-28(fp) + 30990: 10800017 ldw r2,0(r2) + 30994: 10800104 addi r2,r2,4 + 30998: 10800037 ldwio r2,0(r2) + 3099c: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) - 3007c: e0bffa17 ldw r2,-24(fp) - 30080: 1081000c andi r2,r2,1024 - 30084: 10000b26 beq r2,zero,300b4 + 309a0: e0bffa17 ldw r2,-24(fp) + 309a4: 1081000c andi r2,r2,1024 + 309a8: 10000b26 beq r2,zero,309d8 { IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable | ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK); - 30088: e0bff917 ldw r2,-28(fp) - 3008c: 10800017 ldw r2,0(r2) - 30090: 10800104 addi r2,r2,4 - 30094: 1007883a mov r3,r2 - 30098: e0bff917 ldw r2,-28(fp) - 3009c: 10800817 ldw r2,32(r2) - 300a0: 10810014 ori r2,r2,1024 - 300a4: 18800035 stwio r2,0(r3) + 309ac: e0bff917 ldw r2,-28(fp) + 309b0: 10800017 ldw r2,0(r2) + 309b4: 10800104 addi r2,r2,4 + 309b8: 1007883a mov r3,r2 + 309bc: e0bff917 ldw r2,-28(fp) + 309c0: 10800817 ldw r2,32(r2) + 309c4: 10810014 ori r2,r2,1024 + 309c8: 18800035 stwio r2,0(r3) sp->host_inactive = 0; - 300a8: e0bff917 ldw r2,-28(fp) - 300ac: 10000915 stw zero,36(r2) - 300b0: 00000a06 br 300dc + 309cc: e0bff917 ldw r2,-28(fp) + 309d0: 10000915 stw zero,36(r2) + 309d4: 00000a06 br 30a00 } else if (sp->host_inactive < INT_MAX - 2) { - 300b4: e0bff917 ldw r2,-28(fp) - 300b8: 10c00917 ldw r3,36(r2) - 300bc: 00a00034 movhi r2,32768 - 300c0: 10bfff04 addi r2,r2,-4 - 300c4: 10c00536 bltu r2,r3,300dc + 309d8: e0bff917 ldw r2,-28(fp) + 309dc: 10c00917 ldw r3,36(r2) + 309e0: 00a00034 movhi r2,32768 + 309e4: 10bfff04 addi r2,r2,-4 + 309e8: 10c00536 bltu r2,r3,30a00 sp->host_inactive++; - 300c8: e0bff917 ldw r2,-28(fp) - 300cc: 10800917 ldw r2,36(r2) - 300d0: 10c00044 addi r3,r2,1 - 300d4: e0bff917 ldw r2,-28(fp) - 300d8: 10c00915 stw r3,36(r2) - 300dc: 008000f4 movhi r2,3 - 300e0: 109a1304 addi r2,r2,26700 - 300e4: 10800017 ldw r2,0(r2) + 309ec: e0bff917 ldw r2,-28(fp) + 309f0: 10800917 ldw r2,36(r2) + 309f4: 10c00044 addi r3,r2,1 + 309f8: e0bff917 ldw r2,-28(fp) + 309fc: 10c00915 stw r3,36(r2) + 30a00: 008000f4 movhi r2,3 + 30a04: 109c6004 addi r2,r2,29056 + 30a08: 10800017 ldw r2,0(r2) ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); } } return alt_ticks_per_second(); } - 300e8: e037883a mov sp,fp - 300ec: df000017 ldw fp,0(sp) - 300f0: dec00104 addi sp,sp,4 - 300f4: f800283a ret + 30a0c: e037883a mov sp,fp + 30a10: df000017 ldw fp,0(sp) + 30a14: dec00104 addi sp,sp,4 + 30a18: f800283a ret -000300f8 : +00030a1c : * The close routine is not implemented for the small driver; instead it will * map to null. This is because the small driver simply waits while characters * are transmitted; there is no interrupt-serviced buffer to empty */ int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) { - 300f8: defffd04 addi sp,sp,-12 - 300fc: df000215 stw fp,8(sp) - 30100: df000204 addi fp,sp,8 - 30104: e13ffe15 stw r4,-8(fp) - 30108: e17fff15 stw r5,-4(fp) + 30a1c: defffd04 addi sp,sp,-12 + 30a20: df000215 stw fp,8(sp) + 30a24: df000204 addi fp,sp,8 + 30a28: e13ffe15 stw r4,-8(fp) + 30a2c: e17fff15 stw r5,-4(fp) /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 3010c: 00000506 br 30124 + 30a30: 00000506 br 30a48 if (flags & O_NONBLOCK) { - 30110: e0bfff17 ldw r2,-4(fp) - 30114: 1090000c andi r2,r2,16384 - 30118: 10000226 beq r2,zero,30124 + 30a34: e0bfff17 ldw r2,-4(fp) + 30a38: 1090000c andi r2,r2,16384 + 30a3c: 10000226 beq r2,zero,30a48 return -EWOULDBLOCK; - 3011c: 00bffd44 movi r2,-11 - 30120: 00000b06 br 30150 + 30a40: 00bffd44 movi r2,-11 + 30a44: 00000b06 br 30a74 { /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 30124: e0bffe17 ldw r2,-8(fp) - 30128: 10c00d17 ldw r3,52(r2) - 3012c: e0bffe17 ldw r2,-8(fp) - 30130: 10800c17 ldw r2,48(r2) - 30134: 18800526 beq r3,r2,3014c - 30138: e0bffe17 ldw r2,-8(fp) - 3013c: 10c00917 ldw r3,36(r2) - 30140: e0bffe17 ldw r2,-8(fp) - 30144: 10800117 ldw r2,4(r2) - 30148: 18bff136 bltu r3,r2,30110 <_gp+0xffff3bd8> + 30a48: e0bffe17 ldw r2,-8(fp) + 30a4c: 10c00d17 ldw r3,52(r2) + 30a50: e0bffe17 ldw r2,-8(fp) + 30a54: 10800c17 ldw r2,48(r2) + 30a58: 18800526 beq r3,r2,30a70 + 30a5c: e0bffe17 ldw r2,-8(fp) + 30a60: 10c00917 ldw r3,36(r2) + 30a64: e0bffe17 ldw r2,-8(fp) + 30a68: 10800117 ldw r2,4(r2) + 30a6c: 18bff136 bltu r3,r2,30a34 <_gp+0xffff3bc8> if (flags & O_NONBLOCK) { return -EWOULDBLOCK; } } return 0; - 3014c: 0005883a mov r2,zero + 30a70: 0005883a mov r2,zero } - 30150: e037883a mov sp,fp - 30154: df000017 ldw fp,0(sp) - 30158: dec00104 addi sp,sp,4 - 3015c: f800283a ret + 30a74: e037883a mov sp,fp + 30a78: df000017 ldw fp,0(sp) + 30a7c: dec00104 addi sp,sp,4 + 30a80: f800283a ret -00030160 : +00030a84 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, int req, void* arg) { - 30160: defffa04 addi sp,sp,-24 - 30164: df000515 stw fp,20(sp) - 30168: df000504 addi fp,sp,20 - 3016c: e13ffd15 stw r4,-12(fp) - 30170: e17ffe15 stw r5,-8(fp) - 30174: e1bfff15 stw r6,-4(fp) + 30a84: defffa04 addi sp,sp,-24 + 30a88: df000515 stw fp,20(sp) + 30a8c: df000504 addi fp,sp,20 + 30a90: e13ffd15 stw r4,-12(fp) + 30a94: e17ffe15 stw r5,-8(fp) + 30a98: e1bfff15 stw r6,-4(fp) int rc = -ENOTTY; - 30178: 00bff9c4 movi r2,-25 - 3017c: e0bffb15 stw r2,-20(fp) + 30a9c: 00bff9c4 movi r2,-25 + 30aa0: e0bffb15 stw r2,-20(fp) switch (req) - 30180: e0bffe17 ldw r2,-8(fp) - 30184: 10da8060 cmpeqi r3,r2,27137 - 30188: 1800031e bne r3,zero,30198 - 3018c: 109a80a0 cmpeqi r2,r2,27138 - 30190: 1000181e bne r2,zero,301f4 + 30aa4: e0bffe17 ldw r2,-8(fp) + 30aa8: 10da8060 cmpeqi r3,r2,27137 + 30aac: 1800031e bne r3,zero,30abc + 30ab0: 109a80a0 cmpeqi r2,r2,27138 + 30ab4: 1000181e bne r2,zero,30b18 rc = 0; } break; default: break; - 30194: 00002906 br 3023c + 30ab8: 00002906 br 30b60 switch (req) { case TIOCSTIMEOUT: /* Set the time to wait until assuming host is not connected */ if (sp->timeout != INT_MAX) - 30198: e0bffd17 ldw r2,-12(fp) - 3019c: 10c00117 ldw r3,4(r2) - 301a0: 00a00034 movhi r2,32768 - 301a4: 10bfffc4 addi r2,r2,-1 - 301a8: 18802126 beq r3,r2,30230 + 30abc: e0bffd17 ldw r2,-12(fp) + 30ac0: 10c00117 ldw r3,4(r2) + 30ac4: 00a00034 movhi r2,32768 + 30ac8: 10bfffc4 addi r2,r2,-1 + 30acc: 18802126 beq r3,r2,30b54 { int timeout = *((int *)arg); - 301ac: e0bfff17 ldw r2,-4(fp) - 301b0: 10800017 ldw r2,0(r2) - 301b4: e0bffc15 stw r2,-16(fp) + 30ad0: e0bfff17 ldw r2,-4(fp) + 30ad4: 10800017 ldw r2,0(r2) + 30ad8: e0bffc15 stw r2,-16(fp) sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; - 301b8: e0bffc17 ldw r2,-16(fp) - 301bc: 10800090 cmplti r2,r2,2 - 301c0: 1000061e bne r2,zero,301dc - 301c4: e0fffc17 ldw r3,-16(fp) - 301c8: 00a00034 movhi r2,32768 - 301cc: 10bfffc4 addi r2,r2,-1 - 301d0: 18800226 beq r3,r2,301dc - 301d4: e0bffc17 ldw r2,-16(fp) - 301d8: 00000206 br 301e4 - 301dc: 00a00034 movhi r2,32768 - 301e0: 10bfff84 addi r2,r2,-2 - 301e4: e0fffd17 ldw r3,-12(fp) - 301e8: 18800115 stw r2,4(r3) + 30adc: e0bffc17 ldw r2,-16(fp) + 30ae0: 10800090 cmplti r2,r2,2 + 30ae4: 1000061e bne r2,zero,30b00 + 30ae8: e0fffc17 ldw r3,-16(fp) + 30aec: 00a00034 movhi r2,32768 + 30af0: 10bfffc4 addi r2,r2,-1 + 30af4: 18800226 beq r3,r2,30b00 + 30af8: e0bffc17 ldw r2,-16(fp) + 30afc: 00000206 br 30b08 + 30b00: 00a00034 movhi r2,32768 + 30b04: 10bfff84 addi r2,r2,-2 + 30b08: e0fffd17 ldw r3,-12(fp) + 30b0c: 18800115 stw r2,4(r3) rc = 0; - 301ec: e03ffb15 stw zero,-20(fp) + 30b10: e03ffb15 stw zero,-20(fp) } break; - 301f0: 00000f06 br 30230 + 30b14: 00000f06 br 30b54 case TIOCGCONNECTED: /* Find out whether host is connected */ if (sp->timeout != INT_MAX) - 301f4: e0bffd17 ldw r2,-12(fp) - 301f8: 10c00117 ldw r3,4(r2) - 301fc: 00a00034 movhi r2,32768 - 30200: 10bfffc4 addi r2,r2,-1 - 30204: 18800c26 beq r3,r2,30238 + 30b18: e0bffd17 ldw r2,-12(fp) + 30b1c: 10c00117 ldw r3,4(r2) + 30b20: 00a00034 movhi r2,32768 + 30b24: 10bfffc4 addi r2,r2,-1 + 30b28: 18800c26 beq r3,r2,30b5c { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; - 30208: e0bffd17 ldw r2,-12(fp) - 3020c: 10c00917 ldw r3,36(r2) - 30210: e0bffd17 ldw r2,-12(fp) - 30214: 10800117 ldw r2,4(r2) - 30218: 1885803a cmpltu r2,r3,r2 - 3021c: 10c03fcc andi r3,r2,255 - 30220: e0bfff17 ldw r2,-4(fp) - 30224: 10c00015 stw r3,0(r2) + 30b2c: e0bffd17 ldw r2,-12(fp) + 30b30: 10c00917 ldw r3,36(r2) + 30b34: e0bffd17 ldw r2,-12(fp) + 30b38: 10800117 ldw r2,4(r2) + 30b3c: 1885803a cmpltu r2,r3,r2 + 30b40: 10c03fcc andi r3,r2,255 + 30b44: e0bfff17 ldw r2,-4(fp) + 30b48: 10c00015 stw r3,0(r2) rc = 0; - 30228: e03ffb15 stw zero,-20(fp) + 30b4c: e03ffb15 stw zero,-20(fp) } break; - 3022c: 00000206 br 30238 + 30b50: 00000206 br 30b5c { int timeout = *((int *)arg); sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; rc = 0; } break; - 30230: 0001883a nop - 30234: 00000106 br 3023c + 30b54: 0001883a nop + 30b58: 00000106 br 30b60 if (sp->timeout != INT_MAX) { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; rc = 0; } break; - 30238: 0001883a nop + 30b5c: 0001883a nop default: break; } return rc; - 3023c: e0bffb17 ldw r2,-20(fp) + 30b60: e0bffb17 ldw r2,-20(fp) } - 30240: e037883a mov sp,fp - 30244: df000017 ldw fp,0(sp) - 30248: dec00104 addi sp,sp,4 - 3024c: f800283a ret + 30b64: e037883a mov sp,fp + 30b68: df000017 ldw fp,0(sp) + 30b6c: dec00104 addi sp,sp,4 + 30b70: f800283a ret -00030250 : +00030b74 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, char * buffer, int space, int flags) { - 30250: defff304 addi sp,sp,-52 - 30254: dfc00c15 stw ra,48(sp) - 30258: df000b15 stw fp,44(sp) - 3025c: df000b04 addi fp,sp,44 - 30260: e13ffc15 stw r4,-16(fp) - 30264: e17ffd15 stw r5,-12(fp) - 30268: e1bffe15 stw r6,-8(fp) - 3026c: e1ffff15 stw r7,-4(fp) + 30b74: defff304 addi sp,sp,-52 + 30b78: dfc00c15 stw ra,48(sp) + 30b7c: df000b15 stw fp,44(sp) + 30b80: df000b04 addi fp,sp,44 + 30b84: e13ffc15 stw r4,-16(fp) + 30b88: e17ffd15 stw r5,-12(fp) + 30b8c: e1bffe15 stw r6,-8(fp) + 30b90: e1ffff15 stw r7,-4(fp) char * ptr = buffer; - 30270: e0bffd17 ldw r2,-12(fp) - 30274: e0bff515 stw r2,-44(fp) + 30b94: e0bffd17 ldw r2,-12(fp) + 30b98: e0bff515 stw r2,-44(fp) * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 30278: 00004706 br 30398 + 30b9c: 00004706 br 30cbc unsigned int in, out; /* Read as much data as possible */ do { in = sp->rx_in; - 3027c: e0bffc17 ldw r2,-16(fp) - 30280: 10800a17 ldw r2,40(r2) - 30284: e0bff715 stw r2,-36(fp) + 30ba0: e0bffc17 ldw r2,-16(fp) + 30ba4: 10800a17 ldw r2,40(r2) + 30ba8: e0bff715 stw r2,-36(fp) out = sp->rx_out; - 30288: e0bffc17 ldw r2,-16(fp) - 3028c: 10800b17 ldw r2,44(r2) - 30290: e0bff815 stw r2,-32(fp) + 30bac: e0bffc17 ldw r2,-16(fp) + 30bb0: 10800b17 ldw r2,44(r2) + 30bb4: e0bff815 stw r2,-32(fp) if (in >= out) - 30294: e0fff717 ldw r3,-36(fp) - 30298: e0bff817 ldw r2,-32(fp) - 3029c: 18800536 bltu r3,r2,302b4 + 30bb8: e0fff717 ldw r3,-36(fp) + 30bbc: e0bff817 ldw r2,-32(fp) + 30bc0: 18800536 bltu r3,r2,30bd8 n = in - out; - 302a0: e0fff717 ldw r3,-36(fp) - 302a4: e0bff817 ldw r2,-32(fp) - 302a8: 1885c83a sub r2,r3,r2 - 302ac: e0bff615 stw r2,-40(fp) - 302b0: 00000406 br 302c4 + 30bc4: e0fff717 ldw r3,-36(fp) + 30bc8: e0bff817 ldw r2,-32(fp) + 30bcc: 1885c83a sub r2,r3,r2 + 30bd0: e0bff615 stw r2,-40(fp) + 30bd4: 00000406 br 30be8 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; - 302b4: 00c20004 movi r3,2048 - 302b8: e0bff817 ldw r2,-32(fp) - 302bc: 1885c83a sub r2,r3,r2 - 302c0: e0bff615 stw r2,-40(fp) + 30bd8: 00c20004 movi r3,2048 + 30bdc: e0bff817 ldw r2,-32(fp) + 30be0: 1885c83a sub r2,r3,r2 + 30be4: e0bff615 stw r2,-40(fp) if (n == 0) - 302c4: e0bff617 ldw r2,-40(fp) - 302c8: 10001e26 beq r2,zero,30344 + 30be8: e0bff617 ldw r2,-40(fp) + 30bec: 10001e26 beq r2,zero,30c68 break; /* No more data available */ if (n > space) - 302cc: e0fffe17 ldw r3,-8(fp) - 302d0: e0bff617 ldw r2,-40(fp) - 302d4: 1880022e bgeu r3,r2,302e0 + 30bf0: e0fffe17 ldw r3,-8(fp) + 30bf4: e0bff617 ldw r2,-40(fp) + 30bf8: 1880022e bgeu r3,r2,30c04 n = space; - 302d8: e0bffe17 ldw r2,-8(fp) - 302dc: e0bff615 stw r2,-40(fp) + 30bfc: e0bffe17 ldw r2,-8(fp) + 30c00: e0bff615 stw r2,-40(fp) memcpy(ptr, sp->rx_buf + out, n); - 302e0: e0bffc17 ldw r2,-16(fp) - 302e4: 10c00e04 addi r3,r2,56 - 302e8: e0bff817 ldw r2,-32(fp) - 302ec: 1885883a add r2,r3,r2 - 302f0: e1bff617 ldw r6,-40(fp) - 302f4: 100b883a mov r5,r2 - 302f8: e13ff517 ldw r4,-44(fp) - 302fc: 00283280 call 28328 + 30c04: e0bffc17 ldw r2,-16(fp) + 30c08: 10c00e04 addi r3,r2,56 + 30c0c: e0bff817 ldw r2,-32(fp) + 30c10: 1885883a add r2,r3,r2 + 30c14: e1bff617 ldw r6,-40(fp) + 30c18: 100b883a mov r5,r2 + 30c1c: e13ff517 ldw r4,-44(fp) + 30c20: 00288ec0 call 288ec ptr += n; - 30300: e0fff517 ldw r3,-44(fp) - 30304: e0bff617 ldw r2,-40(fp) - 30308: 1885883a add r2,r3,r2 - 3030c: e0bff515 stw r2,-44(fp) + 30c24: e0fff517 ldw r3,-44(fp) + 30c28: e0bff617 ldw r2,-40(fp) + 30c2c: 1885883a add r2,r3,r2 + 30c30: e0bff515 stw r2,-44(fp) space -= n; - 30310: e0fffe17 ldw r3,-8(fp) - 30314: e0bff617 ldw r2,-40(fp) - 30318: 1885c83a sub r2,r3,r2 - 3031c: e0bffe15 stw r2,-8(fp) + 30c34: e0fffe17 ldw r3,-8(fp) + 30c38: e0bff617 ldw r2,-40(fp) + 30c3c: 1885c83a sub r2,r3,r2 + 30c40: e0bffe15 stw r2,-8(fp) sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 30320: e0fff817 ldw r3,-32(fp) - 30324: e0bff617 ldw r2,-40(fp) - 30328: 1885883a add r2,r3,r2 - 3032c: 10c1ffcc andi r3,r2,2047 - 30330: e0bffc17 ldw r2,-16(fp) - 30334: 10c00b15 stw r3,44(r2) + 30c44: e0fff817 ldw r3,-32(fp) + 30c48: e0bff617 ldw r2,-40(fp) + 30c4c: 1885883a add r2,r3,r2 + 30c50: 10c1ffcc andi r3,r2,2047 + 30c54: e0bffc17 ldw r2,-16(fp) + 30c58: 10c00b15 stw r3,44(r2) } while (space > 0); - 30338: e0bffe17 ldw r2,-8(fp) - 3033c: 00bfcf16 blt zero,r2,3027c <_gp+0xffff3d44> - 30340: 00000106 br 30348 + 30c5c: e0bffe17 ldw r2,-8(fp) + 30c60: 00bfcf16 blt zero,r2,30ba0 <_gp+0xffff3d34> + 30c64: 00000106 br 30c6c n = in - out; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; if (n == 0) break; /* No more data available */ - 30344: 0001883a nop + 30c68: 0001883a nop sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) - 30348: e0fff517 ldw r3,-44(fp) - 3034c: e0bffd17 ldw r2,-12(fp) - 30350: 1880141e bne r3,r2,303a4 + 30c6c: e0fff517 ldw r3,-44(fp) + 30c70: e0bffd17 ldw r2,-12(fp) + 30c74: 1880141e bne r3,r2,30cc8 break; /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) - 30354: e0bfff17 ldw r2,-4(fp) - 30358: 1090000c andi r2,r2,16384 - 3035c: 1000131e bne r2,zero,303ac + 30c78: e0bfff17 ldw r2,-4(fp) + 30c7c: 1090000c andi r2,r2,16384 + 30c80: 1000131e bne r2,zero,30cd0 while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; } #else /* No OS: Always spin */ while (in == sp->rx_in && sp->host_inactive < sp->timeout) - 30360: 0001883a nop - 30364: e0bffc17 ldw r2,-16(fp) - 30368: 10c00a17 ldw r3,40(r2) - 3036c: e0bff717 ldw r2,-36(fp) - 30370: 1880051e bne r3,r2,30388 - 30374: e0bffc17 ldw r2,-16(fp) - 30378: 10c00917 ldw r3,36(r2) - 3037c: e0bffc17 ldw r2,-16(fp) - 30380: 10800117 ldw r2,4(r2) - 30384: 18bff736 bltu r3,r2,30364 <_gp+0xffff3e2c> + 30c84: 0001883a nop + 30c88: e0bffc17 ldw r2,-16(fp) + 30c8c: 10c00a17 ldw r3,40(r2) + 30c90: e0bff717 ldw r2,-36(fp) + 30c94: 1880051e bne r3,r2,30cac + 30c98: e0bffc17 ldw r2,-16(fp) + 30c9c: 10c00917 ldw r3,36(r2) + 30ca0: e0bffc17 ldw r2,-16(fp) + 30ca4: 10800117 ldw r2,4(r2) + 30ca8: 18bff736 bltu r3,r2,30c88 <_gp+0xffff3e1c> ; #endif /* __ucosii__ */ if (in == sp->rx_in) - 30388: e0bffc17 ldw r2,-16(fp) - 3038c: 10c00a17 ldw r3,40(r2) - 30390: e0bff717 ldw r2,-36(fp) - 30394: 18800726 beq r3,r2,303b4 + 30cac: e0bffc17 ldw r2,-16(fp) + 30cb0: 10c00a17 ldw r3,40(r2) + 30cb4: e0bff717 ldw r2,-36(fp) + 30cb8: 18800726 beq r3,r2,30cd8 * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 30398: e0bffe17 ldw r2,-8(fp) - 3039c: 00bfb716 blt zero,r2,3027c <_gp+0xffff3d44> - 303a0: 00000506 br 303b8 + 30cbc: e0bffe17 ldw r2,-8(fp) + 30cc0: 00bfb716 blt zero,r2,30ba0 <_gp+0xffff3d34> + 30cc4: 00000506 br 30cdc } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) break; - 303a4: 0001883a nop - 303a8: 00000306 br 303b8 + 30cc8: 0001883a nop + 30ccc: 00000306 br 30cdc /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) break; - 303ac: 0001883a nop - 303b0: 00000106 br 303b8 + 30cd0: 0001883a nop + 30cd4: 00000106 br 30cdc while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (in == sp->rx_in) break; - 303b4: 0001883a nop + 30cd8: 0001883a nop * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->read_lock); if (ptr != buffer) - 303b8: e0fff517 ldw r3,-44(fp) - 303bc: e0bffd17 ldw r2,-12(fp) - 303c0: 18801826 beq r3,r2,30424 + 30cdc: e0fff517 ldw r3,-44(fp) + 30ce0: e0bffd17 ldw r2,-12(fp) + 30ce4: 18801826 beq r3,r2,30d48 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 303c4: 0005303a rdctl r2,status - 303c8: e0bffb15 stw r2,-20(fp) + 30ce8: 0005303a rdctl r2,status + 30cec: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 303cc: e0fffb17 ldw r3,-20(fp) - 303d0: 00bfff84 movi r2,-2 - 303d4: 1884703a and r2,r3,r2 - 303d8: 1001703a wrctl status,r2 + 30cf0: e0fffb17 ldw r3,-20(fp) + 30cf4: 00bfff84 movi r2,-2 + 30cf8: 1884703a and r2,r3,r2 + 30cfc: 1001703a wrctl status,r2 return context; - 303dc: e0bffb17 ldw r2,-20(fp) + 30d00: e0bffb17 ldw r2,-20(fp) { /* If we read any data then there is space in the buffer so enable interrupts */ context = alt_irq_disable_all(); - 303e0: e0bffa15 stw r2,-24(fp) + 30d04: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 303e4: e0bffc17 ldw r2,-16(fp) - 303e8: 10800817 ldw r2,32(r2) - 303ec: 10c00054 ori r3,r2,1 - 303f0: e0bffc17 ldw r2,-16(fp) - 303f4: 10c00815 stw r3,32(r2) + 30d08: e0bffc17 ldw r2,-16(fp) + 30d0c: 10800817 ldw r2,32(r2) + 30d10: 10c00054 ori r3,r2,1 + 30d14: e0bffc17 ldw r2,-16(fp) + 30d18: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 303f8: e0bffc17 ldw r2,-16(fp) - 303fc: 10800017 ldw r2,0(r2) - 30400: 10800104 addi r2,r2,4 - 30404: 1007883a mov r3,r2 - 30408: e0bffc17 ldw r2,-16(fp) - 3040c: 10800817 ldw r2,32(r2) - 30410: 18800035 stwio r2,0(r3) - 30414: e0bffa17 ldw r2,-24(fp) - 30418: e0bff915 stw r2,-28(fp) + 30d1c: e0bffc17 ldw r2,-16(fp) + 30d20: 10800017 ldw r2,0(r2) + 30d24: 10800104 addi r2,r2,4 + 30d28: 1007883a mov r3,r2 + 30d2c: e0bffc17 ldw r2,-16(fp) + 30d30: 10800817 ldw r2,32(r2) + 30d34: 18800035 stwio r2,0(r3) + 30d38: e0bffa17 ldw r2,-24(fp) + 30d3c: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 3041c: e0bff917 ldw r2,-28(fp) - 30420: 1001703a wrctl status,r2 + 30d40: e0bff917 ldw r2,-28(fp) + 30d44: 1001703a wrctl status,r2 alt_irq_enable_all(context); } if (ptr != buffer) - 30424: e0fff517 ldw r3,-44(fp) - 30428: e0bffd17 ldw r2,-12(fp) - 3042c: 18800426 beq r3,r2,30440 + 30d48: e0fff517 ldw r3,-44(fp) + 30d4c: e0bffd17 ldw r2,-12(fp) + 30d50: 18800426 beq r3,r2,30d64 return ptr - buffer; - 30430: e0fff517 ldw r3,-44(fp) - 30434: e0bffd17 ldw r2,-12(fp) - 30438: 1885c83a sub r2,r3,r2 - 3043c: 00000606 br 30458 + 30d54: e0fff517 ldw r3,-44(fp) + 30d58: e0bffd17 ldw r2,-12(fp) + 30d5c: 1885c83a sub r2,r3,r2 + 30d60: 00000606 br 30d7c else if (flags & O_NONBLOCK) - 30440: e0bfff17 ldw r2,-4(fp) - 30444: 1090000c andi r2,r2,16384 - 30448: 10000226 beq r2,zero,30454 + 30d64: e0bfff17 ldw r2,-4(fp) + 30d68: 1090000c andi r2,r2,16384 + 30d6c: 10000226 beq r2,zero,30d78 return -EWOULDBLOCK; - 3044c: 00bffd44 movi r2,-11 - 30450: 00000106 br 30458 + 30d70: 00bffd44 movi r2,-11 + 30d74: 00000106 br 30d7c else return -EIO; - 30454: 00bffec4 movi r2,-5 + 30d78: 00bffec4 movi r2,-5 } - 30458: e037883a mov sp,fp - 3045c: dfc00117 ldw ra,4(sp) - 30460: df000017 ldw fp,0(sp) - 30464: dec00204 addi sp,sp,8 - 30468: f800283a ret + 30d7c: e037883a mov sp,fp + 30d80: dfc00117 ldw ra,4(sp) + 30d84: df000017 ldw fp,0(sp) + 30d88: dec00204 addi sp,sp,8 + 30d8c: f800283a ret -0003046c : +00030d90 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, const char * ptr, int count, int flags) { - 3046c: defff304 addi sp,sp,-52 - 30470: dfc00c15 stw ra,48(sp) - 30474: df000b15 stw fp,44(sp) - 30478: df000b04 addi fp,sp,44 - 3047c: e13ffc15 stw r4,-16(fp) - 30480: e17ffd15 stw r5,-12(fp) - 30484: e1bffe15 stw r6,-8(fp) - 30488: e1ffff15 stw r7,-4(fp) + 30d90: defff304 addi sp,sp,-52 + 30d94: dfc00c15 stw ra,48(sp) + 30d98: df000b15 stw fp,44(sp) + 30d9c: df000b04 addi fp,sp,44 + 30da0: e13ffc15 stw r4,-16(fp) + 30da4: e17ffd15 stw r5,-12(fp) + 30da8: e1bffe15 stw r6,-8(fp) + 30dac: e1ffff15 stw r7,-4(fp) /* Remove warning at optimisation level 03 by seting out to 0 */ unsigned int in, out=0; - 3048c: e03ff515 stw zero,-44(fp) + 30db0: e03ff515 stw zero,-44(fp) unsigned int n; alt_irq_context context; const char * start = ptr; - 30490: e0bffd17 ldw r2,-12(fp) - 30494: e0bff715 stw r2,-36(fp) + 30db4: e0bffd17 ldw r2,-12(fp) + 30db8: e0bff715 stw r2,-36(fp) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 30498: 00003706 br 30578 + 30dbc: 00003706 br 30e9c { /* We need a stable value of the out pointer to calculate the space available */ in = sp->tx_in; - 3049c: e0bffc17 ldw r2,-16(fp) - 304a0: 10800c17 ldw r2,48(r2) - 304a4: e0bff915 stw r2,-28(fp) + 30dc0: e0bffc17 ldw r2,-16(fp) + 30dc4: 10800c17 ldw r2,48(r2) + 30dc8: e0bff915 stw r2,-28(fp) out = sp->tx_out; - 304a8: e0bffc17 ldw r2,-16(fp) - 304ac: 10800d17 ldw r2,52(r2) - 304b0: e0bff515 stw r2,-44(fp) + 30dcc: e0bffc17 ldw r2,-16(fp) + 30dd0: 10800d17 ldw r2,52(r2) + 30dd4: e0bff515 stw r2,-44(fp) if (in < out) - 304b4: e0fff917 ldw r3,-28(fp) - 304b8: e0bff517 ldw r2,-44(fp) - 304bc: 1880062e bgeu r3,r2,304d8 + 30dd8: e0fff917 ldw r3,-28(fp) + 30ddc: e0bff517 ldw r2,-44(fp) + 30de0: 1880062e bgeu r3,r2,30dfc n = out - 1 - in; - 304c0: e0fff517 ldw r3,-44(fp) - 304c4: e0bff917 ldw r2,-28(fp) - 304c8: 1885c83a sub r2,r3,r2 - 304cc: 10bfffc4 addi r2,r2,-1 - 304d0: e0bff615 stw r2,-40(fp) - 304d4: 00000b06 br 30504 + 30de4: e0fff517 ldw r3,-44(fp) + 30de8: e0bff917 ldw r2,-28(fp) + 30dec: 1885c83a sub r2,r3,r2 + 30df0: 10bfffc4 addi r2,r2,-1 + 30df4: e0bff615 stw r2,-40(fp) + 30df8: 00000b06 br 30e28 else if (out > 0) - 304d8: e0bff517 ldw r2,-44(fp) - 304dc: 10000526 beq r2,zero,304f4 + 30dfc: e0bff517 ldw r2,-44(fp) + 30e00: 10000526 beq r2,zero,30e18 n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; - 304e0: 00c20004 movi r3,2048 - 304e4: e0bff917 ldw r2,-28(fp) - 304e8: 1885c83a sub r2,r3,r2 - 304ec: e0bff615 stw r2,-40(fp) - 304f0: 00000406 br 30504 + 30e04: 00c20004 movi r3,2048 + 30e08: e0bff917 ldw r2,-28(fp) + 30e0c: 1885c83a sub r2,r3,r2 + 30e10: e0bff615 stw r2,-40(fp) + 30e14: 00000406 br 30e28 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; - 304f4: 00c1ffc4 movi r3,2047 - 304f8: e0bff917 ldw r2,-28(fp) - 304fc: 1885c83a sub r2,r3,r2 - 30500: e0bff615 stw r2,-40(fp) + 30e18: 00c1ffc4 movi r3,2047 + 30e1c: e0bff917 ldw r2,-28(fp) + 30e20: 1885c83a sub r2,r3,r2 + 30e24: e0bff615 stw r2,-40(fp) if (n == 0) - 30504: e0bff617 ldw r2,-40(fp) - 30508: 10001e26 beq r2,zero,30584 + 30e28: e0bff617 ldw r2,-40(fp) + 30e2c: 10001e26 beq r2,zero,30ea8 break; if (n > count) - 3050c: e0fffe17 ldw r3,-8(fp) - 30510: e0bff617 ldw r2,-40(fp) - 30514: 1880022e bgeu r3,r2,30520 + 30e30: e0fffe17 ldw r3,-8(fp) + 30e34: e0bff617 ldw r2,-40(fp) + 30e38: 1880022e bgeu r3,r2,30e44 n = count; - 30518: e0bffe17 ldw r2,-8(fp) - 3051c: e0bff615 stw r2,-40(fp) + 30e3c: e0bffe17 ldw r2,-8(fp) + 30e40: e0bff615 stw r2,-40(fp) memcpy(sp->tx_buf + in, ptr, n); - 30520: e0bffc17 ldw r2,-16(fp) - 30524: 10c20e04 addi r3,r2,2104 - 30528: e0bff917 ldw r2,-28(fp) - 3052c: 1885883a add r2,r3,r2 - 30530: e1bff617 ldw r6,-40(fp) - 30534: e17ffd17 ldw r5,-12(fp) - 30538: 1009883a mov r4,r2 - 3053c: 00283280 call 28328 + 30e44: e0bffc17 ldw r2,-16(fp) + 30e48: 10c20e04 addi r3,r2,2104 + 30e4c: e0bff917 ldw r2,-28(fp) + 30e50: 1885883a add r2,r3,r2 + 30e54: e1bff617 ldw r6,-40(fp) + 30e58: e17ffd17 ldw r5,-12(fp) + 30e5c: 1009883a mov r4,r2 + 30e60: 00288ec0 call 288ec ptr += n; - 30540: e0fffd17 ldw r3,-12(fp) - 30544: e0bff617 ldw r2,-40(fp) - 30548: 1885883a add r2,r3,r2 - 3054c: e0bffd15 stw r2,-12(fp) + 30e64: e0fffd17 ldw r3,-12(fp) + 30e68: e0bff617 ldw r2,-40(fp) + 30e6c: 1885883a add r2,r3,r2 + 30e70: e0bffd15 stw r2,-12(fp) count -= n; - 30550: e0fffe17 ldw r3,-8(fp) - 30554: e0bff617 ldw r2,-40(fp) - 30558: 1885c83a sub r2,r3,r2 - 3055c: e0bffe15 stw r2,-8(fp) + 30e74: e0fffe17 ldw r3,-8(fp) + 30e78: e0bff617 ldw r2,-40(fp) + 30e7c: 1885c83a sub r2,r3,r2 + 30e80: e0bffe15 stw r2,-8(fp) sp->tx_in = (in + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 30560: e0fff917 ldw r3,-28(fp) - 30564: e0bff617 ldw r2,-40(fp) - 30568: 1885883a add r2,r3,r2 - 3056c: 10c1ffcc andi r3,r2,2047 - 30570: e0bffc17 ldw r2,-16(fp) - 30574: 10c00c15 stw r3,48(r2) + 30e84: e0fff917 ldw r3,-28(fp) + 30e88: e0bff617 ldw r2,-40(fp) + 30e8c: 1885883a add r2,r3,r2 + 30e90: 10c1ffcc andi r3,r2,2047 + 30e94: e0bffc17 ldw r2,-16(fp) + 30e98: 10c00c15 stw r3,48(r2) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 30578: e0bffe17 ldw r2,-8(fp) - 3057c: 00bfc716 blt zero,r2,3049c <_gp+0xffff3f64> - 30580: 00000106 br 30588 + 30e9c: e0bffe17 ldw r2,-8(fp) + 30ea0: 00bfc716 blt zero,r2,30dc0 <_gp+0xffff3f54> + 30ea4: 00000106 br 30eac n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; if (n == 0) break; - 30584: 0001883a nop + 30ea8: 0001883a nop static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 30588: 0005303a rdctl r2,status - 3058c: e0bffb15 stw r2,-20(fp) + 30eac: 0005303a rdctl r2,status + 30eb0: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30590: e0fffb17 ldw r3,-20(fp) - 30594: 00bfff84 movi r2,-2 - 30598: 1884703a and r2,r3,r2 - 3059c: 1001703a wrctl status,r2 + 30eb4: e0fffb17 ldw r3,-20(fp) + 30eb8: 00bfff84 movi r2,-2 + 30ebc: 1884703a and r2,r3,r2 + 30ec0: 1001703a wrctl status,r2 return context; - 305a0: e0bffb17 ldw r2,-20(fp) + 30ec4: e0bffb17 ldw r2,-20(fp) * to enable interrupts if there is no space left in the FIFO * * For now kick the interrupt routine every time to make it transmit * the data */ context = alt_irq_disable_all(); - 305a4: e0bffa15 stw r2,-24(fp) + 30ec8: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 305a8: e0bffc17 ldw r2,-16(fp) - 305ac: 10800817 ldw r2,32(r2) - 305b0: 10c00094 ori r3,r2,2 - 305b4: e0bffc17 ldw r2,-16(fp) - 305b8: 10c00815 stw r3,32(r2) + 30ecc: e0bffc17 ldw r2,-16(fp) + 30ed0: 10800817 ldw r2,32(r2) + 30ed4: 10c00094 ori r3,r2,2 + 30ed8: e0bffc17 ldw r2,-16(fp) + 30edc: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 305bc: e0bffc17 ldw r2,-16(fp) - 305c0: 10800017 ldw r2,0(r2) - 305c4: 10800104 addi r2,r2,4 - 305c8: 1007883a mov r3,r2 - 305cc: e0bffc17 ldw r2,-16(fp) - 305d0: 10800817 ldw r2,32(r2) - 305d4: 18800035 stwio r2,0(r3) - 305d8: e0bffa17 ldw r2,-24(fp) - 305dc: e0bff815 stw r2,-32(fp) + 30ee0: e0bffc17 ldw r2,-16(fp) + 30ee4: 10800017 ldw r2,0(r2) + 30ee8: 10800104 addi r2,r2,4 + 30eec: 1007883a mov r3,r2 + 30ef0: e0bffc17 ldw r2,-16(fp) + 30ef4: 10800817 ldw r2,32(r2) + 30ef8: 18800035 stwio r2,0(r3) + 30efc: e0bffa17 ldw r2,-24(fp) + 30f00: e0bff815 stw r2,-32(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 305e0: e0bff817 ldw r2,-32(fp) - 305e4: 1001703a wrctl status,r2 + 30f04: e0bff817 ldw r2,-32(fp) + 30f08: 1001703a wrctl status,r2 /* * If there is any data left then either return now or block until * some has been sent */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) - 305e8: e0bffe17 ldw r2,-8(fp) - 305ec: 0080100e bge zero,r2,30630 + 30f0c: e0bffe17 ldw r2,-8(fp) + 30f10: 0080100e bge zero,r2,30f54 { if (flags & O_NONBLOCK) - 305f0: e0bfff17 ldw r2,-4(fp) - 305f4: 1090000c andi r2,r2,16384 - 305f8: 1000101e bne r2,zero,3063c + 30f14: e0bfff17 ldw r2,-4(fp) + 30f18: 1090000c andi r2,r2,16384 + 30f1c: 1000101e bne r2,zero,30f60 /* * No OS present: Always wait for data to be removed from buffer. Once * the interrupt routine has removed some data then we will be able to * insert some more. */ while (out == sp->tx_out && sp->host_inactive < sp->timeout) - 305fc: 0001883a nop - 30600: e0bffc17 ldw r2,-16(fp) - 30604: 10c00d17 ldw r3,52(r2) - 30608: e0bff517 ldw r2,-44(fp) - 3060c: 1880051e bne r3,r2,30624 - 30610: e0bffc17 ldw r2,-16(fp) - 30614: 10c00917 ldw r3,36(r2) - 30618: e0bffc17 ldw r2,-16(fp) - 3061c: 10800117 ldw r2,4(r2) - 30620: 18bff736 bltu r3,r2,30600 <_gp+0xffff40c8> + 30f20: 0001883a nop + 30f24: e0bffc17 ldw r2,-16(fp) + 30f28: 10c00d17 ldw r3,52(r2) + 30f2c: e0bff517 ldw r2,-44(fp) + 30f30: 1880051e bne r3,r2,30f48 + 30f34: e0bffc17 ldw r2,-16(fp) + 30f38: 10c00917 ldw r3,36(r2) + 30f3c: e0bffc17 ldw r2,-16(fp) + 30f40: 10800117 ldw r2,4(r2) + 30f44: 18bff736 bltu r3,r2,30f24 <_gp+0xffff40b8> ; #endif /* __ucosii__ */ if (sp->host_inactive) - 30624: e0bffc17 ldw r2,-16(fp) - 30628: 10800917 ldw r2,36(r2) - 3062c: 1000051e bne r2,zero,30644 + 30f48: e0bffc17 ldw r2,-16(fp) + 30f4c: 10800917 ldw r2,36(r2) + 30f50: 1000051e bne r2,zero,30f68 break; } } while (count > 0); - 30630: e0bffe17 ldw r2,-8(fp) - 30634: 00bfd016 blt zero,r2,30578 <_gp+0xffff4040> - 30638: 00000306 br 30648 + 30f54: e0bffe17 ldw r2,-8(fp) + 30f58: 00bfd016 blt zero,r2,30e9c <_gp+0xffff4030> + 30f5c: 00000306 br 30f6c */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) { if (flags & O_NONBLOCK) break; - 3063c: 0001883a nop - 30640: 00000106 br 30648 + 30f60: 0001883a nop + 30f64: 00000106 br 30f6c while (out == sp->tx_out && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (sp->host_inactive) break; - 30644: 0001883a nop + 30f68: 0001883a nop * Now that access to the circular buffer is complete, release the write * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->write_lock); if (ptr != start) - 30648: e0fffd17 ldw r3,-12(fp) - 3064c: e0bff717 ldw r2,-36(fp) - 30650: 18800426 beq r3,r2,30664 + 30f6c: e0fffd17 ldw r3,-12(fp) + 30f70: e0bff717 ldw r2,-36(fp) + 30f74: 18800426 beq r3,r2,30f88 return ptr - start; - 30654: e0fffd17 ldw r3,-12(fp) - 30658: e0bff717 ldw r2,-36(fp) - 3065c: 1885c83a sub r2,r3,r2 - 30660: 00000606 br 3067c + 30f78: e0fffd17 ldw r3,-12(fp) + 30f7c: e0bff717 ldw r2,-36(fp) + 30f80: 1885c83a sub r2,r3,r2 + 30f84: 00000606 br 30fa0 else if (flags & O_NONBLOCK) - 30664: e0bfff17 ldw r2,-4(fp) - 30668: 1090000c andi r2,r2,16384 - 3066c: 10000226 beq r2,zero,30678 + 30f88: e0bfff17 ldw r2,-4(fp) + 30f8c: 1090000c andi r2,r2,16384 + 30f90: 10000226 beq r2,zero,30f9c return -EWOULDBLOCK; - 30670: 00bffd44 movi r2,-11 - 30674: 00000106 br 3067c + 30f94: 00bffd44 movi r2,-11 + 30f98: 00000106 br 30fa0 sp->tx_out = sp->tx_in = 0; return ptr - start + count; } #endif else return -EIO; /* Host not connected */ - 30678: 00bffec4 movi r2,-5 + 30f9c: 00bffec4 movi r2,-5 } - 3067c: e037883a mov sp,fp - 30680: dfc00117 ldw ra,4(sp) - 30684: df000017 ldw fp,0(sp) - 30688: dec00204 addi sp,sp,8 - 3068c: f800283a ret + 30fa0: e037883a mov sp,fp + 30fa4: dfc00117 ldw ra,4(sp) + 30fa8: df000017 ldw fp,0(sp) + 30fac: dec00204 addi sp,sp,8 + 30fb0: f800283a ret -00030690 : +00030fb4 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void alt_avalon_timer_sc_irq (void* base) #else static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) #endif { - 30690: defffa04 addi sp,sp,-24 - 30694: dfc00515 stw ra,20(sp) - 30698: df000415 stw fp,16(sp) - 3069c: df000404 addi fp,sp,16 - 306a0: e13fff15 stw r4,-4(fp) + 30fb4: defffa04 addi sp,sp,-24 + 30fb8: dfc00515 stw ra,20(sp) + 30fbc: df000415 stw fp,16(sp) + 30fc0: df000404 addi fp,sp,16 + 30fc4: e13fff15 stw r4,-4(fp) alt_irq_context cpu_sr; /* clear the interrupt */ IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); - 306a4: 0007883a mov r3,zero - 306a8: e0bfff17 ldw r2,-4(fp) - 306ac: 10c00035 stwio r3,0(r2) + 30fc8: 0007883a mov r3,zero + 30fcc: e0bfff17 ldw r2,-4(fp) + 30fd0: 10c00035 stwio r3,0(r2) /* * Dummy read to ensure IRQ is negated before the ISR returns. * The control register is read because reading the status * register has side-effects per the register map documentation. */ IORD_ALTERA_AVALON_TIMER_CONTROL (base); - 306b0: e0bfff17 ldw r2,-4(fp) - 306b4: 10800104 addi r2,r2,4 - 306b8: 10800037 ldwio r2,0(r2) + 30fd4: e0bfff17 ldw r2,-4(fp) + 30fd8: 10800104 addi r2,r2,4 + 30fdc: 10800037 ldwio r2,0(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 306bc: 0005303a rdctl r2,status - 306c0: e0bffd15 stw r2,-12(fp) + 30fe0: 0005303a rdctl r2,status + 30fe4: e0bffd15 stw r2,-12(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 306c4: e0fffd17 ldw r3,-12(fp) - 306c8: 00bfff84 movi r2,-2 - 306cc: 1884703a and r2,r3,r2 - 306d0: 1001703a wrctl status,r2 + 30fe8: e0fffd17 ldw r3,-12(fp) + 30fec: 00bfff84 movi r2,-2 + 30ff0: 1884703a and r2,r3,r2 + 30ff4: 1001703a wrctl status,r2 return context; - 306d4: e0bffd17 ldw r2,-12(fp) + 30ff8: e0bffd17 ldw r2,-12(fp) /* * Notify the system of a clock tick. disable interrupts * during this time to safely support ISR preemption */ cpu_sr = alt_irq_disable_all(); - 306d8: e0bffc15 stw r2,-16(fp) + 30ffc: e0bffc15 stw r2,-16(fp) alt_tick (); - 306dc: 002f8c40 call 2f8c4 - 306e0: e0bffc17 ldw r2,-16(fp) - 306e4: e0bffe15 stw r2,-8(fp) + 31000: 00301e80 call 301e8 + 31004: e0bffc17 ldw r2,-16(fp) + 31008: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 306e8: e0bffe17 ldw r2,-8(fp) - 306ec: 1001703a wrctl status,r2 + 3100c: e0bffe17 ldw r2,-8(fp) + 31010: 1001703a wrctl status,r2 alt_irq_enable_all(cpu_sr); } - 306f0: 0001883a nop - 306f4: e037883a mov sp,fp - 306f8: dfc00117 ldw ra,4(sp) - 306fc: df000017 ldw fp,0(sp) - 30700: dec00204 addi sp,sp,8 - 30704: f800283a ret + 31014: 0001883a nop + 31018: e037883a mov sp,fp + 3101c: dfc00117 ldw ra,4(sp) + 31020: df000017 ldw fp,0(sp) + 31024: dec00204 addi sp,sp,8 + 31028: f800283a ret -00030708 : +0003102c : * auto-generated alt_sys_init() function. */ void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, alt_u32 irq, alt_u32 freq) { - 30708: defff804 addi sp,sp,-32 - 3070c: dfc00715 stw ra,28(sp) - 30710: df000615 stw fp,24(sp) - 30714: df000604 addi fp,sp,24 - 30718: e13ffc15 stw r4,-16(fp) - 3071c: e17ffd15 stw r5,-12(fp) - 30720: e1bffe15 stw r6,-8(fp) - 30724: e1ffff15 stw r7,-4(fp) - 30728: e0bfff17 ldw r2,-4(fp) - 3072c: e0bffb15 stw r2,-20(fp) + 3102c: defff804 addi sp,sp,-32 + 31030: dfc00715 stw ra,28(sp) + 31034: df000615 stw fp,24(sp) + 31038: df000604 addi fp,sp,24 + 3103c: e13ffc15 stw r4,-16(fp) + 31040: e17ffd15 stw r5,-12(fp) + 31044: e1bffe15 stw r6,-8(fp) + 31048: e1ffff15 stw r7,-4(fp) + 3104c: e0bfff17 ldw r2,-4(fp) + 31050: e0bffb15 stw r2,-20(fp) * in order to initialise the value of the clock frequency. */ static ALT_INLINE int ALT_ALWAYS_INLINE alt_sysclk_init (alt_u32 nticks) { if (! _alt_tick_rate) - 30730: 008000f4 movhi r2,3 - 30734: 109a1304 addi r2,r2,26700 - 30738: 10800017 ldw r2,0(r2) - 3073c: 1000041e bne r2,zero,30750 + 31054: 008000f4 movhi r2,3 + 31058: 109c6004 addi r2,r2,29056 + 3105c: 10800017 ldw r2,0(r2) + 31060: 1000041e bne r2,zero,31074 { _alt_tick_rate = nticks; - 30740: 008000f4 movhi r2,3 - 30744: 109a1304 addi r2,r2,26700 - 30748: e0fffb17 ldw r3,-20(fp) - 3074c: 10c00015 stw r3,0(r2) + 31064: 008000f4 movhi r2,3 + 31068: 109c6004 addi r2,r2,29056 + 3106c: e0fffb17 ldw r3,-20(fp) + 31070: 10c00015 stw r3,0(r2) alt_sysclk_init (freq); /* set to free running mode */ IOWR_ALTERA_AVALON_TIMER_CONTROL (base, - 30750: e0bffc17 ldw r2,-16(fp) - 30754: 10800104 addi r2,r2,4 - 30758: 00c001c4 movi r3,7 - 3075c: 10c00035 stwio r3,0(r2) + 31074: e0bffc17 ldw r2,-16(fp) + 31078: 10800104 addi r2,r2,4 + 3107c: 00c001c4 movi r3,7 + 31080: 10c00035 stwio r3,0(r2) ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | ALTERA_AVALON_TIMER_CONTROL_START_MSK); /* register the interrupt handler, and enable the interrupt */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, - 30760: d8000015 stw zero,0(sp) - 30764: e1fffc17 ldw r7,-16(fp) - 30768: 018000f4 movhi r6,3 - 3076c: 3181a404 addi r6,r6,1680 - 30770: e17ffe17 ldw r5,-8(fp) - 30774: e13ffd17 ldw r4,-12(fp) - 30778: 0030c5c0 call 30c5c + 31084: d8000015 stw zero,0(sp) + 31088: e1fffc17 ldw r7,-16(fp) + 3108c: 018000f4 movhi r6,3 + 31090: 3183ed04 addi r6,r6,4020 + 31094: e17ffe17 ldw r5,-8(fp) + 31098: e13ffd17 ldw r4,-12(fp) + 3109c: 00315800 call 31580 base, NULL); #else alt_irq_register (irq, base, alt_avalon_timer_sc_irq); #endif } - 3077c: 0001883a nop - 30780: e037883a mov sp,fp - 30784: dfc00117 ldw ra,4(sp) - 30788: df000017 ldw fp,0(sp) - 3078c: dec00204 addi sp,sp,8 - 30790: f800283a ret + 310a0: 0001883a nop + 310a4: e037883a mov sp,fp + 310a8: dfc00117 ldw ra,4(sp) + 310ac: df000017 ldw fp,0(sp) + 310b0: dec00204 addi sp,sp,8 + 310b4: f800283a ret -00030794 : +000310b8 : */ int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, alt_u32 (*callback) (void* context), void* context) { - 30794: defff504 addi sp,sp,-44 - 30798: df000a15 stw fp,40(sp) - 3079c: df000a04 addi fp,sp,40 - 307a0: e13ffc15 stw r4,-16(fp) - 307a4: e17ffd15 stw r5,-12(fp) - 307a8: e1bffe15 stw r6,-8(fp) - 307ac: e1ffff15 stw r7,-4(fp) + 310b8: defff504 addi sp,sp,-44 + 310bc: df000a15 stw fp,40(sp) + 310c0: df000a04 addi fp,sp,40 + 310c4: e13ffc15 stw r4,-16(fp) + 310c8: e17ffd15 stw r5,-12(fp) + 310cc: e1bffe15 stw r6,-8(fp) + 310d0: e1ffff15 stw r7,-4(fp) alt_irq_context irq_context; alt_u32 current_nticks = 0; - 307b0: e03ff615 stw zero,-40(fp) + 310d4: e03ff615 stw zero,-40(fp) * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 307b4: 008000f4 movhi r2,3 - 307b8: 109a1304 addi r2,r2,26700 - 307bc: 10800017 ldw r2,0(r2) + 310d8: 008000f4 movhi r2,3 + 310dc: 109c6004 addi r2,r2,29056 + 310e0: 10800017 ldw r2,0(r2) if (alt_ticks_per_second ()) - 307c0: 10003f26 beq r2,zero,308c0 + 310e4: 10003f26 beq r2,zero,311e4 { if (alarm) - 307c4: e0bffc17 ldw r2,-16(fp) - 307c8: 10003b26 beq r2,zero,308b8 + 310e8: e0bffc17 ldw r2,-16(fp) + 310ec: 10003b26 beq r2,zero,311dc { alarm->callback = callback; - 307cc: e0bffc17 ldw r2,-16(fp) - 307d0: e0fffe17 ldw r3,-8(fp) - 307d4: 10c00315 stw r3,12(r2) + 310f0: e0bffc17 ldw r2,-16(fp) + 310f4: e0fffe17 ldw r3,-8(fp) + 310f8: 10c00315 stw r3,12(r2) alarm->context = context; - 307d8: e0bffc17 ldw r2,-16(fp) - 307dc: e0ffff17 ldw r3,-4(fp) - 307e0: 10c00515 stw r3,20(r2) + 310fc: e0bffc17 ldw r2,-16(fp) + 31100: e0ffff17 ldw r3,-4(fp) + 31104: 10c00515 stw r3,20(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 307e4: 0005303a rdctl r2,status - 307e8: e0bff915 stw r2,-28(fp) + 31108: 0005303a rdctl r2,status + 3110c: e0bff915 stw r2,-28(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 307ec: e0fff917 ldw r3,-28(fp) - 307f0: 00bfff84 movi r2,-2 - 307f4: 1884703a and r2,r3,r2 - 307f8: 1001703a wrctl status,r2 + 31110: e0fff917 ldw r3,-28(fp) + 31114: 00bfff84 movi r2,-2 + 31118: 1884703a and r2,r3,r2 + 3111c: 1001703a wrctl status,r2 return context; - 307fc: e0bff917 ldw r2,-28(fp) + 31120: e0bff917 ldw r2,-28(fp) irq_context = alt_irq_disable_all (); - 30800: e0bff815 stw r2,-32(fp) + 31124: e0bff815 stw r2,-32(fp) * alt_nticks() returns the elapsed number of system clock ticks since reset. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; - 30804: 008000f4 movhi r2,3 - 30808: 109a1404 addi r2,r2,26704 - 3080c: 10800017 ldw r2,0(r2) + 31128: 008000f4 movhi r2,3 + 3112c: 109c6104 addi r2,r2,29060 + 31130: 10800017 ldw r2,0(r2) current_nticks = alt_nticks(); - 30810: e0bff615 stw r2,-40(fp) + 31134: e0bff615 stw r2,-40(fp) alarm->time = nticks + current_nticks + 1; - 30814: e0fffd17 ldw r3,-12(fp) - 30818: e0bff617 ldw r2,-40(fp) - 3081c: 1885883a add r2,r3,r2 - 30820: 10c00044 addi r3,r2,1 - 30824: e0bffc17 ldw r2,-16(fp) - 30828: 10c00215 stw r3,8(r2) + 31138: e0fffd17 ldw r3,-12(fp) + 3113c: e0bff617 ldw r2,-40(fp) + 31140: 1885883a add r2,r3,r2 + 31144: 10c00044 addi r3,r2,1 + 31148: e0bffc17 ldw r2,-16(fp) + 3114c: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < current_nticks) - 3082c: e0bffc17 ldw r2,-16(fp) - 30830: 10c00217 ldw r3,8(r2) - 30834: e0bff617 ldw r2,-40(fp) - 30838: 1880042e bgeu r3,r2,3084c + 31150: e0bffc17 ldw r2,-16(fp) + 31154: 10c00217 ldw r3,8(r2) + 31158: e0bff617 ldw r2,-40(fp) + 3115c: 1880042e bgeu r3,r2,31170 { alarm->rollover = 1; - 3083c: e0bffc17 ldw r2,-16(fp) - 30840: 00c00044 movi r3,1 - 30844: 10c00405 stb r3,16(r2) - 30848: 00000206 br 30854 + 31160: e0bffc17 ldw r2,-16(fp) + 31164: 00c00044 movi r3,1 + 31168: 10c00405 stb r3,16(r2) + 3116c: 00000206 br 31178 } else { alarm->rollover = 0; - 3084c: e0bffc17 ldw r2,-16(fp) - 30850: 10000405 stb zero,16(r2) + 31170: e0bffc17 ldw r2,-16(fp) + 31174: 10000405 stb zero,16(r2) } alt_llist_insert (&alt_alarm_list, &alarm->llist); - 30854: e0fffc17 ldw r3,-16(fp) - 30858: 008000f4 movhi r2,3 - 3085c: 10915e04 addi r2,r2,17784 - 30860: e0bffa15 stw r2,-24(fp) - 30864: e0fffb15 stw r3,-20(fp) + 31178: e0fffc17 ldw r3,-16(fp) + 3117c: 008000f4 movhi r2,3 + 31180: 1093ab04 addi r2,r2,20140 + 31184: e0bffa15 stw r2,-24(fp) + 31188: e0fffb15 stw r3,-20(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 30868: e0bffb17 ldw r2,-20(fp) - 3086c: e0fffa17 ldw r3,-24(fp) - 30870: 10c00115 stw r3,4(r2) + 3118c: e0bffb17 ldw r2,-20(fp) + 31190: e0fffa17 ldw r3,-24(fp) + 31194: 10c00115 stw r3,4(r2) entry->next = list->next; - 30874: e0bffa17 ldw r2,-24(fp) - 30878: 10c00017 ldw r3,0(r2) - 3087c: e0bffb17 ldw r2,-20(fp) - 30880: 10c00015 stw r3,0(r2) + 31198: e0bffa17 ldw r2,-24(fp) + 3119c: 10c00017 ldw r3,0(r2) + 311a0: e0bffb17 ldw r2,-20(fp) + 311a4: 10c00015 stw r3,0(r2) list->next->previous = entry; - 30884: e0bffa17 ldw r2,-24(fp) - 30888: 10800017 ldw r2,0(r2) - 3088c: e0fffb17 ldw r3,-20(fp) - 30890: 10c00115 stw r3,4(r2) + 311a8: e0bffa17 ldw r2,-24(fp) + 311ac: 10800017 ldw r2,0(r2) + 311b0: e0fffb17 ldw r3,-20(fp) + 311b4: 10c00115 stw r3,4(r2) list->next = entry; - 30894: e0bffa17 ldw r2,-24(fp) - 30898: e0fffb17 ldw r3,-20(fp) - 3089c: 10c00015 stw r3,0(r2) - 308a0: e0bff817 ldw r2,-32(fp) - 308a4: e0bff715 stw r2,-36(fp) + 311b8: e0bffa17 ldw r2,-24(fp) + 311bc: e0fffb17 ldw r3,-20(fp) + 311c0: 10c00015 stw r3,0(r2) + 311c4: e0bff817 ldw r2,-32(fp) + 311c8: e0bff715 stw r2,-36(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 308a8: e0bff717 ldw r2,-36(fp) - 308ac: 1001703a wrctl status,r2 + 311cc: e0bff717 ldw r2,-36(fp) + 311d0: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); return 0; - 308b0: 0005883a mov r2,zero - 308b4: 00000306 br 308c4 + 311d4: 0005883a mov r2,zero + 311d8: 00000306 br 311e8 } else { return -EINVAL; - 308b8: 00bffa84 movi r2,-22 - 308bc: 00000106 br 308c4 + 311dc: 00bffa84 movi r2,-22 + 311e0: 00000106 br 311e8 } } else { return -ENOTSUP; - 308c0: 00bfde84 movi r2,-134 + 311e4: 00bfde84 movi r2,-134 } } - 308c4: e037883a mov sp,fp - 308c8: df000017 ldw fp,0(sp) - 308cc: dec00104 addi sp,sp,4 - 308d0: f800283a ret + 311e8: e037883a mov sp,fp + 311ec: df000017 ldw fp,0(sp) + 311f0: dec00104 addi sp,sp,4 + 311f4: f800283a ret -000308d4 : +000311f8 : #include "alt_types.h" #include "priv/alt_busy_sleep.h" unsigned int alt_busy_sleep (unsigned int us) { - 308d4: defffa04 addi sp,sp,-24 - 308d8: dfc00515 stw ra,20(sp) - 308dc: df000415 stw fp,16(sp) - 308e0: df000404 addi fp,sp,16 - 308e4: e13fff15 stw r4,-4(fp) + 311f8: defffa04 addi sp,sp,-24 + 311fc: dfc00515 stw ra,20(sp) + 31200: df000415 stw fp,16(sp) + 31204: df000404 addi fp,sp,16 + 31208: e13fff15 stw r4,-4(fp) { cycles_per_loop = 9; } else { cycles_per_loop = 3; - 308e8: 008000c4 movi r2,3 - 308ec: e0bffd15 stw r2,-12(fp) + 3120c: 008000c4 movi r2,3 + 31210: e0bffd15 stw r2,-12(fp) } big_loops = us / (INT_MAX/ (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); - 308f0: e0fffd17 ldw r3,-12(fp) - 308f4: 008003f4 movhi r2,15 - 308f8: 10909004 addi r2,r2,16960 - 308fc: 1885383a mul r2,r3,r2 - 30900: 100b883a mov r5,r2 - 30904: 0100bef4 movhi r4,763 - 30908: 213c2004 addi r4,r4,-3968 - 3090c: 00225dc0 call 225dc <__udivsi3> + 31214: e0fffd17 ldw r3,-12(fp) + 31218: 008003f4 movhi r2,15 + 3121c: 10909004 addi r2,r2,16960 + 31220: 1885383a mul r2,r3,r2 + 31224: 100b883a mov r5,r2 + 31228: 0100bef4 movhi r4,763 + 3122c: 213c2004 addi r4,r4,-3968 + 31230: 0022a200 call 22a20 <__udivsi3> { cycles_per_loop = 3; } big_loops = us / (INT_MAX/ - 30910: 100b883a mov r5,r2 - 30914: 01200034 movhi r4,32768 - 30918: 213fffc4 addi r4,r4,-1 - 3091c: 00225dc0 call 225dc <__udivsi3> - 30920: 100b883a mov r5,r2 - 30924: e13fff17 ldw r4,-4(fp) - 30928: 00225dc0 call 225dc <__udivsi3> - 3092c: e0bffe15 stw r2,-8(fp) + 31234: 100b883a mov r5,r2 + 31238: 01200034 movhi r4,32768 + 3123c: 213fffc4 addi r4,r4,-1 + 31240: 0022a200 call 22a20 <__udivsi3> + 31244: 100b883a mov r5,r2 + 31248: e13fff17 ldw r4,-4(fp) + 3124c: 0022a200 call 22a20 <__udivsi3> + 31250: e0bffe15 stw r2,-8(fp) (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); if (big_loops) - 30930: e0bffe17 ldw r2,-8(fp) - 30934: 10002a26 beq r2,zero,309e0 + 31254: e0bffe17 ldw r2,-8(fp) + 31258: 10002a26 beq r2,zero,31304 { for(i=0;i + 3125c: e03ffc15 stw zero,-16(fp) + 31260: 00001706 br 312c0 /* * Do NOT Try to single step the asm statement below * (single step will never return) * Step out of this function or set a breakpoint after the asm statements */ __asm__ volatile ( - 30940: 00a00034 movhi r2,32768 - 30944: 10bfffc4 addi r2,r2,-1 - 30948: 10bfffc4 addi r2,r2,-1 - 3094c: 103ffe1e bne r2,zero,30948 <_gp+0xffff4410> + 31264: 00a00034 movhi r2,32768 + 31268: 10bfffc4 addi r2,r2,-1 + 3126c: 10bfffc4 addi r2,r2,-1 + 31270: 103ffe1e bne r2,zero,3126c <_gp+0xffff4400> "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (INT_MAX)); us -= (INT_MAX/(ALT_CPU_FREQ/ (cycles_per_loop * 1000000))); - 30950: e0fffd17 ldw r3,-12(fp) - 30954: 008003f4 movhi r2,15 - 30958: 10909004 addi r2,r2,16960 - 3095c: 1885383a mul r2,r3,r2 + 31274: e0fffd17 ldw r3,-12(fp) + 31278: 008003f4 movhi r2,15 + 3127c: 10909004 addi r2,r2,16960 + 31280: 1885383a mul r2,r3,r2 "\n1:" "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (INT_MAX)); us -= (INT_MAX/(ALT_CPU_FREQ/ - 30960: 100b883a mov r5,r2 - 30964: 0100bef4 movhi r4,763 - 30968: 213c2004 addi r4,r4,-3968 - 3096c: 00225dc0 call 225dc <__udivsi3> - 30970: 100b883a mov r5,r2 - 30974: 01200034 movhi r4,32768 - 30978: 213fffc4 addi r4,r4,-1 - 3097c: 00225dc0 call 225dc <__udivsi3> - 30980: 1007883a mov r3,r2 - 30984: e0bfff17 ldw r2,-4(fp) - 30988: 10c5c83a sub r2,r2,r3 - 3098c: e0bfff15 stw r2,-4(fp) + 31284: 100b883a mov r5,r2 + 31288: 0100bef4 movhi r4,763 + 3128c: 213c2004 addi r4,r4,-3968 + 31290: 0022a200 call 22a20 <__udivsi3> + 31294: 100b883a mov r5,r2 + 31298: 01200034 movhi r4,32768 + 3129c: 213fffc4 addi r4,r4,-1 + 312a0: 0022a200 call 22a20 <__udivsi3> + 312a4: 1007883a mov r3,r2 + 312a8: e0bfff17 ldw r2,-4(fp) + 312ac: 10c5c83a sub r2,r2,r3 + 312b0: e0bfff15 stw r2,-4(fp) big_loops = us / (INT_MAX/ (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); if (big_loops) { for(i=0;i + 312b4: e0bffc17 ldw r2,-16(fp) + 312b8: 10800044 addi r2,r2,1 + 312bc: e0bffc15 stw r2,-16(fp) + 312c0: e0fffc17 ldw r3,-16(fp) + 312c4: e0bffe17 ldw r2,-8(fp) + 312c8: 18bfe616 blt r3,r2,31264 <_gp+0xffff43f8> "\n\tbne %0,zero,0b" "\n1:" "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (us*(ALT_CPU_FREQ/(cycles_per_loop * 1000000)))); - 309a8: e0fffd17 ldw r3,-12(fp) - 309ac: 008003f4 movhi r2,15 - 309b0: 10909004 addi r2,r2,16960 - 309b4: 1885383a mul r2,r3,r2 - 309b8: 100b883a mov r5,r2 - 309bc: 0100bef4 movhi r4,763 - 309c0: 213c2004 addi r4,r4,-3968 - 309c4: 00225dc0 call 225dc <__udivsi3> - 309c8: 1007883a mov r3,r2 - 309cc: e0bfff17 ldw r2,-4(fp) - 309d0: 1885383a mul r2,r3,r2 + 312cc: e0fffd17 ldw r3,-12(fp) + 312d0: 008003f4 movhi r2,15 + 312d4: 10909004 addi r2,r2,16960 + 312d8: 1885383a mul r2,r3,r2 + 312dc: 100b883a mov r5,r2 + 312e0: 0100bef4 movhi r4,763 + 312e4: 213c2004 addi r4,r4,-3968 + 312e8: 0022a200 call 22a20 <__udivsi3> + 312ec: 1007883a mov r3,r2 + 312f0: e0bfff17 ldw r2,-4(fp) + 312f4: 1885383a mul r2,r3,r2 /* * Do NOT Try to single step the asm statement below * (single step will never return) * Step out of this function or set a breakpoint after the asm statements */ __asm__ volatile ( - 309d4: 10bfffc4 addi r2,r2,-1 - 309d8: 103ffe1e bne r2,zero,309d4 <_gp+0xffff449c> - 309dc: 00000d06 br 30a14 + 312f8: 10bfffc4 addi r2,r2,-1 + 312fc: 103ffe1e bne r2,zero,312f8 <_gp+0xffff448c> + 31300: 00000d06 br 31338 "\n\tbgt %0,zero,0b" "\n1:" "\n\t.pushsection .debug_alt_sim_info" "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (us*(ALT_CPU_FREQ/(cycles_per_loop * 1000000)))); - 309e0: e0fffd17 ldw r3,-12(fp) - 309e4: 008003f4 movhi r2,15 - 309e8: 10909004 addi r2,r2,16960 - 309ec: 1885383a mul r2,r3,r2 - 309f0: 100b883a mov r5,r2 - 309f4: 0100bef4 movhi r4,763 - 309f8: 213c2004 addi r4,r4,-3968 - 309fc: 00225dc0 call 225dc <__udivsi3> - 30a00: 1007883a mov r3,r2 - 30a04: e0bfff17 ldw r2,-4(fp) - 30a08: 1885383a mul r2,r3,r2 + 31304: e0fffd17 ldw r3,-12(fp) + 31308: 008003f4 movhi r2,15 + 3130c: 10909004 addi r2,r2,16960 + 31310: 1885383a mul r2,r3,r2 + 31314: 100b883a mov r5,r2 + 31318: 0100bef4 movhi r4,763 + 3131c: 213c2004 addi r4,r4,-3968 + 31320: 0022a200 call 22a20 <__udivsi3> + 31324: 1007883a mov r3,r2 + 31328: e0bfff17 ldw r2,-4(fp) + 3132c: 1885383a mul r2,r3,r2 /* * Do NOT Try to single step the asm statement below * (single step will never return) * Step out of this function or set a breakpoint after the asm statements */ __asm__ volatile ( - 30a0c: 10bfffc4 addi r2,r2,-1 - 30a10: 00bffe16 blt zero,r2,30a0c <_gp+0xffff44d4> + 31330: 10bfffc4 addi r2,r2,-1 + 31334: 00bffe16 blt zero,r2,31330 <_gp+0xffff44c4> "\n\t.int 4, 0, 0b, 1b" "\n\t.popsection" :: "r" (us*(ALT_CPU_FREQ/(cycles_per_loop * 1000000)))); } #endif /* #ifndef ALT_SIM_OPTIMIZE */ return 0; - 30a14: 0005883a mov r2,zero + 31338: 0005883a mov r2,zero } - 30a18: e037883a mov sp,fp - 30a1c: dfc00117 ldw ra,4(sp) - 30a20: df000017 ldw fp,0(sp) - 30a24: dec00204 addi sp,sp,8 - 30a28: f800283a ret + 3133c: e037883a mov sp,fp + 31340: dfc00117 ldw ra,4(sp) + 31344: df000017 ldw fp,0(sp) + 31348: dec00204 addi sp,sp,8 + 3134c: f800283a ret -00030a2c : +00031350 : /* * alt_dcache_flush_all() is called to flush the entire data cache. */ void alt_dcache_flush_all (void) { - 30a2c: defffe04 addi sp,sp,-8 - 30a30: df000115 stw fp,4(sp) - 30a34: df000104 addi fp,sp,4 + 31350: defffe04 addi sp,sp,-8 + 31354: df000115 stw fp,4(sp) + 31358: df000104 addi fp,sp,4 #if NIOS2_DCACHE_SIZE > 0 char* i; for (i = (char*) 0; i < (char*) NIOS2_DCACHE_SIZE; i+= NIOS2_DCACHE_LINE_SIZE) - 30a38: e03fff15 stw zero,-4(fp) - 30a3c: 00000506 br 30a54 + 3135c: e03fff15 stw zero,-4(fp) + 31360: 00000506 br 31378 { __asm__ volatile ("flushd (%0)" :: "r" (i)); - 30a40: e0bfff17 ldw r2,-4(fp) - 30a44: 1000003b flushd 0(r2) + 31364: e0bfff17 ldw r2,-4(fp) + 31368: 1000003b flushd 0(r2) void alt_dcache_flush_all (void) { #if NIOS2_DCACHE_SIZE > 0 char* i; for (i = (char*) 0; i < (char*) NIOS2_DCACHE_SIZE; i+= NIOS2_DCACHE_LINE_SIZE) - 30a48: e0bfff17 ldw r2,-4(fp) - 30a4c: 10800804 addi r2,r2,32 - 30a50: e0bfff15 stw r2,-4(fp) - 30a54: e0bfff17 ldw r2,-4(fp) - 30a58: 10820030 cmpltui r2,r2,2048 - 30a5c: 103ff81e bne r2,zero,30a40 <_gp+0xffff4508> + 3136c: e0bfff17 ldw r2,-4(fp) + 31370: 10800804 addi r2,r2,32 + 31374: e0bfff15 stw r2,-4(fp) + 31378: e0bfff17 ldw r2,-4(fp) + 3137c: 10820030 cmpltui r2,r2,2048 + 31380: 103ff81e bne r2,zero,31364 <_gp+0xffff44f8> { __asm__ volatile ("flushd (%0)" :: "r" (i)); } #endif /* NIOS2_DCACHE_SIZE > 0 */ } - 30a60: 0001883a nop - 30a64: e037883a mov sp,fp - 30a68: df000017 ldw fp,0(sp) - 30a6c: dec00104 addi sp,sp,4 - 30a70: f800283a ret + 31384: 0001883a nop + 31388: e037883a mov sp,fp + 3138c: df000017 ldw fp,0(sp) + 31390: dec00104 addi sp,sp,4 + 31394: f800283a ret -00030a74 : +00031398 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 30a74: defffe04 addi sp,sp,-8 - 30a78: dfc00115 stw ra,4(sp) - 30a7c: df000015 stw fp,0(sp) - 30a80: d839883a mov fp,sp + 31398: defffe04 addi sp,sp,-8 + 3139c: dfc00115 stw ra,4(sp) + 313a0: df000015 stw fp,0(sp) + 313a4: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 30a84: 008000f4 movhi r2,3 - 30a88: 10915c04 addi r2,r2,17776 - 30a8c: 10800017 ldw r2,0(r2) - 30a90: 10000526 beq r2,zero,30aa8 - 30a94: 008000f4 movhi r2,3 - 30a98: 10915c04 addi r2,r2,17776 - 30a9c: 10800017 ldw r2,0(r2) - 30aa0: 103ee83a callr r2 - 30aa4: 00000206 br 30ab0 - 30aa8: 008000f4 movhi r2,3 - 30aac: 109a0c04 addi r2,r2,26672 + 313a8: 008000f4 movhi r2,3 + 313ac: 1093a904 addi r2,r2,20132 + 313b0: 10800017 ldw r2,0(r2) + 313b4: 10000526 beq r2,zero,313cc + 313b8: 008000f4 movhi r2,3 + 313bc: 1093a904 addi r2,r2,20132 + 313c0: 10800017 ldw r2,0(r2) + 313c4: 103ee83a callr r2 + 313c8: 00000206 br 313d4 + 313cc: 008000f4 movhi r2,3 + 313d0: 109c5904 addi r2,r2,29028 } - 30ab0: e037883a mov sp,fp - 30ab4: dfc00117 ldw ra,4(sp) - 30ab8: df000017 ldw fp,0(sp) - 30abc: dec00204 addi sp,sp,8 - 30ac0: f800283a ret + 313d4: e037883a mov sp,fp + 313d8: dfc00117 ldw ra,4(sp) + 313dc: df000017 ldw fp,0(sp) + 313e0: dec00204 addi sp,sp,8 + 313e4: f800283a ret -00030ac4 : +000313e8 : /* * */ int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) { - 30ac4: defffa04 addi sp,sp,-24 - 30ac8: dfc00515 stw ra,20(sp) - 30acc: df000415 stw fp,16(sp) - 30ad0: df000404 addi fp,sp,16 - 30ad4: e13ffe15 stw r4,-8(fp) - 30ad8: e17fff15 stw r5,-4(fp) + 313e8: defffa04 addi sp,sp,-24 + 313ec: dfc00515 stw ra,20(sp) + 313f0: df000415 stw fp,16(sp) + 313f4: df000404 addi fp,sp,16 + 313f8: e13ffe15 stw r4,-8(fp) + 313fc: e17fff15 stw r5,-4(fp) /* * check that the device exists, and that it has a valid name. */ if (!dev || !dev->name) - 30adc: e0bffe17 ldw r2,-8(fp) - 30ae0: 10000326 beq r2,zero,30af0 - 30ae4: e0bffe17 ldw r2,-8(fp) - 30ae8: 10800217 ldw r2,8(r2) - 30aec: 1000061e bne r2,zero,30b08 + 31400: e0bffe17 ldw r2,-8(fp) + 31404: 10000326 beq r2,zero,31414 + 31408: e0bffe17 ldw r2,-8(fp) + 3140c: 10800217 ldw r2,8(r2) + 31410: 1000061e bne r2,zero,3142c { ALT_ERRNO = EINVAL; - 30af0: 0030a740 call 30a74 - 30af4: 1007883a mov r3,r2 - 30af8: 00800584 movi r2,22 - 30afc: 18800015 stw r2,0(r3) + 31414: 00313980 call 31398 + 31418: 1007883a mov r3,r2 + 3141c: 00800584 movi r2,22 + 31420: 18800015 stw r2,0(r3) return -EINVAL; - 30b00: 00bffa84 movi r2,-22 - 30b04: 00001306 br 30b54 + 31424: 00bffa84 movi r2,-22 + 31428: 00001306 br 31478 /* * register the device. */ alt_llist_insert(list, &dev->llist); - 30b08: e0bffe17 ldw r2,-8(fp) - 30b0c: e0ffff17 ldw r3,-4(fp) - 30b10: e0fffc15 stw r3,-16(fp) - 30b14: e0bffd15 stw r2,-12(fp) + 3142c: e0bffe17 ldw r2,-8(fp) + 31430: e0ffff17 ldw r3,-4(fp) + 31434: e0fffc15 stw r3,-16(fp) + 31438: e0bffd15 stw r2,-12(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 30b18: e0bffd17 ldw r2,-12(fp) - 30b1c: e0fffc17 ldw r3,-16(fp) - 30b20: 10c00115 stw r3,4(r2) + 3143c: e0bffd17 ldw r2,-12(fp) + 31440: e0fffc17 ldw r3,-16(fp) + 31444: 10c00115 stw r3,4(r2) entry->next = list->next; - 30b24: e0bffc17 ldw r2,-16(fp) - 30b28: 10c00017 ldw r3,0(r2) - 30b2c: e0bffd17 ldw r2,-12(fp) - 30b30: 10c00015 stw r3,0(r2) + 31448: e0bffc17 ldw r2,-16(fp) + 3144c: 10c00017 ldw r3,0(r2) + 31450: e0bffd17 ldw r2,-12(fp) + 31454: 10c00015 stw r3,0(r2) list->next->previous = entry; - 30b34: e0bffc17 ldw r2,-16(fp) - 30b38: 10800017 ldw r2,0(r2) - 30b3c: e0fffd17 ldw r3,-12(fp) - 30b40: 10c00115 stw r3,4(r2) + 31458: e0bffc17 ldw r2,-16(fp) + 3145c: 10800017 ldw r2,0(r2) + 31460: e0fffd17 ldw r3,-12(fp) + 31464: 10c00115 stw r3,4(r2) list->next = entry; - 30b44: e0bffc17 ldw r2,-16(fp) - 30b48: e0fffd17 ldw r3,-12(fp) - 30b4c: 10c00015 stw r3,0(r2) + 31468: e0bffc17 ldw r2,-16(fp) + 3146c: e0fffd17 ldw r3,-12(fp) + 31470: 10c00015 stw r3,0(r2) return 0; - 30b50: 0005883a mov r2,zero + 31474: 0005883a mov r2,zero } - 30b54: e037883a mov sp,fp - 30b58: dfc00117 ldw ra,4(sp) - 30b5c: df000017 ldw fp,0(sp) - 30b60: dec00204 addi sp,sp,8 - 30b64: f800283a ret + 31478: e037883a mov sp,fp + 3147c: dfc00117 ldw ra,4(sp) + 31480: df000017 ldw fp,0(sp) + 31484: dec00204 addi sp,sp,8 + 31488: f800283a ret -00030b68 <_do_ctors>: +0003148c <_do_ctors>: /* * Run the C++ static constructors. */ void _do_ctors(void) { - 30b68: defffd04 addi sp,sp,-12 - 30b6c: dfc00215 stw ra,8(sp) - 30b70: df000115 stw fp,4(sp) - 30b74: df000104 addi fp,sp,4 + 3148c: defffd04 addi sp,sp,-12 + 31490: dfc00215 stw ra,8(sp) + 31494: df000115 stw fp,4(sp) + 31498: df000104 addi fp,sp,4 constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 30b78: 008000f4 movhi r2,3 - 30b7c: 10868604 addi r2,r2,6680 - 30b80: e0bfff15 stw r2,-4(fp) - 30b84: 00000606 br 30ba0 <_do_ctors+0x38> + 3149c: 008000f4 movhi r2,3 + 314a0: 1088cf04 addi r2,r2,9020 + 314a4: e0bfff15 stw r2,-4(fp) + 314a8: 00000606 br 314c4 <_do_ctors+0x38> (*ctor) (); - 30b88: e0bfff17 ldw r2,-4(fp) - 30b8c: 10800017 ldw r2,0(r2) - 30b90: 103ee83a callr r2 + 314ac: e0bfff17 ldw r2,-4(fp) + 314b0: 10800017 ldw r2,0(r2) + 314b4: 103ee83a callr r2 void _do_ctors(void) { constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 30b94: e0bfff17 ldw r2,-4(fp) - 30b98: 10bfff04 addi r2,r2,-4 - 30b9c: e0bfff15 stw r2,-4(fp) - 30ba0: e0ffff17 ldw r3,-4(fp) - 30ba4: 008000f4 movhi r2,3 - 30ba8: 10868704 addi r2,r2,6684 - 30bac: 18bff62e bgeu r3,r2,30b88 <_gp+0xffff4650> + 314b8: e0bfff17 ldw r2,-4(fp) + 314bc: 10bfff04 addi r2,r2,-4 + 314c0: e0bfff15 stw r2,-4(fp) + 314c4: e0ffff17 ldw r3,-4(fp) + 314c8: 008000f4 movhi r2,3 + 314cc: 1088d004 addi r2,r2,9024 + 314d0: 18bff62e bgeu r3,r2,314ac <_gp+0xffff4640> (*ctor) (); } - 30bb0: 0001883a nop - 30bb4: e037883a mov sp,fp - 30bb8: dfc00117 ldw ra,4(sp) - 30bbc: df000017 ldw fp,0(sp) - 30bc0: dec00204 addi sp,sp,8 - 30bc4: f800283a ret + 314d4: 0001883a nop + 314d8: e037883a mov sp,fp + 314dc: dfc00117 ldw ra,4(sp) + 314e0: df000017 ldw fp,0(sp) + 314e4: dec00204 addi sp,sp,8 + 314e8: f800283a ret -00030bc8 <_do_dtors>: +000314ec <_do_dtors>: /* * Run the C++ static destructors. */ void _do_dtors(void) { - 30bc8: defffd04 addi sp,sp,-12 - 30bcc: dfc00215 stw ra,8(sp) - 30bd0: df000115 stw fp,4(sp) - 30bd4: df000104 addi fp,sp,4 + 314ec: defffd04 addi sp,sp,-12 + 314f0: dfc00215 stw ra,8(sp) + 314f4: df000115 stw fp,4(sp) + 314f8: df000104 addi fp,sp,4 destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 30bd8: 008000f4 movhi r2,3 - 30bdc: 10868604 addi r2,r2,6680 - 30be0: e0bfff15 stw r2,-4(fp) - 30be4: 00000606 br 30c00 <_do_dtors+0x38> + 314fc: 008000f4 movhi r2,3 + 31500: 1088cf04 addi r2,r2,9020 + 31504: e0bfff15 stw r2,-4(fp) + 31508: 00000606 br 31524 <_do_dtors+0x38> (*dtor) (); - 30be8: e0bfff17 ldw r2,-4(fp) - 30bec: 10800017 ldw r2,0(r2) - 30bf0: 103ee83a callr r2 + 3150c: e0bfff17 ldw r2,-4(fp) + 31510: 10800017 ldw r2,0(r2) + 31514: 103ee83a callr r2 void _do_dtors(void) { destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 30bf4: e0bfff17 ldw r2,-4(fp) - 30bf8: 10bfff04 addi r2,r2,-4 - 30bfc: e0bfff15 stw r2,-4(fp) - 30c00: e0ffff17 ldw r3,-4(fp) - 30c04: 008000f4 movhi r2,3 - 30c08: 10868704 addi r2,r2,6684 - 30c0c: 18bff62e bgeu r3,r2,30be8 <_gp+0xffff46b0> + 31518: e0bfff17 ldw r2,-4(fp) + 3151c: 10bfff04 addi r2,r2,-4 + 31520: e0bfff15 stw r2,-4(fp) + 31524: e0ffff17 ldw r3,-4(fp) + 31528: 008000f4 movhi r2,3 + 3152c: 1088d004 addi r2,r2,9024 + 31530: 18bff62e bgeu r3,r2,3150c <_gp+0xffff46a0> (*dtor) (); } - 30c10: 0001883a nop - 30c14: e037883a mov sp,fp - 30c18: dfc00117 ldw ra,4(sp) - 30c1c: df000017 ldw fp,0(sp) - 30c20: dec00204 addi sp,sp,8 - 30c24: f800283a ret + 31534: 0001883a nop + 31538: e037883a mov sp,fp + 3153c: dfc00117 ldw ra,4(sp) + 31540: df000017 ldw fp,0(sp) + 31544: dec00204 addi sp,sp,8 + 31548: f800283a ret -00030c28 : +0003154c : /* * alt_icache_flush_all() is called to flush the entire instruction cache. */ void alt_icache_flush_all (void) { - 30c28: defffe04 addi sp,sp,-8 - 30c2c: dfc00115 stw ra,4(sp) - 30c30: df000015 stw fp,0(sp) - 30c34: d839883a mov fp,sp + 3154c: defffe04 addi sp,sp,-8 + 31550: dfc00115 stw ra,4(sp) + 31554: df000015 stw fp,0(sp) + 31558: d839883a mov fp,sp #if NIOS2_ICACHE_SIZE > 0 alt_icache_flush (0, NIOS2_ICACHE_SIZE); - 30c38: 01440004 movi r5,4096 - 30c3c: 0009883a mov r4,zero - 30c40: 003155c0 call 3155c + 3155c: 01440004 movi r5,4096 + 31560: 0009883a mov r4,zero + 31564: 0031e800 call 31e80 #endif } - 30c44: 0001883a nop - 30c48: e037883a mov sp,fp - 30c4c: dfc00117 ldw ra,4(sp) - 30c50: df000017 ldw fp,0(sp) - 30c54: dec00204 addi sp,sp,8 - 30c58: f800283a ret + 31568: 0001883a nop + 3156c: e037883a mov sp,fp + 31570: dfc00117 ldw ra,4(sp) + 31574: df000017 ldw fp,0(sp) + 31578: dec00204 addi sp,sp,8 + 3157c: f800283a ret -00030c5c : +00031580 : * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 30c5c: defff904 addi sp,sp,-28 - 30c60: dfc00615 stw ra,24(sp) - 30c64: df000515 stw fp,20(sp) - 30c68: df000504 addi fp,sp,20 - 30c6c: e13ffc15 stw r4,-16(fp) - 30c70: e17ffd15 stw r5,-12(fp) - 30c74: e1bffe15 stw r6,-8(fp) - 30c78: e1ffff15 stw r7,-4(fp) + 31580: defff904 addi sp,sp,-28 + 31584: dfc00615 stw ra,24(sp) + 31588: df000515 stw fp,20(sp) + 3158c: df000504 addi fp,sp,20 + 31590: e13ffc15 stw r4,-16(fp) + 31594: e17ffd15 stw r5,-12(fp) + 31598: e1bffe15 stw r6,-8(fp) + 3159c: e1ffff15 stw r7,-4(fp) return alt_iic_isr_register(ic_id, irq, isr, isr_context, flags); - 30c7c: e0800217 ldw r2,8(fp) - 30c80: d8800015 stw r2,0(sp) - 30c84: e1ffff17 ldw r7,-4(fp) - 30c88: e1bffe17 ldw r6,-8(fp) - 30c8c: e17ffd17 ldw r5,-12(fp) - 30c90: e13ffc17 ldw r4,-16(fp) - 30c94: 0030e3c0 call 30e3c + 315a0: e0800217 ldw r2,8(fp) + 315a4: d8800015 stw r2,0(sp) + 315a8: e1ffff17 ldw r7,-4(fp) + 315ac: e1bffe17 ldw r6,-8(fp) + 315b0: e17ffd17 ldw r5,-12(fp) + 315b4: e13ffc17 ldw r4,-16(fp) + 315b8: 00317600 call 31760 } - 30c98: e037883a mov sp,fp - 30c9c: dfc00117 ldw ra,4(sp) - 30ca0: df000017 ldw fp,0(sp) - 30ca4: dec00204 addi sp,sp,8 - 30ca8: f800283a ret + 315bc: e037883a mov sp,fp + 315c0: dfc00117 ldw ra,4(sp) + 315c4: df000017 ldw fp,0(sp) + 315c8: dec00204 addi sp,sp,8 + 315cc: f800283a ret -00030cac : +000315d0 : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq) { - 30cac: defff904 addi sp,sp,-28 - 30cb0: df000615 stw fp,24(sp) - 30cb4: df000604 addi fp,sp,24 - 30cb8: e13ffe15 stw r4,-8(fp) - 30cbc: e17fff15 stw r5,-4(fp) - 30cc0: e0bfff17 ldw r2,-4(fp) - 30cc4: e0bffa15 stw r2,-24(fp) + 315d0: defff904 addi sp,sp,-28 + 315d4: df000615 stw fp,24(sp) + 315d8: df000604 addi fp,sp,24 + 315dc: e13ffe15 stw r4,-8(fp) + 315e0: e17fff15 stw r5,-4(fp) + 315e4: e0bfff17 ldw r2,-4(fp) + 315e8: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 30cc8: 0005303a rdctl r2,status - 30ccc: e0bffb15 stw r2,-20(fp) + 315ec: 0005303a rdctl r2,status + 315f0: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30cd0: e0fffb17 ldw r3,-20(fp) - 30cd4: 00bfff84 movi r2,-2 - 30cd8: 1884703a and r2,r3,r2 - 30cdc: 1001703a wrctl status,r2 + 315f4: e0fffb17 ldw r3,-20(fp) + 315f8: 00bfff84 movi r2,-2 + 315fc: 1884703a and r2,r3,r2 + 31600: 1001703a wrctl status,r2 return context; - 30ce0: e0bffb17 ldw r2,-20(fp) + 31604: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 30ce4: e0bffc15 stw r2,-16(fp) + 31608: e0bffc15 stw r2,-16(fp) alt_irq_active |= (1 << id); - 30ce8: 00c00044 movi r3,1 - 30cec: e0bffa17 ldw r2,-24(fp) - 30cf0: 1884983a sll r2,r3,r2 - 30cf4: 1007883a mov r3,r2 - 30cf8: 008000f4 movhi r2,3 - 30cfc: 109a1504 addi r2,r2,26708 - 30d00: 10800017 ldw r2,0(r2) - 30d04: 1886b03a or r3,r3,r2 - 30d08: 008000f4 movhi r2,3 - 30d0c: 109a1504 addi r2,r2,26708 - 30d10: 10c00015 stw r3,0(r2) + 3160c: 00c00044 movi r3,1 + 31610: e0bffa17 ldw r2,-24(fp) + 31614: 1884983a sll r2,r3,r2 + 31618: 1007883a mov r3,r2 + 3161c: 008000f4 movhi r2,3 + 31620: 109c6204 addi r2,r2,29064 + 31624: 10800017 ldw r2,0(r2) + 31628: 1886b03a or r3,r3,r2 + 3162c: 008000f4 movhi r2,3 + 31630: 109c6204 addi r2,r2,29064 + 31634: 10c00015 stw r3,0(r2) NIOS2_WRITE_IENABLE (alt_irq_active); - 30d14: 008000f4 movhi r2,3 - 30d18: 109a1504 addi r2,r2,26708 - 30d1c: 10800017 ldw r2,0(r2) - 30d20: 100170fa wrctl ienable,r2 - 30d24: e0bffc17 ldw r2,-16(fp) - 30d28: e0bffd15 stw r2,-12(fp) + 31638: 008000f4 movhi r2,3 + 3163c: 109c6204 addi r2,r2,29064 + 31640: 10800017 ldw r2,0(r2) + 31644: 100170fa wrctl ienable,r2 + 31648: e0bffc17 ldw r2,-16(fp) + 3164c: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 30d2c: e0bffd17 ldw r2,-12(fp) - 30d30: 1001703a wrctl status,r2 + 31650: e0bffd17 ldw r2,-12(fp) + 31654: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 30d34: 0005883a mov r2,zero + 31658: 0005883a mov r2,zero return alt_irq_enable(irq); - 30d38: 0001883a nop + 3165c: 0001883a nop } - 30d3c: e037883a mov sp,fp - 30d40: df000017 ldw fp,0(sp) - 30d44: dec00104 addi sp,sp,4 - 30d48: f800283a ret + 31660: e037883a mov sp,fp + 31664: df000017 ldw fp,0(sp) + 31668: dec00104 addi sp,sp,4 + 3166c: f800283a ret -00030d4c : +00031670 : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq) { - 30d4c: defff904 addi sp,sp,-28 - 30d50: df000615 stw fp,24(sp) - 30d54: df000604 addi fp,sp,24 - 30d58: e13ffe15 stw r4,-8(fp) - 30d5c: e17fff15 stw r5,-4(fp) - 30d60: e0bfff17 ldw r2,-4(fp) - 30d64: e0bffa15 stw r2,-24(fp) + 31670: defff904 addi sp,sp,-28 + 31674: df000615 stw fp,24(sp) + 31678: df000604 addi fp,sp,24 + 3167c: e13ffe15 stw r4,-8(fp) + 31680: e17fff15 stw r5,-4(fp) + 31684: e0bfff17 ldw r2,-4(fp) + 31688: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 30d68: 0005303a rdctl r2,status - 30d6c: e0bffb15 stw r2,-20(fp) + 3168c: 0005303a rdctl r2,status + 31690: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30d70: e0fffb17 ldw r3,-20(fp) - 30d74: 00bfff84 movi r2,-2 - 30d78: 1884703a and r2,r3,r2 - 30d7c: 1001703a wrctl status,r2 + 31694: e0fffb17 ldw r3,-20(fp) + 31698: 00bfff84 movi r2,-2 + 3169c: 1884703a and r2,r3,r2 + 316a0: 1001703a wrctl status,r2 return context; - 30d80: e0bffb17 ldw r2,-20(fp) + 316a4: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_disable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 30d84: e0bffc15 stw r2,-16(fp) + 316a8: e0bffc15 stw r2,-16(fp) alt_irq_active &= ~(1 << id); - 30d88: 00c00044 movi r3,1 - 30d8c: e0bffa17 ldw r2,-24(fp) - 30d90: 1884983a sll r2,r3,r2 - 30d94: 0084303a nor r2,zero,r2 - 30d98: 1007883a mov r3,r2 - 30d9c: 008000f4 movhi r2,3 - 30da0: 109a1504 addi r2,r2,26708 - 30da4: 10800017 ldw r2,0(r2) - 30da8: 1886703a and r3,r3,r2 - 30dac: 008000f4 movhi r2,3 - 30db0: 109a1504 addi r2,r2,26708 - 30db4: 10c00015 stw r3,0(r2) + 316ac: 00c00044 movi r3,1 + 316b0: e0bffa17 ldw r2,-24(fp) + 316b4: 1884983a sll r2,r3,r2 + 316b8: 0084303a nor r2,zero,r2 + 316bc: 1007883a mov r3,r2 + 316c0: 008000f4 movhi r2,3 + 316c4: 109c6204 addi r2,r2,29064 + 316c8: 10800017 ldw r2,0(r2) + 316cc: 1886703a and r3,r3,r2 + 316d0: 008000f4 movhi r2,3 + 316d4: 109c6204 addi r2,r2,29064 + 316d8: 10c00015 stw r3,0(r2) NIOS2_WRITE_IENABLE (alt_irq_active); - 30db8: 008000f4 movhi r2,3 - 30dbc: 109a1504 addi r2,r2,26708 - 30dc0: 10800017 ldw r2,0(r2) - 30dc4: 100170fa wrctl ienable,r2 - 30dc8: e0bffc17 ldw r2,-16(fp) - 30dcc: e0bffd15 stw r2,-12(fp) + 316dc: 008000f4 movhi r2,3 + 316e0: 109c6204 addi r2,r2,29064 + 316e4: 10800017 ldw r2,0(r2) + 316e8: 100170fa wrctl ienable,r2 + 316ec: e0bffc17 ldw r2,-16(fp) + 316f0: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 30dd0: e0bffd17 ldw r2,-12(fp) - 30dd4: 1001703a wrctl status,r2 + 316f4: e0bffd17 ldw r2,-12(fp) + 316f8: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 30dd8: 0005883a mov r2,zero + 316fc: 0005883a mov r2,zero return alt_irq_disable(irq); - 30ddc: 0001883a nop + 31700: 0001883a nop } - 30de0: e037883a mov sp,fp - 30de4: df000017 ldw fp,0(sp) - 30de8: dec00104 addi sp,sp,4 - 30dec: f800283a ret + 31704: e037883a mov sp,fp + 31708: df000017 ldw fp,0(sp) + 3170c: dec00104 addi sp,sp,4 + 31710: f800283a ret -00030df0 : +00031714 : * @param irq IRQ number * @return Zero if corresponding interrupt is disabled and * non-zero otherwise. */ alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq) { - 30df0: defffc04 addi sp,sp,-16 - 30df4: df000315 stw fp,12(sp) - 30df8: df000304 addi fp,sp,12 - 30dfc: e13ffe15 stw r4,-8(fp) - 30e00: e17fff15 stw r5,-4(fp) + 31714: defffc04 addi sp,sp,-16 + 31718: df000315 stw fp,12(sp) + 3171c: df000304 addi fp,sp,12 + 31720: e13ffe15 stw r4,-8(fp) + 31724: e17fff15 stw r5,-4(fp) alt_u32 irq_enabled; NIOS2_READ_IENABLE(irq_enabled); - 30e04: 000530fa rdctl r2,ienable - 30e08: e0bffd15 stw r2,-12(fp) + 31728: 000530fa rdctl r2,ienable + 3172c: e0bffd15 stw r2,-12(fp) return (irq_enabled & (1 << irq)) ? 1: 0; - 30e0c: 00c00044 movi r3,1 - 30e10: e0bfff17 ldw r2,-4(fp) - 30e14: 1884983a sll r2,r3,r2 - 30e18: 1007883a mov r3,r2 - 30e1c: e0bffd17 ldw r2,-12(fp) - 30e20: 1884703a and r2,r3,r2 - 30e24: 1004c03a cmpne r2,r2,zero - 30e28: 10803fcc andi r2,r2,255 + 31730: 00c00044 movi r3,1 + 31734: e0bfff17 ldw r2,-4(fp) + 31738: 1884983a sll r2,r3,r2 + 3173c: 1007883a mov r3,r2 + 31740: e0bffd17 ldw r2,-12(fp) + 31744: 1884703a and r2,r3,r2 + 31748: 1004c03a cmpne r2,r2,zero + 3174c: 10803fcc andi r2,r2,255 } - 30e2c: e037883a mov sp,fp - 30e30: df000017 ldw fp,0(sp) - 30e34: dec00104 addi sp,sp,4 - 30e38: f800283a ret + 31750: e037883a mov sp,fp + 31754: df000017 ldw fp,0(sp) + 31758: dec00104 addi sp,sp,4 + 3175c: f800283a ret -00030e3c : +00031760 : * @param flags * @return 0 if successful, else error (-1) */ int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 30e3c: defff504 addi sp,sp,-44 - 30e40: dfc00a15 stw ra,40(sp) - 30e44: df000915 stw fp,36(sp) - 30e48: df000904 addi fp,sp,36 - 30e4c: e13ffc15 stw r4,-16(fp) - 30e50: e17ffd15 stw r5,-12(fp) - 30e54: e1bffe15 stw r6,-8(fp) - 30e58: e1ffff15 stw r7,-4(fp) + 31760: defff504 addi sp,sp,-44 + 31764: dfc00a15 stw ra,40(sp) + 31768: df000915 stw fp,36(sp) + 3176c: df000904 addi fp,sp,36 + 31770: e13ffc15 stw r4,-16(fp) + 31774: e17ffd15 stw r5,-12(fp) + 31778: e1bffe15 stw r6,-8(fp) + 3177c: e1ffff15 stw r7,-4(fp) int rc = -EINVAL; - 30e5c: 00bffa84 movi r2,-22 - 30e60: e0bff715 stw r2,-36(fp) + 31780: 00bffa84 movi r2,-22 + 31784: e0bff715 stw r2,-36(fp) int id = irq; /* IRQ interpreted as the interrupt ID. */ - 30e64: e0bffd17 ldw r2,-12(fp) - 30e68: e0bff815 stw r2,-32(fp) + 31788: e0bffd17 ldw r2,-12(fp) + 3178c: e0bff815 stw r2,-32(fp) alt_irq_context status; if (id < ALT_NIRQ) - 30e6c: e0bff817 ldw r2,-32(fp) - 30e70: 10800808 cmpgei r2,r2,32 - 30e74: 1000271e bne r2,zero,30f14 + 31790: e0bff817 ldw r2,-32(fp) + 31794: 10800808 cmpgei r2,r2,32 + 31798: 1000271e bne r2,zero,31838 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 30e78: 0005303a rdctl r2,status - 30e7c: e0bffb15 stw r2,-20(fp) + 3179c: 0005303a rdctl r2,status + 317a0: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 30e80: e0fffb17 ldw r3,-20(fp) - 30e84: 00bfff84 movi r2,-2 - 30e88: 1884703a and r2,r3,r2 - 30e8c: 1001703a wrctl status,r2 + 317a4: e0fffb17 ldw r3,-20(fp) + 317a8: 00bfff84 movi r2,-2 + 317ac: 1884703a and r2,r3,r2 + 317b0: 1001703a wrctl status,r2 return context; - 30e90: e0bffb17 ldw r2,-20(fp) + 317b4: e0bffb17 ldw r2,-20(fp) * interrupts are disabled while the handler tables are updated to ensure * that an interrupt doesn't occur while the tables are in an inconsistant * state. */ status = alt_irq_disable_all(); - 30e94: e0bffa15 stw r2,-24(fp) + 317b8: e0bffa15 stw r2,-24(fp) alt_irq[id].handler = isr; - 30e98: 008000f4 movhi r2,3 - 30e9c: 109a2104 addi r2,r2,26756 - 30ea0: e0fff817 ldw r3,-32(fp) - 30ea4: 180690fa slli r3,r3,3 - 30ea8: 10c5883a add r2,r2,r3 - 30eac: e0fffe17 ldw r3,-8(fp) - 30eb0: 10c00015 stw r3,0(r2) + 317bc: 008000f4 movhi r2,3 + 317c0: 109c6e04 addi r2,r2,29112 + 317c4: e0fff817 ldw r3,-32(fp) + 317c8: 180690fa slli r3,r3,3 + 317cc: 10c5883a add r2,r2,r3 + 317d0: e0fffe17 ldw r3,-8(fp) + 317d4: 10c00015 stw r3,0(r2) alt_irq[id].context = isr_context; - 30eb4: 008000f4 movhi r2,3 - 30eb8: 109a2104 addi r2,r2,26756 - 30ebc: e0fff817 ldw r3,-32(fp) - 30ec0: 180690fa slli r3,r3,3 - 30ec4: 10c5883a add r2,r2,r3 - 30ec8: 10800104 addi r2,r2,4 - 30ecc: e0ffff17 ldw r3,-4(fp) - 30ed0: 10c00015 stw r3,0(r2) + 317d8: 008000f4 movhi r2,3 + 317dc: 109c6e04 addi r2,r2,29112 + 317e0: e0fff817 ldw r3,-32(fp) + 317e4: 180690fa slli r3,r3,3 + 317e8: 10c5883a add r2,r2,r3 + 317ec: 10800104 addi r2,r2,4 + 317f0: e0ffff17 ldw r3,-4(fp) + 317f4: 10c00015 stw r3,0(r2) rc = (isr) ? alt_ic_irq_enable(ic_id, id) : alt_ic_irq_disable(ic_id, id); - 30ed4: e0bffe17 ldw r2,-8(fp) - 30ed8: 10000526 beq r2,zero,30ef0 - 30edc: e0bff817 ldw r2,-32(fp) - 30ee0: 100b883a mov r5,r2 - 30ee4: e13ffc17 ldw r4,-16(fp) - 30ee8: 0030cac0 call 30cac - 30eec: 00000406 br 30f00 - 30ef0: e0bff817 ldw r2,-32(fp) - 30ef4: 100b883a mov r5,r2 - 30ef8: e13ffc17 ldw r4,-16(fp) - 30efc: 0030d4c0 call 30d4c - 30f00: e0bff715 stw r2,-36(fp) - 30f04: e0bffa17 ldw r2,-24(fp) - 30f08: e0bff915 stw r2,-28(fp) + 317f8: e0bffe17 ldw r2,-8(fp) + 317fc: 10000526 beq r2,zero,31814 + 31800: e0bff817 ldw r2,-32(fp) + 31804: 100b883a mov r5,r2 + 31808: e13ffc17 ldw r4,-16(fp) + 3180c: 00315d00 call 315d0 + 31810: 00000406 br 31824 + 31814: e0bff817 ldw r2,-32(fp) + 31818: 100b883a mov r5,r2 + 3181c: e13ffc17 ldw r4,-16(fp) + 31820: 00316700 call 31670 + 31824: e0bff715 stw r2,-36(fp) + 31828: e0bffa17 ldw r2,-24(fp) + 3182c: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 30f0c: e0bff917 ldw r2,-28(fp) - 30f10: 1001703a wrctl status,r2 + 31830: e0bff917 ldw r2,-28(fp) + 31834: 1001703a wrctl status,r2 alt_irq_enable_all(status); } return rc; - 30f14: e0bff717 ldw r2,-36(fp) + 31838: e0bff717 ldw r2,-36(fp) } - 30f18: e037883a mov sp,fp - 30f1c: dfc00117 ldw ra,4(sp) - 30f20: df000017 ldw fp,0(sp) - 30f24: dec00204 addi sp,sp,8 - 30f28: f800283a ret + 3183c: e037883a mov sp,fp + 31840: dfc00117 ldw ra,4(sp) + 31844: df000017 ldw fp,0(sp) + 31848: dec00204 addi sp,sp,8 + 3184c: f800283a ret -00030f2c : +00031850 : * If the device can not be succesfully opened, then the input file descriptor * remains unchanged. */ static void alt_open_fd(alt_fd* fd, const char* name, int flags, int mode) { - 30f2c: defff904 addi sp,sp,-28 - 30f30: dfc00615 stw ra,24(sp) - 30f34: df000515 stw fp,20(sp) - 30f38: df000504 addi fp,sp,20 - 30f3c: e13ffc15 stw r4,-16(fp) - 30f40: e17ffd15 stw r5,-12(fp) - 30f44: e1bffe15 stw r6,-8(fp) - 30f48: e1ffff15 stw r7,-4(fp) + 31850: defff904 addi sp,sp,-28 + 31854: dfc00615 stw ra,24(sp) + 31858: df000515 stw fp,20(sp) + 3185c: df000504 addi fp,sp,20 + 31860: e13ffc15 stw r4,-16(fp) + 31864: e17ffd15 stw r5,-12(fp) + 31868: e1bffe15 stw r6,-8(fp) + 3186c: e1ffff15 stw r7,-4(fp) int old; old = open (name, flags, mode); - 30f4c: e1bfff17 ldw r6,-4(fp) - 30f50: e17ffe17 ldw r5,-8(fp) - 30f54: e13ffd17 ldw r4,-12(fp) - 30f58: 00311880 call 31188 - 30f5c: e0bffb15 stw r2,-20(fp) + 31870: e1bfff17 ldw r6,-4(fp) + 31874: e17ffe17 ldw r5,-8(fp) + 31878: e13ffd17 ldw r4,-12(fp) + 3187c: 0031aac0 call 31aac + 31880: e0bffb15 stw r2,-20(fp) if (old >= 0) - 30f60: e0bffb17 ldw r2,-20(fp) - 30f64: 10001c16 blt r2,zero,30fd8 + 31884: e0bffb17 ldw r2,-20(fp) + 31888: 10001c16 blt r2,zero,318fc { fd->dev = alt_fd_list[old].dev; - 30f68: 008000f4 movhi r2,3 - 30f6c: 108cd604 addi r2,r2,13144 - 30f70: e0fffb17 ldw r3,-20(fp) - 30f74: 18c00324 muli r3,r3,12 - 30f78: 10c5883a add r2,r2,r3 - 30f7c: 10c00017 ldw r3,0(r2) - 30f80: e0bffc17 ldw r2,-16(fp) - 30f84: 10c00015 stw r3,0(r2) + 3188c: 008000f4 movhi r2,3 + 31890: 108f2304 addi r2,r2,15500 + 31894: e0fffb17 ldw r3,-20(fp) + 31898: 18c00324 muli r3,r3,12 + 3189c: 10c5883a add r2,r2,r3 + 318a0: 10c00017 ldw r3,0(r2) + 318a4: e0bffc17 ldw r2,-16(fp) + 318a8: 10c00015 stw r3,0(r2) fd->priv = alt_fd_list[old].priv; - 30f88: 008000f4 movhi r2,3 - 30f8c: 108cd604 addi r2,r2,13144 - 30f90: e0fffb17 ldw r3,-20(fp) - 30f94: 18c00324 muli r3,r3,12 - 30f98: 10c5883a add r2,r2,r3 - 30f9c: 10800104 addi r2,r2,4 - 30fa0: 10c00017 ldw r3,0(r2) - 30fa4: e0bffc17 ldw r2,-16(fp) - 30fa8: 10c00115 stw r3,4(r2) + 318ac: 008000f4 movhi r2,3 + 318b0: 108f2304 addi r2,r2,15500 + 318b4: e0fffb17 ldw r3,-20(fp) + 318b8: 18c00324 muli r3,r3,12 + 318bc: 10c5883a add r2,r2,r3 + 318c0: 10800104 addi r2,r2,4 + 318c4: 10c00017 ldw r3,0(r2) + 318c8: e0bffc17 ldw r2,-16(fp) + 318cc: 10c00115 stw r3,4(r2) fd->fd_flags = alt_fd_list[old].fd_flags; - 30fac: 008000f4 movhi r2,3 - 30fb0: 108cd604 addi r2,r2,13144 - 30fb4: e0fffb17 ldw r3,-20(fp) - 30fb8: 18c00324 muli r3,r3,12 - 30fbc: 10c5883a add r2,r2,r3 - 30fc0: 10800204 addi r2,r2,8 - 30fc4: 10c00017 ldw r3,0(r2) - 30fc8: e0bffc17 ldw r2,-16(fp) - 30fcc: 10c00215 stw r3,8(r2) + 318d0: 008000f4 movhi r2,3 + 318d4: 108f2304 addi r2,r2,15500 + 318d8: e0fffb17 ldw r3,-20(fp) + 318dc: 18c00324 muli r3,r3,12 + 318e0: 10c5883a add r2,r2,r3 + 318e4: 10800204 addi r2,r2,8 + 318e8: 10c00017 ldw r3,0(r2) + 318ec: e0bffc17 ldw r2,-16(fp) + 318f0: 10c00215 stw r3,8(r2) alt_release_fd (old); - 30fd0: e13ffb17 ldw r4,-20(fp) - 30fd4: 002f7140 call 2f714 + 318f4: e13ffb17 ldw r4,-20(fp) + 318f8: 00300380 call 30038 } } - 30fd8: 0001883a nop - 30fdc: e037883a mov sp,fp - 30fe0: dfc00117 ldw ra,4(sp) - 30fe4: df000017 ldw fp,0(sp) - 30fe8: dec00204 addi sp,sp,8 - 30fec: f800283a ret + 318fc: 0001883a nop + 31900: e037883a mov sp,fp + 31904: dfc00117 ldw ra,4(sp) + 31908: df000017 ldw fp,0(sp) + 3190c: dec00204 addi sp,sp,8 + 31910: f800283a ret -00030ff0 : +00031914 : */ void alt_io_redirect(const char* stdout_dev, const char* stdin_dev, const char* stderr_dev) { - 30ff0: defffb04 addi sp,sp,-20 - 30ff4: dfc00415 stw ra,16(sp) - 30ff8: df000315 stw fp,12(sp) - 30ffc: df000304 addi fp,sp,12 - 31000: e13ffd15 stw r4,-12(fp) - 31004: e17ffe15 stw r5,-8(fp) - 31008: e1bfff15 stw r6,-4(fp) + 31914: defffb04 addi sp,sp,-20 + 31918: dfc00415 stw ra,16(sp) + 3191c: df000315 stw fp,12(sp) + 31920: df000304 addi fp,sp,12 + 31924: e13ffd15 stw r4,-12(fp) + 31928: e17ffe15 stw r5,-8(fp) + 3192c: e1bfff15 stw r6,-4(fp) /* Redirect the channels */ alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); - 3100c: 01c07fc4 movi r7,511 - 31010: 01800044 movi r6,1 - 31014: e17ffd17 ldw r5,-12(fp) - 31018: 010000f4 movhi r4,3 - 3101c: 210cd904 addi r4,r4,13156 - 31020: 0030f2c0 call 30f2c + 31930: 01c07fc4 movi r7,511 + 31934: 01800044 movi r6,1 + 31938: e17ffd17 ldw r5,-12(fp) + 3193c: 010000f4 movhi r4,3 + 31940: 210f2604 addi r4,r4,15512 + 31944: 00318500 call 31850 alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); - 31024: 01c07fc4 movi r7,511 - 31028: 000d883a mov r6,zero - 3102c: e17ffe17 ldw r5,-8(fp) - 31030: 010000f4 movhi r4,3 - 31034: 210cd604 addi r4,r4,13144 - 31038: 0030f2c0 call 30f2c + 31948: 01c07fc4 movi r7,511 + 3194c: 000d883a mov r6,zero + 31950: e17ffe17 ldw r5,-8(fp) + 31954: 010000f4 movhi r4,3 + 31958: 210f2304 addi r4,r4,15500 + 3195c: 00318500 call 31850 alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); - 3103c: 01c07fc4 movi r7,511 - 31040: 01800044 movi r6,1 - 31044: e17fff17 ldw r5,-4(fp) - 31048: 010000f4 movhi r4,3 - 3104c: 210cdc04 addi r4,r4,13168 - 31050: 0030f2c0 call 30f2c + 31960: 01c07fc4 movi r7,511 + 31964: 01800044 movi r6,1 + 31968: e17fff17 ldw r5,-4(fp) + 3196c: 010000f4 movhi r4,3 + 31970: 210f2904 addi r4,r4,15524 + 31974: 00318500 call 31850 } - 31054: 0001883a nop - 31058: e037883a mov sp,fp - 3105c: dfc00117 ldw ra,4(sp) - 31060: df000017 ldw fp,0(sp) - 31064: dec00204 addi sp,sp,8 - 31068: f800283a ret + 31978: 0001883a nop + 3197c: e037883a mov sp,fp + 31980: dfc00117 ldw ra,4(sp) + 31984: df000017 ldw fp,0(sp) + 31988: dec00204 addi sp,sp,8 + 3198c: f800283a ret -0003106c : +00031990 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3106c: defffe04 addi sp,sp,-8 - 31070: dfc00115 stw ra,4(sp) - 31074: df000015 stw fp,0(sp) - 31078: d839883a mov fp,sp + 31990: defffe04 addi sp,sp,-8 + 31994: dfc00115 stw ra,4(sp) + 31998: df000015 stw fp,0(sp) + 3199c: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3107c: 008000f4 movhi r2,3 - 31080: 10915c04 addi r2,r2,17776 - 31084: 10800017 ldw r2,0(r2) - 31088: 10000526 beq r2,zero,310a0 - 3108c: 008000f4 movhi r2,3 - 31090: 10915c04 addi r2,r2,17776 - 31094: 10800017 ldw r2,0(r2) - 31098: 103ee83a callr r2 - 3109c: 00000206 br 310a8 - 310a0: 008000f4 movhi r2,3 - 310a4: 109a0c04 addi r2,r2,26672 + 319a0: 008000f4 movhi r2,3 + 319a4: 1093a904 addi r2,r2,20132 + 319a8: 10800017 ldw r2,0(r2) + 319ac: 10000526 beq r2,zero,319c4 + 319b0: 008000f4 movhi r2,3 + 319b4: 1093a904 addi r2,r2,20132 + 319b8: 10800017 ldw r2,0(r2) + 319bc: 103ee83a callr r2 + 319c0: 00000206 br 319cc + 319c4: 008000f4 movhi r2,3 + 319c8: 109c5904 addi r2,r2,29028 } - 310a8: e037883a mov sp,fp - 310ac: dfc00117 ldw ra,4(sp) - 310b0: df000017 ldw fp,0(sp) - 310b4: dec00204 addi sp,sp,8 - 310b8: f800283a ret + 319cc: e037883a mov sp,fp + 319d0: dfc00117 ldw ra,4(sp) + 319d4: df000017 ldw fp,0(sp) + 319d8: dec00204 addi sp,sp,8 + 319dc: f800283a ret -000310bc : +000319e0 : * performed for devices. Filesystems are required to handle the ioctl() call * themselves, and report the error from the filesystems open() function. */ static int alt_file_locked (alt_fd* fd) { - 310bc: defffd04 addi sp,sp,-12 - 310c0: df000215 stw fp,8(sp) - 310c4: df000204 addi fp,sp,8 - 310c8: e13fff15 stw r4,-4(fp) + 319e0: defffd04 addi sp,sp,-12 + 319e4: df000215 stw fp,8(sp) + 319e8: df000204 addi fp,sp,8 + 319ec: e13fff15 stw r4,-4(fp) /* * Mark the file descriptor as belonging to a device. */ fd->fd_flags |= ALT_FD_DEV; - 310cc: e0bfff17 ldw r2,-4(fp) - 310d0: 10800217 ldw r2,8(r2) - 310d4: 10d00034 orhi r3,r2,16384 - 310d8: e0bfff17 ldw r2,-4(fp) - 310dc: 10c00215 stw r3,8(r2) + 319f0: e0bfff17 ldw r2,-4(fp) + 319f4: 10800217 ldw r2,8(r2) + 319f8: 10d00034 orhi r3,r2,16384 + 319fc: e0bfff17 ldw r2,-4(fp) + 31a00: 10c00215 stw r3,8(r2) /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 310e0: e03ffe15 stw zero,-8(fp) - 310e4: 00001d06 br 3115c + 31a04: e03ffe15 stw zero,-8(fp) + 31a08: 00001d06 br 31a80 { if ((alt_fd_list[i].dev == fd->dev) && - 310e8: 008000f4 movhi r2,3 - 310ec: 108cd604 addi r2,r2,13144 - 310f0: e0fffe17 ldw r3,-8(fp) - 310f4: 18c00324 muli r3,r3,12 - 310f8: 10c5883a add r2,r2,r3 - 310fc: 10c00017 ldw r3,0(r2) - 31100: e0bfff17 ldw r2,-4(fp) - 31104: 10800017 ldw r2,0(r2) - 31108: 1880111e bne r3,r2,31150 + 31a0c: 008000f4 movhi r2,3 + 31a10: 108f2304 addi r2,r2,15500 + 31a14: e0fffe17 ldw r3,-8(fp) + 31a18: 18c00324 muli r3,r3,12 + 31a1c: 10c5883a add r2,r2,r3 + 31a20: 10c00017 ldw r3,0(r2) + 31a24: e0bfff17 ldw r2,-4(fp) + 31a28: 10800017 ldw r2,0(r2) + 31a2c: 1880111e bne r3,r2,31a74 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 3110c: 008000f4 movhi r2,3 - 31110: 108cd604 addi r2,r2,13144 - 31114: e0fffe17 ldw r3,-8(fp) - 31118: 18c00324 muli r3,r3,12 - 3111c: 10c5883a add r2,r2,r3 - 31120: 10800204 addi r2,r2,8 - 31124: 10800017 ldw r2,0(r2) + 31a30: 008000f4 movhi r2,3 + 31a34: 108f2304 addi r2,r2,15500 + 31a38: e0fffe17 ldw r3,-8(fp) + 31a3c: 18c00324 muli r3,r3,12 + 31a40: 10c5883a add r2,r2,r3 + 31a44: 10800204 addi r2,r2,8 + 31a48: 10800017 ldw r2,0(r2) * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && - 31128: 1000090e bge r2,zero,31150 + 31a4c: 1000090e bge r2,zero,31a74 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && (&alt_fd_list[i] != fd)) - 3112c: e0bffe17 ldw r2,-8(fp) - 31130: 10c00324 muli r3,r2,12 - 31134: 008000f4 movhi r2,3 - 31138: 108cd604 addi r2,r2,13144 - 3113c: 1887883a add r3,r3,r2 + 31a50: e0bffe17 ldw r2,-8(fp) + 31a54: 10c00324 muli r3,r2,12 + 31a58: 008000f4 movhi r2,3 + 31a5c: 108f2304 addi r2,r2,15500 + 31a60: 1887883a add r3,r3,r2 */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 31140: e0bfff17 ldw r2,-4(fp) - 31144: 18800226 beq r3,r2,31150 + 31a64: e0bfff17 ldw r2,-4(fp) + 31a68: 18800226 beq r3,r2,31a74 (&alt_fd_list[i] != fd)) { return -EACCES; - 31148: 00bffcc4 movi r2,-13 - 3114c: 00000a06 br 31178 + 31a6c: 00bffcc4 movi r2,-13 + 31a70: 00000a06 br 31a9c /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 31150: e0bffe17 ldw r2,-8(fp) - 31154: 10800044 addi r2,r2,1 - 31158: e0bffe15 stw r2,-8(fp) - 3115c: 008000f4 movhi r2,3 - 31160: 10915b04 addi r2,r2,17772 - 31164: 10800017 ldw r2,0(r2) - 31168: 1007883a mov r3,r2 - 3116c: e0bffe17 ldw r2,-8(fp) - 31170: 18bfdd2e bgeu r3,r2,310e8 <_gp+0xffff4bb0> + 31a74: e0bffe17 ldw r2,-8(fp) + 31a78: 10800044 addi r2,r2,1 + 31a7c: e0bffe15 stw r2,-8(fp) + 31a80: 008000f4 movhi r2,3 + 31a84: 1093a804 addi r2,r2,20128 + 31a88: 10800017 ldw r2,0(r2) + 31a8c: 1007883a mov r3,r2 + 31a90: e0bffe17 ldw r2,-8(fp) + 31a94: 18bfdd2e bgeu r3,r2,31a0c <_gp+0xffff4ba0> } } /* The device is not locked */ return 0; - 31174: 0005883a mov r2,zero + 31a98: 0005883a mov r2,zero } - 31178: e037883a mov sp,fp - 3117c: df000017 ldw fp,0(sp) - 31180: dec00104 addi sp,sp,4 - 31184: f800283a ret + 31a9c: e037883a mov sp,fp + 31aa0: df000017 ldw fp,0(sp) + 31aa4: dec00104 addi sp,sp,4 + 31aa8: f800283a ret -00031188 : +00031aac : * * ALT_OPEN is mapped onto the open() system call in alt_syscall.h */ int ALT_OPEN (const char* file, int flags, int mode) { - 31188: defff604 addi sp,sp,-40 - 3118c: dfc00915 stw ra,36(sp) - 31190: df000815 stw fp,32(sp) - 31194: df000804 addi fp,sp,32 - 31198: e13ffd15 stw r4,-12(fp) - 3119c: e17ffe15 stw r5,-8(fp) - 311a0: e1bfff15 stw r6,-4(fp) + 31aac: defff604 addi sp,sp,-40 + 31ab0: dfc00915 stw ra,36(sp) + 31ab4: df000815 stw fp,32(sp) + 31ab8: df000804 addi fp,sp,32 + 31abc: e13ffd15 stw r4,-12(fp) + 31ac0: e17ffe15 stw r5,-8(fp) + 31ac4: e1bfff15 stw r6,-4(fp) alt_dev* dev; alt_fd* fd; int index = -1; - 311a4: 00bfffc4 movi r2,-1 - 311a8: e0bff915 stw r2,-28(fp) + 31ac8: 00bfffc4 movi r2,-1 + 31acc: e0bff915 stw r2,-28(fp) int status = -ENODEV; - 311ac: 00bffb44 movi r2,-19 - 311b0: e0bffa15 stw r2,-24(fp) + 31ad0: 00bffb44 movi r2,-19 + 31ad4: e0bffa15 stw r2,-24(fp) int isafs = 0; - 311b4: e03ffb15 stw zero,-20(fp) + 31ad8: e03ffb15 stw zero,-20(fp) /* * Check the device list, to see if a device with a matching name is * registered. */ if (!(dev = alt_find_dev (file, &alt_dev_list))) - 311b8: 014000f4 movhi r5,3 - 311bc: 29515904 addi r5,r5,17764 - 311c0: e13ffd17 ldw r4,-12(fp) - 311c4: 00313040 call 31304 - 311c8: e0bff815 stw r2,-32(fp) - 311cc: e0bff817 ldw r2,-32(fp) - 311d0: 1000051e bne r2,zero,311e8 + 31adc: 014000f4 movhi r5,3 + 31ae0: 2953a604 addi r5,r5,20120 + 31ae4: e13ffd17 ldw r4,-12(fp) + 31ae8: 0031c280 call 31c28 + 31aec: e0bff815 stw r2,-32(fp) + 31af0: e0bff817 ldw r2,-32(fp) + 31af4: 1000051e bne r2,zero,31b0c { /* No matching device, so try the filesystem list */ dev = alt_find_file (file); - 311d4: e13ffd17 ldw r4,-12(fp) - 311d8: 00313940 call 31394 - 311dc: e0bff815 stw r2,-32(fp) + 31af8: e13ffd17 ldw r4,-12(fp) + 31afc: 0031cb80 call 31cb8 + 31b00: e0bff815 stw r2,-32(fp) isafs = 1; - 311e0: 00800044 movi r2,1 - 311e4: e0bffb15 stw r2,-20(fp) + 31b04: 00800044 movi r2,1 + 31b08: e0bffb15 stw r2,-20(fp) /* * If a matching device or filesystem is found, allocate a file descriptor. */ if (dev) - 311e8: e0bff817 ldw r2,-32(fp) - 311ec: 10002926 beq r2,zero,31294 + 31b0c: e0bff817 ldw r2,-32(fp) + 31b10: 10002926 beq r2,zero,31bb8 { if ((index = alt_get_fd (dev)) < 0) - 311f0: e13ff817 ldw r4,-32(fp) - 311f4: 00314a80 call 314a8 - 311f8: e0bff915 stw r2,-28(fp) - 311fc: e0bff917 ldw r2,-28(fp) - 31200: 1000030e bge r2,zero,31210 + 31b14: e13ff817 ldw r4,-32(fp) + 31b18: 0031dcc0 call 31dcc + 31b1c: e0bff915 stw r2,-28(fp) + 31b20: e0bff917 ldw r2,-28(fp) + 31b24: 1000030e bge r2,zero,31b34 { status = index; - 31204: e0bff917 ldw r2,-28(fp) - 31208: e0bffa15 stw r2,-24(fp) - 3120c: 00002306 br 3129c + 31b28: e0bff917 ldw r2,-28(fp) + 31b2c: e0bffa15 stw r2,-24(fp) + 31b30: 00002306 br 31bc0 } else { fd = &alt_fd_list[index]; - 31210: e0bff917 ldw r2,-28(fp) - 31214: 10c00324 muli r3,r2,12 - 31218: 008000f4 movhi r2,3 - 3121c: 108cd604 addi r2,r2,13144 - 31220: 1885883a add r2,r3,r2 - 31224: e0bffc15 stw r2,-16(fp) + 31b34: e0bff917 ldw r2,-28(fp) + 31b38: 10c00324 muli r3,r2,12 + 31b3c: 008000f4 movhi r2,3 + 31b40: 108f2304 addi r2,r2,15500 + 31b44: 1885883a add r2,r3,r2 + 31b48: e0bffc15 stw r2,-16(fp) fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); - 31228: e0fffe17 ldw r3,-8(fp) - 3122c: 00900034 movhi r2,16384 - 31230: 10bfffc4 addi r2,r2,-1 - 31234: 1886703a and r3,r3,r2 - 31238: e0bffc17 ldw r2,-16(fp) - 3123c: 10c00215 stw r3,8(r2) + 31b4c: e0fffe17 ldw r3,-8(fp) + 31b50: 00900034 movhi r2,16384 + 31b54: 10bfffc4 addi r2,r2,-1 + 31b58: 1886703a and r3,r3,r2 + 31b5c: e0bffc17 ldw r2,-16(fp) + 31b60: 10c00215 stw r3,8(r2) /* If this is a device, ensure it isn't already locked */ if (isafs || ((status = alt_file_locked (fd)) >= 0)) - 31240: e0bffb17 ldw r2,-20(fp) - 31244: 1000051e bne r2,zero,3125c - 31248: e13ffc17 ldw r4,-16(fp) - 3124c: 00310bc0 call 310bc - 31250: e0bffa15 stw r2,-24(fp) - 31254: e0bffa17 ldw r2,-24(fp) - 31258: 10001016 blt r2,zero,3129c + 31b64: e0bffb17 ldw r2,-20(fp) + 31b68: 1000051e bne r2,zero,31b80 + 31b6c: e13ffc17 ldw r4,-16(fp) + 31b70: 00319e00 call 319e0 + 31b74: e0bffa15 stw r2,-24(fp) + 31b78: e0bffa17 ldw r2,-24(fp) + 31b7c: 10001016 blt r2,zero,31bc0 /* * If the device or filesystem provides an open() callback function, * call it now to perform any device/filesystem specific operations. */ status = (dev->open) ? dev->open(fd, file, flags, mode): 0; - 3125c: e0bff817 ldw r2,-32(fp) - 31260: 10800317 ldw r2,12(r2) - 31264: 10000826 beq r2,zero,31288 - 31268: e0bff817 ldw r2,-32(fp) - 3126c: 10800317 ldw r2,12(r2) - 31270: e1ffff17 ldw r7,-4(fp) - 31274: e1bffe17 ldw r6,-8(fp) - 31278: e17ffd17 ldw r5,-12(fp) - 3127c: e13ffc17 ldw r4,-16(fp) - 31280: 103ee83a callr r2 - 31284: 00000106 br 3128c - 31288: 0005883a mov r2,zero - 3128c: e0bffa15 stw r2,-24(fp) - 31290: 00000206 br 3129c + 31b80: e0bff817 ldw r2,-32(fp) + 31b84: 10800317 ldw r2,12(r2) + 31b88: 10000826 beq r2,zero,31bac + 31b8c: e0bff817 ldw r2,-32(fp) + 31b90: 10800317 ldw r2,12(r2) + 31b94: e1ffff17 ldw r7,-4(fp) + 31b98: e1bffe17 ldw r6,-8(fp) + 31b9c: e17ffd17 ldw r5,-12(fp) + 31ba0: e13ffc17 ldw r4,-16(fp) + 31ba4: 103ee83a callr r2 + 31ba8: 00000106 br 31bb0 + 31bac: 0005883a mov r2,zero + 31bb0: e0bffa15 stw r2,-24(fp) + 31bb4: 00000206 br 31bc0 } } } else { status = -ENODEV; - 31294: 00bffb44 movi r2,-19 - 31298: e0bffa15 stw r2,-24(fp) + 31bb8: 00bffb44 movi r2,-19 + 31bbc: e0bffa15 stw r2,-24(fp) } /* Allocation failed, so clean up and return an error */ if (status < 0) - 3129c: e0bffa17 ldw r2,-24(fp) - 312a0: 1000090e bge r2,zero,312c8 + 31bc0: e0bffa17 ldw r2,-24(fp) + 31bc4: 1000090e bge r2,zero,31bec { alt_release_fd (index); - 312a4: e13ff917 ldw r4,-28(fp) - 312a8: 002f7140 call 2f714 + 31bc8: e13ff917 ldw r4,-28(fp) + 31bcc: 00300380 call 30038 ALT_ERRNO = -status; - 312ac: 003106c0 call 3106c - 312b0: 1007883a mov r3,r2 - 312b4: e0bffa17 ldw r2,-24(fp) - 312b8: 0085c83a sub r2,zero,r2 - 312bc: 18800015 stw r2,0(r3) + 31bd0: 00319900 call 31990 + 31bd4: 1007883a mov r3,r2 + 31bd8: e0bffa17 ldw r2,-24(fp) + 31bdc: 0085c83a sub r2,zero,r2 + 31be0: 18800015 stw r2,0(r3) return -1; - 312c0: 00bfffc4 movi r2,-1 - 312c4: 00000106 br 312cc + 31be4: 00bfffc4 movi r2,-1 + 31be8: 00000106 br 31bf0 } /* return the reference upon success */ return index; - 312c8: e0bff917 ldw r2,-28(fp) + 31bec: e0bff917 ldw r2,-28(fp) } - 312cc: e037883a mov sp,fp - 312d0: dfc00117 ldw ra,4(sp) - 312d4: df000017 ldw fp,0(sp) - 312d8: dec00204 addi sp,sp,8 - 312dc: f800283a ret + 31bf0: e037883a mov sp,fp + 31bf4: dfc00117 ldw ra,4(sp) + 31bf8: df000017 ldw fp,0(sp) + 31bfc: dec00204 addi sp,sp,8 + 31c00: f800283a ret -000312e0 : +00031c04 : /* * To initialize the internal interrupt controller, just clear the IENABLE * register so that all possible IRQs are disabled. */ void altera_nios2_gen2_irq_init(void) { - 312e0: deffff04 addi sp,sp,-4 - 312e4: df000015 stw fp,0(sp) - 312e8: d839883a mov fp,sp + 31c04: deffff04 addi sp,sp,-4 + 31c08: df000015 stw fp,0(sp) + 31c0c: d839883a mov fp,sp NIOS2_WRITE_IENABLE(0); - 312ec: 000170fa wrctl ienable,zero + 31c10: 000170fa wrctl ienable,zero } - 312f0: 0001883a nop - 312f4: e037883a mov sp,fp - 312f8: df000017 ldw fp,0(sp) - 312fc: dec00104 addi sp,sp,4 - 31300: f800283a ret + 31c14: 0001883a nop + 31c18: e037883a mov sp,fp + 31c1c: df000017 ldw fp,0(sp) + 31c20: dec00104 addi sp,sp,4 + 31c24: f800283a ret -00031304 : +00031c28 : * "name" must be an exact match for the devices registered name for a match to * be found. */ alt_dev* alt_find_dev(const char* name, alt_llist* llist) { - 31304: defffa04 addi sp,sp,-24 - 31308: dfc00515 stw ra,20(sp) - 3130c: df000415 stw fp,16(sp) - 31310: df000404 addi fp,sp,16 - 31314: e13ffe15 stw r4,-8(fp) - 31318: e17fff15 stw r5,-4(fp) + 31c28: defffa04 addi sp,sp,-24 + 31c2c: dfc00515 stw ra,20(sp) + 31c30: df000415 stw fp,16(sp) + 31c34: df000404 addi fp,sp,16 + 31c38: e13ffe15 stw r4,-8(fp) + 31c3c: e17fff15 stw r5,-4(fp) alt_dev* next = (alt_dev*) llist->next; - 3131c: e0bfff17 ldw r2,-4(fp) - 31320: 10800017 ldw r2,0(r2) - 31324: e0bffc15 stw r2,-16(fp) + 31c40: e0bfff17 ldw r2,-4(fp) + 31c44: 10800017 ldw r2,0(r2) + 31c48: e0bffc15 stw r2,-16(fp) alt_32 len; len = strlen(name) + 1; - 31328: e13ffe17 ldw r4,-8(fp) - 3132c: 00231a80 call 231a8 - 31330: 10800044 addi r2,r2,1 - 31334: e0bffd15 stw r2,-12(fp) + 31c4c: e13ffe17 ldw r4,-8(fp) + 31c50: 002376c0 call 2376c + 31c54: 10800044 addi r2,r2,1 + 31c58: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 31338: 00000d06 br 31370 + 31c5c: 00000d06 br 31c94 /* * memcmp() is used here rather than strcmp() in order to reduce the size * of the executable. */ if (!memcmp (next->name, name, len)) - 3133c: e0bffc17 ldw r2,-16(fp) - 31340: 10800217 ldw r2,8(r2) - 31344: e0fffd17 ldw r3,-12(fp) - 31348: 180d883a mov r6,r3 - 3134c: e17ffe17 ldw r5,-8(fp) - 31350: 1009883a mov r4,r2 - 31354: 00316d40 call 316d4 - 31358: 1000021e bne r2,zero,31364 + 31c60: e0bffc17 ldw r2,-16(fp) + 31c64: 10800217 ldw r2,8(r2) + 31c68: e0fffd17 ldw r3,-12(fp) + 31c6c: 180d883a mov r6,r3 + 31c70: e17ffe17 ldw r5,-8(fp) + 31c74: 1009883a mov r4,r2 + 31c78: 0031ff80 call 31ff8 + 31c7c: 1000021e bne r2,zero,31c88 { /* match found */ return next; - 3135c: e0bffc17 ldw r2,-16(fp) - 31360: 00000706 br 31380 + 31c80: e0bffc17 ldw r2,-16(fp) + 31c84: 00000706 br 31ca4 } next = (alt_dev*) next->llist.next; - 31364: e0bffc17 ldw r2,-16(fp) - 31368: 10800017 ldw r2,0(r2) - 3136c: e0bffc15 stw r2,-16(fp) + 31c88: e0bffc17 ldw r2,-16(fp) + 31c8c: 10800017 ldw r2,0(r2) + 31c90: e0bffc15 stw r2,-16(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 31370: e0fffc17 ldw r3,-16(fp) - 31374: e0bfff17 ldw r2,-4(fp) - 31378: 18bff01e bne r3,r2,3133c <_gp+0xffff4e04> + 31c94: e0fffc17 ldw r3,-16(fp) + 31c98: e0bfff17 ldw r2,-4(fp) + 31c9c: 18bff01e bne r3,r2,31c60 <_gp+0xffff4df4> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 3137c: 0005883a mov r2,zero + 31ca0: 0005883a mov r2,zero } - 31380: e037883a mov sp,fp - 31384: dfc00117 ldw ra,4(sp) - 31388: df000017 ldw fp,0(sp) - 3138c: dec00204 addi sp,sp,8 - 31390: f800283a ret + 31ca4: e037883a mov sp,fp + 31ca8: dfc00117 ldw ra,4(sp) + 31cac: df000017 ldw fp,0(sp) + 31cb0: dec00204 addi sp,sp,8 + 31cb4: f800283a ret -00031394 : +00031cb8 : * either '/' or '\0' is the prefix of the filename. For example the filename: * "/myfilesystem/junk.txt" would match: "/myfilesystem", but not: "/myfile". */ alt_dev* alt_find_file (const char* name) { - 31394: defffb04 addi sp,sp,-20 - 31398: dfc00415 stw ra,16(sp) - 3139c: df000315 stw fp,12(sp) - 313a0: df000304 addi fp,sp,12 - 313a4: e13fff15 stw r4,-4(fp) + 31cb8: defffb04 addi sp,sp,-20 + 31cbc: dfc00415 stw ra,16(sp) + 31cc0: df000315 stw fp,12(sp) + 31cc4: df000304 addi fp,sp,12 + 31cc8: e13fff15 stw r4,-4(fp) alt_dev* next = (alt_dev*) alt_fs_list.next; - 313a8: 008000f4 movhi r2,3 - 313ac: 10915704 addi r2,r2,17756 - 313b0: 10800017 ldw r2,0(r2) - 313b4: e0bffd15 stw r2,-12(fp) + 31ccc: 008000f4 movhi r2,3 + 31cd0: 1093a404 addi r2,r2,20112 + 31cd4: 10800017 ldw r2,0(r2) + 31cd8: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 313b8: 00003106 br 31480 + 31cdc: 00003106 br 31da4 { len = strlen(next->name); - 313bc: e0bffd17 ldw r2,-12(fp) - 313c0: 10800217 ldw r2,8(r2) - 313c4: 1009883a mov r4,r2 - 313c8: 00231a80 call 231a8 - 313cc: e0bffe15 stw r2,-8(fp) + 31ce0: e0bffd17 ldw r2,-12(fp) + 31ce4: 10800217 ldw r2,8(r2) + 31ce8: 1009883a mov r4,r2 + 31cec: 002376c0 call 2376c + 31cf0: e0bffe15 stw r2,-8(fp) if (next->name[len-1] == '/') - 313d0: e0bffd17 ldw r2,-12(fp) - 313d4: 10c00217 ldw r3,8(r2) - 313d8: e0bffe17 ldw r2,-8(fp) - 313dc: 10bfffc4 addi r2,r2,-1 - 313e0: 1885883a add r2,r3,r2 - 313e4: 10800003 ldbu r2,0(r2) - 313e8: 10803fcc andi r2,r2,255 - 313ec: 1080201c xori r2,r2,128 - 313f0: 10bfe004 addi r2,r2,-128 - 313f4: 10800bd8 cmpnei r2,r2,47 - 313f8: 1000031e bne r2,zero,31408 + 31cf4: e0bffd17 ldw r2,-12(fp) + 31cf8: 10c00217 ldw r3,8(r2) + 31cfc: e0bffe17 ldw r2,-8(fp) + 31d00: 10bfffc4 addi r2,r2,-1 + 31d04: 1885883a add r2,r3,r2 + 31d08: 10800003 ldbu r2,0(r2) + 31d0c: 10803fcc andi r2,r2,255 + 31d10: 1080201c xori r2,r2,128 + 31d14: 10bfe004 addi r2,r2,-128 + 31d18: 10800bd8 cmpnei r2,r2,47 + 31d1c: 1000031e bne r2,zero,31d2c { len -= 1; - 313fc: e0bffe17 ldw r2,-8(fp) - 31400: 10bfffc4 addi r2,r2,-1 - 31404: e0bffe15 stw r2,-8(fp) + 31d20: e0bffe17 ldw r2,-8(fp) + 31d24: 10bfffc4 addi r2,r2,-1 + 31d28: e0bffe15 stw r2,-8(fp) } if (((name[len] == '/') || (name[len] == '\0')) && - 31408: e0bffe17 ldw r2,-8(fp) - 3140c: e0ffff17 ldw r3,-4(fp) - 31410: 1885883a add r2,r3,r2 - 31414: 10800003 ldbu r2,0(r2) - 31418: 10803fcc andi r2,r2,255 - 3141c: 1080201c xori r2,r2,128 - 31420: 10bfe004 addi r2,r2,-128 - 31424: 10800be0 cmpeqi r2,r2,47 - 31428: 1000081e bne r2,zero,3144c - 3142c: e0bffe17 ldw r2,-8(fp) - 31430: e0ffff17 ldw r3,-4(fp) - 31434: 1885883a add r2,r3,r2 - 31438: 10800003 ldbu r2,0(r2) - 3143c: 10803fcc andi r2,r2,255 - 31440: 1080201c xori r2,r2,128 - 31444: 10bfe004 addi r2,r2,-128 - 31448: 10000a1e bne r2,zero,31474 + 31d2c: e0bffe17 ldw r2,-8(fp) + 31d30: e0ffff17 ldw r3,-4(fp) + 31d34: 1885883a add r2,r3,r2 + 31d38: 10800003 ldbu r2,0(r2) + 31d3c: 10803fcc andi r2,r2,255 + 31d40: 1080201c xori r2,r2,128 + 31d44: 10bfe004 addi r2,r2,-128 + 31d48: 10800be0 cmpeqi r2,r2,47 + 31d4c: 1000081e bne r2,zero,31d70 + 31d50: e0bffe17 ldw r2,-8(fp) + 31d54: e0ffff17 ldw r3,-4(fp) + 31d58: 1885883a add r2,r3,r2 + 31d5c: 10800003 ldbu r2,0(r2) + 31d60: 10803fcc andi r2,r2,255 + 31d64: 1080201c xori r2,r2,128 + 31d68: 10bfe004 addi r2,r2,-128 + 31d6c: 10000a1e bne r2,zero,31d98 !memcmp (next->name, name, len)) - 3144c: e0bffd17 ldw r2,-12(fp) - 31450: 10800217 ldw r2,8(r2) - 31454: e0fffe17 ldw r3,-8(fp) - 31458: 180d883a mov r6,r3 - 3145c: e17fff17 ldw r5,-4(fp) - 31460: 1009883a mov r4,r2 - 31464: 00316d40 call 316d4 + 31d70: e0bffd17 ldw r2,-12(fp) + 31d74: 10800217 ldw r2,8(r2) + 31d78: e0fffe17 ldw r3,-8(fp) + 31d7c: 180d883a mov r6,r3 + 31d80: e17fff17 ldw r5,-4(fp) + 31d84: 1009883a mov r4,r2 + 31d88: 0031ff80 call 31ff8 if (next->name[len-1] == '/') { len -= 1; } if (((name[len] == '/') || (name[len] == '\0')) && - 31468: 1000021e bne r2,zero,31474 + 31d8c: 1000021e bne r2,zero,31d98 !memcmp (next->name, name, len)) { /* match found */ return next; - 3146c: e0bffd17 ldw r2,-12(fp) - 31470: 00000806 br 31494 + 31d90: e0bffd17 ldw r2,-12(fp) + 31d94: 00000806 br 31db8 } next = (alt_dev*) next->llist.next; - 31474: e0bffd17 ldw r2,-12(fp) - 31478: 10800017 ldw r2,0(r2) - 3147c: e0bffd15 stw r2,-12(fp) + 31d98: e0bffd17 ldw r2,-12(fp) + 31d9c: 10800017 ldw r2,0(r2) + 31da0: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 31480: e0fffd17 ldw r3,-12(fp) - 31484: 008000f4 movhi r2,3 - 31488: 10915704 addi r2,r2,17756 - 3148c: 18bfcb1e bne r3,r2,313bc <_gp+0xffff4e84> + 31da4: e0fffd17 ldw r3,-12(fp) + 31da8: 008000f4 movhi r2,3 + 31dac: 1093a404 addi r2,r2,20112 + 31db0: 18bfcb1e bne r3,r2,31ce0 <_gp+0xffff4e74> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 31490: 0005883a mov r2,zero + 31db4: 0005883a mov r2,zero } - 31494: e037883a mov sp,fp - 31498: dfc00117 ldw ra,4(sp) - 3149c: df000017 ldw fp,0(sp) - 314a0: dec00204 addi sp,sp,8 - 314a4: f800283a ret + 31db8: e037883a mov sp,fp + 31dbc: dfc00117 ldw ra,4(sp) + 31dc0: df000017 ldw fp,0(sp) + 31dc4: dec00204 addi sp,sp,8 + 31dc8: f800283a ret -000314a8 : +00031dcc : * the offset of the file descriptor within the file descriptor array). A * negative value indicates failure. */ int alt_get_fd (alt_dev* dev) { - 314a8: defffc04 addi sp,sp,-16 - 314ac: df000315 stw fp,12(sp) - 314b0: df000304 addi fp,sp,12 - 314b4: e13fff15 stw r4,-4(fp) + 31dcc: defffc04 addi sp,sp,-16 + 31dd0: df000315 stw fp,12(sp) + 31dd4: df000304 addi fp,sp,12 + 31dd8: e13fff15 stw r4,-4(fp) alt_32 i; int rc = -EMFILE; - 314b8: 00bffa04 movi r2,-24 - 314bc: e0bffe15 stw r2,-8(fp) + 31ddc: 00bffa04 movi r2,-24 + 31de0: e0bffe15 stw r2,-8(fp) * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 314c0: e03ffd15 stw zero,-12(fp) - 314c4: 00001d06 br 3153c + 31de4: e03ffd15 stw zero,-12(fp) + 31de8: 00001d06 br 31e60 { if (!alt_fd_list[i].dev) - 314c8: 008000f4 movhi r2,3 - 314cc: 108cd604 addi r2,r2,13144 - 314d0: e0fffd17 ldw r3,-12(fp) - 314d4: 18c00324 muli r3,r3,12 - 314d8: 10c5883a add r2,r2,r3 - 314dc: 10800017 ldw r2,0(r2) - 314e0: 1000131e bne r2,zero,31530 + 31dec: 008000f4 movhi r2,3 + 31df0: 108f2304 addi r2,r2,15500 + 31df4: e0fffd17 ldw r3,-12(fp) + 31df8: 18c00324 muli r3,r3,12 + 31dfc: 10c5883a add r2,r2,r3 + 31e00: 10800017 ldw r2,0(r2) + 31e04: 1000131e bne r2,zero,31e54 { alt_fd_list[i].dev = dev; - 314e4: 008000f4 movhi r2,3 - 314e8: 108cd604 addi r2,r2,13144 - 314ec: e0fffd17 ldw r3,-12(fp) - 314f0: 18c00324 muli r3,r3,12 - 314f4: 10c5883a add r2,r2,r3 - 314f8: e0ffff17 ldw r3,-4(fp) - 314fc: 10c00015 stw r3,0(r2) + 31e08: 008000f4 movhi r2,3 + 31e0c: 108f2304 addi r2,r2,15500 + 31e10: e0fffd17 ldw r3,-12(fp) + 31e14: 18c00324 muli r3,r3,12 + 31e18: 10c5883a add r2,r2,r3 + 31e1c: e0ffff17 ldw r3,-4(fp) + 31e20: 10c00015 stw r3,0(r2) if (i > alt_max_fd) - 31500: 008000f4 movhi r2,3 - 31504: 10915b04 addi r2,r2,17772 - 31508: 10c00017 ldw r3,0(r2) - 3150c: e0bffd17 ldw r2,-12(fp) - 31510: 1880040e bge r3,r2,31524 + 31e24: 008000f4 movhi r2,3 + 31e28: 1093a804 addi r2,r2,20128 + 31e2c: 10c00017 ldw r3,0(r2) + 31e30: e0bffd17 ldw r2,-12(fp) + 31e34: 1880040e bge r3,r2,31e48 { alt_max_fd = i; - 31514: 008000f4 movhi r2,3 - 31518: 10915b04 addi r2,r2,17772 - 3151c: e0fffd17 ldw r3,-12(fp) - 31520: 10c00015 stw r3,0(r2) + 31e38: 008000f4 movhi r2,3 + 31e3c: 1093a804 addi r2,r2,20128 + 31e40: e0fffd17 ldw r3,-12(fp) + 31e44: 10c00015 stw r3,0(r2) } rc = i; - 31524: e0bffd17 ldw r2,-12(fp) - 31528: e0bffe15 stw r2,-8(fp) + 31e48: e0bffd17 ldw r2,-12(fp) + 31e4c: e0bffe15 stw r2,-8(fp) goto alt_get_fd_exit; - 3152c: 00000606 br 31548 + 31e50: 00000606 br 31e6c * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 31530: e0bffd17 ldw r2,-12(fp) - 31534: 10800044 addi r2,r2,1 - 31538: e0bffd15 stw r2,-12(fp) - 3153c: e0bffd17 ldw r2,-12(fp) - 31540: 10800810 cmplti r2,r2,32 - 31544: 103fe01e bne r2,zero,314c8 <_gp+0xffff4f90> + 31e54: e0bffd17 ldw r2,-12(fp) + 31e58: 10800044 addi r2,r2,1 + 31e5c: e0bffd15 stw r2,-12(fp) + 31e60: e0bffd17 ldw r2,-12(fp) + 31e64: 10800810 cmplti r2,r2,32 + 31e68: 103fe01e bne r2,zero,31dec <_gp+0xffff4f80> * file descriptor pool. */ ALT_SEM_POST(alt_fd_list_lock); return rc; - 31548: e0bffe17 ldw r2,-8(fp) + 31e6c: e0bffe17 ldw r2,-8(fp) } - 3154c: e037883a mov sp,fp - 31550: df000017 ldw fp,0(sp) - 31554: dec00104 addi sp,sp,4 - 31558: f800283a ret + 31e70: e037883a mov sp,fp + 31e74: df000017 ldw fp,0(sp) + 31e78: dec00104 addi sp,sp,4 + 31e7c: f800283a ret -0003155c : +00031e80 : * alt_icache_flush() is called to flush the instruction cache for a memory * region of length "len" bytes, starting at address "start". */ void alt_icache_flush (void* start, alt_u32 len) { - 3155c: defffb04 addi sp,sp,-20 - 31560: df000415 stw fp,16(sp) - 31564: df000404 addi fp,sp,16 - 31568: e13ffe15 stw r4,-8(fp) - 3156c: e17fff15 stw r5,-4(fp) + 31e80: defffb04 addi sp,sp,-20 + 31e84: df000415 stw fp,16(sp) + 31e88: df000404 addi fp,sp,16 + 31e8c: e13ffe15 stw r4,-8(fp) + 31e90: e17fff15 stw r5,-4(fp) /* * This is the most we would ever need to flush. */ if (len > NIOS2_ICACHE_SIZE) - 31570: e0bfff17 ldw r2,-4(fp) - 31574: 10840070 cmpltui r2,r2,4097 - 31578: 1000021e bne r2,zero,31584 + 31e94: e0bfff17 ldw r2,-4(fp) + 31e98: 10840070 cmpltui r2,r2,4097 + 31e9c: 1000021e bne r2,zero,31ea8 { len = NIOS2_ICACHE_SIZE; - 3157c: 00840004 movi r2,4096 - 31580: e0bfff15 stw r2,-4(fp) + 31ea0: 00840004 movi r2,4096 + 31ea4: e0bfff15 stw r2,-4(fp) } end = ((char*) start) + len; - 31584: e0fffe17 ldw r3,-8(fp) - 31588: e0bfff17 ldw r2,-4(fp) - 3158c: 1885883a add r2,r3,r2 - 31590: e0bffd15 stw r2,-12(fp) + 31ea8: e0fffe17 ldw r3,-8(fp) + 31eac: e0bfff17 ldw r2,-4(fp) + 31eb0: 1885883a add r2,r3,r2 + 31eb4: e0bffd15 stw r2,-12(fp) for (i = start; i < end; i+= NIOS2_ICACHE_LINE_SIZE) - 31594: e0bffe17 ldw r2,-8(fp) - 31598: e0bffc15 stw r2,-16(fp) - 3159c: 00000506 br 315b4 + 31eb8: e0bffe17 ldw r2,-8(fp) + 31ebc: e0bffc15 stw r2,-16(fp) + 31ec0: 00000506 br 31ed8 { __asm__ volatile ("flushi %0" :: "r" (i)); - 315a0: e0bffc17 ldw r2,-16(fp) - 315a4: 1000603a flushi r2 + 31ec4: e0bffc17 ldw r2,-16(fp) + 31ec8: 1000603a flushi r2 len = NIOS2_ICACHE_SIZE; } end = ((char*) start) + len; for (i = start; i < end; i+= NIOS2_ICACHE_LINE_SIZE) - 315a8: e0bffc17 ldw r2,-16(fp) - 315ac: 10800804 addi r2,r2,32 - 315b0: e0bffc15 stw r2,-16(fp) - 315b4: e0fffc17 ldw r3,-16(fp) - 315b8: e0bffd17 ldw r2,-12(fp) - 315bc: 18bff836 bltu r3,r2,315a0 <_gp+0xffff5068> + 31ecc: e0bffc17 ldw r2,-16(fp) + 31ed0: 10800804 addi r2,r2,32 + 31ed4: e0bffc15 stw r2,-16(fp) + 31ed8: e0fffc17 ldw r3,-16(fp) + 31edc: e0bffd17 ldw r2,-12(fp) + 31ee0: 18bff836 bltu r3,r2,31ec4 <_gp+0xffff5058> * For an unaligned flush request, we've got one more line left. * Note that this is dependent on NIOS2_ICACHE_LINE_SIZE to be a * multiple of 2 (which it always is). */ if (((alt_u32) start) & (NIOS2_ICACHE_LINE_SIZE - 1)) - 315c0: e0bffe17 ldw r2,-8(fp) - 315c4: 108007cc andi r2,r2,31 - 315c8: 10000226 beq r2,zero,315d4 + 31ee4: e0bffe17 ldw r2,-8(fp) + 31ee8: 108007cc andi r2,r2,31 + 31eec: 10000226 beq r2,zero,31ef8 { __asm__ volatile ("flushi %0" :: "r" (i)); - 315cc: e0bffc17 ldw r2,-16(fp) - 315d0: 1000603a flushi r2 + 31ef0: e0bffc17 ldw r2,-16(fp) + 31ef4: 1000603a flushi r2 /* * Having flushed the cache, flush any stale instructions in the * pipeline */ __asm__ volatile ("flushp"); - 315d4: 0000203a flushp + 31ef8: 0000203a flushp #endif /* NIOS2_ICACHE_SIZE > 0 */ } - 315d8: 0001883a nop - 315dc: e037883a mov sp,fp - 315e0: df000017 ldw fp,0(sp) - 315e4: dec00104 addi sp,sp,4 - 315e8: f800283a ret + 31efc: 0001883a nop + 31f00: e037883a mov sp,fp + 31f04: df000017 ldw fp,0(sp) + 31f08: dec00104 addi sp,sp,4 + 31f0c: f800283a ret -000315ec : +00031f10 : * Return: 1: BADADDR (bad_addr argument to handler) is valid * 0: BADADDR is not valid */ int alt_exception_cause_generated_bad_addr(alt_exception_cause cause) { - 315ec: defffe04 addi sp,sp,-8 - 315f0: df000115 stw fp,4(sp) - 315f4: df000104 addi fp,sp,4 - 315f8: e13fff15 stw r4,-4(fp) + 31f10: defffe04 addi sp,sp,-8 + 31f14: df000115 stw fp,4(sp) + 31f18: df000104 addi fp,sp,4 + 31f1c: e13fff15 stw r4,-4(fp) switch (cause) { - 315fc: e0bfff17 ldw r2,-4(fp) - 31600: 10bffe84 addi r2,r2,-6 - 31604: 10c00428 cmpgeui r3,r2,16 - 31608: 18001a1e bne r3,zero,31674 - 3160c: 100690ba slli r3,r2,2 - 31610: 008000f4 movhi r2,3 - 31614: 10858904 addi r2,r2,5668 - 31618: 1885883a add r2,r3,r2 - 3161c: 10800017 ldw r2,0(r2) - 31620: 1000683a jmp r2 - 31624: 00031664 muli zero,zero,3161 - 31628: 00031664 muli zero,zero,3161 - 3162c: 00031674 movhi zero,3161 - 31630: 00031674 movhi zero,3161 - 31634: 00031674 movhi zero,3161 - 31638: 00031664 muli zero,zero,3161 - 3163c: 0003166c andhi zero,zero,3161 - 31640: 00031674 movhi zero,3161 - 31644: 00031664 muli zero,zero,3161 - 31648: 00031664 muli zero,zero,3161 - 3164c: 00031674 movhi zero,3161 - 31650: 00031664 muli zero,zero,3161 - 31654: 0003166c andhi zero,zero,3161 - 31658: 00031674 movhi zero,3161 - 3165c: 00031674 movhi zero,3161 - 31660: 00031664 muli zero,zero,3161 + 31f20: e0bfff17 ldw r2,-4(fp) + 31f24: 10bffe84 addi r2,r2,-6 + 31f28: 10c00428 cmpgeui r3,r2,16 + 31f2c: 18001a1e bne r3,zero,31f98 + 31f30: 100690ba slli r3,r2,2 + 31f34: 008000f4 movhi r2,3 + 31f38: 1087d204 addi r2,r2,8008 + 31f3c: 1885883a add r2,r3,r2 + 31f40: 10800017 ldw r2,0(r2) + 31f44: 1000683a jmp r2 + 31f48: 00031f88 cmpgei zero,zero,3198 + 31f4c: 00031f88 cmpgei zero,zero,3198 + 31f50: 00031f98 cmpnei zero,zero,3198 + 31f54: 00031f98 cmpnei zero,zero,3198 + 31f58: 00031f98 cmpnei zero,zero,3198 + 31f5c: 00031f88 cmpgei zero,zero,3198 + 31f60: 00031f90 cmplti zero,zero,3198 + 31f64: 00031f98 cmpnei zero,zero,3198 + 31f68: 00031f88 cmpgei zero,zero,3198 + 31f6c: 00031f88 cmpgei zero,zero,3198 + 31f70: 00031f98 cmpnei zero,zero,3198 + 31f74: 00031f88 cmpgei zero,zero,3198 + 31f78: 00031f90 cmplti zero,zero,3198 + 31f7c: 00031f98 cmpnei zero,zero,3198 + 31f80: 00031f98 cmpnei zero,zero,3198 + 31f84: 00031f88 cmpgei zero,zero,3198 case NIOS2_EXCEPTION_MISALIGNED_TARGET_PC: case NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION: case NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION: case NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION: case NIOS2_EXCEPTION_ECC_DATA_ERR: return 1; - 31664: 00800044 movi r2,1 - 31668: 00000306 br 31678 + 31f88: 00800044 movi r2,1 + 31f8c: 00000306 br 31f9c case NIOS2_EXCEPTION_TLB_MISS: case NIOS2_EXCEPTION_ECC_TLB_ERR: return 0; - 3166c: 0005883a mov r2,zero - 31670: 00000106 br 31678 + 31f90: 0005883a mov r2,zero + 31f94: 00000106 br 31f9c default: return 0; - 31674: 0005883a mov r2,zero + 31f98: 0005883a mov r2,zero } } - 31678: e037883a mov sp,fp - 3167c: df000017 ldw fp,0(sp) - 31680: dec00104 addi sp,sp,4 - 31684: f800283a ret + 31f9c: e037883a mov sp,fp + 31fa0: df000017 ldw fp,0(sp) + 31fa4: dec00104 addi sp,sp,4 + 31fa8: f800283a ret -00031688 : - 31688: 200b883a mov r5,r4 - 3168c: 000f883a mov r7,zero - 31690: 000d883a mov r6,zero - 31694: 0009883a mov r4,zero - 31698: 00317501 jmpi 31750 <__register_exitproc> +00031fac : + 31fac: 200b883a mov r5,r4 + 31fb0: 000f883a mov r7,zero + 31fb4: 000d883a mov r6,zero + 31fb8: 0009883a mov r4,zero + 31fbc: 00320741 jmpi 32074 <__register_exitproc> -0003169c : - 3169c: defffe04 addi sp,sp,-8 - 316a0: 000b883a mov r5,zero - 316a4: dc000015 stw r16,0(sp) - 316a8: dfc00115 stw ra,4(sp) - 316ac: 2021883a mov r16,r4 - 316b0: 00318680 call 31868 <__call_exitprocs> - 316b4: 008000f4 movhi r2,3 - 316b8: 10915304 addi r2,r2,17740 - 316bc: 11000017 ldw r4,0(r2) - 316c0: 20800f17 ldw r2,60(r4) - 316c4: 10000126 beq r2,zero,316cc - 316c8: 103ee83a callr r2 - 316cc: 8009883a mov r4,r16 - 316d0: 00319e80 call 319e8 <_exit> +00031fc0 : + 31fc0: defffe04 addi sp,sp,-8 + 31fc4: 000b883a mov r5,zero + 31fc8: dc000015 stw r16,0(sp) + 31fcc: dfc00115 stw ra,4(sp) + 31fd0: 2021883a mov r16,r4 + 31fd4: 003218c0 call 3218c <__call_exitprocs> + 31fd8: 008000f4 movhi r2,3 + 31fdc: 10939e04 addi r2,r2,20088 + 31fe0: 11000017 ldw r4,0(r2) + 31fe4: 20800f17 ldw r2,60(r4) + 31fe8: 10000126 beq r2,zero,31ff0 + 31fec: 103ee83a callr r2 + 31ff0: 8009883a mov r4,r16 + 31ff4: 003230c0 call 3230c <_exit> -000316d4 : - 316d4: 01c000c4 movi r7,3 - 316d8: 3980192e bgeu r7,r6,31740 - 316dc: 2144b03a or r2,r4,r5 - 316e0: 11c4703a and r2,r2,r7 - 316e4: 10000f26 beq r2,zero,31724 - 316e8: 20800003 ldbu r2,0(r4) - 316ec: 28c00003 ldbu r3,0(r5) - 316f0: 10c0151e bne r2,r3,31748 - 316f4: 31bfff84 addi r6,r6,-2 - 316f8: 01ffffc4 movi r7,-1 - 316fc: 00000406 br 31710 - 31700: 20800003 ldbu r2,0(r4) - 31704: 28c00003 ldbu r3,0(r5) - 31708: 31bfffc4 addi r6,r6,-1 - 3170c: 10c00e1e bne r2,r3,31748 - 31710: 21000044 addi r4,r4,1 - 31714: 29400044 addi r5,r5,1 - 31718: 31fff91e bne r6,r7,31700 <_gp+0xffff51c8> - 3171c: 0005883a mov r2,zero - 31720: f800283a ret - 31724: 20c00017 ldw r3,0(r4) - 31728: 28800017 ldw r2,0(r5) - 3172c: 18bfee1e bne r3,r2,316e8 <_gp+0xffff51b0> - 31730: 31bfff04 addi r6,r6,-4 - 31734: 21000104 addi r4,r4,4 - 31738: 29400104 addi r5,r5,4 - 3173c: 39bff936 bltu r7,r6,31724 <_gp+0xffff51ec> - 31740: 303fe91e bne r6,zero,316e8 <_gp+0xffff51b0> - 31744: 003ff506 br 3171c <_gp+0xffff51e4> - 31748: 10c5c83a sub r2,r2,r3 - 3174c: f800283a ret +00031ff8 : + 31ff8: 01c000c4 movi r7,3 + 31ffc: 3980192e bgeu r7,r6,32064 + 32000: 2144b03a or r2,r4,r5 + 32004: 11c4703a and r2,r2,r7 + 32008: 10000f26 beq r2,zero,32048 + 3200c: 20800003 ldbu r2,0(r4) + 32010: 28c00003 ldbu r3,0(r5) + 32014: 10c0151e bne r2,r3,3206c + 32018: 31bfff84 addi r6,r6,-2 + 3201c: 01ffffc4 movi r7,-1 + 32020: 00000406 br 32034 + 32024: 20800003 ldbu r2,0(r4) + 32028: 28c00003 ldbu r3,0(r5) + 3202c: 31bfffc4 addi r6,r6,-1 + 32030: 10c00e1e bne r2,r3,3206c + 32034: 21000044 addi r4,r4,1 + 32038: 29400044 addi r5,r5,1 + 3203c: 31fff91e bne r6,r7,32024 <_gp+0xffff51b8> + 32040: 0005883a mov r2,zero + 32044: f800283a ret + 32048: 20c00017 ldw r3,0(r4) + 3204c: 28800017 ldw r2,0(r5) + 32050: 18bfee1e bne r3,r2,3200c <_gp+0xffff51a0> + 32054: 31bfff04 addi r6,r6,-4 + 32058: 21000104 addi r4,r4,4 + 3205c: 29400104 addi r5,r5,4 + 32060: 39bff936 bltu r7,r6,32048 <_gp+0xffff51dc> + 32064: 303fe91e bne r6,zero,3200c <_gp+0xffff51a0> + 32068: 003ff506 br 32040 <_gp+0xffff51d4> + 3206c: 10c5c83a sub r2,r2,r3 + 32070: f800283a ret -00031750 <__register_exitproc>: - 31750: defffa04 addi sp,sp,-24 - 31754: dc000315 stw r16,12(sp) - 31758: 040000f4 movhi r16,3 - 3175c: 84115304 addi r16,r16,17740 - 31760: 80c00017 ldw r3,0(r16) - 31764: dc400415 stw r17,16(sp) - 31768: dfc00515 stw ra,20(sp) - 3176c: 18805217 ldw r2,328(r3) - 31770: 2023883a mov r17,r4 - 31774: 10003726 beq r2,zero,31854 <__register_exitproc+0x104> - 31778: 10c00117 ldw r3,4(r2) - 3177c: 010007c4 movi r4,31 - 31780: 20c00e16 blt r4,r3,317bc <__register_exitproc+0x6c> - 31784: 1a000044 addi r8,r3,1 - 31788: 8800221e bne r17,zero,31814 <__register_exitproc+0xc4> - 3178c: 18c00084 addi r3,r3,2 - 31790: 18c7883a add r3,r3,r3 - 31794: 18c7883a add r3,r3,r3 - 31798: 12000115 stw r8,4(r2) - 3179c: 10c7883a add r3,r2,r3 - 317a0: 19400015 stw r5,0(r3) - 317a4: 0005883a mov r2,zero - 317a8: dfc00517 ldw ra,20(sp) - 317ac: dc400417 ldw r17,16(sp) - 317b0: dc000317 ldw r16,12(sp) - 317b4: dec00604 addi sp,sp,24 - 317b8: f800283a ret - 317bc: 008000b4 movhi r2,2 - 317c0: 1089a604 addi r2,r2,9880 - 317c4: 10002626 beq r2,zero,31860 <__register_exitproc+0x110> - 317c8: 01006404 movi r4,400 - 317cc: d9400015 stw r5,0(sp) - 317d0: d9800115 stw r6,4(sp) - 317d4: d9c00215 stw r7,8(sp) - 317d8: 00226980 call 22698 - 317dc: d9400017 ldw r5,0(sp) - 317e0: d9800117 ldw r6,4(sp) - 317e4: d9c00217 ldw r7,8(sp) - 317e8: 10001d26 beq r2,zero,31860 <__register_exitproc+0x110> - 317ec: 81000017 ldw r4,0(r16) - 317f0: 10000115 stw zero,4(r2) - 317f4: 02000044 movi r8,1 - 317f8: 22405217 ldw r9,328(r4) - 317fc: 0007883a mov r3,zero - 31800: 12400015 stw r9,0(r2) - 31804: 20805215 stw r2,328(r4) - 31808: 10006215 stw zero,392(r2) - 3180c: 10006315 stw zero,396(r2) - 31810: 883fde26 beq r17,zero,3178c <_gp+0xffff5254> - 31814: 18c9883a add r4,r3,r3 - 31818: 2109883a add r4,r4,r4 - 3181c: 1109883a add r4,r2,r4 - 31820: 21802215 stw r6,136(r4) - 31824: 01800044 movi r6,1 - 31828: 12406217 ldw r9,392(r2) - 3182c: 30cc983a sll r6,r6,r3 - 31830: 4992b03a or r9,r9,r6 - 31834: 12406215 stw r9,392(r2) - 31838: 21c04215 stw r7,264(r4) - 3183c: 01000084 movi r4,2 - 31840: 893fd21e bne r17,r4,3178c <_gp+0xffff5254> - 31844: 11006317 ldw r4,396(r2) - 31848: 218cb03a or r6,r4,r6 - 3184c: 11806315 stw r6,396(r2) - 31850: 003fce06 br 3178c <_gp+0xffff5254> - 31854: 18805304 addi r2,r3,332 - 31858: 18805215 stw r2,328(r3) - 3185c: 003fc606 br 31778 <_gp+0xffff5240> - 31860: 00bfffc4 movi r2,-1 - 31864: 003fd006 br 317a8 <_gp+0xffff5270> +00032074 <__register_exitproc>: + 32074: defffa04 addi sp,sp,-24 + 32078: dc000315 stw r16,12(sp) + 3207c: 040000f4 movhi r16,3 + 32080: 84139e04 addi r16,r16,20088 + 32084: 80c00017 ldw r3,0(r16) + 32088: dc400415 stw r17,16(sp) + 3208c: dfc00515 stw ra,20(sp) + 32090: 18805217 ldw r2,328(r3) + 32094: 2023883a mov r17,r4 + 32098: 10003726 beq r2,zero,32178 <__register_exitproc+0x104> + 3209c: 10c00117 ldw r3,4(r2) + 320a0: 010007c4 movi r4,31 + 320a4: 20c00e16 blt r4,r3,320e0 <__register_exitproc+0x6c> + 320a8: 1a000044 addi r8,r3,1 + 320ac: 8800221e bne r17,zero,32138 <__register_exitproc+0xc4> + 320b0: 18c00084 addi r3,r3,2 + 320b4: 18c7883a add r3,r3,r3 + 320b8: 18c7883a add r3,r3,r3 + 320bc: 12000115 stw r8,4(r2) + 320c0: 10c7883a add r3,r2,r3 + 320c4: 19400015 stw r5,0(r3) + 320c8: 0005883a mov r2,zero + 320cc: dfc00517 ldw ra,20(sp) + 320d0: dc400417 ldw r17,16(sp) + 320d4: dc000317 ldw r16,12(sp) + 320d8: dec00604 addi sp,sp,24 + 320dc: f800283a ret + 320e0: 008000b4 movhi r2,2 + 320e4: 108af504 addi r2,r2,11220 + 320e8: 10002626 beq r2,zero,32184 <__register_exitproc+0x110> + 320ec: 01006404 movi r4,400 + 320f0: d9400015 stw r5,0(sp) + 320f4: d9800115 stw r6,4(sp) + 320f8: d9c00215 stw r7,8(sp) + 320fc: 0022bd40 call 22bd4 + 32100: d9400017 ldw r5,0(sp) + 32104: d9800117 ldw r6,4(sp) + 32108: d9c00217 ldw r7,8(sp) + 3210c: 10001d26 beq r2,zero,32184 <__register_exitproc+0x110> + 32110: 81000017 ldw r4,0(r16) + 32114: 10000115 stw zero,4(r2) + 32118: 02000044 movi r8,1 + 3211c: 22405217 ldw r9,328(r4) + 32120: 0007883a mov r3,zero + 32124: 12400015 stw r9,0(r2) + 32128: 20805215 stw r2,328(r4) + 3212c: 10006215 stw zero,392(r2) + 32130: 10006315 stw zero,396(r2) + 32134: 883fde26 beq r17,zero,320b0 <_gp+0xffff5244> + 32138: 18c9883a add r4,r3,r3 + 3213c: 2109883a add r4,r4,r4 + 32140: 1109883a add r4,r2,r4 + 32144: 21802215 stw r6,136(r4) + 32148: 01800044 movi r6,1 + 3214c: 12406217 ldw r9,392(r2) + 32150: 30cc983a sll r6,r6,r3 + 32154: 4992b03a or r9,r9,r6 + 32158: 12406215 stw r9,392(r2) + 3215c: 21c04215 stw r7,264(r4) + 32160: 01000084 movi r4,2 + 32164: 893fd21e bne r17,r4,320b0 <_gp+0xffff5244> + 32168: 11006317 ldw r4,396(r2) + 3216c: 218cb03a or r6,r4,r6 + 32170: 11806315 stw r6,396(r2) + 32174: 003fce06 br 320b0 <_gp+0xffff5244> + 32178: 18805304 addi r2,r3,332 + 3217c: 18805215 stw r2,328(r3) + 32180: 003fc606 br 3209c <_gp+0xffff5230> + 32184: 00bfffc4 movi r2,-1 + 32188: 003fd006 br 320cc <_gp+0xffff5260> -00031868 <__call_exitprocs>: - 31868: defff504 addi sp,sp,-44 - 3186c: df000915 stw fp,36(sp) - 31870: dd400615 stw r21,24(sp) - 31874: dc800315 stw r18,12(sp) - 31878: dfc00a15 stw ra,40(sp) - 3187c: ddc00815 stw r23,32(sp) - 31880: dd800715 stw r22,28(sp) - 31884: dd000515 stw r20,20(sp) - 31888: dcc00415 stw r19,16(sp) - 3188c: dc400215 stw r17,8(sp) - 31890: dc000115 stw r16,4(sp) - 31894: d9000015 stw r4,0(sp) - 31898: 2839883a mov fp,r5 - 3189c: 04800044 movi r18,1 - 318a0: 057fffc4 movi r21,-1 - 318a4: 008000f4 movhi r2,3 - 318a8: 10915304 addi r2,r2,17740 - 318ac: 12000017 ldw r8,0(r2) - 318b0: 45005217 ldw r20,328(r8) - 318b4: 44c05204 addi r19,r8,328 - 318b8: a0001c26 beq r20,zero,3192c <__call_exitprocs+0xc4> - 318bc: a0800117 ldw r2,4(r20) - 318c0: 15ffffc4 addi r23,r2,-1 - 318c4: b8000d16 blt r23,zero,318fc <__call_exitprocs+0x94> - 318c8: 14000044 addi r16,r2,1 - 318cc: 8421883a add r16,r16,r16 - 318d0: 8421883a add r16,r16,r16 - 318d4: 84402004 addi r17,r16,128 - 318d8: a463883a add r17,r20,r17 - 318dc: a421883a add r16,r20,r16 - 318e0: e0001e26 beq fp,zero,3195c <__call_exitprocs+0xf4> - 318e4: 80804017 ldw r2,256(r16) - 318e8: e0801c26 beq fp,r2,3195c <__call_exitprocs+0xf4> - 318ec: bdffffc4 addi r23,r23,-1 - 318f0: 843fff04 addi r16,r16,-4 - 318f4: 8c7fff04 addi r17,r17,-4 - 318f8: bd7ff91e bne r23,r21,318e0 <_gp+0xffff53a8> - 318fc: 008000b4 movhi r2,2 - 31900: 1089ab04 addi r2,r2,9900 - 31904: 10000926 beq r2,zero,3192c <__call_exitprocs+0xc4> - 31908: a0800117 ldw r2,4(r20) - 3190c: 1000301e bne r2,zero,319d0 <__call_exitprocs+0x168> - 31910: a0800017 ldw r2,0(r20) - 31914: 10003226 beq r2,zero,319e0 <__call_exitprocs+0x178> - 31918: a009883a mov r4,r20 - 3191c: 98800015 stw r2,0(r19) - 31920: 00226ac0 call 226ac - 31924: 9d000017 ldw r20,0(r19) - 31928: a03fe41e bne r20,zero,318bc <_gp+0xffff5384> - 3192c: dfc00a17 ldw ra,40(sp) - 31930: df000917 ldw fp,36(sp) - 31934: ddc00817 ldw r23,32(sp) - 31938: dd800717 ldw r22,28(sp) - 3193c: dd400617 ldw r21,24(sp) - 31940: dd000517 ldw r20,20(sp) - 31944: dcc00417 ldw r19,16(sp) - 31948: dc800317 ldw r18,12(sp) - 3194c: dc400217 ldw r17,8(sp) - 31950: dc000117 ldw r16,4(sp) - 31954: dec00b04 addi sp,sp,44 - 31958: f800283a ret - 3195c: a0800117 ldw r2,4(r20) - 31960: 80c00017 ldw r3,0(r16) - 31964: 10bfffc4 addi r2,r2,-1 - 31968: 15c01426 beq r2,r23,319bc <__call_exitprocs+0x154> - 3196c: 80000015 stw zero,0(r16) - 31970: 183fde26 beq r3,zero,318ec <_gp+0xffff53b4> - 31974: 95c8983a sll r4,r18,r23 - 31978: a0806217 ldw r2,392(r20) - 3197c: a5800117 ldw r22,4(r20) - 31980: 2084703a and r2,r4,r2 - 31984: 10000b26 beq r2,zero,319b4 <__call_exitprocs+0x14c> - 31988: a0806317 ldw r2,396(r20) - 3198c: 2088703a and r4,r4,r2 - 31990: 20000c1e bne r4,zero,319c4 <__call_exitprocs+0x15c> - 31994: 89400017 ldw r5,0(r17) - 31998: d9000017 ldw r4,0(sp) - 3199c: 183ee83a callr r3 - 319a0: a0800117 ldw r2,4(r20) - 319a4: 15bfbf1e bne r2,r22,318a4 <_gp+0xffff536c> - 319a8: 98800017 ldw r2,0(r19) - 319ac: 153fcf26 beq r2,r20,318ec <_gp+0xffff53b4> - 319b0: 003fbc06 br 318a4 <_gp+0xffff536c> - 319b4: 183ee83a callr r3 - 319b8: 003ff906 br 319a0 <_gp+0xffff5468> - 319bc: a5c00115 stw r23,4(r20) - 319c0: 003feb06 br 31970 <_gp+0xffff5438> - 319c4: 89000017 ldw r4,0(r17) - 319c8: 183ee83a callr r3 - 319cc: 003ff406 br 319a0 <_gp+0xffff5468> - 319d0: a0800017 ldw r2,0(r20) - 319d4: a027883a mov r19,r20 - 319d8: 1029883a mov r20,r2 - 319dc: 003fb606 br 318b8 <_gp+0xffff5380> - 319e0: 0005883a mov r2,zero - 319e4: 003ffb06 br 319d4 <_gp+0xffff549c> +0003218c <__call_exitprocs>: + 3218c: defff504 addi sp,sp,-44 + 32190: df000915 stw fp,36(sp) + 32194: dd400615 stw r21,24(sp) + 32198: dc800315 stw r18,12(sp) + 3219c: dfc00a15 stw ra,40(sp) + 321a0: ddc00815 stw r23,32(sp) + 321a4: dd800715 stw r22,28(sp) + 321a8: dd000515 stw r20,20(sp) + 321ac: dcc00415 stw r19,16(sp) + 321b0: dc400215 stw r17,8(sp) + 321b4: dc000115 stw r16,4(sp) + 321b8: d9000015 stw r4,0(sp) + 321bc: 2839883a mov fp,r5 + 321c0: 04800044 movi r18,1 + 321c4: 057fffc4 movi r21,-1 + 321c8: 008000f4 movhi r2,3 + 321cc: 10939e04 addi r2,r2,20088 + 321d0: 12000017 ldw r8,0(r2) + 321d4: 45005217 ldw r20,328(r8) + 321d8: 44c05204 addi r19,r8,328 + 321dc: a0001c26 beq r20,zero,32250 <__call_exitprocs+0xc4> + 321e0: a0800117 ldw r2,4(r20) + 321e4: 15ffffc4 addi r23,r2,-1 + 321e8: b8000d16 blt r23,zero,32220 <__call_exitprocs+0x94> + 321ec: 14000044 addi r16,r2,1 + 321f0: 8421883a add r16,r16,r16 + 321f4: 8421883a add r16,r16,r16 + 321f8: 84402004 addi r17,r16,128 + 321fc: a463883a add r17,r20,r17 + 32200: a421883a add r16,r20,r16 + 32204: e0001e26 beq fp,zero,32280 <__call_exitprocs+0xf4> + 32208: 80804017 ldw r2,256(r16) + 3220c: e0801c26 beq fp,r2,32280 <__call_exitprocs+0xf4> + 32210: bdffffc4 addi r23,r23,-1 + 32214: 843fff04 addi r16,r16,-4 + 32218: 8c7fff04 addi r17,r17,-4 + 3221c: bd7ff91e bne r23,r21,32204 <_gp+0xffff5398> + 32220: 008000b4 movhi r2,2 + 32224: 108afa04 addi r2,r2,11240 + 32228: 10000926 beq r2,zero,32250 <__call_exitprocs+0xc4> + 3222c: a0800117 ldw r2,4(r20) + 32230: 1000301e bne r2,zero,322f4 <__call_exitprocs+0x168> + 32234: a0800017 ldw r2,0(r20) + 32238: 10003226 beq r2,zero,32304 <__call_exitprocs+0x178> + 3223c: a009883a mov r4,r20 + 32240: 98800015 stw r2,0(r19) + 32244: 0022be80 call 22be8 + 32248: 9d000017 ldw r20,0(r19) + 3224c: a03fe41e bne r20,zero,321e0 <_gp+0xffff5374> + 32250: dfc00a17 ldw ra,40(sp) + 32254: df000917 ldw fp,36(sp) + 32258: ddc00817 ldw r23,32(sp) + 3225c: dd800717 ldw r22,28(sp) + 32260: dd400617 ldw r21,24(sp) + 32264: dd000517 ldw r20,20(sp) + 32268: dcc00417 ldw r19,16(sp) + 3226c: dc800317 ldw r18,12(sp) + 32270: dc400217 ldw r17,8(sp) + 32274: dc000117 ldw r16,4(sp) + 32278: dec00b04 addi sp,sp,44 + 3227c: f800283a ret + 32280: a0800117 ldw r2,4(r20) + 32284: 80c00017 ldw r3,0(r16) + 32288: 10bfffc4 addi r2,r2,-1 + 3228c: 15c01426 beq r2,r23,322e0 <__call_exitprocs+0x154> + 32290: 80000015 stw zero,0(r16) + 32294: 183fde26 beq r3,zero,32210 <_gp+0xffff53a4> + 32298: 95c8983a sll r4,r18,r23 + 3229c: a0806217 ldw r2,392(r20) + 322a0: a5800117 ldw r22,4(r20) + 322a4: 2084703a and r2,r4,r2 + 322a8: 10000b26 beq r2,zero,322d8 <__call_exitprocs+0x14c> + 322ac: a0806317 ldw r2,396(r20) + 322b0: 2088703a and r4,r4,r2 + 322b4: 20000c1e bne r4,zero,322e8 <__call_exitprocs+0x15c> + 322b8: 89400017 ldw r5,0(r17) + 322bc: d9000017 ldw r4,0(sp) + 322c0: 183ee83a callr r3 + 322c4: a0800117 ldw r2,4(r20) + 322c8: 15bfbf1e bne r2,r22,321c8 <_gp+0xffff535c> + 322cc: 98800017 ldw r2,0(r19) + 322d0: 153fcf26 beq r2,r20,32210 <_gp+0xffff53a4> + 322d4: 003fbc06 br 321c8 <_gp+0xffff535c> + 322d8: 183ee83a callr r3 + 322dc: 003ff906 br 322c4 <_gp+0xffff5458> + 322e0: a5c00115 stw r23,4(r20) + 322e4: 003feb06 br 32294 <_gp+0xffff5428> + 322e8: 89000017 ldw r4,0(r17) + 322ec: 183ee83a callr r3 + 322f0: 003ff406 br 322c4 <_gp+0xffff5458> + 322f4: a0800017 ldw r2,0(r20) + 322f8: a027883a mov r19,r20 + 322fc: 1029883a mov r20,r2 + 32300: 003fb606 br 321dc <_gp+0xffff5370> + 32304: 0005883a mov r2,zero + 32308: 003ffb06 br 322f8 <_gp+0xffff548c> -000319e8 <_exit>: +0003230c <_exit>: * * ALT_EXIT is mapped onto the _exit() system call in alt_syscall.h */ void ALT_EXIT (int exit_code) { - 319e8: defffd04 addi sp,sp,-12 - 319ec: df000215 stw fp,8(sp) - 319f0: df000204 addi fp,sp,8 - 319f4: e13fff15 stw r4,-4(fp) + 3230c: defffd04 addi sp,sp,-12 + 32310: df000215 stw fp,8(sp) + 32314: df000204 addi fp,sp,8 + 32318: e13fff15 stw r4,-4(fp) ALT_LOG_PRINT_BOOT("[alt_exit.c] Entering _exit() function.\r\n"); ALT_LOG_PRINT_BOOT("[alt_exit.c] Exit code from main was %d.\r\n",exit_code); /* Stop all other threads */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_OS_STOP().\r\n"); ALT_OS_STOP(); - 319f8: 0001883a nop - 319fc: e0bfff17 ldw r2,-4(fp) - 31a00: e0bffe15 stw r2,-8(fp) + 3231c: 0001883a nop + 32320: e0bfff17 ldw r2,-4(fp) + 32324: e0bffe15 stw r2,-8(fp) /* * Routine called on exit. */ static ALT_INLINE ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) { register int r2 asm ("r2") = exit_code; - 31a04: e0bffe17 ldw r2,-8(fp) + 32328: e0bffe17 ldw r2,-8(fp) __asm__ volatile ("\n0:\n\taddi %0,%0, -1\n\tbgt %0,zero,0b" : : "r" (ALT_CPU_FREQ/100) ); /* Delay for >30ms */ __asm__ volatile ("break 2" : : "r"(r2), "r"(r3) ALT_GMON_DATA ); #else /* !DEBUG_STUB */ if (r2) { - 31a08: 10000226 beq r2,zero,31a14 <_exit+0x2c> + 3232c: 10000226 beq r2,zero,32338 <_exit+0x2c> ALT_SIM_FAIL(); - 31a0c: 002af070 cmpltui zero,zero,43969 - 31a10: 00000106 br 31a18 <_exit+0x30> + 32330: 002af070 cmpltui zero,zero,43969 + 32334: 00000106 br 3233c <_exit+0x30> } else { ALT_SIM_PASS(); - 31a14: 002af0b0 cmpltui zero,zero,43970 + 32338: 002af0b0 cmpltui zero,zero,43970 ALT_SIM_HALT(exit_code); /* spin forever, since there's no where to go back to */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); while (1); - 31a18: 003fff06 br 31a18 <_gp+0xffff54e0> + 3233c: 003fff06 br 3233c <_gp+0xffff54d0> diff --git a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c index 6509001..bfe2481 100644 --- a/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c +++ b/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/main.c @@ -22,8 +22,8 @@ #define EXPOSURE_INIT 0x002000 #define EXPOSURE_STEP 0x100 -#define GAIN_INIT 0x080 -#define GAIN_STEP 0x040 +#define GAIN_INIT 0xFFF +#define GAIN_STEP 0xFFF #define DEFAULT_LEVEL 3 #define MIPI_REG_PHYClkCtl 0x0056 diff --git a/Vision/RemoteSystemsTempFiles/.project b/Vision/RemoteSystemsTempFiles/.project new file mode 100644 index 0000000..5447a64 --- /dev/null +++ b/Vision/RemoteSystemsTempFiles/.project @@ -0,0 +1,12 @@ + + + RemoteSystemsTempFiles + + + + + + + org.eclipse.rse.ui.remoteSystemsTempNature + +

    nl$0N*41f4_RUZ5m^~bv;&1f$J5ZZJ(rbp*U2$!lz(SO3_b*Ft zD+bUJhnizRX3p;ikhSi$Qd(orWjOSsGF=nIH(7Tcg!_MP;f!n3i=_miy*LJNAw5tA zF~L0#`Zfq3A+Aqx(7Tq5;lf4+jNd;xYwCgqccs|JES3j=_SrXUcvsg}nmrRUXNO{-6oCiIUp`A7>is{qG)Q0Bwq*2oindR` zMGS=*AW6458MLCET3hrfjszCFB;fitzKdMD8hgE)3lJY)TX}n;F!}rn-RGL@SLoN< zp_m3VZkj_vnP$+52|J`=$QpZ2(PAHI>R13g>T8Au?SbhV#GcbJzeF4|FsM5|o4_F2 zO;*;xcUUech1{lV1_rLPN{8dN*sGb9yDjrbbD#J8o8f}moL7o;Kt=7R)u}sro8cfE zy*0B#Hw1Dl8NA+WaydFan?3H=acEAFba^DBuIk~yTO&1tjky+R@sAjFxh$ABjjohk zgQM_l#DpW(KC{V41+mRes^Mhm#qK?q;M6}nbU{aUl)#;A@NBmchOR0wq|+E$WobV0 zbxYA5)M||y_O2R87OnPgpO>M;hsJc5En4zg5fJ-Z`|`GDLzdamTN`@DS47RYHYu4$ zfI9HuTLC%0hpva?y(SPl7c?(_ZNWrs7UevNT`wyTL~XU?>^XINqTxE=A+uog{DiJ$ zIvv}Ah}ho0u!41|c7zZ+&J9&Jd%>ZF-;NS51~+c-KvCILB*SM^+lGlOK$rm*b%5Xc zfTY6wS&uYBGj3kLe--@;`7lAs4Mv6?nN68Z%Bn|OS<1wXnp`n`B9dNkj{fJy~g zd?EQC@lYvZMYjzVFEsZP6>BsO++YrsP!wsj-aPJKBMlYGY08YrBOW#0ZX-%mIrF^UvB^nh%O~cI5=&51ZV<0(^ zoylWSQbn6HF-FlCEG3-P;eV?HO`_a7%%GAcI)R#@QDeETE=pqwFy?#c%m6QRZ!Nki zAX67C(YF#-3R{w2%~KBzR0G+mZ9)3}SztfD<<*`LxcwVP-ic0}i+`a!a2_sockr_Y zbMi!f7UpM<;0o0?IsEq%1mDK4#1~}X>vU8%KTj9zVgoFe^Exyk>P%M)t1*GohZS+N z6%x;3!=jSH(jI>g5F_>|i-j(O3g`?OgHlt4x?#~hs?tS55 z>&&EiIeeNLrF9Qf1i9<9LGvgJ7aT+H1%%A?9t;-&7u&Dm3HW?mCbH|H^P z+N5RhcKj2u&#Z?rBoYLE-~5gkMbWL$+a60Ak&}dISzT~=G?fDXxsfT#^6IW6K8sQ& z5blZoP$}Tp!nQTGL@F2sGd?l)5k23P^af;8|C!} zzQQ7gfD!`*Ke4m#?>0WY{+zmj7t@V@$Z?0vZWcM=)8`s~f2 zQ(Fm~#Ll%pz}qm;-SB)L~WB1OGBS#2-s}`7Z=EbJRXvjHd$e|R-z2;}2AZ5xX zQrSxED9FKQFJJ_s56R?Yqn8y*&G0_D|C1yJRq?xgp8IKNsuf zZ#FFeqq;9A=4^S*Xr(aB#;%+j&!r5jo$BVpZK`C@_y?`{<}0dQ&EoEi__68;kbjT< zY=~pAv(u8F8pCK^5$qDopRWAd)h~lg|z;J|8uDF*o0vu6ud^1@l=A zS>0RE9W?~J-3U3JKnKce{b1n?4BRIm?B~v89GjlByF0#Na)@vYuG(YS zG{uY|oNeFbPDl#VsGi+5!vFIAxa~)~@!E*{$7>+Z8VLXO%Hx1LH#(`SjrtkTk7=n? z_EYNR0dO}usG|6u8ur}0!L7X${tRD0JcY7h+qIndL+nu7Of1z-%)n+?+pi5*r|m%8 zN+Q%QJcHfr)1c;X&+|^KCS}yJHCHcNf_J-JEw1R@S@h-TJ}02-3w2A;(cYTLGD`8? z%x8`&jp*(3e-CQ;PM=pf!I{kM?So#;*{*#3WolnxH31BT|E&8ubLPUQ_5*8YGNV1l z=HL0(&;DnVpG!5p{GtoQAFnpx6I_u`|0JTZrTQ#A%C=C&`cdv4Uvr@&y1Z4YK`t@Q zD?#@T=%-su!ek65s?VRPhGcYtGxSe!%}4&iinm^?l(7@ucq#Mv9G!TJ z^-1#Z9NzG`NjR#XUfHPvAyXWAVihL%LrPMW1L@tvJ)H?&R3-;NsZ(TO=s_rbZKN#F zqu^S<-SeF=J2X!*f}b*%^J~8T{r*cYq1@24^27}dN~PqYpu`ke%2S~yx5LZ+Ev*bk zA3C9qhuFB#iGuN*1I@^#$*-r)HsFG1QMf_Tf|Z=ctjIMgr>Cwzzz{z zk(&4CPuc9j+3&3J!eRvXISW{kx&|js9~|cA7ZQ5EJC_{Q0&kH9MNZS2ozxQN6Gspy za#NkT+24ZjS;eeRj*QJ8ojYN({A`gRC5iII2?^ znF}6q;E)Rr2*m!udn6W-SM_5ESikPxC1VdOz zSh8q`gk)iu6DlAKfP}3Gz3((=4nW5gfh;5z5eHZ@1lbUiQ^!GsgcMQ#2Y`SjqQ?9i zEE<|1a)K78AS7iSof?r+6B1U2kb)Gk>m>qQ3<2gvvegEmMSJsmBRh zm_#aVh_x19cHd2_`pDSBw4L0f+;zkGi~!CRE>cHObN6MceI)RfX`!*>S2b|`96 zeSzDrz%EKi@r%o$e!>VAD4`Kq(Ho-OyjYk#A%T?Bfd1VJtS|z_>sJ&g-T@J_{xi33 z5iM?BJ`}GiJ;wS-qR>cN>F4zRM<(CT#KDUs!$veNa|(or-aaBIWFlREK4f4`nC6S> zC2c4dmnlB4V+=Yh3oYMtC#f=jYPtumG8$!f>IC%kr3l3Mrb=djLoJ$8P>VB*MEy|I* zr?|gbA&?A+oem+@K}d^{kXbJ+iXR{;UdUQMC%6)1u?lCuJG)E<)NM z*)_4d=(Jv(eEALVLRb@SI|Y6*mO@hll)SD=lTqWuND#IN+8 z0DdmJadRavPhiXt{ZNyvqsVC^RDXj*UfG3|0gYvFp$zn>0aK z+_A&Zf`uaiOpLL$P~~KH0eLlYE@UtXw0muJY$@fPGl8>!P+b00j|*qG3)_U5ZC5$Az;Vmv+w114rFu zou4K-juinQMuvxSSk?TYp zA9wO{C^*@T5ea*IpVS9jZtLK;WOFOG7rR7k==ehuxgP>fEVd3Ocx)16u>r@^(fSA- zNRE8(V#C4<|9QiD2EXaR0vG@J01s9xsPjU5%bC+zMukml>25(;=&}A!s1b?XJWG7` zjZvF#FI{V+t9_qtL#+PjZ^Ns;VPPXF{-|K{r*yQ3a+W^GLn<35!%ZX`2k63=jg;=e zk&S}l%am;ctIQ|?Zd~LjzGR|WFZPXYM3;~NPo(VM-v>jMr@^pB$ z*|XpWmX|syK{yLzQG^TKSYFXpu|gAz-B~8AO|ifeN<3KRrCqS#!%DtmenZ=oG=j9C>T1XYrBSjL2$ITI7=kTl8!pOV^If&q@1Cr%=K zVc1w5UE~|6jNBHACXZNaV=ExsfJ3MtfJvy0Dyu{2AcT}GjiP%2Ob{R_mB)z9rEs-! z*duDmY3&hQY19v}IYp9xv*4qP5r!(8y{*BahYLZ07vq=%n)1as#Ts*KJ3ErEBWw_B__P!R@_37k1b zl2gs2%+S@;)N~srRN?5yO{uK+iro$*N7B;`H*Kk>;oR3HL16lxsDl3wVQ(1~SM!7q z0>Rx~2Pe1%clW^p!QBZi!GgQH2Df0rogl&8HMqNk;Or&uZ~uFCzwC!OxBKbpuBxY+ zKFpo&>eF^4|EBX!wdX{~jo>tuFr*1Y`o3_BVC?OF993KXtJ!AZi1*5}LA=hJS?7Ds zkT@?3)BF^K3RhF)s90{~mOl$g-ho7oLj7P>ZsN&^(cC62eu|Txr`L;<9uaIzN_#p8 zEJBi+vZ4>T8S*R|Wh0=vOTbA6l8h2eq7OKtQ!P>uThgn<{1*8c_U;2Z=~EgCG@Otw zM=nLoEV)ds3L2|x_kaZ}<6>SqB$BH-Y;OQbTsj}AD~22^4vfs!7BTm6j~1o*#x)hW(~rQ6_qL1VLN93d$V*YAN>GTt^c{6w zfQ$-0lOcJ;&|jBA|_-f*_1;$Soz%pU8>Pq|ga3 z9gr#TQKc|4HqePF;1Q)TdvIZbse>peEyeK3vuJ}h{lmquhy&=MMg8-+28m&vgGE^J zwJo8cB+@jpgK5N$)J`l$u%@Cf2JVKB#ljjbE7b{i?BR510 zg@@{o4@52aZz5by9($eNkhL@6Z4mUIvNDm9{uL-Y#G@S`& zWn&d`eB$t}}IjG4|GMBaTMMvoay2`$*@!*;Av!Eb|Q2G#(V<0NG~ByAqs|e*21>>>(N=o6zt&wU`8Nw%UB4Y0?voI zfXogwbm(=|kQ9^Jyx0Rq8kxPr6HW|{$p*FRjSRv=aoEYk5WVud=*H}bEa^QWvZ9mq zYN(PETt!{uG-W(L(nOF{V;+X4*qNX_NJw@M*FQreiJ^OQ>gURqPZ!)Hj%5lT(dl~4ue zg99kw38`VTa=>C=hX@d$0y4l2urO#5htS1lZ_Gs%3PvP=n?f*a#u;2`K9z zM1PrP6ojXFdqJZlS}D*P-H4DQ(!hz58?xia_?B@$CuFavUs1P7l+DV!eW3`)({@Ha zO|T-1XI_-T?w5q}-_v2o|I$c(Z^pfl4^_a*#K4F+k>AtvgOLH7b08l|jGd7IpQT zPBvo$PxQ z0{%r}3Sa75DGexC5Nt#-h=l{4#Sf-yNP&VO?Ig=7>2&Th?!49SXi)_MU z!oX*cfj7txF<=lANTzZ(1~HPsTJ{nJ=oy4lhI#22D7ZQJY=K4|7#a(8Rv0*}Q8@Q@ z4{L}_3EdReAai1THc)pS8zlreJ{Jg5%$f2FGCnV;Tcnnfohj}n3>s=I_JGY0I=oyQ z134TQN-BPk+<`b86;die1|6L-yqqhF2CO8DsGJWij!rFy%%hec1ffQa2@U-*-x?(X z3ws7(G^KQ<_W)DY3)>*y9*t7LG&|H-ffE8?#T+t#V~A5ak>>suex7P3O)2xhU!+|Y zH9#cGl$F?7)ozc9zo~3i)-7DMCGq4&EP=Lm5C4{GMkrso1~ozKn+*BnlPs*baxPWZ zsJV)|AvU69u;iwSx)u+nWN?5vSY1ShP!c9^2kgSi1Cq4DOOc%_3o2H1F|=fsgbSfn zgRsQsmRx$Z<&z9Y-d3}>aOb#eMl@rrK!495!rmJI5d>!96CvCW5DkiF;gjG*dIudi z#m2`<#ZoYbBs+OfGpT@rjx4o=??u+V_Or&2Dh3y6VDD?LVSNlLV)r?|k)cGaXRR7O z83#ka16yD>FF6Np8uGL#g%$-RGTj5)m@=y}G(-S~6dyUZGf)7_Eayl$%A!-@mlblM zb~BebOmZf|!gqftQ#t6vLdWP6DfnNO zP6DtUu~!9BiBz5gUppBYG-^@+|u@Fp#>xTk(;~e^1XvugHLk5Gf~! zL(j?}MvyPFQbCW(u#ZSY;3A<*QJyiPIOHV3j!{gcG#cVWK@^ZvRhB1CF8(FTYUM;M z5DyeCEF+ZqXDq*vLq`_ZAj{|P8d(x&V8hAh?TV%$XJDW!O^1S2%-(eb?L{3m4fdrINAzdz{(z|0E{-ReS9m8!Gsc-_I<62X zn>)&B$=R>)PKIKX(*e746hb<8#N{?&kv%Yivvw3hZhIIxSE3v_B*J{yW!p5AZbtU& zrRo29&(7~N21=(_10}SuBZH!tW(vfsau{2r^%Ck%7-qL=d-F z&W$6lQhX<6xRPWU^Z7gXda*u=dcR`FPFYswmn#bG4}$0+?rI=c4C;C2K(Fg(iitvu{Nb8KsiT!-|c{SdGDq0 z<9RW6pwm%?$EE5MMj+A0pg*YX+wabIe)5-+Wf60tjiV95>7Q<#Vv|Y0>ebwE;`Q&j5*YSZZaWP`hqU95F4Ukq139G9cN8YF z(u&8_Z{B$3Re;yNU$|p?ZDRR$f9Tf2h*|YPRclqV1{+ajpOO~yllDlx`<^*B+ z@zeLnq*mI2f!Km#_osUv&885QzjBPV{_CBGk9gfRf4Ft+;96e;(N=NQM{}Dtooi-d zAGSsQ`bk{a)A9w^2K%hFVmiN${X`S(9*dJEyLYQ8h*9Ui=}s@_I>}|6BOG9r&+Nh9 zRaZOV4KHN7)1}%BWEF6%C5S0i5`bLkK*ER!wa3S#qay6IT66j9MA4^NbsFlMe;?c5 zAz9_~8n7Vr60&K%iKQ!lr6{mB6TKSRwSpjwH5BKwJtQ_8Eg~h( zC#fm2st)=CG5_=}8tgQoVo}kdZImpl;lTjN#4rj6x*$uVl_YF7(-q_V5D6XQ9dhZP z5@-m}a?li3G2tN0F{^k9R0smalaI7Q`5u;X;S9IFF__vXL>2`|8(4fZIoL*~tTJFJ z*mopWwkvIx+q@D)kKGc|#9a1$;6zE{FgRrl`i;)CoAfXf$+pQ7wI5M83Kq6hfR3zwVl`elg3Um}jmv2uWQR-X} z#>evS(Hcz$LJNom9o+x|VIWnzcyM18lVC&~Ie9@C2^3qzAh)y>M%&&F9SG&?|HL9d zM_K=dOE@h9zp0a?VuJ@utVv=_&_|Xlft2vUec?rPTR}vUSr`AI#*`6* zfg1&3SYH5%|9^E#2jD)0_Ypjs5*W0jbfBf2H&vx?I?*Mwn(4Og0lc1WD+y4*UJ#}x zh3#lfAHlOLg;CP}AG#a{x+1>=%A|lqewezBDfSN=`B)GY{2-lis7Ms3cI?ezUfu|q zcYs-HfLX&4ASGUKA3aBeOk;@@hAgr*c6=YX1V#ySgohA-GeuZB6oiEcM2HAL5iRj- z;inEPO%7mA=`hlbfbt7K zIWh~NeDfEeyd6;98~G+y(-R3x3rP1yntEA##P{Wf;K?G3c}zKM{<=nBA*{dHiXzg_ zVv~*FfR%ML4=?1|PQbPhNZ5*!SIt@?r@tci0Lp-`Z2$khasa;eM}Wq8z!1 zd>1BHOw(_4`LdCq@fL7j%Rgo(>Kvi3O1E{% z&Tmt=*<>_7|1St0QMGExZ zNL%MOs+CY#rXlVwwz5d|v+8;xos~Qs$B|ipNxLZtOj>B1V$=bfG+F*bbWXGPuCovs{zn;I&GrScEj^ubwaE`{_PX{@pRgGj`(o!Dw>8^tJOIcZFiNUH z9s!uCxgXnZ@aLFkfF%yPZMg^;l0fpJTyHOfvH;$`VFVeNq=3XK7qt{d7GQAQvRSzx zS454!=166MH;v!NQ zfJDpQAYFhCKp9}!0brRXK$Y5mRYvu;o43!K!5>er2qZD;{~NKS)GgdvIU0oQ$_(bI zpcB*ojX9TvBYA+R!~QQsr9}gD)`x5npT3;rr~Ee^tp5vy7zokqv&|((?Y|>hzl4gzq5m+QatVc#0@tDeQ8i^@#6m4w*9qD zl%A6Bw@E&^kv=vw??IEhTu%0i1hT6DhtRP|ShXH6-K|xry2qJ4u^li9J*Uzjs1=4xh7(GJYjB?L=_W$@I5STDiSuUU+m>n-{{82Pu z9If*E<93I7l?&^CYaar->S~22$%bF6_WJlvI4YBAv|_x0g)~Cwz{*;pH&_~-M|aRv z!kZ-r53f6PTvC9?TjW))55w+9({bd%=&*;u-{-%+2OFPWXO0YzPohS~{wv`Zl-5hEz6RD83KE`Q;x!)t!ZrPB(zoe30XM%v$^~A;kP{zc7(udoAeZ(^<-ObS7!nt_^MxZ5No){D+zXMAcjYf>ge6Z z9gjg%8253LN?-cxWCqV;6T~5oA#!Tn*ifY5%5SEiBPQtdZE0qR@)?WfC%Dk{mgRdd zk2XD4vigT=N2brPz8kN6H;*4H&l(%paODTr&XLIB}=>@*R_!SuMM+ zS$eZ~tv$Qao|-@TW-x`xoPT=evF9p|6@X}V)gN+Y_F3Ir;JXJ8cuy9QIUl%o*~#lZ zuFOaM=}J_-h17wN_Z0~VxrI4vF>v&YV`-MWg1bxLZD~~ZQ!%*JqLBLOJr%3q)UpV13bJAXcL=&*noQ*4v=B8)&Sg-5t0FX0dU8dD%M{ z7uAJ(%c_Ds^ps*eW}ZQ6jnX{3UxL)WlTw6Ag`=+`RlN=TQBC#*;?+sJifN9F6aOW* z`w9-FQ5d*vQna^RcrXwAF?LE3L zn$FnQme_t9?AYJ;(KL_wiOd)iSO<+44pRm{Erj={v*V@}r{mqRDXw`}A9(NM{TLL( z*cbe>_L~vH^<^Yd%P_OeRb|aJ&LU#)7-9lf_S4LQXmk^*b-U}vUA%R5pn$lTK3tH^ z=ym1caqhSdoa=8shjx*M1<@P=^6GazlI{2NH?9r|I&l4kJGRdKd=8JBK(8l$uN_yB zW;yotj80S~&xt@apxfti4KI?Nbqz|OpPA)bzsZftK-kYD%^&K^J5aCAE#ns;Uj&(C zZ65e|Mk?Q_>$>#oz@2pzz73cuyW{%Rk6(5g7%-!KUo^1^b@h>FP9N_6gA-u+t7c3g zmk&wS^?WxkFyLPmh7MG!&-p3~kehbcvNl(Ii(T>d0@TR_A9CjRlG3&~*Z_xS5?8QNom-#e36ae^(=JX?D1toy{}ha+(i zTJBOAND1W)O0^jMh%1FKL=%!%W?$jp+>g5wjtw&GV*WzzC^(zvc=C-9>u<8Hr5s>F zJ&>pp+?y_-Tb{*^9Ej8(~D@L#TZhBxVE5EVtV5U{&?YgP{hY( zAUf%^{&H_0i_afqr-k5w;JmIf+9&F8`Zut9U}T|#xz@FrVf!A=3zB2b;W*3z3fb4-6c{fP6Rq|)kI)LrQ!+`l*tyYt+e9HCyKd&+BS|H?eCx{mFH2r`nmuFI(vTIBUqjLit}4koV;6#AtWwVLr_* z6ov28y7Kp>oskkxf&u zF6<=1&p$SeLy1KT=|X5f_=ogj1>QdUt=GR(~`Y1q7K*ZuN%$-JvZ|Kmvoy+Wv(1uGF&V!Llf$FFf z8+aj2%w!tM@uzGk?|t{v|8`B(iyyHZG==0j3k$})N)Mp4v4tqO78n!8+Tcdb<_=Zf z#Q2+A$8ovEq((}P5)@~7^gXP_%v1RG<&Q~c?jAW1(fnpH2X>DgPkH}MX8jAE`a&hN z6c%FSE?H^9Pr74GMx!Md1M#UsXbf7N?%@lUPL+A)IokLA!`TPUPs%!pvNGx+?@uQ} zDg5}dX=Wx09`B1gK8kqXdU6^lH#pN~<$r(FGP=S}_n?OjvE+b+^V=srEMZ!B5bT1s>txY=6usNEncQc1H;Izhe;lJnmlHeUj^vsDh za|ANWk`=!)EIW#B8h?hF#5zJyP|@xRx)b_MFoz~8rbSAZke8!|-;_w@U-I9X>6P~F zDesZ0W5`nHzK{L=2qH$ymuOIkYSC__d#^X2@rVHl7 z9dx>o-6RlwD{qLS{Iq(|%8FsT_E=(!?4w{?6++>3JW6os+2IstVN0pB8ro(t1Rpg? z^8K;p$6)`cY#bGf(r;gXnvvj29QqJt3SL4Wo+G843kU{%8okbmCw|@;xSQ}{m$_Tl z<)OX*u4hn&;U|Ho^d~adQvBLKxHRCxUG83%rQ`u~xhdU$OQT{AvDJKzT>Bj6f#$ac zCo0MXBOFHF$R2z@6XU<%Rat5N9rGDomVba*`gY0(n++w6e5t;m5+}sDuM)=&|2KD@ z{E#eZU5pR#}JRCN553Oa9_|J+@k0!rmJ-P2tc1uM zFZHVWuE^Dw&fk=&0{)UN)=S^UQg=YyETInb>d`Zx2Eq zGj)pkvaxZv1Y=23*3K8X7s!s>o#<_x`>m9nL^B-@O-S9HxE-=81u7}$5fsOb~@BOuMoQ8&68J=OkbQ7vqHr>KN_#Q-qD~{QvWg-aRAXF>|e` z65B@tM?vrRJXao*Cxcd5xAZq%ns`e0J~1rk6RTpat|C0`o4{g&wqiFeJ&9~vkQKW;(En~=(4jAe+{ znyLwUNzLF=?bETqOf^{~bW&GUaqh)qPgSJzrL)czC}zYE-V_LM=aXe7_)=As{I88> zlVL7?pOf140@#otp`0WCC861OI!#(tqRxB?JKgkeaETxGm{Xdxr-aA1Q#7tbT+EL$ z|5?kJeIIwkW-O2@DloiF@i3BjgXc(5_~z!?}StQ%-HIsQLh)EuMANU8eeHuP0O(2P;KPeLYolt{F$`QaFBsqc8rgJoxhx zf`KvHDEybTt3YGGpX9`k?xn76EEmPJbxcf2KG7fQIcbiowfQ73Uxzh<_z+}Pm%<3? z&ho`#uw8x9$suu9wCv2eL~siIZCEyyKW=Y#VeT48qLCJy%Jh<$bw{Z&&i#ITpMG<; zq%y>x0J$|=UNl?2r1IU3>Rn%%hH{xkQ=aCt3~ZR%F;&rgI7)+3jOkaN215D z;von}>+GUgzPh~mrFdlY5>1XG&6$u-W0VBsN|o11pZ2+D`GgZ7LC^D!s?|*VJ+8U~ z((Dq2#`LjAOP8exsYhVF^3>C;e4u*QU)DPv=1+T;@Vm0q!I>~G`z{m8XiLH~IwaV|o5DK6E56M(1~ktkn7%`e z#6t~}LyeJR4e?`*)I$x6Lyd`J4e4W7(9CgA(SodgHCBfHZ-aCcoX@|{jbcr6d7cSt zeQj!7Y<31ywR7*kYDYRpdM1hYS<(2h|0qtU_2SIiPowqW_N)j^r1j+SJSa(|_2KiZ z7yxPlo;CYw3^xMLHh$VQ3^*jj{W+vXaif=;w=0f&U&>d>n2LRW@+ag`yn9XztqK<) zYw~JOEFdPXkIlzT_q`gNl?7&g2z+dgyJz%3*;zTb^?fX$8+!CyBC&ZSA#x{aN)O{V z7#C$9N$JW*!{T-h_nIy=?C^VQVYPsFVFo0yCjUTd?pb0`&rp1kt7P%-dR=_7M|yIg zqO~T2nd;j;$3lIif7xIux(s)(bz6_}TgE8rYM#!J*nkP1-^n|}crR0anNMotM6qCr z&F0CudsP|Ef$dRGBduvaPUz9um(uZLLX)UAB&)jJ80C|iP)`-|;ro+d#^5KUfa~=D z5LKDMVI4iX!^(a^gqKI+Lb>imwy!ez4On6R_v9ZcVLl+@m&7V+CJHWRsbu}w?zRzN+8kEc!7*73 z0^t5y5&EH~-AGQFKbrU=DtE~<+m^Vo`y-d1WmPWoGIW(j`|iBJ&czTuwajX$tdgnp zFv)2)8Yyffsq`obK{lTmELA_Ji{02KBiIk_ndOf-d8EhPkLsOq4(%PYUtVdqDu^iB zSD~0%Nmc!Fr5NdD8h`$T0Xf{jq%OjcKsVo^!xokxs&kWA2+^CXT^lUWSg{y57{qkY zZMlMwR;R}#>|Kcuu`IUeTca~YoDyL}ZC#UJO|v#HMrOlCs|tC?-LJw_4Od{deLu}O>-o5&`8cHtitJC~)>`7$pTzl^ zg0V~9X^GZya|qgS%+&q&Fqu$rwzhKgQkEA}JuIlu_Ze)g$&bZB%T$`*I5I0st#EOt zS)Yqg!hIYXR%6Okw2)@$q#Z8aTAGSHU#Ru;cDvaUcC8y=cDv!LE5jpVOzg3hXW`bO zdAFRRZ(E}P)j-{GXPEwEqw{6y`a7<1tu1#6Nr&x&hL9Ft_B50#$bTC36Vp9CS(W`e zrEl3o&;!IPHj=0Q{ane6mYTF5W0-^MREQv4B5^Q8Zhs|IsK?~4`Tv+P`kFN?c5&*e zz$ZVAm}C)l1fi1NE}ipf=|r4ABRvc*%N%!-y(OHxCP6kV<-)o@lm-z{fSR$CmRRR! zUetHe<$U2cwYhBYieoGwuzsdF(spQlEx0oH`loe)47THgpH=A zkAiJDwHRnlmjen3B<-;d2h-!w5`oWg}o<%CFwjBJuk_#)XPgIe4; z5GRQILn@IV^oKZFVm(Bf8OoHPlK9Wkme29Cbl*e}F-Z6041>;fsDk%rY$$qWWTEh> zotP4bORv?siP;B{sWmc59@rST9>n z?FAMs7Yp-h%UYc%>9*|1@zGvY!XvsQMwYZ|YVWpnN<&mfrF6XLuNC6+K2SnKu8-VB zP3+c3S=Gg@Al~co=fhyR$@i?sVqJde_M!9Y`xfBXx6MtO3)>v-A=9ro{!x+nfDZDWS-F)fV$SKzyfF1yU13q64&f}>x| z(K?|(AL^2s%pYDK{e)j#sA$fgZ}#8LeLZoeR{`JoX@&hr#};P3#kh4z`DHRoed}v~ z)ON|bn>lMbQ1%<0=eS(CRc>3~5)S^GfAWI}zuhO? zOK9IxM($ne2Q$0WZijr<#P9Gk6Upxlh@ZH16kc9Hdn>&*Mf$`~Yjs2&c4dG<;bVG)>h9KCLvnwE{Qfh7P$`e3_6c@`C9kKkTT^-sbHdZqbPN>DgNx0QEe?OkH~z@B$LJcnSJ9{7&vn4!KJi;w`cilcO){78h$=VV z&+gCf2%P1U)x23=A!0GU^#gAcD@Etd%k}OL#q6M*aV{ylx^7Ak%a$ZEdfUt$Q9OUN zuy3)DYrQ_p00&zn?WqHzA>Lu{WU7Ujg`%#XK~z^&O62bIGTwHF(h~4)CB)Qr@LN@? zyUCREjHfR8X${%SU*Q58%n_ESdBcsrp}P$$yz3D>>z1uQ-l+wZAT?s1@*FYY&uIWx zQDiy0jW6+9>}P%7%`)n&R6oPdtUDs+_{~N;Sa>z+wx6DD@$&a_RO`dv9U(q4CfaE! zH!25qtNo?PpJ-M@Ly%d&eKVA3W`-Vg(rzz3Z+G=aZ7A*?8hts)D!Yn97;>5p#eWwd zGwY9=CG9z3+($~IyEo{z8;t+@e0^wGryJcV5He}AdC0wa)L^rB>caJn2~~I^b=^(Y z_-~{(kCF8CGZHSQQO5aE(x6}$E!Gd{h06O|ojnU3#=9DvXOFb~g-y=a2~Aj~+to*p zxz>~Vk!>*?y(_nd_AYtX0R`)cA7=8lIefN?1gp`w_i%Tome+qS{+!55^USl%B=^3{y0WH&50|0l>EOoft#xc)q(dL^E=?Yy-pA_Evv86}n$crtf zh=bHrpx+ z=;UCFA>-$|H*L%i|FxC5hWU~8j*Jk)Oe5CvK*#abjOEOl`h z2aJ6&V4n8wlM%7fKMDaw*{&UrW2w7!b@qa5tLqwmlnv>@SZLBl$YjehQFeZ06TL3c z)1Qc8vA22;jj#DYdpScvPu$rPl-%Zoo&$3?e;*I)c<1hLLjsil(ly#69vSH({7cGO ztNE6Lvg?k`lp>bf)y8r3E}^z|oZ3p;OF-5bSF7wZT8wU98hlmUy)nH)jMKNy&&8Jz zYJ8^>`JXW33$&ZXYf|;`j2#;g{Ai;MJBlairRwuO)~{A5RwFam+=foz>TEZ|m>d@n z$W|&%`zw$;VMj8!MfaPOzb06w1k_*fX?EgkF)Rru+Y-KZ0|_%hw5g7Wm`ChNdi_>& zZtBe2vu0VioiNS>CCuB{MqML3mGc~ZW?2dBO7c=}ElFyrPDiRrBgVnUs%)9lg zXzZy@8MS4rEUnE^YLBsusgA&4MN%zMGwe!Ssg9qbwT8^H-tyB%sR1>ZZQXvWp~|w( z_bvn_yRnm}=2>#~AuNhpWfD!(yWiwoydO-JgH_Fj?q^H?4p5f(W-zFpMFRhu)Q+ha ztR|Ow(+v6F@1#wwnG5Q^WD+k5byZX{5RbM3SFf5emmz%eAa>N2@#NpuHsfg#NW*N= zNrih?XLt1lI1+nnZqhPWL-Sytf*p5U4`b!EhYHD z;Db)6K6BEQEpmkSDo5=>`h4#S&A2c8A>CJ`>XlB~p*{2;iIu}oU%zDNejiZ4^wccl zpCG4lI%J+}IHRA@vt0(qd#CQZNPKohJ0CxgC&MNjKiIyl0j?Z`iw$x1d_F8afxvTT zW&z85L=AO7jx7zP1WP#|De?2{l^HiMpk0;fajCmayebw2*cUVf>4%1DteDriX4&1v z71TZpzBqd``XtwHloa%ZUN(We^4I^)KfByqUGQrM zM@u`&COaIWemb-BPczi!QUBp;!Bk$A<)uA#xN_53=WADH=iD3ImQhFmCEeED6Om1w z#abA0NPqW#_efaWVuu?h!@lBxtF?%!X!qyi|4d#oK4XTmqwZOr1z84CPG=)0D;yZZ zV?E-0;cL@T-WSVhV3Qa7q--ohkUM6ocH5>Pw)CYLEh1U9@UJq2ywuk`4^;d`uA9set833{lHb+)CCm~ z-0>BmVPi{s2t$P+PzRj3BK)5-)p>w3?XUm}IHNBvo0>FYQyM1h?unvtfy7*$H{H8` zMfm2-H6cVvoO0F?#MH%MEgAW;;}v?w6DPC-TmlD{shlwumdGc<^D+?w82_$fsC>)0 z1O`)XE9dvqw+vT|rlp}vDazlzIP$k;w)7#TdVmit$Efw2`yzupnJ;_ZN&wj1xJs?B zK0*aF!({4iNv7k)%eR+?NitvN@8JH|4X|-b60q?N7j_TFd$aK}AFvUV1+Z~hsXZ=B zG==3n`vk%L8A z>V2S8W3W@?Kb$Vs5x@)Ohu`pTZNTbt2LrSoZaUNB?xG>y)8l5$NNYL;pY-9U>gLUy z98NR1d=i{1BBjaBx$;HZrV~2VAp7)ZIBq#lt;SlG#7l>~1vpE_FwJ{w8Jd85AQ3}D zA8Yc+37mcq)be5}3@2ok?%lOanoeRMrf4Nb0hWQcdf|yH?|&`L#3-o+V4qCg7%70s zk*PN(r3(Ni|KR|WF;Z{Q25@0_Ucxsfr3(NiojBj3?aaBgEvd;Da#BH@8uLSA>&G<$ z&;FR0*{SUHvKlc{WJu^H*;L7(exP#{V{taWl-s}{j^}N&iK%;37V88FW!qcnBqn|- zrOjl=I(;W5{<~o!zZCN|$O(}AF-St0x(fOyF=CPh;D-rs_~rf?ehZ>+Hwop(FL$eK zPWORgFKkZU?RR|xgF4hXXD~07P|DBx-nC>ow>Wo|G#Xy%x}}Qzt9U5iwj6|8lQ)6a z?ih@#6kYr~)iS0VsP}6Wemw&2gXeE00JP9KL%siQmH;#dD4xZ20Z}Bm`q&3@A2e`^ z{MU^o))BygD8Av}+QeUgC<3iO6hoihq8R#gXwpwBbTUDA?GpMzAer-UVKC34oCzH_ zRcbv1f$+yl782nrtvqTNT86IM*AdiGIhoDcce-vOJp!pD8*e25G}Jk(eOGRl05k_E zGV|vGc9_Av%_b5RU^cx;yv-*5T)>Vu{9D_}*SFb3q5{~l1PR!|WCkaY#gRfGNS-%_ zwGT(dNiP{7(r6(UM-it|mOu}s^Gv`Cr4v*lgDI0GsQ53XaK4ZCddCQ!!#$J+JiBh^ z*AYCKwEZiXedQy1Z$% zVxF{+3#&R3&Sjs6&h&npv@1{wWQ{9u?QI%ydj+dvVZ;|{bku=ck zcdm}Pf`G3Kw4bp#7SWblXOB=jwop;m{Mt=O5~kbPPmmcW)Rv|CP0t@GQ9M0U6gyb& zc|?U`h9+p|7NSteUT8LW^Cg0hfV^X`OA+r@Jz}b2U*D9gMB{8QAN{*Kap>#zj!s2a z3o=rwQG89|s8RYzjROHL!nJNl@V^psZ-8CPNKI*qEOT!@B6kaNBs&Wz)d-9csT(3W z#NC90KSpOAk*sg0U@wu6Zd^OL>HAmu1Wr>7=cGNj^_+P0_+^li;Or_-$ zO^f(0!{QEV@<@9aASub{6go`ZG)ThGCcb-xzmJ+M-&**FKeh0yR|Ob2gbpW@3b~i+ zzT{5!RsNt6g~&{FQ-b? zYljW|9d0EHXE&{w+$}T50CVnN^e`e<4_yJ~{^0`s0G_~1|AzaWDOG>pzyn%~LMO8I zQ-Sz?(?3=MY11yc-Xb(=Hk5o9tJZjrOi^Kl6SxFEqPN+}guAke+_m}R6>)Tvm4uMa zw!9NwnHN!yK(M;miNNOyB4&ALdj{pFRq6VBq~gCtm?B9&${`#B;d-_0iJ7R!OuX3^ zzHyhCC?8qN`N41Ky)_!zL8zR%Tl3LBQ2<@jX`cqI@G&5Zj$8vKldfi=$XXUMeyg701+4ao z!f({*RjK9T+htde)Cx+k9lDRJR|uI0m?FpyQRLmW^;7EE%2c?aOA|_$D`u4>4MJh?GKg#s%FSPOoKarj!%wkkQ(Pi z>CTU^asedKmd)HqN#trPSF}VGg%BWY?%qxIO&De%bTfZxkCzHo>}vJtkSvz=`v(BM}CtPTtB}4kkzoN%m`R8b` z-EfyS9p-2sIM?_Njx%3?1TDZ#4e{!s2<$q#;z{Bxn$`9bOQkJS2S zJr^D0=_cU_w3t&!zXSJ>{7{|jJiS$wO_B-=Pt2}kSC$iN{)^BL3yofzQXb_sL}J-Y zw_@-_(aX3CjjfWU*4kqWFDv63^@}0T^K%=~>nvg-;6<+ibAsmQDrdoqHs6(7s^1Ut zBUszr+Mi%MFAUb(?HX2o3~PEdKSug##D#jSAGuXj3vNz6ya?Mr`JW1%-7a@wPhuEn z=>4sNZTu*;6NvZ|^&2r6i^1R4K;V`NI3vvXUnex0s(QPO4Rc$`(=>{1tpjGL)k$YafuC`NRo*vn7r z=jX?cOq)!lN}dBcH@_8vupcLD$m_R#^~5f}^&4!xLbKz>lNaaks0;sHyb)Ghpk+R# z90>(WR=wPV`ol9!)U{nK!H7OiOC{3i%&Xz2skLb2@HXzvB%_lk%9t76&7i;+(G?0t zU(v`>3j8PrECSeT(_%34CtcKm+;lvvgl-1=1hbm?UWAP9&e}$t22oB3Ds0&CT*8|{ z;wsTt1sWn`y>3IIn?c6b?#U6(PRKnbx1hi^jX@*gn@P^$?o~V&eTa>?2#BU0zOPV{ z?57aX$Aj&GnqRrLM9E^bO-Sqe9m?RCn(fZI1(Ie_l4(B+H;K1&j5m@3i8<>2R1!3m$fXHpZ*W=S zf8Z9mBC_9}5l2bdBZ{i{y3FV$!;>Rc0B%hTlkq?m3lChed~f8?7d+3vs+ebhsiG9f^+=pvmqV6O< zaX@@?-)4@x$=wxl7)JSd)^O6N&bxDNR zuegXG`~E#dt8x8W7iP>4gpZ+|^P&Yj8~?JI!y1QDG!nf0s`_ZeZv><@B~uYgU;N7P ze$Y`j+24GemiRl6+0+3Z$ZQ(r4`enKe#>l1()M3w(;FbOsn1(x(>4#@-{Mp_$m<9* z>zyO#zPX?2qdFDvGFNki{zyBu_M$#Mq#8{gyEnc#Ox}|Td*Fre3`7O_p}2kMF*gmT z{^1@wBhq}cIcE5shZ0T#j?~)M*Bd~3b}-wM2MJ3n4JDZhB$1Vk6@3$s1@A}xe#)M96n-V6ydZP}ZUHin#&IBlKLLd1TR>Whd*9Et~=98Xe_D183W8d*T+tLN= z=8!0cFi%ML6ivP&iFcg+4e`ZZ?!O+H4p96lg*CdT0Pl_-Uu%&vQS|87ALBTTv` zrC0>Hjna0a;-S(GElb;Jjm?S-HC^#1v*`-tw|n1{!lTB3WPJ9F(iGy^-1Xmr<(Vlo zQ@FJ@7*{=b6Ek`eMpoW#X?pT$fkskDjgnJQ z)u>;(w5k8#lV|U+X8pQ_7Wkp##q2$@a>{pY;1+h&`8q#EgNU)e?|;YwY3sQ3)|v2* zfecgjXA4_s1J&abemwZGz>SwI6PeZHK)$^RMvU9o;VTGjoV!kJLpe|I0u>h62HOAb?)Kq)V^o;008%TYmuiH9XqV%~L^y`oy@ zG?(G%1jmG$MjlH7o^uuFLgDqF=k>W_o4y4V(HrC-SiQYh>h}2I={fK1>IPCr=1nww z*!S@5%6Vq<@zh-R!A-&T^weB=Cj4}DH3~ea<=X}Le?R*+VL$VGF7d4Uu>N1{T?t$a zUmV|(BuWv|IZ_lQM~;-5Qlii$D*hyqa^*~fNmAqpA-Rg&L?O3wM@l4j$(=idB>B&; z+SRn%&cxQLeLtVwo%epn_r33LX5Rbl5%Q~cK}T$MtUl(ot(9_K{FJYIvO}7$?>OSN z?a0jWadG-@viCk!*S)qruk*Zn(uRk&6#uyXz;El=D~~^h2EKAX)p)#S@z0B?d8sQ> zTmJg_`O&=lLz*`2=R0bDxc0C%y5l=7n#q0~I zw@0~X27G+^@%{XEA6Mia$T)b{`pM+WsTb7Azmo3K=*YH5AMTvHbS3|D?mRV(Gd+7Y zU826-;AqaoNwM3UEsOkqM7(MealqtVl+OBtT{Z?E{6_vSX?>Ed)&*Qr&QXn8_L6{K zZkqXjZQe9~IO84FA6JzbuzW<*3 zF$ZI;ag%}43wPwjSdTNYCl5Mpw;tElN&4(|pY7Jed%1WMF$cF>_fz{%n%JJVeNmi7 zvmJ87rAP01FWG&JH_>+Lj@QfGR_?HNZabcIN#9ZU9e*)U?4ZJv!7?eM2Bq#&cs=+R z5#_UUwuQ8xx3jDN*IO7dV2hH^?xNjdxK%KGL_1zu!I1FyFv;BtwCcE2TJTiPI%X07gb;L%CW$jEu`lPnjQFQFuT*7R@ zN&^SYRxQ0>$l-P?hveN^U=XwD`)U7X*1K#1#|~NI)KklQ)f2gE8jS%6D{K z=kfLF&ckQ>e>h`n*vQ9IHZ}E~?`^fz$LGG?xY{rwtJ|EsJ4+PicwA5}UZNVe{$P6R z>93@&WW}zJ$~2$;O75ew^$l71#M=jzhqg+Zr$5mo@oKlpr`3H%wjYe&xc2z;>fi^5 zyW4JC<~B1$>AmFXtmQ}iieA6fJueX+&*(3`6nbVd3j&H-_tL17paudj~hKvC9&7UXTJM(?%b{V=2d_1 z%d=f=KRDZtU$6RR_s;uqo7E=!?rZn*z^NDWF2CM%`jgTF)xEybcjI2P^PLo$dFI0D zv1dl^n)~at{@CXm(l(4ppY!3{qv>;w?a};kJd^wkZ(+NEAEn${?hPAEJb&;kGA=uz z`&<7o?Rh6vH6NMZnYeL5W?{zy@(Z^9cg-d)UihVFv5Ho2@(=l6|8eAz_{3hB<5u|& zS!w%H)78LHzv#_lqoP2q#fRpfn%+@E`|?{~qc zV&^ep-(&j+Bu^Q%yK~(8%?`Pp8XR%WZj_W5AM@$!=)j`6PknwoIPPs(^q-288u8%$ z?Wz7l#3r}Y691a585$8{YpT~{f zqM?|O{iN5ZJ9hbJAEbYoURZDVhn-?4HXVzS-1>9I8|$U#HXQq;b*xpF^V*MO*II>p z2d7PRJhEua!R@Y3o3@V`ILfQp?j3gx+Vmgo)5YF>V03(!RqyN+hMfC;cuq?V>xQc0 z7uvNe{n@sA|B0sg%~Izt{C2bSH`||1MZJ7}X1C47UEb~cx=U@#-JALDu;eJi1G5_L z+VbJ-$GFS0FHIIpzW#mUoSTQ{Jy`qm)T1XpTfPrd%$_#Ck@@_uAC`{l{cAgxtmqbO z7E&)^VE;HgL9eY^zr$LWB&JFX7#@a4U65S$BBB7hv9SgD7yrYioSUcD)IBITVd1P% zACk2@pFh*N^Q7d%?Jml;YV1%Hb~fpf+=CY#m+zXX5~tpGx~~1xOHI4^=?2Uy$c(>n zAS)l%?BfZ!r+TW2>$d9{OxR2y|J;h!k!O*kGxjO4auoJn^S~1o7{*A zG#<0Y`P{F&3#2n=XME4RyySWhl|^#>CC(^diS&$a|6jQ zGd&spMZ7^+&T6w#Od>IIuf>G_4#x(&e=E%X(8Rs_ zwY}=I?}g0uH)_~6Lmy3`x^ z*drr%&xyIWu|2!nq@~zw>@fC3K5J9=2VPbqSG_pwl4#`DHmzvlwAXKat*n|47wclX zV&iuYecNvzo2boGABNozGV5O;IV$~i;-a*?V`Cz8-u`O8d28v9<;M0)zBsh8|2W;4 z85U1-likwiy&SpdMpA{}$nBC%fA!HU%YW8q){`NAPDA_(+(UyxIyMX(_^w}%i>gVQ z_jha=ziUUbr)&J~4X>OcUtN27ub#xH<>asRp7}{eZoB#N-mgd5M@)_`YxDiX_YTu{ z|2#Of+qR)y{N9fJZ+o)!5Z|@WRzCCC85`?-*y6#%elg|_ACJX;N>o?#Z>DM1G#o$i z^8AHW$$fpd{kS6&CH71|`TOLxi#?-fhF(6DGiI!D*J~r4-Xv(s>xWNKuqcp7IIY*UaS^rx{)B1Q1G|L~F-QTgre-p_4RHIMH&G&Um#)iH)w%d5>#hGnA?K%v_!fX$^ zZNg>GcG=$CShLX(XOA$2gA$uDHk+8WQtnXV%+g6`QUlWvTt?zksBHaY-`T7ss_QHtZfc_WK6Q0_Tpidpp?g z@n6?wioKqn{(n06{g2*ko_p54N3Z7TQv=?lE^%niDpJdw+Ooyk)~S9s+&jKna7b@` zzV)3qo!6fnVe9GaVDR;2?`YH2QImh%X>+E2@Br@^@o@%C0`W1Cv*Jhh`ZavL?9~=g z2M^v03i5g{o#FmzP^gpn>U)hJHL{LU9qrl8%{Rp|YuWx;j_EJIPw3*CqT{&ZgO942 zYKE`wiI1;Niq{J>o?8F1daGww8=I1xD$n(>>(HYhxt+7m+m(F|UP})Q_BgxJO0vIL zy%ob>7X{Dt(TUD!zVYI?Pg#jq1|GT<5xQdB+15iPZ|rFkD<0EG_Sn>cd!NnfF!cPG zMZ^3p8ZA%g5;8<(!biuBy>44a51+Z~qQ<(2a~%${*4iA%k#=rzx{Hn5@%%jv>@O*d z2(auVyQKcmv!Arrx=23V>f)oS8@huCIyiu|m7Lg!mG%@HuC(rYZDH6j=eX|VRqqi%h=@n|MG7R(=_y6Tm0+x&76kd=0<<92;-_X7Bk)!TlyIx++O-;#X)qdNFNH-_*oV_od?l);tdx zU@CLU=vW84ONHAk+7zCjI%&Z9sGi}s6wTXwYx`o~_={Oqg{Ft3!uIw^KUvV)oUm?o zc=MzA7mvOA_|ZMrSZ-n=Vi_N4zXFPq@cd7oZi<_k;?+QKoq?q_-(`oL48y0>$ z^IWgA49w_SBoT7v$mN9h^Ve;+%m3MA*$K&=H`+zMZa#6>zTQJ89pAWn$fN|K`K9~u z?-d>xyDGo)ENb_wOJeM#PWwA;n3{LOZ+g=U{ZF3wxL@M&B%jcA=2_a=2Y=0RNvkJ5 zA-hlGysKd?w{*YT=#^M>*5Iu!^R_&~^{um~`5CYtY@4D{@4&;$iYln%8{l#&O{`3SmwX z@;7n)E&gJc$E0Ij78*D<91)Q}r{U}GDnDK*J56z4bwDMt_3P9A_lrVOG5P1Fi@dk* z>v{6Xh(W{Z2hN_lTz2P({LpJx0`2C#?LFXE^LuXhbL>4r_bp8{S*-Z|@%s%^HDqpQ zxEYVVV{M|Cbt`L7rxx;At2V5@(`T*4$@~49ym6ePkk)<3$Z=iG9NM`O<0cJh@TJ!= zS@W5y;p1b5g|6-TX~X?U>%P8cc0@E96w@%@WODM36-}kZmHIcza2hr$Xl&fZuIH!x z7b%~CcX=qS*|OmQ^H+V(d1+lWD^S2M1vcv(v*^jKwNk-rlKY+;+0CWitd{3jMyQ2I z24CH{_-44`CePq@onzKN?x%gT?K$_YVp;gR?A>wFa=%(#&>J!9iH=)XM<&%=*VEhNvk^FK9rf8xUSto_18r@i=PY+ z_VSpr-bp-KX}Q|Ihn~|^Wuvy=TQ1-KrIBY`uMJbKuQ@m2#Kaw+T9N-*xYMQfnvc&8 zBQ&Rfd-3&HhC*h-mpP+XESUK6S3k$tlkE!=lvl`&#nKcipjORio~ymNU#@z2XCH&SOu4?8p17anqj`_gUfpu3NCj`enu4a_65YHg2l3@rUb~>6@B-UA+pqg#I8AJK7%&ZzV)KW`_h%$@!7{g;Awo_+@Q{p(+SJ-f^CqEjmWwYas&_*1Zj zcI=YI9GjrO%ZMMm5q(-;!8BzvYZ|G*;h&?=K#^=K~zm4ksF!IG9$7L}E zYKJ^8pTNf2Pcgr8BPFdz*4^T#TB$4ZBG0ABcARZy_{emGZ1(gYu`$0szB#bzOW^H> z+ZQ%ycH@ZA{VfeLZYOMduygtS=o?W_TYk-6KjgAs`Uq^E%G_xWcdz{xay>fh-O^?j zPNPE~W$bTxcA9GDO}l0(S6;vH&g%Rjub!@VVzIT$a^J0A+Z8^r^F6uKX5Y*}>$Ue6 z?>-%IZ<))_2(L@KXR5qQiE(c5a?%mM^snt5@>J$Flkafe%0*ji{kZUSao=X?B@#}&2 zI}`W7rm#hQ`$7pZ`@$JED;2bUyy$C{a8mW@a{bql(X#6LJ9%pM8 z{6M~Sj~8cbzHOgA@$*5mJsC5n%N##>;n%N5enG7ZpL-m39k_P9-*d@p6Qy1>owk=a z?q9IuSZc^wk9E%Sg9nLu%r`%8<92$Lva$WmNQLeZP7QrGCnRPj44XLKbmmH3-@>0O zhvfLq_>nQ_h`PpU%Zc54Nxiw4+hVfkj&}p!yjtaUv0>zf4VPL@3pROoc+F_n*FSZX zPWRP*>2|$&hqk>t$Idz0RXyX|g-%K-v$}^aws^Z^PTRgl-*y)r>#O{Jd9rE1rjet& z{z}pAyS(}5;)rddzB#KE46wiAnPRM_@j89|r+h87&d0azlijnjarU^7g7lxe9@)w# zWRGvr=kT5L-wr%V^fU7RwMehcb1P~2$&Irf_q#g$=_WU$pCLn?ugqzDW9a;ACLLpk zG#&Qpz5U$y#f`guvHdjJW^AX;=Y2H7$e-+3Cnv8v(EnlT(d3V@-Nt`8oY>7*rRR@9 zV_iaSADxsx>|s{t9|r0^W0q?T?mK5<+PJNo797#eeUr9&%Yg@3UbSC;89c3*P%uPN|!$y_Oj~Zn$BlM3>w9?2I%YyjrwqMX-^Pg;coLf#TgCR{R(*6R>bf;;mcn zl!l(ztvT?pA^tP%<;%!}R*EHGb8?>SgwXP16%zs5D7c?`PPn`zd!v#Wy*siw-{B znJRl{Lcb232Wh9Ti+t>_*>##;=VYsiN823gtYl_o^JCsE*F%TRz7E)^uh6LFcDqiK z78;}moA0{2%Ct|rq8ptw_jq(TykgU!!nHa#XLs`$?DaigafYj9!R*F4#z8&8-J9>j zLeuvpk36X0U_GPp`*R8%x&;4fF?43IS3@_c53lqy*9KU`wL9W$yUp&1lvC(Hn@iE&@_Sk+_c$E9$8wkJ!abJ#$B<7YNB8<@57z76M|zj* zgrydCN3?yhz&#h+UKxDc+x~UDiMH#6aayeu^sa8yGrO@hCQIBhbl@7rG$!1p#Bf57hKg*L5jb-dzwQZs(s z)`8n?>~b{Zlg$GfZJF?3#omN9iW5?sAJ0_NUzFgn=1#N4x&a9mJG!<|Yp5sYHU9Yx z?dgdY;}?1>-+tA-ui-=M@e&$}cQh8ey3TkuSFWtV}y2-B5UYn=C+&5ZY$EuIC%l*S&J5F!hB=p10c0q=rAM$@* zJL3Cm(D%1%zP`Qq_VMI3>+a7ri664aMt65hXQi($$vvHRJ{0RbMp65uT<=+qKjk)! z^9(n+9(=|6Yve3R{iC+a`>O8RxGcWClDTHe7rBoESGMakW{XyMrql8IIv3xxm%g*D z;Nb+d{J{P1?E0Kj(HXGn%C*gJ>-`A1(?09l^bNOHOFH#??^)P&zjefqrSo%x&P1;W z>$)ztS;)>D$KfwJ78`2j?)M)5K2N2=_dKh0d1l=@W}i~ce{}kM@Y>ZY21i9G-e@ns z!m_B{&qj&Q(jGWJT621K&v7k|JKxn)YQ1=E(;s@SMhg-Rcct}NDB-1iXZ3LJua|C4 z?sjt9%n1tbeMcBt9qk&rZbr_N6)lhDobRSQ@qyXBeSz8W{a5zintx$$z5Wup-%|&; zEKk%Os^4d2$4HCgtqrF>n=`~9P3lssxCqrHqgVDkTkPE>aii{JzsaBa^ZIa| zt*g7nhXj1zvMl!eLr;(SBOHDlE!GcAl$qD6cw#}y-o(?Z>|-nL1GYtk9J-Mx`P25! z7AuXQ(V8E;{Gxs?H2#=2SZbuapr#&%akKl;?B~Q?C$loNhWHL!yGAxG%lmWGJEs`8jZ+m=7ue`3 zUDGx27#k2}b#KqSh2~o&H5LwDEbX1IrkD6RC+zl%BTBLzhD>bgl;XZ{@%oQZD((xe zf4I73NL$rxts|S}w?1%C_T$E-L-t;os(0WaD?&zWz|q@BRoqVKheq0HT(BnEdYkp} zK2)eSuF!L|cDC(xmT}fSr3soILl<9oVDGIHyiRl0twUR)2k%eZGN7OC)=6`>><(@r z(>x6KP>6XRJX?Lo3C9ly3KnOt-fgu!I_Oo=)WR{d{kooTSiAbs z__%n}wTo*sGbepY4Ra_`e|h<*-s_Mv8{REEvsJ@N;`zYEl3`8FtR-~hV=Tg&UU|7I ztZDB<;p5Wxg^vq76F>N4fBEfm(hiT4@{*6S3~OQLD&e)#f4Q{RjjlGKi{f`${+fAf z&hwCG$!S48DcABZ&reitc{tnPW%C%ruork_Julf9!%v3oV+^nBruu2kiF}$n$GDMW z`-`nVY}P&-C&zBy*LM7vUWsR1UVeyMJ9XNpCu5gI_||v%*;&2A>XhrNLppt* z<9t-mG-zs#&hzG#2?4PRW0UR+GJ!G`|n*M4%ko^8g(jkYaxd_OkW)TNc)fnvLkLsQ!NrlxvtwfJvruMb;p|99-$ zqjf9Cq-(e=(p&Z1Db&L+OT%T}_?5boT{Tl4w7Bvq)-wLoVE!v= zVBGxl9XY1s9P<;;c;z0PKi%-e2&;=aI#Lr0CY*LC4(oAg@Cn`18S$eZhkf z)~?OH8xGIe{c_hDy2$#jR*`S8Y8&FzOs5)trkRl^1$t@>O$`(3`- za!HfbVoUZdU9c@cOzyf&$Usx22={ourRp*h)b=mC?TUxT=AK-;;H!;$@9pgqH(+Y= z6M~-1G_Wk*viF$(d{3#|71{F|j?s$0+W2MfJ__Nzq<6?R%6+4%$k|Uk)AquU_W$)Ro%@YS~TPLwGxi z4c_p2OH`rDAQ$$N>!|w*h0%pB@cJ{lu+^R|<8xJa&71c=CGL~%B3w^h_kOqeH)2O+ zUbjo}ZCh`ls|o8ucQK7ypSsD*MXx;&_bM*e)x=LLNZKT1uEXUso_1$@DExP}$Asba zw}jVsw>#U;ufdwVIjiGONVhN046on5Koz|ew;uIGcLO$^5b zls$O`c{%2iJ@A@OzV6D|8|@pl;KOnKb5{>3iZ}N^IPOl7<;g`(d1t?G2g>868-z4` zxz0Sb>n-DYSc05f^o!$*38`+^mknI;xX5AGyhYmDE1Z|@nKx*B*3C1a6E`{R8$SP? z^PW*3246mW)BC_^TaU~U!D?C?Hy(ID+hBxk;(+kI7l)qMaqF68=izIMu0MA_bIj$) z{wI-fKD!6J*tTM*jGj)=n8f_N)+zDo1Fme;DIiY)&21B{`))AN+NNx9H1Oi7A=fS^ z<*4R4c%RyLc*n~Cr=K>nTaEU$?6EN6y5VeF^P_&#Bksx^dNt5;a_d8{0#+yMkKOJv z<9q#}agA>rTYn>Bbo;C(rk=g~X@+((>-+$eA)@`G&gRV|Ly6njLduo1W({|n(Ez?YXl#+e1m&MJ; z)Av8oxcNqX_Tw!@R)OKUN}d)ibrK$*QJr5{Uw-VHrpqE^){a;9mYKUG@$^N%_D|=$ zH4V5E^F4ibyvqI^qsL4?G(vkzi>Di!CpbO(eA;;YWyc0%dg~m?Oqw$*vcY`sDATyY z%^CX>Jj~Xe4|X@%@TSjz&wFy5l7pL^RE>X-deC$7%C;wFwbsaM(Pcx#JB`*&0~{p| zC*>=TD;%+9YUGcx$z$GL(C)c(W8=j^5eCi9)Y}j{_GzmNXLHZycHJfw+$3y_O6w7F zsdg6YO+(w??R|Idm1})t&dxFEp_ZCHa`TK6(=whATl%XFXAQ?Be{SBCH#?w5sMdv+ zTUQ&M*dO)QI&OmeyfAAef0lpLGfjIp%jR#@9Sda6e>$3-sQP7up+@)6CKKwl54d7= zC16vJ^fa%dpIi;qmQ0N}KDp(QCX@RlOff&7?>*rtJDl2;v zZ?N05%bA6a5{oq3u0Gq&*2U_R(NOc%{%8D0tTyjpdV16;DHmA}!e@7De}%q&9xR>$$m4xd^RvxtJvq4nhS zhp7ieZxlN{yx$hFLFbNM>Fg>e_2J6)&bFF2*WUEp|B(D|3>tqqZtT(V>m{}NcN^R_ zP3+0~5&vbS)ZOd#_Z04tfAa2D3-R11J~QQf_BQsGsW-r6>QyyN0oM*2q#{+HG%T=7 zlGxj;!!+;44bHZ1AYFJf?%F1!2hB`cv?=N{CdYRC%DEa=&l;8+&SIj#zqpq*-dL;-V+4q?FrM8Z47Wn_r*Wl{DEr z*()8OUDS5JOrnC+YVuz2X2&l@~C zEIqyG?BuW%yyLWaQ&^E>-n5ZFKA`Cmi^;w+@-3upeO%pFVNRHF{w1}+i@f_9wYvQH zu1@e{mUF$5e?uk4ODblP|NY#5&+T?-E?w0ur*q$oH)0a3Lsp&VeP-dEaPqqtED0DP zb~jKp`r8O8$zd^_8Xw73m&a{(TOPQ;3acNs#$1Kva<8zTM6yG&{4DZqd*XM_<$DksVm0Sfg|(pzrup>X}s|D zvGMz5op;=E`@ZXK_PVyx;d5WV9eZh(;_geDhzW;0jBYpK` z-0nDJs6I6~xmMEi!4ZSp`@=MiTvlD0Klu7U@g>ngUMmcqX@rGt9H~MKzgMW;p=GPQ z*nuYxoBTc0KA z#R|Kvjk9okG)CxOTW5SGVT7FGqK<9NO&d-5nyzaR-m_=7o-;;ojGX*6Ci$H~Nb|U~ zA6K)2+FzYA^5VCs1sMx6x?lAg*)S{3Id#)LaT%}>iFx@}JT zFLaK_VTlpqBQ)|@FYa#|V;mWs=+nPxTKK~(sUy7x_6^N3&M|gLd%9kB==||Gs{FyXO4pCxfo6 zGj(@t>bMa7|bANOJ{nt1C?&#hncF1=0g zS;m_7v}2(5F|&GEQJb?)1Wg>_afBc@np;2Ly5&Eko|W;cuUWg5_ZPZp-Iseh$ZTe4 zPCJ?W)gIUHbQ_sE=Z12|vDZ_#F16{l-alkZtA5kEn{5nmT;RB5AO~^s@sk1eMQB5mqX;JgXZmbIOZ;BWL&T1uImN$S1eu>Jze%!ZrMid z#DY(UT6ZwD885wYTR_^%x1U^_#_me>Sr)Xg@qF`{OPptP)p^lhE?WBJlR@Xb+rE6Z z*k?|Tq0E@$ zGGBrspVoh*7b~ZeWE7LX{ACNBFpW2h6~sp61uZHxPhBbgQD&w1scpNnKR(p3ESUPt zRikgbSl+Tlg?&?3Zo511n4DRXO-%kO&sJvIzh*278`mgDRp!fb#|v_I2B)c7m>WGD zAF8&vds1hm*NW|=lpm*ldpSd<+(Mtb$zyn)L_?D%{l`nI)K}3;wSUt6{F{zWY0HU^ zQUx}SKP~rs4EgDNUHih$Mw`>^Yt3XeK zTU}qvDxZnQ3s~*E_N+EOnrHR_kJXw=o~EV-x7595l};U1=yQtoE9|D}=3%G$sYNL6 zyA;yP*yvL4&uy@9-w-?Wlnb#x!{x^>#xc;I|=$KEkZUEU5@ zR&Vpw{!S?yn$Gsiw9RZZuuIJCl%|KALr09*xu?EZewu;8gE)hQ*_Tc~R8o?kWYl5B zgR~_+bGrYx-fH}|ZvBRJOf>(}ZNN7}+fhbeg7aM?SN_+2Q>)Di4`vPt9}~SqDP~xU zCG#F^d#|nC(|YgD&d==+J2=dU->hr3_my+2tbHx7?z~tqR%_sJ&$zZ-PQC0}?Tp*L@;A=TdVFNj zV9QglWxYd;=VZ?GIkd{Web@YJ!#CSX9LkTi^161>=}NBm+_^KfoEs)Smx+8f=wJ=mQ;FZtY7n++A3m?uH_@>yjt3p==rOgdb-u_5jlUx?2H7Iw7+O|z=QgUC#_tXq{ zvg360cpc5oTYtH(F!7z#H|5I}hZDQ{%AU|!)-iTxXxhjFPBvNZ4*%zQf0&1%gWlMt z9UFvM=uErd;Mwcl@L_FdXLq+eDcj_j^ZmXV^9{sDtTox&?&i&DEAGEC3EI^Ep320_ z7qp5JMyod58-Km`(uS9{JA5@XIe)E>RP?rBOY*+m=;@u#Pf|8)`~GIC!JCL7p2s6L zsT}#wveo@hfs*^aLpvsH8h7yd9?gUg)=K-Yjosw6@IZ#E^{n}XuF{QtnO}0=abKsIyxm^daOlGRTh;eO%m|5d95rB{+cOWPhVe<_nXMnM zyWpC3@~xM{?px+BEv`p5^44?E2|SLwY?zcZV99`?{kAW68@sjpnp0`J--TPN&(n>K zX=Gy*vG%i8zK3T|i^pvXd(X}rm-}eN{pq3WPiJ&{{ z&y#o5^&ch9=~|d>V_f{C&t&(z_lsxl)NA-IwB@fRv%lXPCC@tCK$%r4CcW>n#LABU z>ID=3BX{}oZc;2hae{@hq}VO~uVfl5 zSn@bajwQhoD}5myNWL*~DV8|Agp{`6r6g?G3n0KD7DAe_O05a#ET88}v2lO}Jh{>r z5}nK>#4CYibz&*Hu_P;X7fHqM^w3XVN=keIfsyHugXFNYQWilBmy?r_5Hn-HlqH{# z!zAEA=B4z-oFLB%DKYW|BVXu2Su7mR8CGk&1h(V_ydWL~ViEz_AO`9L19&ygf~dyW zC^QWN3>Q|KC`c`e4B(te|H)Z|alFX`qI{#IDb1=!?L(~^Vt_|78SR39505B4y4c@8!KN z&hDR>6JGP9z-k_{&LdXGs$50V+^T4g%n<{K0mJ}e05R~dFd(FN7lZ`+udrUlaX?i7 zjN#zNd8-H-`~(bPmqdV3S!IgDF_!{^UN%UHDWwMowSZwEq=*Xv^M|}(aKNbYgOh-r zCmg)WvVy@emx7x7%Cip6e1$nI-!Mp72?O;j>96K)A;DJjtWbEw0Ac_!P(=oaD%uOk zoF^>W9SewE6sC?cfC*<_LV^{}Wd9nKt019`2-Of*okI5E;K`-HpqC9ot)!|}Ez`j^ z=2TLEDB?oE{Hs>Bf(JWKI5Z%Yq+syGrQnbaAd;159h_-}D>~_cffq#q9Y_!Zhyla^ zVgNCK7(fgl1`q>?0TE&T#?_ zKVc5bFN%ohxG1Kd_XIKS1cDdk+@PeK1HvoUO#g~JV_5nb)O`yTa*8=H%?9M7Cmhg9 z<5I&UNTV$I@G0|PN1!MO_&+W7BUiM^&@wY%H310K%z%x21_5O?pv@cN&=<|Ic6lhP6h%zxfD3` zvO%h|Y!$}<3C zgaj*unFChX|#Du@BH|?Ps7|GSU6I>gg;;U)7!e+@mV6 z=#2|rl?pxgTy+Y#@GNNv&~QNh;?q`$!wi;ry#z7qrm!nY0;b2Pe#FWQ;gyq0@{oWw zCxJY3%$*mG6FBn~jMQ>PC;JHU!B#|-j0ZZ9RFwgRsyYb9UP!Ql89a)D7(fgl1_Z`{ zkg5mfu$aK6iUR#h3@|?f{-se1cPxZ(;DrP$oXP$*DzB1(e^4krnbnWnMJjg>yAI-y zNotwH(uNWNgQ}OUKnkyuf#9jYp_dJUK5YdykRS#S18fH1c8vrvfEf697=Yy+31R>- zP|F!WpSJRe!1Tj$O7~oZ@&`*+C{mA{#(9CInk?UKl{wcJuJRc){^4$+o!q_bEUBQ6fsFEykjko2US z8@%^MH~^H(Y&j>;@D;|ee4~hn(ubTU0slD|{I!i7@;P8MOX9Ny#?XYKemtWr^kP;W&XUonT-<3riP86!bjzAhZ+bc`{HE$VnF^3m8PE z^st?1A;EwdETd4!2r+;dKn#c;1C1$DMnwpP4%Fb!0Ks34w6&B0*ajj&4AeOWc$N&b zv&6uHQm2q@-X#sYfFZyIhbKx8#|boaf`I`oEL{{)(4(?d6taxwh!{W&AO;Wvhylbv zZDIhv2!{kQfEf5Q2L7OICF@2Ez-a479IPlsDt8a_vXwC`po+Rwy=;vlDdTxEP!h;V z7bW=^&`s%KJAs0)M3Gu%uzbTHC1L`7eROk304q87eWl4B?Ij^FtDK1DP)^>Ny9E+2ynsSiPFPy0u7yD zU_c8?7ey5GRWDnC6`m&p?{t;Vf*S_T<$E|e1_iA!gQX3F40tf$!=tYTx`YH<12g+K zAcV9Z5fbdb!8sZQVgNCK7^poA$f5gn)ssb3-9-={Re+VM!W$QY7}`G=gJ;P=J5CHN zD0K?i=2V~*1*Pi2!H?3zaRLpUU|>LrPPRD_*a|Qbb*XyUN|2QCJQ;YWi%m8TOk?mw z>0vv8g0B#ymKiMHFi2Sm19p}4S97j;p7+;w89LQHViUA3?K#&1Bd~{0Ac_!fEYjw z)JX==r>(pz720HDU_q%<$Tp_}r6?#>4-S5m9*z@e=mY};N_4W#iNIEXk*G_JmaQxd z=w{Q8LxY`TIHna;w$f6B3=ji|0mJ}eK*Si36tVfD=?ahm^l7UA$wZ<4AqIGs47B6J zz=BezkZrE|5eF-F0Yg9ohbKx8#|boaf`I`oEL{{)(4(?d6taxwh!{W&AO;WvHOc_j z28I@0hqU1Abl4jhvX1~yE(H#~WgwE=|DP@aYH*?z=CHJ(>bwCCGo)mecFIXDcqEYY z;@(rUQ;tJS0HN+;s|yx@L|l@9dRg+}Lp}&bf517Z%ut2&$RQuB2Jloa0!7i2)Nnvb zOesCp0b4Q}^i)*_;7kyL2nkjQGyQiUh4k|nA;JDTyjM07?iH~zOHMy|tHz)Kmk;ON z4Dy)oZmD!V#z`~kQqFM#4PRjl%Qp&A)?NnCMO=GxhenPV5G@99m~PeTN78`+FV3P^ zwnOD!q$z9f-v0mJ}efQx}nTs^1*F@P8lWd?9elxL6TUUwP5VQTEkfPe-QA;5@Ir;u$_ zwgM~vhF~xZRJQ&b$v~q(3?K#&1Bd~{z`w}=_HPcW?vAQ)jZ6)Ff?gvuBaj+r00+dX zm91pCN`O}lm#xfUVQ?ZY7|g#)Wh+6R1UPtAJQ=t?_9VcHl6>wSjuY7O6Xvk|q97e& z05O0VsO=2k!kK`OV1+YTgo+pt3&frRPkisRG<_^`Q#G;;8(uq_g8#DLnk;GP@Vm~e1~1S_1$B2>fx4Fd#?p1GRyH%J>X_d%A`#el#T zP0g|q;?*@$6j$I3z)qB(Xyc7b&ktNm3^u(25;|A`!PJc~I838r$v`_b0xT$X3fbnA ztqN{tER5`sYS;^-mLJqgO2Dw3@sQA>E*KC17Z+Lm$>GqT6*3z*7*)&)SN%vxD6qsi zWCI8Rev}@L6KMDfV_3dXL{Q1>O;^114$Fie304RbGe$O5fMpa98S!QSF4oEfFH@RV zW`O$tQ3h~E<0`qbYv$XAjK+hEDq{evOu_D#V@a~_(+k;sfB%a8eFzlc?--CGO7lwm zT^Qso2nHA?0rsvHh1#cFvPmZQ%bj4;D4THnPV1GmIf~5#(&wVVOC zYD+|%MU>om*=_V%n;DQ|Ei4_rIE&Grhp)|Z70s~|Fl`*3kkoz##9$A`5rT7s4r(z2 zxJB9EvY`CsF3$OaICd{BEhwJvDr1P6nP=O8BnTR|5E>Hm^}oU-fqFT)`B ze~ba*9~%!E&Yv@Yv83RB2yZ5$tM$)m{MS5TSuK+aUlLYi_oLU^%m7}S2am=M3}C-$ zFoxYPizOY^r1apo1|8K513192D+2-=OoRXz@krEd9j8;CxvWYRu%hj=%{!yu+xPr`IMEd9UvOkpD>`n*(ssA^-uT{ zfDdPJW3O4XF=I#z&Q6E3P?Ae#Sp>0?wHg#S#45LNE3&W(J-?SqQ1F#XV1Gv-z#U+b zlqbEEAbL)Q@n#YSPspqtOI)0Qh61@c#^8XR*-Cax;6yKUu$(iEK2+VeAU|SZ^&}rj zK)o!Z`I4W{fFZfvWfAaMjY_E(CxQ=oEprq(pk#xTm{NK;PGH7g=wZvo!#@ntR>FXg z?sGzdt%L;8=*G%V6K6L#J7RW+pkFaT2dpdcaF|HhUWY|MgI362zY$;rmk$O9aQ`NS zv$#n~mFN)V;8m&64})*n8b;I?BghzpL=Hi&ucWX+P}vG>)PN9xA=vs+ zDkPcx)URJPVY5^DrP4wt}7ffTTkcb1?*l=h(KTFd~Bl?b-de;!S=mbdky2Q-k<<|eIAtqFolqxg6SZm;0|jMUrl@{+tr~Y78Z5h_ zEJ;A=JGnHogLfEsm92K*2a5FK`ep<)#^#8XuBmL0lJ87lpknvn(D*A@P6QYifwtTv z3uPo!t!#zOEw8L#5Dyd~$zm*=d=+nWOPpcZN|5F>LJQ0JOr9nXQvVqPa*_>893?^& zS)BL$X+kl&U}<6?HBSaGp1oD=#LM1cD)B4LZ&gDedqFb5@QQ)^EFU4rFC4-$$6{e* z*~%ptjXReEyW>y&*#7ACZy8X;OCm_JNNm zTM`Ul=?8`^DrJE4=6z)r1m_Jy2|2;NCrk@l*>FU%AFd}(Wx#N8eL6LqkBYWF!K@At~hnZju;~0c0~0URlAw6BODPO3PN(q8=5# zBrH*qb<%_&AJiN}2d(gh<(!Vc zD(Po;V`Z0WNt^NQmF->WD^aNiDQbiPoL#oESd9gj)*2Z)0znKQ1`q=^$N=_7Sd8jN z##?H}Ltq5UI9gpdPg{ub#JBY?ez!0JnU=6WI z!QqLbfDREC0_IO4o0bsB53iE}15YjmH47B_s|yy!fuyPoRQxX>tLp!U_CkXF!_n4$ zH@E_k)HMe1x;7!voDU(KX$uKfIFm)FhygAJ{^)&pj4KS;A^0-2A_`B~ibkjn43Np- zDoALVfwH7xoq~~lIQigGurTOlgHS6eD3oweGum_Uf(2(Bo*)9Jl7bFV7Lo`8=3lk? z5j@y=!l6-?6%3BK6dbYvM3O>(b-}_oL|J&f9Y_A>CP+k-0k|fS)FKA{XcHy0`4K`x zM!<*x!~kLdF@PB0#Q?0MTm=a)L#{rqI)${r!HP?PK`$GGT1i!{Y=t;n(aAoXO934s zE(FZKy6Q*nEO7N;fX{ee%|1PF@Pb&RVDLmyKnD`UK;2}3@x@X%r(aiRh0DS|Vv(^Do3M@nVDIL%yj3P}?VRBn10?9#CS7FIXBo8 z6A+IB!YkLMc@ZEieW0-SMpqQF9j2n!=NMVKEnb;JN-05MSA3~(w+ z_FBgXkmnl!>?0WJpMeMBUP0mQ%`Fo52T_yf}ZeHSP$kkmy6 zD3t+}g^Yw*{m5OUa`&LJl@Z}pHDXtk1UN#D840hGfdEe~1rEJz5LC7T8%PiXhylca zAQ`{~84Shxdj?Q_^Y5k>jL=5Xx5Ou(UG}0RwhL zNx)ngm95%kh8TF1dozK6HrH8#LoXYI`*a{cEnrv(i3Bl#7(fivRR$Q{G6g?*A;AiM zA}Atapyn8;>0-BRWZ^hZo#0)wwoAR)n4X^j7dF+mQ4s~}-CG$E9&EEXZb z43^Oh>bMcRq9kCRjCUoxP6kc{3_`K%SsWT{5Jj%M5TF(?EQCZ-RR-Yq$3hSx!3trf z!hwWKPY5C;SRu?5K_Uha1Bd~{K<#CK_@m_ttBwidv_Y!{mKL=(8URzgDi!*o)NE-f&VC>8mhE@k)eV5+BL)xyhyldFKg|FtM*r#bpur&q z5Chf808UmXTm=auK(6W(yx6r44h?omLqLNA@)u<*#9;;tmZB^`G8g@f2;t<3ol4S+ z08cIj4!vv;?z|A71}8?s6`k}Vz>}hY4kU;H!~kLdF@P8l2?hvQiIE@%5Cez-!~ll@ zN@W0LAtPZ{KXMnT+&$$ABzk0Ku=H{2z6HCYBw(tLV@AU3WFWwkOMyc#8w8cDz(#co zR^0$7zAzYoTOty~z`w!(<2}H?GT<5?2LXtb6_IWTIQ)o-z0<+L8kSB{FnHopl-nhd zWh;A?CBRmKMf`Sx4t`PvSbkwdLsyRUvb@mF`(XXwx!`O~NiWK|!BJ51h(mBn561~K ze1$PA-zXxY^daX-z<&+~e{Ca&e9%j$GQ$R>X;1Qz1Q#eNoCp+!EUDpul$cU_I8G%Y z_&qU(X9Ny&D_)slsS6=jL#?%_CrEuCOsKnqJ3MHKWrw?4EJ=Xo+v639sx zB?}ltru49#Xd%IX87!kv$Otij7(fh&9s`XLvW(`47!V)>Xq6Wr znZgeR>nsS`lb7-!h-Ym<1VJ(WH3rz1N{x*Rz6gf|F@PBOGY0;kY$fYP48UmXM;xpu zMJjg>^RksOETD?IRK0ACA}Ql}GEfr8Nf#yg7|>1WVLO3>uSAhrX0Uw2ASGe|F@PAT z7s~&zUpNwu)_0X;GM4WS#ZO^xqJ^N z$Dp7UX0WtjkO2<{e0cQLK$nnUYhY$I0Ra~Te-Y9rXhMSJ&qb}#!W|L`Vt^k5IQ_NH z&z-7+r5lOx8R*2a6aFAXa0KwTm8vWRbB|&%mcTwXuL3Od0oF-NU<&S%@cO&xga(~p zU_hx;$Tp_}S`Y$rJ8D+H-!2Fo`LQdYtM^YxM_$zUa6s@lyQwyKel zeU%x2ixEj3V;~8ZTO_rDfhxS)Q(CtEcKCf%VWvcdHjk!M$(D?V0(I3i19mJ)hLGrH z&5)>SGh?0sra2{tiqQ^N9No}qIpP@bEEzajUI#eYiGhMrr;u&#vX!OAxNI$n2vI8& zoK#?-q=f||krtAns+X<67|)Y|U4ar{0XgopoqVUDd=}g=P?hf~DO(kwmrgKoF*#Pfj#AaF{n$_>aWl3K+8T*7=Mgs&DU1;{|9^-3uQ^Su>|)s!}`1S)U@ zn7Y3RC58#|wu$}4NRTUH05QOyfsM2`R*t_L{SBMuj?W1t@&{!3!LwwbB?bcvN}WQs zxyn|zG_sdc^_YPl+0&34mdSe!7`W5If{`c-PENjB%hplkU#+BM0Ry_p9-JE%?C6C1 zWFS2#=M9sSgAN7hX&C5Fqc5k%%N?V`I>P`)ceSFt|0}ts-vw1=q;z?&N-Sji7YvBu z6`c8epu=FBE7-H7!q8BYIRkhFQ$8CS+V?G_#86r*&?%&570T9wY7fN;w3Q1khJlh67K}t)IC){y&xjD7Cj&bI0U;n= zomPnx)GT12qU43+1if^^43;iRUDp%UJ;DA2R^1RNJ{JQxSC8;I+QMavq&6_Xl_}cY zZf%%V?HD6xafAI2p919fY;?#&%1)=OLIdCd51Jg>SA*tbt zPWBPtK~X@5XbTS0FGn#0Hj-NKW|Dh9!?ic6WVZyMkQe^K7?yt+k zeu2fQngIQmFrun?FcMXJv!R+tBQoKu z{k+C2P)Yv1fj^dNAM78GOw=5?%GSRmfYG-iiN6G?6Ye<7qGHLwe`aL4N`M8UvK51i z3jh|u$?f_ z@Dt{+{GuS802t7$_~~lJwg50t2*dzlpi&0#N;MS~0^Pgf=zprK$ghyv5<~@Hf#$hF zY}EWa2CDMrE$ogM2|;UB6=q@}7vp=qpw# zv@;^Wf>NiDZ8%8?^1)GXf7&WZsidk27KR||f&l>(vY9Y~gHgq-uq#lGf-GBq=a}Zx zRwk&t&p|F&Mxl^V1qPCs*PfbUg4-ii0k*<`QIiP+7!xD5O%nUyuV1mhjv%rD;I=A2 z5!|IJz(JuT(T(1xn}1{h3{LN@K3$^778RQ_a0BHLW)$|rx@%96;Dz%Wu<0z$w6 zhyJR<;%4Ap9v0eMu+WL590R}@t>9uwv^Hf1nqp!sDJh}~|FcHrk*fe(c@U)G!vMiY zS5ZaoUggc3=rG#vAtAXqGE7XDj- z{XQ%^;_l%xsj_8d5l}x31C*O8dke$M2QTSlaJ5n*@`{A|s+j>Pj41$Fwk{B7kW)tS zr2g!)VMej*oDmK)Vqb3rc^pMP_zU@28vz#VIt9M@t4Oxlg)c_|WvhgunYiGiY)QdHwz*)zNYn)b0w`qDF+z}O!NI8f$pCGxbd`MCI=F{~ z90qDgO8^{D^1^Wf4L>1BJRA&YVfjTty2=@lVljN5SF<&4AJwQNkEPMz{6QiN2ISyk z;wM#aUZ z3?sEAAOsvx^1^Wf4UK4DP=FE4PMW1_r&4Wj6^UDU|jnnarRE6asbEzBatYH z<^0D}AE=Ty1Fw050Twb|MI2}0W2p#-A10`$%@0_BONlXHaU@_E&gR(sqCjz^GA?}e! z5#z~q6Rb*-3#M>Cz{y8R55);O`3V6^cJxYN|7Y**V^Vsp0~ZT!)5PqmKsWH zy54X^J+5fwajD2Ff>6$k2J}c+D^K>yVk883qBa6$P{JTl00)ucGsEF($jJ6kmH{e% z*pG^$teCF_>_3DcKw2bYFae`5fdVLq{}=~?AP9!c1WBv_iInrJd+YYCuDVs#x9{!l z_xhfh?yfrDbxwWWzI|Uz!@kfo8tMc)=TA%#q?S8_moEGP95)LLIVUfbPx>ntF~}|+`~+*kYNRTBh<{+)@NZ~GTW$Np9r;}F9H+~ zfLvY(MUo)p5j3#4JHiZIjBYKjdaes00yYZSW}{m6g!qiK^Hq7xk>BTY8qLoYjQ53^ z<)?}2r9!IGP!VphQcpp&MhvTisf555g?zJ7H3P9B0+e-UQYl<5uNcN_!AfS=vb3kd z7}v|7ml3AE*jt$@2bpq_#dq;8w|1*T%|?Jwa8^W1Y5csZWj7??Y>K2_Zlh}Z85~=y z>kU#K$v+nZp)m_G4f2S82A8uwOTE-ET@b*vw?M&`cla&IOx+O^I&3FsJdTu0Rs(Dz z0vI@PxGq0Mk8>C*r!{408CW(Ef%$vHihO;Wlh;l7vqv_(wJxjevP`-wsniLQ4&e`E zH9S?Lu{=GZSWqXA}p&tHeUuI!#05<~Kt*%vjG8xnDNttzMGO4vHYj}$qKF>`Ah|Z0xgQGbS zxLGh^UM_%bVffs=2xR}Zb*660wFq8ZX`-$hGtA>%M>JbsSErlX*%k-JUL$~G>y>d= zY3BN;kYvtjY;I6;E&xkd2%&+7iclO|-?g|7?4G2CV=E%o3ox|yb$)!;$CP?y zeyCuicpQb3^}fuaNdt5dfyJ(nz)WdziKo^4OMQ9>TLZL?fG%z$jLVnbciU}t$sAsRf6>Mi>ZVl9EE(dQ8oKPWHhsWel!Rm8v*lcJuCKmHw}q8LH*>%NWH>T+- zS%L#oM-gZqol6GFW9z*Ix^X8wOFyhV+w8*JP+BPhCObJ{J}~>L(Iovu>1s+}$&rD% zvj|YROUY4q%Q9(OX#Gj8B?rNr2xN~de){K&Tuk2j2p)^L9!!Uaajg(QOr=N^K?aA; zEO1Vz=Hyb-V9&Gs`+qi7TJ$~mP5IpZnuac-I3*LnD>eH}xJgEGQf*2rrx*tM#v<^NU98ht&EAM@%=y94+7UQ4 z=i8{78Akm8eMNxn2<+BCN-Gz8>28f)NNu`RtF|;-irLIBd0VyW0tw-yfkh9AB6+FQ zhyjlYE*PD2iquJ7MuS7pz*-~jNy;&sTzrw!JhQO4=fG&cb8IDGVt{PeJP{bn&Y)5D z2u_auyi`IEjzYfKsG5P;5P?;m$kd>s4SGvv+qgQ&R1r9-N-GpFf(Pg*0+d__J2Et= z*<1v;T1+=*Q!I(u0fq<+5m+q(npWd);$BhB8JlxhlePixL}2+q!VWc5xhu%>{J8kj z^@1ZXmxN->rq-3<;34bymW4*`| zfg3Ru(0H>6BvhPW!^nY$(cptn5^f5Ir(JLwk`4igeTLS@gY|DDAuZ8fRo6Hz>GZJisnSfH&n- zYIWF@ALr4I`JH0aan@0COJY(fw^Y1;VweFjp<+W!@-hdK&!dn63>-)^7|YELq3jVf zu-1rsl5)(Zw?jm}cF|2R;Ftws&wrFE|_avAek6mCYT1#$HZ zypW-FU|BT+C#wpy;XiF7WMz`w%`y(3p$H@=;c|x*l3fFrT+)+%tzrB^ix!W^O2$_` z=^+XSX|p|8y|5~4Cj*U>3gcIc37BL)Nf?>Z&}EIdCn@*X3ceJ0$0HFS2ss2G_8b`P zuZMq<^T*{f&yP;9&1m#68upR(9J5vTAV@)%fX18cH-yT*LtwR{oS3Y>&CSs|@N^V` zw#(U3NA?Fb8;iiS)vfafYx~#A?Alf@tOAXe)t4reqkw%dfx}rSrS5EjFi|sbwT1f> z7E2$XI*NTZE`FhpR8 zK**;2cH!}ISF}YlWO*W!3%#@o2xw4vciz`2n4J( z;%?2H9D^+ho_3L7NI3)`1~3uec5y&f0mEjdh{O4Q$AzN-h6t1q7`nrS>Kv2|Nxs>nsy$$^CrUeyB2}h_iFeE(!0c(x; z-GM=dP;!~iHw%>m3=tS2P(&bWR1d*AR}t7!PABU0T!m@JoW-tY3$P`#TWINynyg(? z(4Dz>oK#i(Mz1r27g$j(LHVsr#y?-xDx6|A@5g+rD7}!pEUoemBv~!({Mec`1&{O0 zX0_mgGuaa*qn+8Cp-^Y2G-#;8MBrs%=3yAT&=&vl09*ofvp1kifbmu*pgzFL5y0<{ zm*I37(S1E+wtFiVRwJicmb@I4QXuqlq%Alx+~UxM*|>#)+I0k|YlVZpRU@ER6)^l} ze6|xU?4`$ZE58PzG6L;p!>p}tEXF!U9B7LO@S?aVB?pC8wBX)cNe33>%~?NhmYkD; zOq930&CGI(j+dV^VaH+cNW&1XjDXd}SfS!^w_t97V-bXJ1Ue>+Mzdhpcd!9s1Onkt zf|loqR(Y~n5h;eGB^+A<0c(x;d0~(<%`UW8hhh<~G-#<90zL;=H3I$o8AwjK(T~}b z)qy@lV2HpFfnAFL7RqJN%ZQchb_3KX7%)o#T%-IzSUm!?y2v20QxV{f{%)&wT9w=k zcV*VGdSNT}KvF+4#2bs*(w-lyKV1)z;E{$wsf^T*tz!+66-u6#>t+`RrkVzVx?xsU z3V}cz>cN(30;XKclg*0A2s%I@V674J4XJ}+kdq@7OpirN)jgtS!M)F*+cy5Bt>Aic zTQa+z(>o%qQ+^bKCdCz{2W()*h{7L`Y<3iWI7NO5*&t}mX|$0&Ipw#NLKB! zwK};#0NM5k3`%9hIZ0`dtdQXWA_kszFk20T%8+M7VR52lRLt~>Fr}c%lZ~keFoZk; z0gGUpovxP`2DxLiP&vR-1d<1hCH{`8TQb{`_q(s=@$S1gc(|hx@WOvq+wJlBgmbLu|i-=f_FTEgw;T(!0Z5O=7m2iC{>q!^M80f>DD9cuiO5VC*Vmdy6wu+3XodpV;Hea5&SptlI* z{xM_RVo%+9kvkE0XYoR7VzjQlG!YOnAgq*jO(k#wA)xVABTT9_l|h*(ezuNrAG&`4 zmKbE4jVaj|T6k+3Z9QN15@`7AeWPJ-L}0Z0Cq|_bLL~~>W}{m6gm_=t$@t@7c-qEO zd8OgEO2%h|6;DZ;V}J@&8XUs&LaB@xRtHlF85Oa&Y_l;X1FbOvuQyV9C2YxTuWi~T z<-z@PxxDu1=a$U&*rJ|Pn?O~ITe;UMXVi$vxvY+PmwRAPoJMFcm20sgR0OK^(;+yAR05xasf0jDfd+%4 zUFwwvO90cpgSYiD+o)7jf}J6pE*oruPK~z`Ga*#05R#LGJhMqP`^bPeZv?XX&qh2M zj0_PNBH%^fY8^u>&kM;f1M2FB^7)8B;5Q`4=fm?2d)e}8MAq)6^QH_-ac)Rk=LdV) z%>!@VIc0K=#d-W*IN1WF1t7?NgFTK0kN9b2;|X0J0K zJK=OWSZ}GvrS<#+;Q>020FpDn5P=~A+ll~Y+e~;ilP}H2dq3`F z8O>VK(y?5hWABr(eh94w*lg=_soK6-(mG*>zRbi=4laA zLDmzvAUIjj!sh113V{%XGoj|0jj9=lLj?9L0vI)00F3MbdWyi7aynDGrv~kVTE<$q z1)we198A_CfSqUez$umem`zzdkMvfNlK9L2jl(u;Z;_FeiCyI0qep6b1UC20dvOO#2Sru{A3o!Ol=mU_*{Iv^#mRLLHjTQZ+Cw zMd1EY-BgjCej#YKUz2;K9pifouqCrwXlWlNpXL4sUcm3I7!CL_pw^AR*}4h_`7R?s zT`F5a*D#y1Q6Sm?`HF(OsE=JHIYaE>(?oxGGnryxXug&H>tahM&T zO$1KVaYF1V64y1HbggjEw`v4vRe^#3JVc;7s%ww!^Ua*Q<*Bi>0mM| zIp>>9RRde#*s3$4VtBCDRp%5bn`7%YpHu4Jy)jx4&`YZ02T8>}$U28kP-CD$44HKZ zVA^-6@=}JMvI0`&smh9oK|||fE9Y#88qGR{tQK5gCJwZ1LhGGx+8$d2t_-Ml5ulKm z4k1^-t=B77Ff_2P5CP^Dm;O;HGImfP zoXM*q5SU9N2g1NgFwVXSLTr#AG{TZuZFXhC&5(dujY7^64Ze#FgyuP!O}F>m;YilD zE#9}BgNhF@iOUaMp0F{Q9 z)Yle-SA|j;F{}=z5;7`cZ%+@BW=nr!S_WEE1WvXy&uz(UQxq;7o?)RF*Gu6zeew#a+s+5D0N7%ubff&MLDNA+Bbi zp4$ivgLo-*yj0&*bvx_zrdoI2?VKLyR!vABZ(6C{SD`y-_=?9?IS4^YGI33#P!2S+ zNPp;C7E5fk))Ba1#K)8?JA;@621m6P*UdTvRQ4P++K&KLI~*VqFd;B3cLr#fzgMvT zx8%y-h`|WU%gxIwW)(p^WY!^oX@C|HK+Y=Qs)WKj<@GllOlK9{nWjNeFaoiCrkNTs zq6Ro;5#X4=NI90j5FCe)YvA-6vU?_)e3A;QYw?gcQ*@klO)9S`e=)`N#cbBTx2lI> z#m_uc>Z8Nby5+7#u)lm{NcnWi;0T@{fBT(kJo)#O(%^8;O(xYI20e?xn*$7{pjn52 z%ASKp`|H8Z8o>mq<<4M^tzVEYY#oA=1%{l1vB%cipd>&O7#!_Vw;Cz}mZ zF8xDk(Tju*&|3s_R5ONtFF?I$9hBM#MB-a~1#20DpE`)mKxQouO5r}Xy$0P`>*t+m z>O#?;PuAFMtPbs@jGe2iTdO2iFsmz}p|C;({LxUw{PdtIuAnfJHS4ZO(Umz{XIedv zYy_v5j|}mKOw@$IQ9GxYx;cSJZ&gIxj4xyI(^16eAfe^_n2{1?Ca7iyaTA(accEmX*M2PX>%i28l|A}oaGfZ>kv@cbI@pi?bw<1Geqg5i-oxaaEGSTZY6?s%+*IW|od z0bPgWWsDeB2V;f65eJwBhofEUm4=D{u002h_9HJCHri|(!}x(>fFyN-fEHX{P~Q5|9a z8{ncufXzHAi|a*c(9jN5Y=-!JE2NjrEZ2Fe#jJp%UFudtMF7)Y10vJ{rs^|O3Fhf@x`l9qNQbH# zEbKWNlUxk6=?rrf`m)MN1w&;}M`ZS*VN`{?f9Rf(xlG;c`2*q$mw( zbpqCLg|QURAIY+M)7RYNa5Xys-{OEd*Abv|?ftf$&m3-(L#2M)OvkRn{EQ6cHh}$X z0w_Tr$VCKXIWwU`D(&g-*KVbvBM)RT-?qN_Gqt~SV>Qg>;iOn4HMAhZ&hf*?ShIm1 z0u6N_VpJXNQm-^r1aR#$NIEsz?}R^N*vNfMKQvWe`REIan2Ht%nkNa@dkIy+h7p#R zo0lr}PZDkgIw?h*WEmJ5BY;ky0b>~@ zAy%Cs8(?9K>H&I-0HriDW<5SsM`ku%=H_L@P~VLRq$XifRIYC;53n1%(Za!tPz0uC ziv>z(5)MgZ;ATl(m?Q>h83E3CtlUUFeO5+lhu^j;nE?jqE&?W+7%0{0kJHNU&A%x( zF}?ZW4!>;;uG7n&7}qfKYf#F?qH??FA|t8%s(&z@a$hPno) zmsG)q5tf%pphjU;v&D#Zw2PL?aZ7;ey#@qg3C@=44b@L!s=n1g9MId1Ksk*$PJF?s zHC707pKc^?h!ASPhD@I~Gl3zz)C3w$SZS?(NqugK(!NTq_PJ?a+k14rC6||`vt)BH z_XhVV2=a-%7zdw;d}yiESI`?4GqWq=q&xvv8z4x8A!^p~CoI2L*pal>$!xmyA1}_U z%aJ5VjwPKAK?7@zH0+kp9Uv5kxY=4yi>uiW>NC>JSLHQF=u`LTn~?Cg6~ECql^il2 z?khit1e6*+rS8kmt}4P)kgO5I>R?tdD|>JFC)z`1YXo5!VC4u<^6!+btTIPnOJ;Li zyC6KIihIRs6Q{OKYqj&S!qLwW`DE>*1`Ot1LKy7g#;7DgE-*3H%UkNP(%^<30m<@+ zu~1K>qJaUyUAR3Ax&{|qQi?%g7TU>bH~p&AP%|6TGSHeMFg1S-@>QhI10oN#afIHu z#a%auftv<413bvrZWhin8#Fi|yNUq+1<}OZkc)59%678u)^9Mkvavl7@YcGlHh<;6 zQtDXFFbwW;DkhW>`PrY076c8fHDXvD41t`PE-JK(07J?%YY8CkIWXFf0BIBu{w%nB zG*UP2D@EWgv!YRcAUCM}-uKrC&VI(vixCpD4B;f$?m^(Jn{^0DH3LIa1g_{abt6EW z%jJou*}Ws6U_fm>0C%*9k(`N*b z8uy}YhPCNezIUo_{QcX-v80?x34$2w)#3?)mX|p*UaS2}QZ69F^!%;@Px-=Jj&B7mQuv-~TPyQV{-YgKEi+-q@e-TGpWbt7v%tQk42 zm6wWosoqrO?Cn*Ph6|6URxQ*EDQt)0&|MaE+|WQ>KLS_zJ7fm_)T)DZO~dIYlzY&Jc$;x9~k+C>vVokIX(&wjKKL5n`jl9kP4I~<7PA|zkw!(TG@D0LpXMlvpn?nF%fHo0$`*N!p5z!xD zh`}#+C8EhEb)Y@BoDBZ_4Q9AwIJ7zt>5!kXF1=tl4q9FiB(hZxCf@;*oq8zz`(3_ zY!w0ir}8wAtN&^(rh?S+@_UJsg^8D!N(jP9@H&Hdt|8?Rl4=HqKm_pn<8Mkl<@(_! z0cHp2EdpF<))*ig1m2*ni)ZSIJaaS+IJUC<>k!i0+ZS!;T?iHx&HYhvP;M zemVLtcE#*!Vol_kj*NMJqJen<**{D5D@mOGLCY4FfeL_lvR3}>_*yXVvD@_~et&6voM1tZ!$ zxzr9F4naeoHR7J6Ry($0de1Wpi~9@`e@6RPJ3o#^M)Ks|3oKf+ys88eDqa>2Jd6e( zvYrGH9ogq?#6AOtODo8Fid`$s5h0zI{)mQsCQ+jCJZ;?@Vwy2?$AH!B zFh(C}@d#i(i^DulUX^;y2qeu;il6YTs(s+!?FfvMiQjGicilU39b~sU)Xr-J$}MQ= zeri$7Y^AF-AfzdP98i7)kPysl_46bDvd`yZkON3FNH`t|5Z*k32G$yJPg0KAoX#=w zw2N+n0ZTYTWq?IqG=hQfn*u5^uz4adA*KQvZyv!-z70Qv*F7ymG6kM?5zr8F2tW+5 z6oGQ=En$1Fc4#(d;P>91T{ED!^U&nUq3&NGM*`^t2`0J5mNz;H=960#R;}qvOSCjpA|wm3YL+; z)o3=VVh@O+<*W==wDlCFwj&NJXS=usq(XaM9m;h;cJnCSyvYk>5ffbk`nWYho>-8A z49`+3_J*#}()yZ}9Ia18fFR{8lu{ST;x8dPgo$FM!9G%_QWU@>p;4%rWz?fkn#JGV zseQ{JWjsrhAZiIH?>TrOPRHYP=>e8@Rjegx5n_hE$_Q9pj1@9ip*BOd*=7~{LwQM> z`V`H@(>7j3AqhWQFwT*Y=jC<3l&~5cg8UUwr79y}bum_`)Dii}HXG3~keVa#Vl$~v z%9hOb*`%G<&D-&COJ=vz*j%ev@|0cN2o-EPl5os{rBkE`l6Kp|s8nmJHR53wTP*NL zVae>&=#rJ1AXS>hed&7-h{cY8-F9{34tJww(6WgLn8{@mh6Wo$1a>k4{_27 z1!oM{+i!vU3~!D<$s=ECM~BZ+2klb{4Ahq^Q+&#qC=-Z!d}sSu1zfFgb`05!kH=cn6ZC zdn6o~Uh%m&xk%X_IvfHEp*r;(uW@XJ);zPYxbI+3l#D<~j?>HSQNgG*By1|B$f$e0 zgn+e1{Jb#85K4lWojTg_w>1P9Zw_IYs}T|xFd%jn(p?Uo>s@t!n|@`DoE{wHVT^Sc z)#U*|Y2A}{f95`|IL;C4Z`u*vP7ekPmHCsjUY>$_ z^JzB~=SQmMzb?)hHB1*pv&oGq60^rK`arW0U@15!gWN@F3`UaI;^ZP_bP|uif~<^q z$5yI@z?KA0J0v`_Ni}=GfceU~Mv&3wqbg7MBNsQy&cKlLdI-c`Z&ZQufY|&bdj0-N#rVP@|AI1h%ww*^=2+qciXi5f~ycM4;yg zjMa%}L3^0a5us~aAzbH%_eLRkE%h-TOAiR$gU)?b$-OijTiGaZlsXXI2skH$tYhm; zP7~fa1xoD+lu+@`O&VC|BxNuV!hNAh(=*OJEsM6j29nl$;ZH^c36Rckrom}bDMd!z z>m>xNHDbP)bubJvgp%-Bw0PP@iXrI`fY@iyEgL`bw@fWH4Pu*$Kyo&`X|DCG<&O5H zF+E3NtSCw!h|vfn;~SN3O-xV3bhowgK`5PO30J8U0ftbOz+jI3yi`I)6EwuY(=N;m0fzv@0J{-^E$zQ| zqwyQ?BDu!(KM5iG$8E`M{|(!`h0qlM-p-DRvMw@h zK13JBBIdI#z?RHzp`{x!$*{80(nMG(?V3su2SPyO%_G!yNj$1nSq5+2Z0h$nO3aRZ z?V?3Pm17o&{f1i5%K*KQ3J6~gN3x!zhP@F%x7|N6DwPl_QOGtM)v_nV`_fLv9|yxj zKAy@e4R4o>&j{AD~dLyM*!j{bT z+NNDnj(OXIa(VUe-66JQwz~#wN|OmxwYb?$N7DLSR>v-{GHIi&L$gU$14AeR6hiJ4 zuK&4Xr<$8~W}aplIEDxe5!glqu)H!1S4%7^DbQfBYcZiBP-$=o&LNe+=U^%!P*R}5 z;Aoe6rNI)wwC~_;eS-VVgwy3@K_jFN#tH=%&gJmyz@vYJ$7*2kx1E0=J3#jlKynhG6ZC;RL|}-(5P|a* zftKf+^VP_~+q?*5tb$F7a3fq>Si@{vlY`t4ft`v#@T3y=P|hbB9L_mK>eUIqDREnW%M6pRGNcn5|Z8@jVi3 z)&04j0Hvn(p3L^snC)tn!$t7Okn-wGgTpzeNZmU>61eOEhNV0wd2=ueAy87F!H_hY z(y|Y9@7S8LF*yr&hI#@Q>2k855mEqG3|oI6P}s+>M*PmePO_i%4lqL=tLz3b2)fwFktLd$0I3M z8XSUi{2*Zq9$OiND9p3XM%C;IvC4}Lf3n>y9Kp_DHGrWZ#~P$y)>Z1}WR}sIXBIXF zSTzE&b=d}p*`7{T+i1;TbBMr(BA^{R!x(bHab7FaoXK$IOBzXmq4(r=m>wgQx~`n- z;=s3h1d<~psIr|@P}Ksd7sZCsm7%9JL?(dtjtoZuCI>}wy3vBU2(H3Sq+RzFvq7FBquLc2!tqTk;^k1RkH^~ZrR2$ zAu}Pk5kd~Q9f*K#N}2WTu`P7_iD4T9nO0Js#l-iBmNn=4@n9dd4yNcw8NQ5$v}VOw zLRpQGjIdgrE3jIT4n8$@0Ryy*K>8VHp{#OCYlB$75jdLLKXD(*TEDi9_G=rku|Fn| zL`HMpc)wCwK97!z9P_aTNC&4od39Erof!P595ugBW11K62(p8jo zND+sSyMW7?MRvi@-SpvI+i`1_%9`GeU;AXW?XMssf9^4vHCb0L?^BH1x3zf2=#qTu zMlm%_Zk3lbW>u3*tIkq0Ff~LVH5oRDt&7GccYv-U;2#-uhHArO&nZ+2V2bn!&hf*r zIvD31R4Qux@U;u8qXEaY0cz*^GT1ZDnPM?fGs0KJRLafis?Nq5GER4>gmTRKeK2Ge zHU@Abpxx?FyC4yeONc4xW2QU6xF4Xm2yj|+AG@1Abf#N!aXG5R4TY>B^Q_!aRIRCY zp%h_8kURom{Vt6hEH2TH6>fB^gjm=T@T|~1?Sf;-IRqf~7$g>i<`+TN5}*^M?j{5B zZebJ*{la6N%7 znO)E6?MqXx3&ona#NEf{c*#Vlx+SwAS9S)+@T6Q3r)omwiU@2JWCqq?z^JX3&d@fB zz*Q{VGC!k2cyln-3JppWE=@hNWegyl<&&%=hyOE`rg>SBzB`GVl|C1frMMp^-H+P7v<_#Wz9L)d#m;$vU5$Xui3H!4j|@qFAA-XV&+DFa4?k+G^T*TkT#oAvj=pIHr9ikwPzwoEq8`+x|}R98IT=C0DI8^b~XZ2Rs`pqBSX^LiJCB&oO6oQs}rb0zyKwA86)HRNvdv^!4U`1 z%HVLcOWijMuFV7d+6_1>zlEy@n^LZ^bOOV)+!>q%`oV?~hH@t_R!D;qgM70wEqg*c z_S%Vj3~;_9u+A3de7C%F?{()I+be7{G)ehGyuAn6l9bIkFjf)Zsx%bNdjxc?0r}T# zW@hhM6WvTPWR^E$`aD~CCuMq%4RH6sDV1V2zw4!xU35C3fk@Qbzc?z6A3&sl!Qh-z zq>go(<>eCW0mc|ZLI{);5E#%0EA3MD%z|lvRU^w29kaUFxLYT7=uMs5anSN zEdR%j_AIkH=-G{|b>djs=`_JkLzr_MLZoeu%Z^acGo#nEIrZl0vH}_f$Hh}%Vj$Td z(Cb;5OWm`Pt0+oikKdxDi?CM)mq^)zl=tonXM~);+6MvhBs7$?{PX;k@EG=y(|Gux=?y z*=7@r&HzpRvG0s{IKkOmrNOfTP4J_BGSEOoL7tz$U~o3qQg1$j5!lU~ftVufB(J{A znm@)IGy<2khH2{u%2Ak&#*V}0i%3XV4TMU(ZEmC*JyRO0tX`C(fN*=dtb|6vsv=ZU zz@m%5gtk`7&wY^X4^yxfht)U5`W0CglUW2AXce-@rKAy~m>MiGn}g>tsZ zFx$+MUX#U&(*)=Oz`8^5G0kQP8tPGZTP1pLfg=fy1J*N0X}mcuf;9yM2FER_do~zc zP8NJjB?R6S5ExpRg^|}hS$K8|Yyz%3O(?^|5ON4UrfQqxvLn>Y0{uzwbTvyL$6J6G z(l$@iECWYV1kkni2FkG)Ywwd7arbBqEuxgC+#r~eOVIn#nlP$3#jrp0iCIP4v^V*6 zNkl1O9qAk>r7_816|B=XtD}R@rnz>OT3dAo#seyv{0Xpb61AglHHLs2DBGC~6*aii zGkTS*)ejIoyS1)*f&#RyI%6>~31HBj&9&4k4Gsalgydz6xF;#cY|a$R(Bo*AdWP9% zmLG)11ROa{fG&V@CN!PH!!(;EXz-e^fwfvtPD0Q#NNK!TRfNg`-r_JN&>gp=?%7~) zIa%;Al~9IRMNn9py=Qbuz0%&PLR^Cz+*r$k? zw>}tMwI$?+s$p2v2w0(HSGgt39qv2BsvY72TH z_ndp6Jxte#Sx6zK&D{oqd(P~h^!KV(3?^qWkvby4@^T5z3aZLQrASd}c4UMg7=^1L z?IIy&<*zXc;O20RLTN;*0#4f;m(7X@mKe-Mfzt$=XV1tgW(g+O_AGEIMip0zVl8T< zVGDL4fRLL6*fb{C2689@=()QIw3}|73$K#*V51egoBExLvA;s(xah44B191M2pU*x zB(~^+FAn5u7XgA$+-!se!YR;TuuULT1pGzX!w~p3>{+>DFih7RVwBV1G!mW*XuNp@ z*kMqN;>Jc21XJKYu~sBBq~c~9S+e#_ft{dO^jhL$8qF>O(##?z(%=MFr}_7UBP$Z< zGQ1}NIseTZGSyWM=Upl4LQlu%maVr<7eVQ`SvirW9Hu6oas_W9`}i9^YITfWU1X40 zB?7bxb9cO3=>2OszjE(i%i18k(Fk}agDmU*?p`T^q({)eS|jF=<6sEn><;E>mjgQ4fEYkaR8J zne{Iz+ZRJdBG)&K9y`Dg0WSjVVJr|;v68M}$oaeb ztn=dpA=j|b#_ZKBv+SIk+_u4WhWW}5RH7h`YA`qsOTE%y36Zw>W~~rGwHHhcY!XC= z29u+!Xx>sIg@eEqg{C{nHKZIHp1v47tThrj+Ho?kqNk-< zf)Ado1~vyYm~0aW6#+C_qhJYh&=B$nnUTy|Pkdcs(Q8ShZO*XqwFR9=tCHaBN{Tcx;ODkmfPT!DU9zz~ z_ZunOCUoo>Z>K$5GP|7>JtxE~dG7?$YwvsXBc+No9kw7YgiuN;P37QM_}-_{Tf(n#63x&e-OwJ!WkxRd7o{ z#)4$FcN7bs8K-ZJne$hkn#xDtRH>?zl|iyQDCK>^>vAe)gTOIpY$*qq!ykr z3?_kvBj{OJ@+^#AV7K!`Cbb>2Cq?WQt>2lFcs4*&1k4=LL>%zjj{voD0dwRkfX9~b zDgxW*&2yL4+1g#aT#Z+0vN+en7ChPH9&E1C>Im-+de$PX(!h37k;t68ffsGddPKdR zcw6Z$nZ1&=D{b4Tb>yO*+}nRAE!~v>L#4C1mO9DHCum@;k;rKSY*Cnqy_RMPial8k zYzjyiG?;7?2o(XWLmCB3@G%5(*sK+bus}ElBt#7cM;8zhD8{GbA`nr)6C)i}@LWLS z%_BI;KWJ_wgrhLlYUF7b2@NTa;FxV@fov4CBDeo)VMi;xmPFcyRgmQ63u$13&V!Hy zzm>4ldeO~#ie1vF)fl7+X#b{x5IPswt?pJ$hutA`!x(Uk*q(MXANicO!o+|Uy zw(b>oppjP4F-xUYVUg<+uH`A4sDGHp2(HW%7@KJ^rk2!n8*@a=rM_r^n6;kH`|>eM zFQ>Wm`D|IbVObm<58$B9eHk^u#;=P^&cVE{g|K|mt`5tshfP{Nhl3SE%-dZ5axzXF z*r4Q{ZVA0SzIK z;Fxt-?touhHXVskNr9uw`st-B(zbg4^}ANs`IJ+J?s%pRip{(Kh5@xYAg<>Tke$7N zc4=KZG#T;DJP57r%0*O={xgp^ducW%s?Ecb&70hk#pGzE49CJ_?=(YllPp|pEjlr| zYi(O<6fM4U@fujm;323}|1ULEN7FZ!Dh=OG#aOIoTVE1H=XQdJ_G=kFv zU9x#CX`jHLXN}&}2|>@o-?oBv3$P`#TWD#&CUr4NmyKvz#$4n&U%19K4G&BS3Fzb2 zh-VWv`yn?4p&x4-)T&|2ncY*yp9lki$yr{cK9!Z)C)5`1(}ty7gjnR`P@0`svm-YA zGqaQs%8oxZv&FqVVHU_m0o|>pOWT!;AyxYWz^C?40%vV9G^CxVd(ML+3Lg+^&9kDF zrG$qkV4`q3@*@d>xR)9%7#xg(XVzdrX@oV*HhV^m<}Bge0f&X=x5URZoAsJ+{BSaB z?KghT8$2@tFUk8;l<>5TS5Zj9&lZexc>TP*?w1l)gF|5ag1<5XRu^N1N*$4p?`m(> z8u2}g*egg+#{q|h~T02 zva|YNzan7X#`4i@wqyydh|my)OZSup1Nu}IC$Z%%k3NPVx8$-4XbZDII0~}7X)w5+ zMMcgZakod%#^R|rW@(2F?a33Fb~ppYgtN;I?vQW(3X$VnwZ_<00Yc6cZwU-aWu$ra z16u-$3jqW!s~zPGv&}3}kOBrn)dc7g@LS?zn$0@eykN4iknv_19bHz)2B_k&gy^TE zs$A464H}}sCM~ucHM3+w2AKq~d0dnRmuS~8E1_i6J%0$;nvo_Ju*HECfx*!wbuSk_ zh9TFZXDaL&tnLDo{eMMQ5U&9`jliyibXWZ7G`M{zBTP0a_)H^%ZloB(Mc#MrcH6M< zr=Z=eDO#HaPg6Ggp&w+Z&AKfnL(j%oaz^IHoJkp)lsmH&U)S{JuGqDuPV`BX+IqaD z0C&>ti>{>DZs1*`sYr2dy2fB6c~#qfl&uCr1rvdPkn=HC2r?-+fY}ppS?wrW4TOp% zFe+Z#2v~;&hy>hw2nI)&)T@?MKbxyC4yzX__Oyjrz?Oh}3V z9IH$krwg&6)EohC|J}@5Qb<1i-Mt_&_B{d%vfAX&f=4^b8WALVJnc}PHXC7qf+$FQ z7z|FFr0%sO+&153Uz^t|!y-1~(Bzqs!bqvRIL7HZ3P-|k8%Z{%BEgWdgm5Gyjf7wn zj)dxI7aT*%BM`95ZBrUp>oTE|0u~(vCP$aly1wvmu`DakNm$i{dVnXcwGl@&Vn-KsTMk zYU}!wx>mS*`bP6Ue9|eU+gW_e-nN6CuGytlkxIrtm7jL>Et#!mlXhPBDAnNEM6npf zilyaMZTnG9g1@^L5p)uDZI?Y+PTMOu z45Je#!DFmAgh<;+c-;e%qb=kaH6#t17QiSvx(Yi1YYU-B$x#Kj1Q>4~fq;dNh{G(@ zfIoU&JE!-`767S8vRp}R`nF!94NOL(t>yyM6Fl+5%sUu-7_r$X8JNXB~_IvV|;_qvo zoLyDNO1Gp=ST$@`OKnccyOr)Od9I)fX6t9Ad~Vn*}Zo(l46K7W-Mk1cA1~AC?!4T+=9E z_O3h=7HwG!6&#HqUoJ3+j>A&78Wsc}vurjX)XV~-DPS-xd4}pDFN}(yu2nrBh!AK& zI;tQc2$qk6@OvY5_JO~wW;edUbo;GKYW%J5;^X6A*AEw2Uk<-gsh`4VNt$O?EH2E# zesh>SSpt6&#L?SeavYX=y_cAD`51;=*sP;1%mU#khzv zza+Q))8|>Kx2}y|d2%SUn+SP(2%2rLE>n`$b2`&X8@A1R#$Qxd)D)=%j8CsiPaY|L zl$;0(fgO8y90FbNyJU$4cqDlF*5Ccs=_LabUw~#bQ^1u1aeaXf5eo79Pv? zwg$RZMv?F~x`B749zHBS70V~rXX+B4|A8fB8A7;ap7rWl>Gc%!Cf&1N%jy{oA*H@w z2CSOBtVqgA$=Q`uY| zmf0nxWwp7-P*|XbZI-PuZKW9X4B^ypOBTSqwGa1_LM6#djpb#tWi=SWkIKcvx4)p( zqksI;RK5Owt3g`!Tv(oEE*&02pLBDQTKYeZBmTxKcb6^9WOOjehPlZc?v z4AF|dxf zU|RBQq%^QCV07XM!Rb0&`O<%&aP#N*;OVu|2cL{)il^pU#Da-z(T;Xo-?7ii&mHqC z><)*~rW-bp3N(605@c+cTY80gJiD%DJeOl@QYyxPhst&ioYJUM4CjTO9y>WrJuYX~ z56ZFiP$?ce!}%71!Fa2Hs!~H?rjslUlFjI1lh8~D#c@2&+L}t9qzsEqL)auc?Z>f| zmC|K)r8YXQ_EwvAxY3^5*&5mQf)LKC*Yx+P=hR)nnr~Z8pTC|d>8UCZ_ndyyz|=LG zbk`cUqOaW5#%$-Tt(yM4sQ6R497S@`@WC(1Zs~0~DnuZ|a74N-iBp1lJAS3yiqT1k z7(iNHW;jU`^lQniQDAppYN)<j2CFw7hDK zEL}tcQs6Wa!4?EV$P&&z^ChKkoM=U#6ARbg#p?KXh3w_!tP+A`3aob92f@#H^9UqJ z?Y9lQHrRJ^`jYV9B1k#9q<%$SB(I9#w~av#dR7TVSRkAN0>hGLsCEYMz7EqryfnTm zf6r*7zI1J*e&tYTFD~=c`THpAq*BI}>OBEFme*?TM0QIjMNb;jb@__!OwIBATq9r{ zM?ti8505c(?ghkRZvwz(*f+;w4$^n4$roiBB$i_19hvlgTv0?;M`(uBjbAA%7nRQ4G>l%HjV`l%+xjc=g@Vk)lHnhS4bP6u zcH_LGWz!oy$9UU{xBoW#^prLvh`@wN)(#^~SC*iET)u5R{@=9XuYPno`MLM2(J8JG zftBUuZ~IkxvTMFKxiVY%6E;FHZCy4jSAj_YgYLvh>V8XnOg$j3Sx1|VnacvBDPS-- zx~zoLNN{9trh*`SSz_nczXP09mq>11mPrD?WPZuW1k`A z5eQhXZ5mi7*tSc8&;UdrIJ%_n<-*4>-UmOWD%*kyRKj7ZgWkb%eJFV1Cw zRj*7QsV9dlHwj}y+z2b1ZPc_9Aw5SZUPSlyi~Ikw8pX%C>S=s}UxWti1aG(c7a7+i>{MnQJ1 z_=p0bha*AG@*;IDuZntWA~obPe+A}L=Egh`Z5B(JYY z!wJ@U)Gm@=C*RjS9wRg!NT<%@HhtN&^UzRQYz5V0o;J5wIB6=cUk>*~*`= z5yxe)B!Qn(%F`uMeoK6e6Ohh5!<}Lw&yr1QV0gU&-!pnUW+}RT@43lw_4Uyi=gg!A z6kpc7eK6xoA6&2fKW4B^Yelc zGwfn^N4eBs38Gvv@DrQZQGN5lj#==8Efr7}AS-X^rtyp%xB{ zRIPO-!N)Xt=11MetkMf*36Kk943=6ejRbP9v)q8moNC_id6t1E7t^@1J`?kqPWnjAZBg5!Uu*{7YjK2~;ndst) zWlH7TiO}r6ZYH&*v>JC|Kh|qo+Xm#yF&2^pq?g~>CuLJ4nkvrOXhLd~{E{YNcpK!b zMSmNPHQKC#-pJ9KFqWUR9w~h$LD6&3f?H50xTSQrAU(t~dihQ@Nf$?hHa<~Q$V_av ze}ryMNRF=brmV4dC)d~|of3;>1@8>Km}@i&8NeD$=*JlEBrkx~ph5Us ze{H0{Hk0$s%O932692Oz{=IjM$0Xd6>UEB-)MVE6Cv3!#1xprqxJk@k-GN6Owm_Ko)(Q(t^;T5 z2#00oVf26vM?edD@($_KN1$V%$gedBBWpmYRYE1 z?8D-q(}_Tj=bKY8G#bmhj8u}cmKR++koN(0GXfZmabOJ6Um;1P@UlFm-)ziI1-S;K z&90%mQB*flmI=7YZwG+FK42YO9k>)R=N*`05GV;1$w@wz_^Mm!cMhyon-92Oiws!kvevn9{qSe2K`MYJOdXF?5T zMH(qTvyor23d5rx`p)s}je9SQ)lbV42Htv2;_zR-bD}lk;l(y-QWUB)c{Q005Q0Wn zbU49gutfovJ3U>3(~xoqKBk^^$E+W9hB~K%0uhBK&%#2`y%uP+=Em6-{ZQSNcE9?A zkIv|TZj64f*r3r`$_L@CMnJ~ZM2@T@$*Wmz;mnkg@Bwx+0F){WD{r33Lk1o{a)q2)YBpqtdt(*Z7_^E~{|*)#P|uFU@tT<<6@v!nH43Tt zf=A;`=GbaGL{hFafbcUvJv#Z?_2({My7S^~b@HpM_#eNfreHH;9Yz?HN=T!Y01bNG zEb5g8hkyyiH{!8CK?)|GE|DNe)d}Qb`uEInz?dsCvdBxt0e2H{76_P#)EjyBL*FS+ z^?Y4^r17ioneu19!t}LI$bAwTn!VWObR-C-&8{3tqc-*CIV=JiLUjUp7$+c`^9+u) zfcsf8XJ3-X3H(iI_vd7Z{f2xeH-4q;PFdnUQ=VC0ZcPk+JP+aBzaYJ@TraVJ=+@kf1p^5L5m;UcPa%5;WAZvuvq%pvMWkTCo#HHSTAV)5GWd&)k}EO+x&+3$ zN9x2>b&0HhoMN4p+vk!Xr|)9+Npg0=a9U}(QI#4B$yu{Ot^v&fJRz%U#4_(L?%UUj zXGa0%wNU6(gq@x{TD73(V^u!n0S|7Q;fd=eZ^C|C$S&O0#SYBHdNmGpAdhQp1g)*1 zrXZuU*0{?e`zXao>VvVmrT$S72B`|XT>i}}Q;EKDlnSEZIJhOZre2ov;(2QmBl-Kt z+ueJnLGo9-)%=*$Wsr{Wps)FNjSSb~Z6^8G>h1#3RO)z0G@0@6X}eJlbbf|X#6Y8v zg1HP84JL#Nx=CJodWR<8r_@jXnEbs*OL*<6j3|jTzN{;ZfE5^BVM?hQgIn3vo{HTj{bdiTjxz3_RNKYsh_RQ=pvas6Nm{T~)=A1!>sa(p^+Jk8>G6}> zYJN}VR`ehZtboz*&z@EMGw-c+&IfWwG1~U^7yh>V-NGA><&;%Ep|6PK-%;bA6eUT( zgVLNPz?^`_n?vw1wrvdF!mJb=p=K7yL;RqBa*n~`YGM9~ z5x$p;L4sTpJu%%y-M)MaI^S8NNWKnVmdCz*RhJ`;oz7wfpGS-%ByCa=eJ3d(c59aNb|tqIHz=+i?ZOIMQ( zAFqAXI+%1)o5r5TT2?%vv;1pLV;zVF!#ghqIcDjx^pELPQSeE#Y(h~1tTYr%lrA+h zz8Ji2j;%bB{2F__usR~qq{bRstSE4l+9xq}Y!%NI?diu6<_9pr3M=b>TG}|nCdGYL zgb8AB?2dIQ75N}hIFgMv$#QpPxgWq7WC@LxIfILYVJ09s`heuXO{wW+B@TzJWHDLh zmY=$n+z0ce(gs4!B$C~dJSp?)Ke}3bWbGef`&mF$u!yD4V6GjWE2Px_D}RLh{()xo z3pXWsb$FjtZix}O(Q!s6dMhcUU9%!F-*t=h6Ldy=g2p1sI&V&CRj`O)Gta2ouD!T)<+Ho+0lg# zDMf%p%i*7XyW;Pa&Ch55OwFleHX6Pp$V(rb@MmSs{j!!#)4_*k>g|92!iYaG8O`7P zQ#I0Hx1Q0N9CPn{$kc&v259#j792suA^4cGZ8fu=P$LUNcN8XaaCIDjE(6j^o0p2i z(i%VYSe;aPvTkW%f92AlI-aX9KTy(W5Y9e4cF%mLU|Yl%73&zvQP9GAus04SsN(2V%A0Ys=8NrOfu=r>9Hkf6e{g>Q)+~T z6!3zKS{z%?SSp|Au^DS1l)!LP=wuO%pTaqJh~cO?EZtOeLJh0wJi$^U%jNncRKZ+u zPA<<$YKIns-Fe17jfE}QkE~8Tl1IbK)hw2O#V=-cLE;~l1WEErzt957nM}Ke4(wyM zRjjDwJrrUTCSP?98Wd&jl2|&}tP)M^5j1p5*ltN2<%YMBsRJjYp|&e7FtvBCl{1PO zJWrIq$|ME(?$w!x?~i41eL*N#g7qU=2o4mi6P8Ph^`by;@?EcO z?2WZGI0^#6U?!F@J#hFiUYxG(QUhvShNYU}AJ2i6ovu1Ael&opu_ELVD|OQhR4rGd zWI#^Ml}2GGA7%{R0^XMVD>}5*=FicnnRc-#THE$ig@>|wu^d|`zb_M|K#{UPEJlju zUVH}T4|9=m!4WJ?M-TY$?T@K%j1|eei`{Gq*X8H5Pv0qL*88{l+Oa%NhFJXgV`0!| z>eT!W$g-Nx9n-G7)fJke3W&D-!`NS|HA~MHKNd#wS z>OYiYt2+BXo})`bkOPI>22(q>&R7sdmXUXueFRKp7L_Q-ruI->nwm!|415?%Vr zu?Q63w*Kl16ZQZ7D{|rC^#|(C6S^GY4jp7s8LviUZ{oRNsn| z^&Dzmxuo>*S8KiG*FP*bC^${h&|Jr8>lg@0c;V0FiNudTB;UaO*E81YGOQMk%U5#z z;4jHVuJ6_AR7Rru^bMtNoL-T($)@;_aKx4#{uXn5*iYN{ahf+h&z^!-hGosM|b8HryhJFsY_dC(?M({{3+kJ z%6PnTQ`&O&PL@^l!GcfbSk%t0*AZXg$7jh~?b-@WH z!0akU5Seo9EzJ>=Zcp<)UecwP>WQkKvtK%%ualtFcslIwCpns4vPeB`wzv9toagrqDN~tNk!4@>&9sl?#x4g7vPQjZr(X zCMir{tAIAlcg)G+vlt)+qEPX;_^|Idmo$KGz*p!K8DMDYRW&kMx&n%%ZfrPM-g!Eg zUq(wW*T7;&ekNGMd=mwA*SwoIEu8AmyZW?XuqBwxf=Jua^5n5_(y(`C^K`6+C<19^ z7zKHgwQMxIZh{DmiRrPDd&crW5|j&Wg9~qF@|8KWWK+Idko>a@X)5?M)EcaMfMNmW zGGoy{EGqn|!|46MN<H7!rcuY_63vxdF2-bEX zy@o+-k}4I}lJCFi@jslXxt=NQ5q1Tk-OjtBTZkq@Mj}x(@({HIXwYF7>pICxf6#o} zs?Ppx5w8euN(mXLjDXd}RAwO*2m1J}caGHA{~{u?+ZmH^v%H|;lrE3;q0IRA?y{oq zcz&d=++cO`C#ZRhzXeX1Ym&lLRu$CvFVZhZDu>X4&6>w7?T{ z@0^!zOYi!VSB~~_M_kb(^a{k3Atx!hhtDYByd;b=76jlfA}ODY`Z7Tgu%(d$Gma{Z z779aLgbY&Z%>c8%F8m}*s9f_mzpsIHmX~OtLd65ApgANj=tX73H*9bA<%qW7*?^)cF2x z8_Al#!aS3fvHZNs1oKSEZPobkiqjCcX_yA9K%w<<5VLUf4SAh`6JZi?G-zOo_{sNf zD2(S|VZ~P|(C4fYVQhd?`Oa2I1hS12%3hLuOp|9z+8FB4e2s$DJ4Yo7rwyJ#Xbv?v zZ{C*|+pB(3Zic6F-4~26$?GZD@LUQJ$DiV6)Ird261rcNS7pSoRv20GRxvA`2KsT| zex+TMo8T4xXf;QC;Lod)3^6;FwvE-Pyp~;_8qb6?0pGRM1jGqY7-?*q6H+HqRrBL4 zg_YR*&o+1#lZntykga7vkM0+OX8Sb>?JxFkqNRn7JtH$fmV7BLBx_)8)(I8NTaBR2 z{MJYg5-_cs0Sc;2^Z+vNMj4yp7^7F_j>#NVS$FlZd@?E}Kt&czs`f0{c~RWK62Yf3 zM8!{!bRrKBkgrjc%z^^QU%5??nGq}HnY;vDB$iMy7xSzUlK}h(*q9wLc(K>ff=Gkh z6G1Y|%FP>?y^p2BCaV*1LQ75Emf!!(B!N;yE{nDqXTYcBRaK}k4W8d zh9EbVSJlVrm@$I@RxPi(*(IT77RW_GzC?B%C_Re`WfPCkHUT#O>B(XoNHB}hWa#U^ zT@t^i$LbDAm}aQdAP&IUYRi-;$QPb;)Yo&KCUx&Vst30<&2r?C8fV8f(6L!h4UfRbQNVtqI_eTDW z$fU7qpf4n0(M^-A=bPam=>EY+ zTO-UF_7#jk17n$w?d}b0|E(sx>_NCNCATZ zq0%Un!F=))NIR@S zLpeb#;sjRP5<&$5^f9(=3$vb3GYd2(A?O*TG~VjgPJl=OfuY_RfcWoziLcR{m(5KH zCfJXDM~}xW*g$1&m+1VU6-}eEUb)TnEBC9j?|4ihl;>kx%|lkt!{l$;VYjcOg)E1gdye-e2f=#hhyqCST%|swq6=#cS{?49(y?=O{pY`oJ*-6ST}uN(Jrq1S%{z8#Eh?<&4T#BwXIB@S z9rq={Jke4hNiD&NF=`8_uE{S@>y})9*44HJc@Efojqg2@-hWAve90;Ba+%`~ zIK1o5{uo`~qi)W5G#fvv_oCtpg})+Xxdd>TCt6Bio)j6Dt=w2@3z(P-#M5W>0u?Vk zj+2GDZrhd&%$Dk*s@tZDLsz9*p&qRodQl{$7ZoWL4#0%L6BMw#)Nd*#d@i8Frk^de zqBO@Fd^mYPGDu59EC{Bt9H_l030D@BS0}T0H_SvKdu$UDBCdifB6fMEKB8tvAGAl> zrD#WEsup7Y@~I{;i|&`C3lCI~)Hwxqa>bQDcUI(q&d3cy4<2-e3F7 ztsJXndL?k$IH8P2BM&4mL+~+GNNuE=Su|K~LRG1E4bPxOuG!n5q~Ph>pPs7seM~8NHlAX2T|>acR?8#4 zUXd_9d5kae<({fvIvc5fLqDsgKl;+G={x?N>6pN~a9O_7y83i{4J}WCmlcs92>pRp9IGNnClw!L>0$YSSsPl=l+&07GXeC!(eVJ3X|&Cw`BM|o)7^yemq&| z`_irAyLUmvLxeoG229LfRc3PQcBfaAs1L4F#c#fceuzl@a%=J8*N zaK)I})ei1K;?t!VteMo{+r0z%rmoyNO{PbfKZ?F&G@A~6lr{BF^LIq;IEZ zlhOMm$TI60&}vLY&A?L zNaO54VtL!(B!Yr41IX0Po2$FHok9q;XfJpJrQ4!iG-?eR$_ro=pWsbwFg_K@rT#wZ%0?I*lS8>lLDz$YeNsnIniVr6 zk`~>C+C{45*%0`C{D!QU0^q&LD2aXS)AVgrmVwgagO_C*>%p)za<5O|1{L9mH*q&8 z5i}W+d{u&PTjkhFrDEAbU22vxa)oF*xK@oETc6Ca3x0N@-mpk~9?5I|eWTIjpS)wH zv{Z1*{#ZPj9W!z-x481REINUjAIQOK*N&~?XIDT_g!Uq~EUz?7N=ErK5u758q`vXX zKcV>He=lVaj^(BP+(GdZ343hCkF1KJWH3lN%${XghS{%ArjLH=9jx@^_ILzc0-}K* z-hAm<_4D$ZxYLPT8h-KBL-jZ2L?rgc2Xep9?9pSM&t(&BT~_$J3y**LGlhoOI|&iv z5T8|?P_`{0R1mR*#b zpx${?^Z{L<-IYb}S<&%9Glr}Kr&MgRR>S<5Cbml|RpmK46j**PYso@OSy>49d%pXjC;YKA{95XfVUAiAf4292cxk0ijzDln2qvd#+NbV;y_nAcH^bPkYzmkdI?oAC@H@!uINZzsSn! zs;)m-10$G0yza`3c<)8Ti}xZT<6lOqbKpWVzjAmE)>sI1QqOZuL?c4#HH+;<+C75w2e}<*Yac zeS%)Enz+%jy`aw7i=|GpxO3Ncg*TUEozQe+p;C|uh}pNcE`TODN8lw{ZC!zEP@5fx zuH_F*GOFgl0Y|MXEgHx~i?Uu`Y~9m0qe;798s#Adv-O?bE{q|Apm}CtVy>0R&*A4f z0`8t(JTW{I*QWmN_fmIKGo>Zl&4fe4?K*V5L`k|s?HpLh9>AhaGr?k^>|lYjqftc1 z7gB9YwD1uTV%jpq=5QGt$;<6^4i&7nx^S(5X!_)RvpQqv8`%y`=qg#G#2=8{eiJv{ zE|wi|oFld7VXO5pY`wUNxxLD?5HmbT%E!B{d2AB)hJvAGDPEAp(2hyo-eCi|>LOHA zt7Xe}npL>=-UW!rs@n{97$dM9wi(sgZ91b50c;n^EK9fGnrNHGQF<&dy&+WcQdNdO z78@P)u-dBC$KXy0B@A0Rp&o1n5;nn)tiW_g7RW46^-%k~a(k!3=gzzorrlOB0X71AE4q4hSF zOsUAwK{De4x@(Jw4&C#jz9pS@O2yLIKrY7Y&n4I-+#oF6kBD33RfcQ9Gz23h3#Q0$ zJ8i)h_#u5OUAq`owyw`z|gEpV$H0R^RA3yNz6bKilbH^z+?xB1g0T!1VmL}Rt zXJsX#hu`#|9pWTgmk-?%SKgtUd5#rP_KmY1l}{KN5`C1Ku1_VxMLm*?PEPV&hW*(2 zX+>4M%%-l)=5W}ag>k4RNm#_>z}D|vZwmkM_8bvJc@)JwN7yAM)_HOPFk=eJuKe4Lvt+M zxeh5xUu{sE#L1j2OmglNX?A>ZgB9f7ztXQsvEVcpgjeM4rMufc!zpV$wZERLNbSnX zQF^!Jr8XyLkG-W2C4g155_}wIlbG%u+7qmHAivvH@>nD7i*&;`|$0-u&9&mP#n!{x&9B@ouXG z_>Dh^uP{Eosqt>BGrWY=wPHT~k(%sfnvWhU#howtm#L4@C}ZjgzEt8n*M@>k!k&3E zy4d4f+;f7Ll@2C*=H*+Yw(+z@37^J3a3HWSfMM&sJ7niQXp=`P5ElsXHeovI|DMWz z7rlf9dX|36P^q5i_V8;Vv|}17rDO)b17RV1CbS5Ulwj)u8D3jNtPs-+kU0yw{dS~D zVfZ|Tsz@OG1dz8>!#o&9qkk<{_VW`n$dWaTj*0A~qwqm%DrI~lA^&g`{!#47HN<8D zVy&#lyIV(Y6#13!TTwjGsjrr-?SIM0QwvBcxL(CDPA2=*Plm^oC9_<94Az2&x5HLSLR z|GC_xH$q~!E$-1b9K9!ZiLq--a>&DWKHoKm%unh zr-lX&Uu~o+>ENXSF^-W|4r#Dy?4FQ^`{O-vOk6Y=x;QK>hENrdr*bABm*u4ywqPee zC!tYXc-SHy1_`x9xfGWnShGePp1!!obRL?}S{Giaj6906HyBn0JfaM11(by!^ccWl ziJ>_41}>RJce}J5@2n$EgJDG69MCZJI?M4Tb=(__OJrEjMgj1=h=b-tkb1BYCJL*E z72MI8s>=Y+ECj-rmRT{ z)XtkjAB%e|=B;FG7gY(Pel&#ZUJ0TAVTu;d? zMMSP$!Bu)P0a{To>Cgt)iQL~Ch-{2e#J2}z@iE}$VLS7e!}sbRuN8aumMSNATp~t3 zvu30`@DY`n@d-Qyv041zz2u8>|86D>V4QmvK9<3ETT4$?L#n_dbrVF(AThVB^hZ#gaAE6WvU`h0u;!mJGZG zzXM?*drv^@Zr`LOSF>b->>DCmL?ogYC^BQgU9+5@NaV`% zlNmB4|NKA2y!D0!D2KusvZZ>0Q|WIeXmur~)#?XoW5$*V%>uaOT=k@lUk0#{B7FJe za^8L(8GbS!pgFbWw~3iMXA}dSb>WbHIW!oyswbP8QyE3(YnyzpdNpE*b5PGQcnnwj z`HD3<+xi?rEX*sut&t0ZA9y2!h@}DXd1cN?!<^oN=v(=Om-5I9ImMi#8I|Y4nv}oT z;I>{o)bPBH9Eow-GBnQ;&q(x4(^TxwN3*ElS;L>ART zJ{1AVSY}W>5XR2qk_iTHPSsG|7RYS#GKU13L6u26h-6QiKQ~FlFI-KpV9%a7L{uoG zC`yMW=mCh8YNJ;W8U(`zXSiS=dLb|p&T&vQcQ7l>gl5;nF#v8s&T*&F%}I>+Vf;WR z%p_iY!rNE~q)9`U@^rZ?*7^L23aPmzjmCu5T?PZ^)owHc2b;WE`~c`dODUCM-Z~sF z?5#uW3}8fuDjbMxsRDZB1@;5LatU})A`XRIgpY$4Q%8##5=Lf{-WYA5+~9BVi%uN>r&+yHc$!`0Fecp z+jt#-_LGN3F`+7rsPc}+n5vwOd4WBtkKo!o#*dS5HK0PiiNxz;tjnQfE%9bwjnWbo zesv&T!L6vnH?Lz21Xx}mM;mZ5%big{DSnn9vvit78&mRTTXIhG4yWwG3xg)WYOBa+ zbK+kCz12Qzu{eeufy=NqnDgHcFM3NG%ypPFe1V3Yw0y-Q3u-1lPwdy%W6@OCVnSl& zGw(+zZ7(FlR`tI(TybVy{GTy@cqN8JFC2}@tUuf$au@q&gT~5fc>c3F=?#fsor*~B zaIcKohdR0@fIL|eDKjge;@8%;5!VW+o3O*HwIiT5glLW&0@7xUciCEJDBXywmCcH;jMAU zL%yznEl=-f-p?vu?;ziZ$jAn*5RXc zKvb)%yzu!Us=E(pvkJl}!?h6FF>Oje5EjOt2`vIJIT7QoFYiKKN#$%=z|G2@BQEyD zJhr$BnLX!1IcsN_lkYqF@?idtl_h+;0lTu&K#{(2#0 z$heQ<)0|~?&@MwLB+v{NiN+3Fn?Q}EEhkyR_)`p9$6-_ZV1mH8@Nl@I#rx|XY-SEk zgTtv6!v@}=vRY)QICy}tRSa8=1tK81hMxm(FJ>b&uoD4lig$-+i1h+XrYwM=OodFC z{lKs_*TQcSUy-MUV3s4q{zJ=JoIHH#GDTcG2&gD*iCN)#0}>55T~RCZ9QTD+4`@}? zqptWRpP)*v5qRwo>LqK6Q#9_@4L+_(o=K4s9Ve3p9;}}M7Slbb(!p6s1GY&kT64fc zFpni7+D;7Yj#-F#*4Rp7S&Os#5mtc#u&xFH_%c8<=nb;VTf=wAIU=g87npl=*odIwhnzv|3wq2)(Ns*t z1~tSsLF3ke6y>QxK^hJjz||sujrC8N;`lYx2ViFmTMa@L<*!cC z@!Hxz{r5n<4KRG$>675&qfvepY1Qz>gb;H=+TiKxFtXFrnRq?ka9u(SFNkNFd0{q@ zCo!JIYHRH8g$b8dfsJq{BvSOX?IcXgu;nRWFHV{b$MHJ5Smp;s+cio`kGs&(0~9oU z2#czfy;YHWKCkwknQ-h+0{I+OjoCMh6T(kI482teDEjc-BXg;6qD+oP9IoxdPAJ*$AFt~6^?9JZF9H=-B% zIBB4QhTv(W$z&h98|*bd82kuILqn4v`n{%(9AoMRZB_xJlDUP@j!7kJ6o&(0Vf>lU zB0$4%9g8aUkO9<;g!|8SWjF}zf;$Aiyc+pDCzMn79u>}1zpSBbI|52xjY-4=6zk<4 zE^E;hp0(n~uqIYpF@K0Nei~eL<_@>9x!YFB#)Tj>ZlkYvJK||T6uH&bw@BHWKo%kn zsjnYT$V)>81sK`B{MQ=%_=0eukE}NFA0AHRvQxIOp|4+v+{gv{8Vp0Mmx{V|P`EuG z7DwzR%u9vDWzZ7bjWlQ%6s}H!EC*?JF=W7DYgBLgnuwtq^p;3mz<8H%=_6#cGF(@m9Mf~vbFY#xgxu%37nKFuH zP>dZHUQC+CaOQ^nlh+Mw$s+iYkiWw6RqKr4vB$^04dYd?ttBROrzjL=^ z+E@-x1Px&+I#mljLp2#N3H6Xs$w$qydBZ4&%b?EG3b!Nh?&1T3XOr1jV(^5k2ggcE zk)A$a7m_vP%Ry4M`pyGCr=08ZG+yIEA|8aXY2F}a4G1U9ZrtqzE*l%`gYa|#OSLd0 zvLF^eAp&4{X(EgfgRPOkwy?HpYDJ)Btt%-`nJEkJy})wit1Y>Dh&DXM=na=Qgz=S} z6b4`!74zK4$hDU3Gp1$JL@-7bF*#iLB&9uV0Xm?3*)Yq+G$ZJ1xskDqTFXH0(nyy3 z&qk4GjikIuRSXf&1a{GzO2S@iAqP0JLGuZt2iAtU;BK)13GEn5Y(p}7+5%Z(JF}3| z6ZUkG%mu>gBvz!+QKZ~!94h0Y_!=r1dE%DRL=+amEpAT4>u|3VYKczLM0(_lfqMdD zOcbCkrKur!lC1JLZzD^LYZZ2kGH;sX4RiZ2s^&DPvlPjc0S}{_N0u}TE;;yl7{?NR z4MIC+g=FAu3)F*!arcDM3IS5ddIsuFw4M5_raS+^qOkNFENHHGz2n^0oBSG5?ZHmF z5ur(Ac5l6)TF~F5e(OAz*aoCcG2t9zxn``v)78NV2*Y;4cPno7KHEz6P%!Ht z6#*+-6F^(^I}?2NHThos3O=?Phb~Z`mf|IiTiq-~^aSWk69xmtIS56mIi&}a{kR%B zlU-zXIT5VX9EG;K1dMV*c`NuT2Jo%<0|`@ji;> zbcdBh93P$v@UlZz%Uxs%VuTH_^6weRgcux+y3M#)ED0WdErfPVT7n^&qzYLPs4EMj z?+r1?Edr#H^$ymZ%G?1Wc1&1%AhnZ%8xUofc>v)3M&3L#!P_z@S%*|HQx*ELs`8v4pP@j$Qfw7p(CE3uzV&Oybi_DyAk~sQF4vg!edPp%@L&W4I3dQRZXy^{m zcW$v)bQon&Y0(^dxUO~dG|(hc!`o36UYmiMGj|uaD?^}N(n=p1 zok+~(zC%^?B#vrL931grb}_+t^G%dDoK6GI^m7m3B_}MWsh(2>wl=mMYTGj=wVThK zH*ziZ3@PS*W#3ej(rV|zm_InlFD$(S1>(E+Nc8OLXjG2p$TJ)KO2LNm8-bu_Z)%D9V+e0$#&fFzd;js+$@F)*U#7w{ zq1`G7(q@ZxjA6`m$)G7fTOdPhM-~z?p`&CSL)nhl4oJ({IaN@$o+$HVIL+VhKbM#f zuaRm^_8&c2(9QGBy1QA#JRrN#^EFKI&WfQ5Z}7JC-VAV~j&eKW}o1oss!(24NH)ZACs&FKgs@1L_(u=<~vPr{bO7 zV1|`Nlh>EZz(TpX3R)iwKr|We0O_WLO-W+sMjq>3MB7?ai!w>Mx}1I9D(D1K;0pke&OP-t~o^`O0= zT7e@(XGn2(5L1ypR?Tr6^uGm@NEnJAx-PI2K4APr-&KG zI$A%3M1yyoGplR)cAqgo%8Xc0FL=ivEwp$p7~6AoJg zyvR*=9Spa5PSfbDXcg4f?Fdb`JNBLTUNDHR5SrEn*-@~v+tfoc1f#I{Rei-32^d`X zz^8Bk11C!z!FOfI!qp$RpxLY@o8?vF&{vpFP;EruOk=o)Lsv4xr&vsZuPGG+)zZ5D3^}Kqxk9*@dEP>m}-AYCu4UAu~E^N-@vok9%mJUtCJF5oAimEIuUaX z=WBqCi5NexF3Q3Qpb*pqqtP7-(X7a+YfvgSwH636b%XJ4ghLabWQif+*}Rl@t)F$a9j##+;hAA{M?)hV zwoWbOB)o8BGI{7BmR{gve2ZbLA3SmADqr3;?xM5^QT0#2cx5k6FFmSIus=Xsece;T zs^iD`JS;@)6qXThL4hmH<-B+lU;5m-aZ(H@{Qx8dwCD+bR(6A5gV2t#BvVuDHzvxt_6Okh-FTg0=tUvkUw7$=5uHr!_%Q?RoAw}Z%jE~oNt%rcbr zvxf{|B6j3B(UBKXB=%wdE+2p!9>b~Xh)oyBlLdDpq1$nW_bi63=A!zrm4RH=u0aC( zQ28-zjW+*@czO*cnwV_mww$MbG&qh2^YB!K{JC?u+TJ)ekErg@ZWZvhnX4UR7-fsn z+X8iGVc1OQC|PEx-VFLARR)7@Jp*QOPQkF%i{){2aKEM4`|w4KZ0~h-Dj`RszYYiH z27>S9&FZjN=CNDK%-)qSWLzJELClC8>APX8o7-v%@z2)NXW+kmG&H&i!tER2k$Vts z6ApLVj^{4kL02MV|3&Wh#-&9AG=zvr42CD!#5OTNREs2_iWr!R`_m!|1ga&l1m014 zZFqwx>Q-%lP@t02ddbog$QX)MtP3)sF)pgQxOu7I+>ba7ZYAd6#kY=(BquS$gyGN< z%Qt0k5zw6pE<`5R>w+Ow7BgerWie4O2+j}O%~3@xbrS6%2ce2zP**5bJ2uR>hA}_k zwziR@H-Irv2IR2SilSIqhHxcB;Rrn)_ldcL7bIO5H0Ea_j58;&99{SOx^j{&7un%* z(UpQajjTj=^D8P20vOPJ^)cxK&m^?4fB^;$r^J{PR)FG_gak}-yG|@v%+>H=@!Ysm zMH5PotAaL$FS5p*%wW@ML~6LfNG*Ww64LZgc`99l_>~MU2Mf%> zis+!nWBZEHG`Pacpj-?8#Jv(Ks}dAh1$k%=JsPs1!gAd@1@wvCvu=)R1wML|?@1cO zl5%vvI~JIW)#vI?Z1_r*Ga@ReKbiE=)$zfa77pbI6z&8()?>z&0e%L(K{gH7YwKXX zUJ2-P;-9+pKD4uMfqG!r?E|J(Lwh*)Qe?bsMAH1RaSV(O=Eg9S^>G&= z!g+@6vg%K;Kuk~!TfJR8vY4< zV3na$CyjXlFHB|vT604tWMNrPa6Jr3u!MC8vq|+8A@=n3KYl}ZWq3UGE4i>yCVULBN5BjDkCwc1K z#Y`pOOL*#pxTVr1utZ~8M04sOPHsJ~frnwMhheK0Zd;48wb^I32q-e*7Wus0M_^s& zTFJ{b^SIz8fPHIaNViW)u=^5tN2$UFxL^(68xCrqf&=j_j&#>i88c5Pd!?Q`ba_zh zD8`fCoQLxU08N@4gYm(qimjZqg;zIu*x%eC%Kh9p22B<=M8spl#e!x~%vMxckM@X7 z@PXrWzIj@)*^+gZgfaV?mZ5CU!;)j!Oa}5>#Sdx@l^P_igdZRXDrl?{vYH#qmm+huCP$V%ipT^%9)&-{XRC1p{j~Lj5qfy>!Q4#=b_%7>ex|56T5gg zhW+BJWfpq9(F-Hx={>(1p#EimGns;k!&duCD*81`Z@oUkg$d*Q-lME8t@lonyDpM& zc1>`J#efIhw}KbH6Hj}VBtm?SlPB@?x@s?C3(d*bf_Wt#lNngSK|8Djx9teJNVIK9 z?!-p?3NU00q9qu`J680uKSGu+nV-FJ!jv&=wMRZ;@l}Qku%Z8~h07~5UJ#^-gayTC zFKSS3e$38?p9st~&#fLdSVZkw^qP`ZynKU=zGsVvPeMliuJe5Z>NGhhcu7}_R!$b> zM>nqf7S2`t`kF8dw}f82ZLN3@tPkYOo5w}Tzjb^PQS}LqG0lRl2cp0&1eb*tj0KC6 zj3rO$X$xeDrSR=6q-4P)8CoS%7sg#%Qgi6u7dO4IxOpoSwvh7&Z#l;uC~*I|NZ^=4 zFv~+qw_=m9_wM(qj{IwVDU6A@UEb-il@(OeVXO8|(NTS!I&GKSj-43SlvjI|3(HO+ zhBTM8YXti=Zo^KV%0qi=6H%v#KaobKtpBpnF4k<;u zH)o5&jpScR*ps+)l~|8UrAwTOMMi{KN zR$oHH@z^LPIIgMUnV$mZq@D%$b)&8oR=mJbCsEP8;5wN8(1hy_u2_mXL4{SM!oF;7 z7gt5Bkt${$pi^vU5=&ih>VWGwI!R;-Zq3KSr}&xVK7c)^*!0jF6yzbSm{yQH))828 zT=&Pq;lSV;BUuYeX&EvsdYEf+Bm{AHhzI*RFo_G}pxzMHgZAcnEvQb{{U*GK$(wAb zD<`0y%oHBZR*9Nsqrhf|MoN}h(C2MZOa*mZh@nLkW(QYj&V(aNy^h~tY@^^rx|Rqg zr}#OjA!W!D&CoZEVXKFP>JT&fX>8OeCCV@;9~3xgOP%8oyDZCoa0JW#%$w7UHw#Vi z)2J2;m_xEUut!3}3f0cw!hP1ppuw9xNesJWBNo?WLV)VDRC5Yc|7`MtYl`Z0H;Uf& zGK|!nmX^h7|L|gm1!gB5z7hYpFg;kC%jgY&eZ@7$E?fiM!Lir@_c}&hV6iWg`NQ4) zWWv=`j4Iedk7ECc!LCARxh7;#p?y86!m$F{F)J9Hw;LDDsGLK>n3T2EtNG}b<3~JX zl1XxSH59cNjul{5_+Jm+PI*f7(JOauJqAlQa+}nSh~Hwy%vYlALxpRPIp=qRqd9Dq z7bXz%ThRfYz)12sZ``xL3DpiuS>!E#3(+P2y};5gj+#GCi)Vvov%E$eT_mfclg0~*p>(h* z&nW8+XbLaAb`{(w~&$?n9VpBT3C%Lw*~-&%!_w-qOYt8dKt`N!8r zvVAu4&n0X^W^#L(>4Eb901V2+Z_%#Ua7)x@S$cEUK z*gCV2Eei}8TH;C)ObTy{h?Z=o6`wkNc`mid_5E z`{bIrb1=*NBMlVP(clc6ZgCA<8-Le3t{zrhXNq!Uf`X%6U?AIU(T*`-YgKw%pzbUT z+Y=Pjj*|5Z)t$($Mg>ztGKal|3)Lt>H(0Cw1O6w*%LC8JPQUbD2Ig5d{F%U7Oc1I( z;mM$GH_LIRMMqOqib(zK_k8l1sg$6p!~Q1vTe}~@)BKEDO=@{m!&S1|`!%oRIP{Z> z&+|xqNR$TZ`(Eyw^VMejsYqtUN@bR#mjzd7jQ>EIuPKoBz~j=bVMz?fthms@=!U8H*l+OQLQ5}uyNJ15ud6A zNwUl0c}XaQR4f8n3qMy{1H{dOR0jhI>ZzpzT~JDn8acz)8N1w;W9q{2 znxPL&vOcn^wC068lM!E9uv0lX5i>TmBKA^$2)dm_FQ#Fp{YRlK#yo}Vf6~T&1rr9g zO{8UObypLTg~fPrP!}`_sR4Ef2eZK0GN}ns$5DL?p<5Fcts|4rKWo>zwz_BAK)3oN z8sG=Pb3%y-Zz9W&*Qr{U&1_?X(|zX_M5>e%wczqv(U91k^k zF_4|-p?7vFhOPJi%akWyKYdcdr$y}Puy^4)w-U#&b}raF<(pc|r$-rOJ6NP)h;9)W zex%+?XvbKvP{bCITLblAVc=e1K{RAoDH)Sf5?Vwgq9^z&@{faoclmc`q8a^!L$XkCw2i{`tFwXVzInaiGagAY7V* zX$FVF5T)#Tk5`m`Ji!Yt{}}crFJU(1R(#T9WL_SNr?D(J^Rdvc-639a8;D2Q0=>sl6B#sDiWS zX3)*Gz>@|gTi&SX(1*wF20?*At*XD4=)*_QfLE4QndYSjXi^p0I1qT8NQfhb4opjw zYoii7H!hg91dpcPG->C@qa($4xx3ZjF0{i64IT7`z~`%k&_|7Y<(MNw&v+_!+Gz00iW|@W9`eG;0`u zIW|XJzGxpc(PS!QIlfodb&w@X@>xYa09amhqC{tCXgj=su9&}NH}fnYRO;V5cRCDM z9+Hr_FGINOJYbg!-=#z_bjR%iBLCgR9WDt~#fA!s8?>&r0@E*;ei;vUK4;YdTov0# zomt;xLRl<9wLs=4lTjiXY8cSgGdl=}t4G!O&e`w7tSk?0fMgTE?wSDdSTTuTaZ}IW zigY;-Qg7^WKM?4nIv+@MuN7}-V45uO_g7)RzsqYr-Y_v@*JBHcnTjxs9mTM9R31TP z-F7mzz0AQ_{~_-c%x_{5_86nS{$khII~uJ;kS-h#1J7Z!8@5(XvPBGAQJ!#Yg;|T8 zYUs2HhONnmLt5g4$1UWnM0WDKBH4*>2;x{0nPJuxi=RM23tf~)ast*``S$uLx%^o( zIlrSI!O)MfK<+Q>jZX*+S6jOlOI(n)rQ5(W2wIwdouMmV6U&XE{8RU ztC*SFz*jJ~>Pg{e>IT1t0^2c``3b7*rx`IciB#v~t=eu%l(;|f6#;w;y=HX^yBMvQF!?}0q}xm8gRY=j=}eAAqa z?H2DYCczw>L|59Ffo{l^a*8vjuaTYq=6c(rTb z&22XB8E=`$ee37K=r%aXmjx{a$<(>q%=PjO*p{n)gmPkZlB^%|b<45&#bzI@@hdEXsFIl)m6R$GL-4j&=0J-0QFXU z!Cujk=9kYR|Foq?Z7(`8;i*O~g=y15%B3jl}UBsrWoW|A03h9bj zcis;kz&bLnSnlI?h=p1tSS?IqceOT6XbLP1DAA>XX&|~dBIKkmsPx74nla5%NxeX6 zDU|XfphSF_!VKi(cVOqm6D1nI#nXZE5!gNKNyRI2lQ7{3)Y2Mej$MhV`e1H>L~&Wg zNn1?R9DyTv+@g;@TmtVyY_uU(AClP!OMH`6=_O=kHsShNx!N;S^7l^TWy5@+mGw9r zeXt_e=;|3iFd`PpBES^s{A_-?foyVM#`lkqd@sdOzX4c5cc!K%WFVkth0v(k|P zmH*{Ep6q$bzjWcwqvmz!E5Y40--~zqL4;vzdH=1La=IRi=rd(&j{oc%-3!@xsbBW;lq_R91LWTYV!ztMU{d+Y)fnU9u3`48U_8(*C-lxKcZW!Fnn zu~rAe@VJjfmaDB&C@qH-Hoo|8JVNN~3Wk&xJ;Be^4So$mJI0dSEb;-dFmM(aGOU!0 zIOU~9#HKP$T1U|8ZGQL%H-(s($OZX#VSNrzdD64qaRuKNe-xUT-@(Dpg|lk%*Rb)Y z?_4Lr=tji>ddCMtJ71D-R2iT-S?){8f}k}A^}>MF*6_Ydj}NA~Nx1UW-@~HOy1?vz zAO!2!3mYY_FuHCRu=-7*=3+`Di~tPSLTJYr#@nLwwm{uk z7&a4H1TZN(bNO;M=8;}X({t-}R96wk!C-WV~s32s4 z?aZKp0|j(WK@AX#nG|Iby!S!DLHxqOQ4IGTxnczD*a7_N*ks<*#lx>{5@eK zs7(SS$<;%2!}o}=;<;&g%RFMj4s#0y$9+ttk&#da4PQ<}f0ssp{>0#yV1`N^#8Hxa z0+L;69mk(Ev1s|1<)PBSJ8H3anKd}IhGhT>RE8wV;>hgx2G^Xg5Evm)oRJ8ip}=-b zTe1hj!nk`v+(j}M=vZ8r=)!oU{`x0}7z~B_3tMI> zIyB)ROO`ZhoK1?EUshP7OhcWUIOQe4&(J{{+fN6U@1!%#fdZpj2}*<$Af<$kt%O7= zQpi=BN`saKZyy#hNUb!*X*Ps1 z6IoC%2bOh4)(uH8!C}t91-?K_F_LXFa8%$nJQr#K9+}6Frp12>Xqt5jWldAW8+WIH zcMnX5_u|bYdsmQ`rvd|HMNYL}pFSRK`U|ij4$Z^2RRakY&%pk_Ul^E{_kjl=37gOF zKY78$kzShAouUrpzV9^jRXx;pvj&CdR}u7)Il->V65Fb6HBgAoyR>Ll{uaLVlBSQo1f)p|9=0ic)4l6onfFqUgHJf+Mu-e#tpt) z_?BV4Y<^MouV#$g{t3+RMB^C9em`$wdqJ}JVi@Rvl1*E6*3P8<7N=8+_uO+w zhWJ)wV4|Herxr!+p&|||-JAD=uOOGR*BcZx0K+a;gDIVKFCY-r8qZo$MDAJUk*-s#eY&+ zjTY_qQ76ti2c_i7Dba5XhJNwuI${6Z_v~dS%$3|{m=|uE!oe`P_{`*##Je^JvK)3xzd1z; z7C&jR3jA%hXvZkSwJ5zUP!ARc&H_t@m6CM~gmv#ez@8qd|9&vyn5#YU-D+5TA1c~Q z%^DSZ8sbO^N@e1x!t8qx%knv^PYStn3 z?OpRV#cO;MH+&ZFP;=YziOkehb~zCx;@|@9cjy9bp9K~lFY-|}y$zT;^pGEZ5oZil za1k$_o9$59E=I8`jR!;?jL3{zEsLyvnM&;#tRRDTjwWqlSvg8&4h&wR#%;`EO3~Ed zk~|DfY8{N5^-O&|@1`g9bw=dy!2xt_*eb?N4QXJEOc#rIeM3SW_APW>fm$VpwNNhS zfY*iM?Es)lk@HbhBCHP9qnwjP><1US7X#U-k~G*TPmqC6KL_gBhC9V|z4!BYK;SOI zb&dAbC78_Q!kULHpz2ub}*TxRPtVST>_Nxw)3H z0x0lG(3ObS&flqknSR7Ud%FwTq@oQhhqu&RD_GcE53IW}EVMpsUltQA;DrpuxaaEM zAHoDXjxAkI0s))7%Y+Ut?SOL?KZ-DpLb2hxs`7K8Lyi^cyU+Zhqoocpho@`<3~kfF zWDSPYK@krlfViDXT|YS(vmbjjl6$9TM6UN1gPCAo6dDP?71jLX(ashT$KrbyP@Myj zYgd_2A2!yz0Or>R0?(6mKp!-yVAbgMx!oRSlePq-0GeJ%GFW3P5S8HYPS5AoLqTN%d zDD!iFbb^Fq?+7IRE>3!xewTRV#|L~}%zOK;{hAdsJ{5Y4kBNN!iK9dJjbp=v>d9uN z=@=kiViz_usif6#S{Ak&<30&_C%U`8_W&v_J`m_1bp{UU*^4IzhpokUMOb~|A{S}a z#clkM7CqHdR|ahmC{HUU&6@=UB`pzI>1hP&&O){f2$c*iait;JQcDTF?soKiUv@pU z&JU*^^uvLV=7W4Nf19kDjP5!`?EP;ZmEpg9yU6aRb^5VKa8T1_H+r(Th&QknZt}dX zj2X$Y)1q_qP_RBAIX2voGt~y1i!nOf!EenAzRuxG6*chKkK%09$d4|(_f2@veqXdY zgQH1y;gHfatQM~mYnPhaWX9SBEb%RRg1^0lwPBRuT9keuEDW3lmJBN;%L>$;ZtY-n zyKM6+@?1`e(L1dPu#Wv?-um&N9vlDqc*VOjhn@VPsMIc$Ber2Ws!7WWR{b8^)#6 z7PZ3^q!N^{4GvL<=t!w3NYW@0K0L_gEH3>eZpeR_gKB>n7{l_K<=)UioJgQ@I_fO; zEmg5*5=|#D6sWl20@VtK4{gqueGFR>av3;M>Kj<!ayMA}7L-!Q{0`Iy7x5fMm?+sbouGD0O7qlbmEQk*t02yc#U#l_YY#?~ld5 zS1#!14lS}de1)(OK|r)WER6#D@#r7uRLctDfRjVlMjxgC-GJsSV=LLmC%RpWjE zi-%`D;{^j4wubn&Mr;wpg)J@NQ#|x!0`#L~YS9z?OkMF?CbVNxm0I$pA}c*Dv9;yO zv5>7NAPyx%OI&G)m8oH(Ed#JJEx~!1J$et8Zl;b!NAyx_X9BI(PWM*bezMdi-n>n2 z|Hf_DNPKBHZLb;e%HO_H^|DW+*xZdVE57MD+MdabL|p^hnuB^tz$OSI^S^){${4nq zEo-pP<>TVb9^*@S3(gnb{C(tp!85XJGoJ2D#VH$d*q7d6>F)^&{aA9e=n4KdTX6og z18a-Y4}^t@_JXdGbq>T_XF)~Q!nUVQOZeQ81|K+5i^=vOWNZ|}Mw$C{*%vM0bf0Kc z?kpH6WG0Vs#zNIMwtz|68dY@gfVzs&5c}J`OTwL8SRIRMRUmScrWgp@fI5XHynuuI1Zgc$q(%yTO_YK=F8+PIw+R((jd;}2*rf^>4O=Q9f@Vsl~}|Q zxdLoI#c4&Dx_5pjrjKacas{2V@WSL3K8S{dAZ4iJW34IDk$_1ZZ`|t|fPxe%!_5!# z7`BRMg1m<}TVXGZZLDD6QZuk648{G&n6bqhS74uK1W|Ydg114oQ3J-?0ccMc&yqwp zmWU#mgpHXrrb|RYb-i%7>kxCbb@>336y4!&JKzot)K5V&D9A8#_-rKVDq!3Sn^)Kp z;9I^m9L>%{u{Cs7h9}Sh13&F6EI<}2dKsKaTT#ozOc%<_CX*P{D8^c4gotJm6CM@A zqu{`IAw(7T1R}AT$eLoh-(6AZPEIMBIu6%6n$bWu1gA=A0$IQYQGj(yvgm@QCUeT9 z(G4c}#e>zbmv>Nxrf9!J=?vIEa5l0BOd zJ3ax`;Y+N_XX1BPP{j^TtcyM!xcJ*dvS8{BrVF`ZZRmUN%auKg?Ti+?TV+Cm;JQ%e zY7b0XZ|7%a5X08XIc#kzP4m0X4%XN%aWzkr)5O8|F*c}2${)piypF41l{%0#E>)92Aq1%s$`R}TWy^>F0!*zBKF4^Xsuos z)E1w{6MYDtmfXMi8(?8X?m|abP~RdE3hWA!;!bEQVQ#+ElGMW5l5ATbC4XlYQnJ93 zp(VNQA*ixmfER%)`!+3z+a$avhi=gESi1>=;9Wy9!eOgig$7Sgn6WtRCDPg@D*I2G zl(-TdT3Q#mbtJwx)Dz;2CwkAoKUE?m>l0X*vH`QNGz=~l%wdG%)9iXNV;)dGz9o-X zT#e+w)=q%tR82LKb6heH z)_Rrm7>ZuPWOD28s$i>IxDg<*!1+izH4_HTEM%M1!eHLj`V|!bh$dnaY6+R6ZDE&H7{o^LTRpcT!I;2srFxc8AW;lcE5GQ{qn9Y>XD4tt?i7pb997e|%HD7a`z>9Z(5G8sWVwgBF znv2o*^~!j~w0yKvIh2!!CLa)QBX&6D<;|EhD0|8`$9>7?XJL%qV8oBS|IahgXd+_W zBx)JVZd)CUE}mj_aSBt6gX3D9ykNmr|C?ZuwTcOeE#UbdO%|TFW@k}O_6+d70j7`2 z*vqI#_2zr^snBL8NYK=#A(@#jZuhz{lcNqbEQVb5vAj6#PMVNW}|3tt#(^6KjI^i#mW+j{ATrG`ge%Te+6&J>{^Fv^>LXDEux0qdje`q zblb-x^R(yBQc7$+L!*rOUI?xmKM2pB)#31?kxKNJp3x^@hHswjsd*tMa`ymQ`%iJ= zAAqo0TFQya5q&fg3t|@k3wnLzfRsQ%k zl#*@Z55zXM#YTiEGjO*;aL2Uln z)6o$B_TaeGE}5IQ?+pxDw+)oWbrU9juZP~FFpT5lJnQcZla7q}1qfh|HdfIPhu2}T zTfTdewlXp3jmmcr*AFH` z0~Y?0`Ky?&6f9oskf$dkUraGw?$)#J^OB{_oMt+dz-B3|AuJCf>jw%&F~L?($?J|( zF?4xSfNi1??41~3(Vf92VS}`{%&`Gm)O|1qfo0`II@T?&)>I4@enor4r!0~~ic*jX;2BaB$2udEI{YA1nww7$#AIyh?{#k^>Gk3|q-ocu zYVIMW<||e%<@kJ{V6MyCzJHp{)6Z}KC%v;~vIn7%7NADR6hTxH~}|1=Yt z|9TO6WOpoxP9KNwsMHqZ9;SIUYW~0{`k0!nZe`QkQoR9 zQ&&0~3T(%amCCpHxgvESEDX~VC>a?V0@NjQfl#oQMVx=gJ--~G>&4<&!`t7w5EydY zw?@`&{XkHJlP{Ux7Mv{;U;N>KSGoto)^JKp{MZ)jtv?gWeaNZmBRxuV)7p!4&g^dFQaFC;f#Ch0mK!)}A7E z$Boz|teziVdlc3UH`nd*w*x96j&O?m8nz-0J64F~%NM(saT84<6YWogw+$YlrFJ;4WopoUJB<^37aMXu_5Eu+cQHd(E zK4-v$0apUHNy9NBCf3rPMpSV_A>(Ra9Tc*trKf>H#~5I(yY+n;?VIz^V$AK$ z%Y3#-{yB1iHyb?tCS&DuV6XW~vzjeY*?uyzz^K^I+0o$PLTzGk*eTP>3`U9Gg6hV_ zH~B^VMO3*PeBD?&BMkLZtELes3;c7*;Z0v2G;^BxVVJ87)Z)JjV);p=-U9(TPgR2w zR%gT$T;tUH14?$(5Wk7A)FIqEE^ECgzu9?(d`T#74&~vLsFW&mG;4FAlzPlFRv$#=w zd&kL1<5#Ldx2N9Z`8F=h$;4%Tc=_!*t>q(p@1b8%_h>{UJ;6?*A>N>D`N21k@MiWIJkF63?hiU7jkx_{LdjihihB}THVcvkGEmI z0*6^2i(QgvXy0JuEe$!@+7nudvD*|ur9!;ng@9SA*|4IK_epfBU`V8ioL++3d|f(| z|F?LHl`q>SfNc?aF6#QypqHnjGr;rNSt{j{^^6SWLy_#Xf-GbLT06Pd)12p{CyVay zw8aA!-6&(Qd@~86K`c`SjV_B)`VNM|9%k|^kSwrL!?6T6cu9xK#_x&7jG#2G~jLAr1uN4a+Vr`>4<&p1&TRvjZ9gK+_*#70p4#HCBnc z>-FkI#O@>6FO!94EjnJHQAm8qgi~h4L(#(Ym3ZN)O_AcUS}znZDI}As3~7D&ox!_- zqE=(IwPd?7{FLx|aN@O$Cd8<~Iv0K2!6YOT>>Cl$JJ5J1WkLNJ_+eW7Ct92cVCG53 zjyVhcx`ZagS6qWL!B@m&kY&Bvj-O$Wo)A#~CPqOb+kRK$Qe#|)FI+WkprlNg^FK6UWg$1d zPLGjM|D_;?QB1rB`g^}x*?lDSt)pHo3XX_xO)MabN1%U#x3P*?!V&px7<*eDDSc=` z1V@+7^`W>uU@!CBOg`EhP&0UVo16*v_`#0I60edg+c3~yL@`=|X!3S{z`paudd_wN z@yw_vix`cjb)2EPLHHt;-x*7P;0jECtUo<27H7lgA-5L)5BGg!dV4}J_N)xpFRqfu zA3YY2$K4AIZB9HzD&a>Yh`+OCRtBNGJ^z5Jbm#HL)fp3ev5qKp{u#W#_Evvq?Tb~p zU=>srexm7hjvfi;Ii#6+4zJYGK=pD^+z8Wbwlk*k@Fs~S$_sM&e(bFp9+jzGU$r>V zXA4rpg6!<41SJXJ|GAaY^N`H;(+x&|_+Q_W$Y&V5ZeOOZ^fwfkV%%)0A&IOsvc<0< zveMHC)SZQFS)gQSi7O3}D#&r{{Y1i*61&}X^gPVX4`CVZ-ya`&TR$0Cv}Ox`i}4N5 zM#4*`+yYpWhd+!g{g<5I$P&)_IVp-CSnKK6)~k2f?S+b#R#|!QC8&hb^#<#B_B`V) zHWY>ci~r|oGIYNYDZTW2BA13Z^4nV9mQkZ~mnNU2QoBy(($&@yH97VXH8V?K>8EPN zl)pt!h@s6~?HC1HtJ2#7^<$hT zT9xuK(_YLq%^Sh}tuWYXuQ0m=<5GCVG%kXD#8O@tSzA-_cY1PZ&G!-}@?^pjX=9pj z20s|^WH%nAei_f}B9V{dw}4KWOwk9J@)p=qFN5yXfF}v(Y2!@L!M@ls<9Rh0v{NF+ zpXr2Nfty>6*4E-Y?GRPWS`^77+kyJ%H)5}gL4et?j6M|_$lN@GD=*hdMZx3R&wGg= z>_uREbH9pHgoyELg26dN0Kc-r%$Nb^k#TaEdct(OjdOH3$lffFa4l2@Qxph6ZCY#u!RM#)1&1!C;TEll06I zhp^scj7fr>*qqjAupn&G5S(w;VY6*K&Qpk!9rm$#{`~ys4jwyWFJE?&H7{&FvMW1| z)c>kmy%Bu}s@<6jvGHm`HMe6A zAo6rNDWyp35>SU!*_kA(219MpD-jf8?axgFqrfn@gL}}AR*BOEP>2xGtx98b0GmUO zoU)If>cBJxKWfJ&3ITW=7QUJztr8O#Y3wJ|2+jem=ODb~;MSJpB@-h7rGd#MGuj*v z(Ap6k7Z)1fBn;*Hz&kBXZ-A#1+WeM<{jHv zM^rQoeAQ_fD3Sq62a{v*sDpvSQ~U-u%^NvZK!^YNb5`KUUOYHw1HvYYMPC4!wC2sM z^hwjeXh+rUl1EqYDFcQQE5u`INuecSnSdH09p4(JlI#P0SYR?qAV5p zU0Sv(>SG>f2J1wxV0%FXK~o_j)(8MdJh)bfG@PzWxxk3nX%; z8NrP$%7!%nA~i!8hS2YYOI6XUMp#IMr_z`Nio-ia*UW)2p2Tn@EM0lvP5>r5>j-2m zu?>xsyVBAWAk)+CLsar`c+xcBt#mXabw(jtS3pyvL};Vs=+h2J*Gw;2r4k%ys!y*8 z#LR+_^~7Q~ft&} zgQ--U)JF`>LYgAi!#)p{J4Lfq$XmC5j!{Hc zp?d8+hUhcU_%IltBx(ON@kpFcWdyzrup)LG4maUe(Q4og8J}@WO%WO<=f(5vy!|8 zKF^pI9^=py&PC>NOv5WC%Rx7E?H2fohp}$@6fuiGx2M`uPIp$GJwbxET;pnk&xdyB z(^vZ1ckc_bwQ}DX56L6Hf$7q9*a>@jJ{C{u4 zQpOg9-SHt?$S+VyY;i(H{@;zV{0S2h9aaRl9h$1#eUG^F-Zy8b1x)5H#S+-R1T#;f zQIM~XLAlSN1E6B5A~za@(Kl|=-s<6P$UvK%NCA(p#La-!K9r@!v&@zb*8FCq&L~99 zg;lf~F?=TJ0EU(hO*H(wzIEsG{q_CTb&(zXMrg)8u0~(1+4AtSX(>4vmV#*PpQ{q@ zA2i#@&dsF2Avk;-Yz!0DXtq`CyGe!1dr9#u&6UE-EIX|DR#zU z6c?LN76uAV4h1)i)KP_NJZXrPYmqUF5gcVn;9T_sS)#p1o^dL<<^d~Uuh0vp%lLMeS@hibQ9O<2l65Q${^N zCBiY=AfQz0cq*LO;TF(|g7r$A)l|NwB3SwLYQRctFvv-L31d}@W2KniSXYq=oKJzk zH}xQ3uo!co;K391hHoww=ovzl@kroEYg$xFxJy%g01V4dGd(mE4o5HQDuGr$^g=10 z%FBVVVCvd9iQARF6(`{dGNQn;I0(%7jbn^`5FDz4c?|w=x=IP=wX{9Nx)O+U(9Ns> z&8a`3D8V^?ttVe9)JYr`8($v7l?W z*of=I+H`lcgeZk8hTd!9LIlStU|xwW)BMC??>wGzkjcf^N(tcb5--TrjH^(Ph2D7A zjz1*aBDQ6K4|YgP)$h#XgP!)RpJs z=78(QydXRT-Qx)~`rGaf`mjB09shpulw2ElvB#M-x+y0LjoQAsNb?U~a9 z%QU(y!q>-4Y+ppc$(YSvJtI0hGJt(t%!2lONLRxQZE`{s$7n1j&4ATD6sg670-myUbhJh4h{Aw;SVgN5r70j_X#6)gy+YKk zP>9MqKkiho`h6KZ_5D^a{qALtAEYiD8T8qvOVyuk=ZI-<*6zZcNWAU3(?+ z`5HvOS>{F0v*jz_io9T6${b0sScLh3Id-sEKA_i4;*7-wr&VXB`aBYSJ3_)4=Qrhv zGdu?61#l3qQ+WW>-h=okvn~LvPmavQT2D@c!{R|2L@%di2!lw_uLKja2sva_(tx$i zS$VL3`3w{V`6C7i((7{5>;j9fOGYs=8AoA{&k?;Ek)SC5!+;LD#eLui@QT6`VFdAs z;uJ+N#kL-|t0^SR+g?&Zqe`Wxfbv+YsBSCD+zPyy4a|gN^Ts8KTW}2wxWOgRH|rVu zb6Q4eid1NnCz#%brs>lad|tX@9OJ-aT6`)kgUZh73~>}lp*;h2s9+@Qr>@wh3BrPt zx1;`)_eo6Y*StWRGFgDv7@lf+Qc+V8Q!55fE?6#=U<_1g$ukr)Z>3P-2>G6hOKDgu z={lPFArl$6p?l(|q-YYU;&V=dFdvnRy0A|It+53Pvb`0RzevKHn-O2Ib7Wsx$J-AUpHAPd2fB^Zliptb%=zNWg&m`ucSA&i4Jq0U! zL;AFJf&izAQ<%;g)6`}BchRGhVCN+Z11iLBE3TA@Oa(|_mIgJFIh`eWBBhXPYAyy4 zJo8=sKD%1^hu-%oC9P;+vt`8_?S7 zN$5ro+ByTnGDl1n`zU@O^O{XEF~b|zzi%aYrkCwZHiS!PR~5CJ1RJpQIY_j&8>Q^u zRcGZpYRw2fDyaV_pda8-8Y>R!oiep7mYKoa=9_2O*x?Swp1@&W{znYhyeQMmEZ)A? zf4)d(7clX4_QB0{HJ^hfe{q+sJbi9FA>szFkgfKbp}paIH;&c%cZ}JkM+^NyaEk9o zaZ|%dpD$3uy%DSQdfcxskigMsC?4#}b=S{BEg<%?Goc~}eeDxh^1K_e4&tGoP zD)gbl-Y5g-eJ{Xgd1xqp2ETG&`i_`{IU77D9I*%1r;T{DL#8S_Hlj7zY%>yFUX%|8 zhTJe-mJ{p_4~i38=q$j&((Xf%TKcj;vCVRLdPN~>S0LlI1dv?=TDfWb7ap+@w)VRY z@A6?2>bWOGgW>DzS}OZ`5D#32tC7AF=!eHnuS@ulZ2#($7=Vpol0ha@aS&S@y-n=r zKMkL2`gQh!f|Pe$gq6eHVwuRUQ0EU&0b}7=Ojeo#g9ow}4c{k2+-(hh=Q4@5f8vY@ zdnL}#_TL%^cUvVuX^rnwuNQQ|B0C=5g^hS%Km6N2LNMz;6@)59i;`N<=ir6re+)(uE9lL2Ri{+Z1O3V%!j@mA!GwX zia)v#Yi=8inG05FVho8;Lm-v~Zk=1m6KBxyDht-!$77hN5OvB8GY+^a5G$HR%Y{T% zq-en+s0tVUXJ-xor8}S)81oEq-DM7U|vO)RPlFN#6jd&QhUBkDdL4aN{ z4o1=B*Nxr5dDTaF9dFQclET49`mzyKTcpk?M9qa&w2(a?iKe5C7(01LI5(?Ua@qO`EBBq<7e)LL#|zVGs}!$@qS9Ym zsXp;G^t!(u&23pQ(IB$KBld28`HWDul+dYdc{q+Iamb#(u;{Q6YRekTG{nWEbO4pw zA7jv6f<~Is0P6KMd@b;B0qp_O^VYu?t%uyh5c23WSpaE*%loNCVl^ACK2xRmXA2Dt2>T zbv-6vwDu!BFskP?VqnZQg-G}%ok0@r8w0I?6 z&AshgywGelLm*OTFa?Wyv|73e9D)F#!$!fe&^r`2OCPP12h@t6`A`ga>DK_9t;8i@ zPV{0RFfq9>k&AJBA*fwW4<+rLxjBVI1Y$vE;{z4M!j8IE3OquHdBXQtAuzVFmO|ap zJbwK=2w`PgrqE0EF{u7tP(e36D0O39>=4u)22jm_0Tz~sc!LM`YXfzr6ip6Fb!rM8 z1cygaCk-aW)3674(rAKp|G?3Sbg1{Iqa!#U;v3-%2e*rL#6D&LE}v2lC~3wUlIl)! z)Ws)az)x*b%*b&Zr%O1-4570WNs>C0IErdgg6O6!dN*4kQA-2ojUZ;8AVwh1N&q)X z;K+lYWBSVoGssXru^ zGAl#e-$OmwiRq6%94-uwY!X!4?w~3qv!~zkHakEnS`fi-$xMV#?Xpu#{8sf2EIkl* zs$=^f7*RA1m&@$(mjKSOL;$e0gWB93Sd!YHvb>qMDy$9hr8)9xcfdf;Hpr3-!7v5L zU&Ydr2P#2a-wUz+n<&)&_ezlLTSqFkc(IFj)Q4pF3mXO&PW~R|7LT4Um2Yg0;;jdQ z5lt?@fQQ7dKW%h2{->n!z^B$p^2}=`-l=9}qC+Yf!Gjhf8Gqc&%loitI#~&gOPg9d zW2ePp;uCL2>mU7k>Kk5C3gc4ZWN!Vbp}Y3Zs8*5nT-(CvJTZc}dR=fuIuP*WI~fNZ z2G$5{%IVs_wZwHa+5%Slu*g_Eo-Om|=xB@7hJw|cCQ6<-mQQ>%Q$|`D!)6afZkk(V z7*Ej=)}F$9Cq~$NU+aFMa;R@N{KtaLI4QccMeo~=P7OTV#W$IS>U(g`^Oj#7(#q8j zWjz02L%DeOJMgK;QbDG#xk2KO{AU-AF?HPlwU4rmv4&wuU2sV$nhk7mV;lGW7bSTP z8{=lC601G1&g{PK)nhVQ5#xq782RGq*dkm)l7X%v_F%_g;ifxQL1^m&6fbd_TKVps zUVN5u+5+g~+I%3=<>J6tL}`!IK$&Mq8;U1I-%hY9Wu(;+FsbVfWn(^U1j7xoWC z3%q3e-!Z+`+Tmzh{ZbUi+xu{jFD`H}H&&zKXE%%w;u2{{ky-Xj#u)o7{We5!Sd!tL zCr#Aqm{I2Le3re0Kp?o+i>EAKsd@q9V~k321wzZe5v{Wn|tCW>?M%k6qWUUT?`hSHaPsIHs=q|u_|TVl2Y#$n1*3_2*N`jHi6lVZS+(M z8P66WKESwdQm0`XrY>*7T+-srcs!ULLgH4cIB`~Eu%azo#JfAVX!X;;w1wxAZ1UM+?BSPpvPXY2C|5driuY z8zO02f(%+%-8AN~G6gkLD;0s+ugEO)eDEtG=b9Ng{ z9?*;jUJL~<5*C6o9*+*`@|#k2;`5l=4qLHA4*jCNN9ttYdZjs|EExr;l5K4|jI~f8 zoDp_^v4syC);kohF+2$#hrK+!)X413q6O^PO~45cYTnzr8HyQO`W;FWYa((@d%F0s z>^xdq3&mbZcu}m0>_TnzcXT9mn%%&$>GG{wTp?bg?s8QJ0~tITTQ+qkNNlkQ5IV{k zLPRV+*fVvZgi~AS1JF?j=h4*O#jH_Tqqr!*c~S|g8~G75VBwI&?txtl98e-5#FG%c zNSD)0k!d`j=s@mB!Y`U3;xeS9;cl>B4mv(;cB0an5DN!XkOx6DyMEhg5-tsB*jodSRQna|`OYZ28M;13xYuHMSq=27UpIZDGQ}--fDcTj!Iq6#*-(SF* z;-uXg?T-RXT=)H!9MTJYEEw9bba`8ZwSU{n0(3lpRo5vQ&Q~I3FhmquTJpf*cgL0n z&3N_mx5|$Gw;P*$sSq)zpEw_*%{r>-FAQ4N%USm@yn{EOrha|e|4#XwgDlVZ!yB!X z6I8CJ*99_j8EV~gMlA?*1&3z0RIiRn0Yff7JFR|*5k{~xXm3xdGc~ipMhh+soGapV z+E6I9hehfO%-C~mldIG6{VO6l_+wBMW1`;k)g2H!YWucza0y-+1?HONQSqe2+I)y; z8N}kuhRWfQ9#M$e6+qprqQw}BXB9UFzKx7ay|t zg-2kPEDQ@tqQszFTx? zqoL#Lr?>iF{rENJJs$>eNH~?#6p-C_(h-wo#8!1xR{8X*z-Gaq44PD6?+#RHYXUqw zWG@-Z_N>~X=XN$p;9~oS@lM&-Cu6!E33-=TF4XF9(A16RN^r}1 z;YX$vA<}=Nalyj_?m+xND1G&-#E)^X)|fA08!#Ury2Ow40tdSM$M(6Iin-)VMM zrou~Ur6=W<4~j0hjx!_Z?b1f5gklrQHV!$>=ClaKUg-V=7$&!Y6AyYNUNg|5Ggo8( zm40Cz4bwi_y2G4&83>BuGkBJoxO4}iihjT?TES8xf}q9pvkW-qh{{~4(iY%B`HGb4 zAD~aKzye?H75Sy&#d!;UI8gT0-kI=r_U=%riltLh- zsKsP19!r-EoUcQBIUv1I!T^!oEWI$pwEY;z8ik`PxO5YZs~`Xu*Zmvol8|*#Y`FCl z5IsnR0-!f%ap(n_(0DSvK_pFrCJ3gIZICJ)*6x5gSPMALpHgtyTeJ5uec2wUrFmV6 zII8V&6$pHCz)HBw$TSOj6#|@?EkH(aOyHj~#}j@X??5nZg#dY}1pc8>2Vc=sVA&5w zb<{kENZ~xgeZ^&XCnyaMEKvE(3$F+ObxB~q5D#X|e&yRu!BGS`IE!Exn+Z`X)}sjS zuTW=hm5G2!iAUQOoPg2^V&?NWgqw3^<`WHBq-|99ELhyi0J#l_I@<>|k@%Z2p268M z7vRS=(IQffEaYW*XSy1nP8>PdPzZYHx&b*=*|FcBGPKbp4qwbs3peOWN=8dNK5_^tF(nZ?4i= ztyNltnLo>B4Hd9EgvQ>6+mo9+E$^4>|`h(TU!H@k|ym0c)ewnEU za?9_$13%>VodJ_jgs3}WK6ayZqe}*C@gdH{rLo>#pAwU5pd05%UR$qn`N-ii*}_$B z=?p<6ov_mwP8U@6Z?H8#pM4g?vLF_F-?#hZz+3yQgug1*-nLP~Dk>ePtzW$egXV{D zp-E4W9TJWe*gE*ETD%<|3Gg%pxY~#0EgmM*muN>vTcpk?=wfm}*Pulq$LQ|ZQ#ro1$zR#KzM z4(zEme(zD%V zGJ*YZgKG+mDY}hN!Va)ia(k7`2Z!-?<**Vgj=MACGN!F?UyR_fk3MYWnlw?}c*o4d zBxs2&ZRop6e)$ZNkn#lzCKD><-$o&A&bniZBq4@FUq87IcrT*!|KcF-_c zW%zkv^W7C5AtSu0%UYpF_886l=yT?Y;158GQ^4D0YAmCQdBfniYr&p{$T)HDq-4cxc<%~V@?!D ziUe16!{`pF2CzwHS#6O{M_J3ev0p2=01@|S#n&dwB$%!e;^1dUVRLc8;&xnE4!{+F zFAHQa2}-#DM5Hu>n;VuNv3I^&sR0MY$rP3XMo?oDd}!gt6jt$`L53eAMxl^cFL^YS zl&_JS3o=_q5vW$VJ6F{H5sTK_qQlGo91*$zUp`q110`|n$xlZGvoqw%gRS^Zuwsxp zPP-IOVqq|~I2wb?RLw246Y?`kEK5W(&Y)K3IjJn9v+fK`hWu#h1lbl;!$%YO4ysHS5^9(fq|b|D}aCWz73KVeV+6ZgU< zR!Ti_tIC;wjdP*qCq>TtZhw$`Wt|Ls{*-X~H7^F{BL-FYTWFtm@Z4h+xtxWLd61Q@ zizuF47%rxAsuJ^NH~@|Y%c4&M-p;{j^J$7yScF(-9G+fLYQ)Wh)&zKVjR>o_F_}5w zr1ow663ruTisXcIa4hYxQN*aMztma$mQAeK4*QdlB^k5js?6^m5O?-3VB3?DJagg7 zSpHx`98NmOcd1~59VgmoYZ5ARo&&g!`dQrg{LD`o$1+&|Y=JM~HNm4x8YlN}++Mot zGR4FOP{l77J$dJ_?4QCR;S;GB)MNd0e;myGTa;g2XT7kMxH%A9xJfub^doL}(I-5* zrP-#g)jq3)ek3TQp9^gXAUlHd<_g1$YK7klC&r?abG}8x{1L~?uysj{L+fh%0UT@I zGlg9p37p)4=20=ElGCw3b6Dy)fj!``!Gn_cl|I2CVF}A0mLc6yl|*vE2B02?PjM`S z7NBu~v7!U0zdx{2UN2J%?`Qu?h^<-rkKPtag!2^gK8&Bg7)?tZ%Z3;}P5ZE(j6Ei$ z>4kX6G_J1gDeh4t=~2cEmTIy(E~Xy&Feq*gvZ0=24394-qqvM|91{sFT`79p*dYL$ zs^GyLn;Wo^qt|1-7-MGkf;QsN27vLYFZP)>yk7^yN-}{U=YwrpS-c%_6;D`$i|HXO z;Bpq+h6)b{ms%KgJPnviXzO7dkrvss<;^0mnN(l0GlXOD@-jUNLZ*b=9!0z?%6uUE zVMT6=&eNH~pty*J_F~FA;#La|6ounh_l558=^^7m;~2B3q5TGYFvqr7-&Sxoq)#3= zP~SHyg)GNzf)N}V1|-5yTTQY|!XvSoIqnV0RH2S!z%rMjq*{Oo^1-&ERNy$)8f>NC zw7*0qfmokiCBdGgdp7cwA%=n}X9F~q8=M9*^{Rv2k*b$5Yc(dQqWsF4yQ47{64mdj z`Hw2$*A32=^%A*Ju`a2!316bT#C|fh~#~CF01EYuHJ-BD6sU(!a8QWAKDU_@N;$QcEG{lR7yw7?c34h4u{VzWGFi(JqwQ2MNu zNi|GG4p_E4aY_pjVGXD#k(<2n`_&OYAD_trXz31}tF? z1#h;fZi(TjHH_^kgg6z>0E=7(>%g{zS!!Ys%)Ajr@d!{51~>usJ5c&)?+%F1v^8lR zP6=?L&WjzF16KR&M6eer4-YKiIT942cZXkGkpQxLDL`qph=|TiE7}%72Ll`}(4iME z?MDSJW$WX*qoQzBk=O>T0K3BdLvr${=gR@DHLPjB8=RE2VijPGJ_Cn$i;}$WN_U33 z^2O3YuVdk{h68)9>v3QrWIP)SM~ttWPsI7I_wK6p&sJ?a8N1% zgv$ggbIZQS_LLCh6Nrf17%qi~3~+cX9#GAyY1$3Z%QHb2#W|<1xQ>1qSS$)R?493* zEPagL?C!k1g)J+W!{bwg(Gs%=2W*z<;&7@A1jkhL(DDn92Y?nwdOiyr%zJP&nUrS0 zN}qIGX@TO?z!K=_Xp2O_S^BQ(u;|$pO1cIu(3#)WHCop|gWC$lT!}9bkxd>NR4|Dg zN=R7B^6!6Q*LR44brddPL@E+p9J2-hjM5C9&nm55!6GzWLL!=7h#>rg6U*p3Vm>9Cczs@+Jn1?rVm99H8tP;A4}%X>{^ zU%}Rh%}nP2MT9xb`q>oEVd4+L$^^w&;DJE6{RqbYntbwVl&Xwdf z48Q0twDka_ld(<9s)$qgVawGofH>G$y+9R-V7lh;M1F9E5l%C6R5+k7eI1F}K_^Vu zD-0b{qN|g!hSzE&d5tT^d+j-KJn)aGr}YzfD_v-w3x-U2-WtJe{qiM)n)_-9?>!{> zx|*kA_1tl+Lt*3`Y#4XRX;%6Maqq+YS!ummgHx-QOC6u4t~}U;S_)7-51)jS6N{o! zE`+SDB5%Vq@_Fvka0>mq@R;0!i$Eq|`7=CqO5_fM2uA=Z@)wRE#>0ASCrPr)}WZm?9_Jy5hbn})bQkKm~fSe%**TWT*nI{}M; z!(*9+8up_IWYP!_pSM*$EPjedz(*`CM}R`~Txd%`v$TVcWz<$~jvhHMkvx8qm=oV_ zli_b6<;gl_guz?n!Y@3%9>1u4y0}eWACN;2+$AH|{#P7{Sez1U%3%z-xX#GlOQ*#C zLqTeV6Ji%#2^r&v;G`vq?r_e`9{dPL5rZOZ6Un@v1cGKFa_b|gmyaP|wHM|B{p7|_ z&VvqaHdTD%1LAuo#N2!d#!EZSMBJ;5DjB7>_}=&U|Mpa?_fARQhu96_MFXPmeOPU?)1>2b*m5RIt&v|aT45slD+So zXu$YiYY=g`UsTS)X1nN{;vHhIl7d3pXozcL)Orro!^g4E9I~6f3{~ZcfA7=kNOsX2 zlnNT!`e#AoDNhTH1Cs=+6HW~vkjC+;}j5Y88~sl6f_syDvSof zRRPD1hnH(>YAj254%89OnW`Jd@ucjOC%n+eISA4I0u;F&n#4NsdgVM3FlA}hM`cX+ zvj+7gL13Yhi%ccLi9{LWxH%Ukj)KlFl1oC08sh2>VZ<@6mOv5-2n<$r z0VpL7FDF-D2zf7H)8RxqG1)RR##z`4cmPZp0ZS+;D+hI~NX-6VGG;EC%r*|XfXne7FrNPbxC*H`EL72U3C^ioEIXp8KYdCR< zA*oJ%r9~|IbcF`B1`^ksUJ2--l(@Chp|W*ijR4yNJsJ7RnUT~l!8MpeyC=_?t;{g< z!E@Nm&~@RB^-CeTAN-`8RUfeDxt(<ty6x?~5_B z9O4E$aF;M#8YvhvjVf9~`<%=VMIn{$r>)y3Q6!OO2ag=Uje(kA=|{LJbmE(6P6kwy^J_#n3D)-Niybe>KhpUwe2!#^-Qysf20koa+mc1E0}J z`*jn@ADk@IJF={ODLR&*P+ZkgtM!6Zb8p_DO&8;U?_!l!mo(bN`ayngpSJ^jmq7HIlpvH zTWhp7;trP3wx>0~$F_7KK$MmSYMV#}#lbfVM}k6Xxv+{IV`O8Aw#b~F^b9K-T>nDSchsj;@r@D{CVYsx}u8#(SgYGsI^QXr#=Y)KW+#-YW z&vJA6snuaCyANOFw)CLaX?d8J)JbST2Y1O}r5WHp6KUTf1bf3qxKKCcSxOl_ZuQPS zR7mtHda~5He(3#m0>kg`V2G#L#!BH=WXV$j?Vkw;NQ>=-t4Vt@G$ zL%RVBy~D#YDj34L?7%on#JB}rs4W5C^hn%H5#HEru|i8(Snv>sMBp%(#k%x`rT!!6@ml=N$-x*{PcAu?6r8JdD%hC}Wi5-PeFczd=`!D5v{HG3b~ zi|q1cbYwJ-7W*gGj#%2Y!k-%}6j4`z9-zkvrCprh3M9^IEao%n^P8mCCDHGOL2S28 z;}YEMS43SG~YqP-S^5awf(kVnf#N13O~nLf|K2%V-G~S=NG--&!HBsGrKV$5t^! zqF4E?^oXpx5n^p1D$DL7RE%mgyav#Z!*_i$C&s5veBO}Ej1mhI z@w$Sp4viG_RzpU0b->HyqaEn_QBGS}9G;c_0!f?iYDaxiejZbr*O+MFzrGRKxqx3p zMnQSLhWlC+%kc56c`H<_uXgtahl)1XIy#?}Y9w?k`ZH&2bUP09+tN&B?#pxN_pY_C zVqhMWDrZ6!xG0eyqEXK?Td8Uf=HO3&1 zBLRoS%*Y)qkVgz(IN}(uc!U+Zt+iX$Ws>TalJi`j{tkXcWBzqEwuk!Ugerf;0ArYk zRQ2kU#s#~rQaN~15Ntm`82!Zewc?_2F>|=Nf8P@ugK+kjQ9b`xaK_Y|ZbPKM4Mz5x z6H&$T01B&@)`jvXxL?#Bo|2%zAF;=gU_1|e5>;>h*F(o?>-=?CbsBUc$%*5#V|ph# zjTa22tpZ2bxfh1o$ToCu6)9W(&7h<)vj=il!H%dHR38c*L*UHZgq37%i zYR`Yp$j(2SisC;%4ZlTC%6mUGtrtC6i0vZ-GCFx3u4k#G-@WCr9p3rO8RFhlHgdf} zV9)<-#KdAK6ivNYF#QjmT0eB@x6nqwQ;Uz_Cnul#DV(v|ity{iRxUyFO}!j?5!w|jo(-^GkKrMHm#;8)iD#6bsVPB!&b=IN`M0c&Ufs&v0e#;=GcBEvj`=>9m=fN-64`w z5sOC+EySV@rFIxa$08OaH;xG!d1aFp?^xc6IO8Js+H2ByW2ToK zve%(^#A+2bTqWUZWf+iW3ZUk}xy)iMERmoKCsPOI1T0p3rZFM%%3;XAMrbREX##9RxYNCo!9vD&gF&zuq(***do!^I1$=0~9qO7WC*~Ac~-bSrly+ zG(d&MT$&hKy8@Hl3YrmV_50!2SEg*uRM<6r*Y;d^OjHpR&J>Wz5eTdT7knz*58Zho z9E3qiaz?JzVR3!)&^4#63S2vGF>M_km0)TCTV_Q>>KSG(l41>-QVzaDM62NmVBs`c z8=6BU1Id=yEXDZ{L<5r}n~l~l9a359vttP-6Hu13at=mIG3K+$;Pp`$*RPRN^c_RU7l>V( zo5OW05HSOpA|_n%@OAh}goXE4#C@w!xc)6y;3s<*G5j$-w!#X|#l4@iVv)+^jMK;Q z@+V964I5GWE=C)2coV+0h41K;$m1jH`^sm=_({QM@kQj#Xc<^R?IKP^zW02Ip1d-e zAc0(^8D*WZvQLX+`s>XAXeRA zbnm5Z%s%~E3HEQmZtD%=wm&=&olD+%;4g~7wAI#L9Ff{T`Bqd158L3{FBRhQ`Ui25 z@pmLpkcsxb9k$Eu-j_&*UT(#`+@gAj?3=nWZLExr-afZ zbxd0$#m;#6J~~9ppMkkJgJfJ0Ik`0(Q7^sO`Pww%N~oZyPG;tAtRbF-2qyx6$@uzL zhP69zu+R#j#V-pV7jSCYVyu-Gx7w5Crz==2BNe0{SGSB>mOKDPysYNw&nZBJ+v<>> z^`RE)@*ru(A><({7JF*3T#Zt2HGb5{-YPc0a>TA$ZYyCKS4;(;ZQgGs33o%W$s|1C z?XXKz38idNy5RQU%Sq_KmR$8}dP1Rmr&-J^M~uM!$@k-_L;UEvVSkD5Om;_2h1psQ zlC?a08NQ=SmMJ{S)$>`Fc#d@~s?*7lTIb-g9>S}Sf>?+LJ2}zK@DvP`ojiuQ1~=Hs z6FjGAY-I6UId#Y{7kr6uK%+!ZjqYO%HBy6n7Ht4%7V2v0%j5yqBbjMIUAPf+AR>l6mlG_dsZ`>NY4HED44fD&++*HZE5 z#tKli%-|0W*s+{o>=z{(qn7qHsS&pWU}U8Tik%P73Q zQ5QYK_%fy?eVp7O_YBnM-m;gBtppd zvK9)-ISC36cH%r7PG71TVyKj+EcMDWZB_(9NJrw4Xq(KAq^m24>CnWC?8E5ohXHmi zrd~HeA`XE?Iw%0elMiS=6<8sps}r!q@7X98??ayo@g2oUR>EJxcEb-&UpWH%4l@*A1UNTQt;^tL7)dVhgNR?XDj2tgo0!Yon^eIPpf9e z2H^)Iu_S>2S@^O+;rY!}De<#d?_$WH#W20LDfB2k73vaV5pf!idFXVB@B55V*h#_h zHzks7h3qHR$(E=NkF<#t*;`Iok0>P46&fjX#A>k1xUa#jLbPrG5|00P2gBch1Z!tS z3NcT9 zojKo_4UbyeQU;4>JIq2qwGQn>TwgAngLMbzkQcvx=}xhZl zRV)i>D>#{tjr_F%?Q9|6heD@D!l@K<>2xmuI8M^oHy*7Ny4u^uCHHT_aN;+YMOMDH z-Zk<|3Cz_DQr46Ddrm|pRV?r|jWb830p>WG@S;2s?K2CjSUeeb{|QAA?%2x;`Uxk> zbYd`d9o{)$Q!?mZUF+J=fFLSoU+k{`?_K*JeC2SgaqgymVJ7*kprNFL^yAQ z!NL1n7SQlJW^Z@QC>=Q=TM&z*M01RZS-aMtM`#I>YZPhd)-g0V6B}^Qpc{CAPQoQJ z@82Kxiy7Y9#ZXYi3>Ea|$)Oe8C_ab`E6eg`AXYO`wR}-Pye7;ba*MO+-^BuSH_q@P zM(xNT_a0Zn%0#WkI5HnSGW1;RH51(u%QmYYJ!`Qu=)xLWWG4Yp)|q?Mm(+WJFQ09$ zPRs&_ZSU4mDOML6A-Srxz(iNJt%P?W?skVOM6R}FTELRP)75o7eInp(>C$r@wAui z4gIr5ell1FNW^j4Mv!2)*un$XoA#PIIR zzU`6987l5H^yE*@rg2lm)c#7CS<2RC<><{6A(yezkqbjuKq3-Fa`Tf_Q~LZlQEjwh0{L%ILN z2^U#Jmp^7WmVqIkSOEGp1uC(rK&zB}zx%$M6H&m-H$9P*3@>`Jeoa6cWyI^90$voV;%IcBVFtFuTJPiNmTZVLGw)6&3SuBj$ z$+*EAzTEudTr2f@73_q{X~5C3WxRX|sE_E4K!;@j(^l8;+BRsMD-Q2yxL?_~uE~yN zsU3W7bve)Np$(U9Sn$s5bD8v-AqsI(tSN>SQCLRe4JEM%&H#n1I|La^V1Wic)x*6b zb~#Gq%<^1r+4~sSIH>Fj*sSgve#C+EP(%ujuai@ICOLmy4}>b}z5TEzgxx~sm?F%1 z{}958FA)tFjJO$Gf!I`tkF=&S;TRTp{j_Cqt+CN zlV1Cg5-TPu7JizJ*Vvi+vnpvy9YUI5fM}bpd`qg#0Q_J>3l6?(LI>|PagU9s9vd=i zP>E!La*eql3*cHzeE=b>nVW-?waog zsVggKHF1_&i*ShmLK^XxrMn;LTD2bJ+v(P&$2B>v_QZJ_76y}mA#Ppu1;b&@Gq@O@ zR(>c@?Zh=LiJd|__}fN{34;nAv0iJzG4|$C+VgmYSHBsbQIF)KQ08Wa-(+q@%;q$N`5uvE7}+ zLB6E6V_gUp7e}9U(~mz^2%_y&*Qyg)d4f^=mCk3cjT+F>eR2 zh5GT9;#=@jl8WtkI*{g69(;F$nXy>vuZhI|(G_vL?e9G)WrTO%g_8Xw$|RY1AJVjV z+C|FDHL8tg+Zp8nMs8A}qZ>34nSit$*lzeo5H=s|IoXpe|1L88HE1~gxu7qZb19!i zUX%m~-55I3FtSER7xb}TQRe3O#&xer;E$nIf7A>+zMTeZwDUe z_06Xgnn-I}P~fT0Mq`ui0HSup+feI3Ann2%QK`J90$t|I#JC{HTzHXS56}|Bnn^@p zK}o5rKkwcHjUzrkq6o^$mua}0*vG)+%BdHUT}YdG=bwV{bvGg5SkN9k^Owkhvs-GM3@sX&1n5Ug^}l!C>}rL0}*(=c0e zomRL;NT;`tQQ6Ai#g;Y!XQ3r5R8ktPR6Ef*rv71Ljb#`-c+tQJq&Wo zdIHvJQBPlwn6u4d(JTa>;97=yPlI_j%Zzf?Ho`(g2;>?Pu-u8l4EPA-yXg&SsuJ=`tkS#w`X{oMl|Jru0Amr+>*{_qSM)9B*z z|JG4?*gj;-eRt=p6;0|e?g>@BTr>8bE((uI0rOdb%+XtC(^hQ1WmxGeO^aTRHfE+J zhx2Vn9UM@n$Ty+Bop(9u8xF&i7(6*`P4{;?L_K-zwqrf^Y3g8=xjlM|y1{^#Y-9 zgRP^ZJBkt|4_H7Qks7pU2dJgl#*L^s5IG`{)O(&l!*ucKKF;FvDsKO}p`z#U;yqF$ z*32)8STL$>#u!F%R9nK=O6m5xiB9+^Hf(2SMBPY$#ix82NZ6l$_w+tF^z?|+ub#k( zk!*Fy+V;RPJr;A)Pz4Z|j?IRn#P9>nVg zU^^bl;j`pyy$2BvyA=6g4V6dKJ5m!?2frkM*#@o-CzX5zpr%x}qC1K%7%W8d?(MN{ z#NKvo88aQE>E!^H$D@EquVfKf3GrHDuu#PlX1;>zYvqZPVJ>!XnCola?FiGXqd>a7$azM!<3KX2KlP0V()4Ik%Kh zZ!EFO*Mx(OnFdT}QI!sA)zW4V>X~3K2Qtw>&h~*+j&gT&Q0lThBLTlouvaF)&&ElJ z9yY=Nw4NR9o&yC01Ue`MkQ+z^k7b9HE$NXulbm3RD)rw^}Xnhn%twhDZoXG=>9wN)ri8Yqsa-C$+PnrdLAHFofaIKg;GPo5_!VLP2uGY}C?24Z}A>vLv z%H(G9^~AZ}%fBpu@ht~M41^4jFK5L{03WP4Idup@<-6YE-5s>xWn@9UPz5SRV17XE zURZ zMkMc`M-LS=Ma#?^P!f($c==O?VFdkJkhbqxvoAybV<7D(Ls3<8hpiB!T%oHipnC49zNfxk%7g2iP=?7UJ5wuc8ViU?k zN|)pSbTnx?IvT-B2lH)dX|Q#4bVngZS4a?=$^w=KEm#%LQ2_@i9vqWdk`w2y1mVC1 z7@lH~ngurMje0k~NWz%7#=BpP5~qTPqvU=}9PC^pmPcOOIC&KKzze{QY&l7S`fP;r zMcgpLV7Ta0M1QZxOalxfaJa+#9~qP&y?Ok!Bw|G}meG2xA18p~AU6PxBj`bny`>hp zvcN=%bf-4HRE9iL`czpa$+Ck?IdB;D*Sh1J%lsrnMi_Mdm1BUY1KBXnu)|cKDp-`n zN|i)&7Rf+5JcPS|y%OS?VmdBf8PL^T(yR_dV_TYtR?Mb9z=3$l1M zUQtvZhXS7*Ux35mBXd7Y75PG1X#@AJA}ZEq3}7$Zh*M>#a3;ZZ&ZPpT4I|OYVHgRo z1l<6A&hLJ~4@438-pEm~P-Cc!F&P$@-znmXo$n2Nax#IPw zp)Mj&O&BNyK4SlTXoPy0iT*~$B|NVbm!7H~jQ&G^ET`RJr`?`H7`)|lWt@B{+%z}3 z@5NzJqV7Fg9A1gsv(Wb#erIE+n*GUrZ}WN<>k5GkP0plwTf!WGNv^$62=oa!SfeeT zp1`tTo?Mjp?u#I&C7iwk9JmeBy6q^N-y2M?uV1FQN~B&OjMQY?8HKzoaeDzvq&8Yv zEakh68?kbsm6W|}GZ%DZ?_s0zrC$uf(RblK!>4xnA&r)@+d_Sj$JxXo*}5q5k=r2K z4WE)5OJzht8=A|J2-V#W%Ns{$T{Il#z(o+O&4}P^1Ds^x*{Ccdcj9;fguy_ofWg3EC3<0P& zX?x{Bk1Z>VZri}J2lLC_cu|}fFD*+OA%ayx7z++({^G#lhHfdKQ;dh_i~m1&?*nJq zQQdc*d*AJzJ3TGw&Zs4|Wg%6!TF?>#p8ntr=3jNI5sfUz4@u`!OE zfT(V@pivaNk%cpO?f4-unU9R^!RyUxy&RYkl+W5p+p#f=S!Y79S0)1X z`>Xrz>-W0*{TXQ_Jk0-86z13~C@zpoIZiW63rji=G?LQmmzal;*_A7ePbrxfa*QtaK4y%0ecK@&B` zGNJS~Rp4vzn0$N9mP8S7L zG&+<4(E^68G{$gg@#0-4(5Y-|3N5Qw>57D-EvO_euWZh8%W?*$upMoYEAjK?Z8~Hf zMCt$bU&DS$h-I)}z;2vWs*)qZda26#f^ZA`qyfqcsI1$`19>e-fUsNiYua;I0h*e7 zOC%P}5V)DJxAVwMsx-P7tJvto2}+L6^AWf#l4$zyp$4e&*Wu$KVeEz**-}F zULI16dd2`{O}PpDlFvVXotrg%EkD2JEkE~h0@52xUXBoE3zB;Td&WwW6GMEmAv$5 zoXB)u8ROqLz;YwJ?SgWI;y{c8$K4by3&U2s{VMeIwd-P}PtrYb|C{HdlFu(^OFJDz z0+ridm3B21mFox{heTu}fX4&SC$1AC=q^1tIiT>mkA#ZVXHV6Fdsyp?X4^L>o5sgJ zH$S+-VEu_p7>s}|K5r9&`}E3R*#?K1=GozOpS70`SnCtNS=iNYI5%zm-c)j{I1Y!R z_NiJvm*>ay%|LT@HT!3&wxLl7c{%5A-v6ke-IofQL*p+K3Pb>c- zZ2U!j+HOJfCvF-dtP0ALHz$kckJu*z(*wZqgVI-Vou?kPSO5rod?jv?BdkR+=9$Za zN`SMyqGn|Ul;~r+o({C_u;u?27Dt)g@Xwn{#I$jKdg7x$HQ|%j(lvM*K<4;NL$_QL z(`OXea7MLB!fQMhjnl89;A8<85L}4&QmJShhf~Lj(w?Gx4mS9xpfDpKOO_5=mS6!p z&&p|9nvPOK=X_wM3`=m_ijKQ;gLIkTVyPcJhA%+F_+0OnkToE)MVH~-kmvs|P^r#n z+|2Lf%Bx~dpgnM%qEB5%??QM@Mx?ybDQRK3XQ8FzHEodAeYY|Omsv$+@lEH z=B&Ngq>WG32#CX0VR^Vx09NAx52QXOhH$4heP}FB7rORA58o+r+`wbblaYURy3C$t z`fw)rs|y?=rxbChgJ_Skq~dl99r8;&xn~MAOb^X`1-ZV-<6g(=2A} zA^)}_{65l^{^8*IR0b`5llg|y zp=Jap8c$uU*wB)%X5a4V`rv1QD**|4{5Q$UrnN65rHpeQCd&W~a1 z#ux7k*7^+F13>=7%gg6`IPZC-kS@R#ANY>=l<>w8EXA1qv7GkTI-wRvb8kLSrRUyf4{H>_2IpF2vN*=H%pM4Hk3zx zGq5ayVu)*&AnV>rb>&) z8PBaRaHLAdciH)IMs^Xm_mrTBC1f?A_0+2pQOYR9+vnAJVSiA8Zv~POkl3sA#X;2T z`zR-U0>2f$SyE`&N(&pIi5P@Lm@MyYd6uky zIx3Z&l${}Iu<>11j(1ck%1j8)j~88C^axQp(D|f%p2cqG(5g$EKggF6oVWYcbaDm( zp`-09*_dKaMDjDnmtf(T!ZR{vN{hY8wRO$zwn_}HvhX@(oVYSAG#2K{#-h_7_98tU zNX>_eel2my-4;wXe8$0#<|q~H4^N`VeKg&Qos%Px`f{stv>`LGxTz4$xlTz@XeCfF zDGlcM@??spY!$2~A(rN_%T<2EfnhKw6x2L5a!&cVwL{)nhYKf4zuPQJ5MQgTWF5Nl zcEWpxB)*FaUDp6dW=uxrH%lUnvz04wbZp15HP)4Qu4fv;D27?I?0u;G7^9iJ(K@A7 z1~k}l8){{lG;4N2W~jd?y1AhvuOQqmii zH7Aag*m15wL=@xHQP_o5YuY`pD+OFbzyd?#_JgDZ=u?XjPYp_y4&f07gfZlj+6h&P zJikH3ub_UA@v5SSgG*+vaLL&e5`q!6|SnLPeMEdxh=;C)1 z`=yCIyXY(La7~7-_WiY2>ajQmyq?GEeP2kNJ~)|7&&3$+#@TCp>>{(R53oq~#EWcN zYInxy^15=hm4`eYCAr?RwQv>3gqI{^NQ5LfncPQszvP6T77rY-ZTuTAapwL5qp81t z7G(>pITZa1>>*jxJL;o=%IT8D)V}ogtiCii z**(wqWMiptwFmQJ@lQDAk{nH_02`ZgY?jLAOJ!HX*5ib?H5Vq5@hl0#twZ^0@QhtI zc(yk`y9SDRF-GBAf63zDf4QVw(v6+&o!mm=M3?aEYN`?&6w;^lJtU$S1#EC7zoP2$ zlIO_*vqy?3P7!JwdS8Jrr!;s9xr-MflG#~hNY6KG^8?N)C-b~rji+%|m}_&d-7*&@ zzVuVoD`;Q_!D61~>3sNu#w~?=f2))N?NqX;v@i)z=glc{l#6$P;ZO(e=-e45+hmxR z4olh&e(>!WLEBUG_I0%RBN{*bgGfAa|Hlfy_D^jo`Hr#8W3ZGb6QY!zK?u8f(W9_W znt3Bcnv_WbKE#_YS#*VXMWtd*JI+j(PWMj;p)x3p_aT_#O%Rn1MkDsu^r{y^1%!U`i*CuslMvuT1Ikmaad9IykpeP2KUsDN1n_4^mdlL{lm!7`fl5))&0Iy^!qwQn zEJRa*vkjc}DU;cB^qj@OO-eF=)0%HH+fxFO#*>)nkm9;|T#u-smjMau>YvDA0L@Uo z`v-PY7W242%_wX=>88i?tV@04yk4CTh>m2}`0?QFt9*^@*1O$h_8XCMwl%eWzJE@` zQdVQ~?4xcrG~pl4M)x;thDr~lQhG@fI{#&)2kSlbn?>-Fq|jGjF3bib>MAMSv5I4E0F8VGb%Ih=m%&`~8326B3s zq$o9HH*c?|g?;RnQOuxLZ}4>&WkvlPwS(c8FQ)x@MJzHtU7y)6)9t_dW7;c5Ih}pg zVtVXVcz&@Q_3TXZS7Pl?+qJ{3BZrFz2PU!#K6=qJ+I(ajXyZHS}7UHL5!@-%{{*-wt2X-X1p>PPfu9uf$RYI~D z@a84z1xQHy#m1&XN(~CBBK4)LpJW0uC)#+CV*s?lJB7sraQE_*-mbLbq&<%v*__ep zMK%o7#(v=jE57nZOL>(=*8xXC5mLHrP+O3i0YUTERqkFkh&=!m70v*mJ(D2777;`f#cQ6yw1;D`Ng48e|Hzta07 z4JA<*dng11>?8nE*FzpVG5C|af^DRYxt^dwPF`TN6Bn*dHvhG?c$YLRLJ0rF-kWVx zRekU)zGnZgefFBbX~Gr`vFU%m6-lMZUDvKn6kqxtuO?tPV8&@(r(L$Sh(c-Iaklvw z<*wX*^uVgMFQF}TS43195S^vI%^uKU%&2V|&oGDd?4u&uFB!qEhLbOOjJ&!? zae2+IE9mrke2e@ZP9vJWH^Y#dOZPrkcgXw#_w7shxXV43W;_*^}P@G6U!E=0t0X* zK2*Vj?mTa*pzi!;?*jvy&3#4jjNYY<{b8dQT~8cd-_=8J*e-WS0v#f(v}r!5e#BXS z5X<})*hL$egw)P`%Mlu45)d`2C=q<;Ufx~W6X=-0tL&w1Xu_;1Y3 z5-h6{>}0tT94xpP#5!=ipZ=v{z9;5!@^}9p?6U%qouEkkA#Wp#)iEjBoDC3WeD-pJ zqK)b-W*PIEc~uLK(v61+&0{-FPsCSq_D;T7>ikLrI>V zz^QKQv70}}E*qC^uby|HaKHHComZB2({}wUjsfJ!ILB@6o6pNR&Rey_dAhtAFGLo_ zgUMRpLvNO~aw-!N&f`NGs^M4F`@*JNKqKeVxbCbkokt zK7ZpEW6Qm|M33uXM$1P|u<5Z)1BnpCVlq|Uyf~+@qt2=7F@ShWhAN?ag;@UErgTv@er4zary1 zt4XNOP36l984B}+e)<*WXYb?_RXXqw2S>X1mI`qy4&fWm@%GN2!qU4KaqO0QpVCi*WO%X& z9gs3_7nO6QC4*pVg?(MSM#h44@rY}9v(^oeAE9le8})96gv?HS&M$esw>#qq31&Z* z`26Hy+`XXuHQkH2YI{?0FlwonU>`SSa_j&}zSzyMSmdSIMboog0K@iwq?8%;RN$r2 zF63Km&WW&jkCVNfDflLfgvTcRnPT@;`M6#NfliPRRoACCY?nL4>6y68Lou{|)DQ^@ zU2>RdzF;0xvJc75=_H2vkVNB!W*0aWdqeX=RNGx)yS-3$NE{ZVV(e^D<1CRio+0>y zj;k<6X(f1W`bFAI4uR(I7_D|pj!zGn z>n-DMu@>f5k+=3*c5jUU8GA?{p&P5%e8Y(=T^ARNF4&*3uNwt!J7d_o0to@TAXI){ z6|LJQb+716R2ABPFU@74gLIxQQOJy26c^DdH?KPDqqp}GBtT`a^!Rum>k?alp_GyH zP>QE1u(HykKJeVz$+`xRK+(a%O048;8e&Gx^bQV&jK6)!cr5`+39v&-F-`L!#s8{qscgU<>_ zG8Lg-c6RqGxArSL6Il$sDvm1RrwR;P?@eFxRJrVl-`s=QjflOQ-AMV5Q>rRhRl4P+ z$%9raRx~v~0%!_vy5LASx=npOadB+hJW<-Lhr8wTZ0`8iy>0$dHs!L9=JO~2^Fi7G zt+u`gF;b}Gw-Uv^hof+o_(0A*-{}@c*9xMgFQL8vBg@v0os-zjKN?Hbr<3{3ldq*G zzMam56(sN;T!%X z3TL(T%X7S@`X)=?xMWRl9Q>?zTkrejsUrN|t2K}@HOn!RO=jAHo&7S(BCG}X;d7GN zadKh5cy!TT;^_dKqt+Z3I+Qb=#!z+9fY6)eo!vy=J3 zBhM*^LSu>DTPm>W3aMX`*pL1c%I#tZ-6{1xrJn{#T;VMt%c>! z9CZ03JkOQF(ZC}-PObbQ+B)`qG8GFyIH&d|DcaB3q%9xFBcG+WCAe|}9tQ@}oo>K~ z=4|Si5^`q2pDA_;53J2VF^vYTvb{sKFR_CyilnS+VUE>|>~5m3T&2$OdGK=PSBgp2 zPUw>D;>#=q3uVFEq24)!T|~RQ7iHK;iR-D}bA}|o{hAQs*LFqeT1*yVh&p@_@_r8B zBI-$ANQ=Pf18Zf|5dCN7@`;gbl-n_YfrzE+%JOiSR}925G5-5w|U6-RxC$pk?PEc?qs z_k-(`F#O(saiqHem2b%~9M8kn{*CbMqQK?H*FN?-Uv$4b6ZSL&ynTNB2o;tm@ z5qSU7CfklIq>>jh$8{+5_L}!4%wwK+=WSoo(?a$n{IudhykV`LD1H$QecF%IFX}+U z{7Pt0Xr-$jwRDOXS1XM0$3vSkceu&|h>q$~FC7#9h6*$MUL;fO1?JQh4_)qWX8Ix>k&tgU?Hv3kT+gm z%u%RnA07xL^{k6pO}=@=CgQ?PiQawHD|w&HGHafd`L$Qrg2J1- ziB8`iS6k2gu=Vs4vJ=CVR;K#?@YQr7rr1*ydlEY&`?}^1)fcUP!iskLKw6twi0XOd zkpo%&@EhI65h~AS`_*A0^k46h$!}g zw+D{0Degp?ZTyFyc6M(|>8|^wWu6763j5V>rCGS{)vixSeExayntbVIUi<-_w3Bp# zvuo)c2&)m#2#8IP61>9;cQ+?mZ9O3y>0*v-ix$SJ5Ui`0xwe3jiYR3*+@r@;G zf0)mqNJy-}6tlJD`8aWZb(^R8ZRfbo14`&2@K2f5SoC()jgK%OPH$#LnS@Uq4)uv& zH&$DH>S?2+kUg4o2~D)@z|WrD9b(4GC$eF%Czp{pYPu6G+;$g={m*ZwVqdp#Egc%-K^;*co z&(V9LV|uAxhzYMulH}$@x$6g?eCY=C+rG~1M<|`%wDc49_?+*Cg(L{a1Fs~A zR6{QL3V|_&7;1NE*lD%ZvvZX|k}wEjJWQb{+v|g9PXnLLEuIGTxTJIA67HglE6!b9 zK<8-ch~KUHF2#<+dkP}iN?I#F^zX0 zj&XpUXjX4$d2r6bQ>H~RD{jhk2hD6i)_%ZjNK8{tVnsH9dOr>WaB%Pgf}RrEJ*C*C zz7u%Jmc3DFNAcS#3A*Kwge=yBl7l03nIF@5Y>mQ^c?wkoN$yMtN-$JnIc1U_NwD<~ zDqtvV(FD-I>$#W{y(wNXtvRi-moK#y#EKoa3}%jBr0L`h(Zq;Fd2WX!auC@dP}S~Q zuPn68tAr>3Au(@ddO8>-MU1;*Q#=wI_zg(eZjz1|MHm2O%68?lL)*Bz*E}pVXCJWkSD4!bI?zK}<@?Y%cP0W ziBi0P9U)!;^Su~?9luEWq)Rr4!%!HIvKZ$guG?}cxuY#al>bnKdX}MG>9?F@ViXhI zQoNU|vkE4ylsa)%90MQdAH{u&j?AmW#UnCb12+O*D6u|>dzPX&DOQOtIT!&5Qb3=O zZLl$A$85U%=IVvSI7O;rIutq-w$q&iZ;P^%%dQYiw(Qq;F~u&V z9}e4W$*xqnEz(N=yQ6h2Yj;4u|Gen+x}wD$yA=ZPy7UF?wsaAkK9pilKi=*bO3nDt zNZk0u&nV^7k+MQL&?TAzV|@7|s6bB_oF5~GiX)2cp$$FmQO-gPRBz(Ts-vi@a9=rk z@4H&HsDsH~f^Vx^JHE<{o>nsIqE^$#E%3CeBKyh_@1f6EdbA{F=F}=nza7}6qzb+J zF0pE}9rvKev^p};&1G=w5OprPQZyrKc{HizN}RkypcYC(B$qbap)J|rQ4g*#UkL!y zM>v2fsQ#hQz1AHc-x@Dh?tqSQ4% zgK&O0gUp^XE4Pb7D2x*ddrNv3!Hzd{oZ&=b6O`bJ{B2ZB(XGa?Ib8{fa5A;K=H==b zLW$)n0&f%a!7I{k&;SSBCRT2jEnK!^2tGC!@25M(#MX|~ehw{cVNKGgt(wIcxVx)A z+E_l##YpnA54@eYVI!>HW?mh;Q4s7y=?7=h4{X{=&TAl!SB#S>dAsF0_9)csV_TZ? zITbAb!wVQ*ZPMm#CqY!P47^;~%%#DdxPyA!SkEztkuYsH^Eu|c5LJ)1V|E3$ z_?MK_<20AWtpmBtFLBW2HN|o<8!SAuV-*7<><)0d5z*g%1)?t-C;9tEf0~fWo-+%4 z0Ku3{L;$MJeI!oU!6XVD1wjOTxvXMT(l+O*rtz~ERmiaWCytuAg__lW>DO&S zCpD0~cJT#P|%a!L9M#4GCFNSe3UR&vetxxli&KIQ%A_6hBHE zBkVZfMbyU&e>W#zeV-bE(1YnC?NfR;(ikKXItsi9`grY*k#n|slk-Ez0Y7!(js6X7 zZ?`1BvCh}pZcy4i>on%!(C}zCo7I!_^Y&qDWo>Ji{H0+9IZD`G;r>1Twf3O;K4ZYC zi;(b2loNM9Z7mZ8vD5I^THl|iN$R-+E?)aCZkf2>@6GNB#L|qxnSnq2fZ4XT4m?}o z{>5=qcD2==+8~OvK&P=OA#Qdg;hHk{K|t>2%w7vHf+s~CQMtVB7;5_M=$3DJYut^IX7B0 z`NkTD_Ap0pTep_tj>;CCYA#K9=4ve-xp5;+uaL@(tO`cUswj2GE{6eITL~^F5r)y7 zZW!ts1#SRAU;aXXDi*;2>K$~eJkqz!@=50Dl?JAK#BWB|bcMl;$LFaXEYR5+Rd{y( z?}FKZSrs>+D!1O3l!)GYp#)(iYL0^6?u4(Hcj5rJ;*CjQjc#8^h$ZmU@4D{d6!;AX zA4_dGjT+%)KZ53I2u8Pi0V9h#>V&l3xZ?e{_NCktxA2Y3Yc=F#aebo*H#U5{iu{QSKD6o2%a0{?U^kx`2-~(`*0}V|yTkVPd(iqhj#Xj~0~hb3 zGrqa&&g$wlyI@09#l+3nR*$)e{vVe?CcEexgUAs^d0PRhc5 z+{%c$I)32=XIuWW?@Pu>pL46kQkdDJTZa#%>Yv&+Uq?+RZe&T-Gi-gNmit4DZsGu^HDSK>!4Dj;e z9!OhP@M7~V2Ui;>=ocq@!uLVsi;m(5;qW)FGrk}=FOr1A;*)SicF*#=)76Pimm0DX zq9dj%#0}~)uPK}1O)nw63hF6>pUM5Fzk`R#vlLbs`E8XwiP0&nLlXE%EhD1a)fr{@ znX!m6SH}F1!+4V>6FT^>Th#=Vv>44jS{EL`%b0a8<=9Zi@S+7|38oOrc-JSF!;0~i z{0p%R%YfZiUm`M%rlJ{j5WDI2@$cqZ*~6;p|L(wj;y)cIKL*os9b8KrV?j`BD;?9r zMMI&~@yevIfI=A@GL}T!ZI4H*01@zXA*bAzrQl+^iyP_)`NuQAArcb0V-N6V;1kNk z4|~ zRh&&0Hi5t|<3w02n`drPj|c^HK;;Q_zFZ+j-?f_Nw;To)l5$ujjBDDx;&hOoiR->F zy&loCcJ3;U@t)@UGTH|jcIRy$nr959wzCWEB|JrIfCY5PdeYyr7UKy973E`_eOMVf zRrBO0$`¥+NGbYN1u?wPF?fP}W|K5h~=sd+3Bf9X1(4T~28lq6>>BK}Dx%lAbJe zq7Xx-S14GZ1Z#`>I3PnA1#GLHry0s`+n>>Z+hKl-%JTSKG=j`abBrZSdTy1u~KWLEeE%Wt)h4Lsv)>lJjk9(VWyf#1MDC@n@x`AcH?_P=lH;0#Fa&+JKlI@QP71~vf-h0@- zhHl!kb+whfw)tV3y$F&{$PUAS!NyU}Ni;PZD(AD*23=G+ngXOo$I;>aS3 zPApt|ac=j1#gb#ji*+)kK;5N#Wx1Q4$XjbKXL8&SglCEi>=$m z@tPup=P#z2R$JST&n#^cQVx7|&?POcoh=W*TuNL8U1?jHbCVzA%TZOx zp!*^L?_c!JonlHbp2|~FhQ=@0+$UdZyy|+8X@OO0^LrS>ZvHfHSRN%yZOD2v>5IRi z)E9Z{;(CtN83_{0qH6lq|A~)3tns#7{x`Auum91jt@!vWy}4H%Xcx(M_6zB1GR(Xf zLz0vUW-zYuB6&+{h z!m#!FWV}`CGb8Xcxuo=ciu=b@a4SEN1h<&bU+IV;qK{4?Xl)i_z&)MWI~H`>I~JoL z@AWzj_rUIzib-TJ>>{JnsME}q^#fOy7V;sr!DZhrQw(=rv4OFrmE<8|ofJONLaLsUvkZ3x>FSS}^yM8Z-|5_{qkS-Vf154h(tj^_ zb3vleRtDM__&TTPvz9*gZ*3fF}E-@t|@0k&aFkuU& zW2~zmMFjylccaM8d^m!~01R1+mgB)H#ag5Jo#wgXl_{x`n%i8~J@s?kHdX_H1knCk z76>*Fy}+!Wn#=_cQoWFIVT*2^8q`?FgT9;6lxl0XH8ZQ}kNtq%0jm+ep2oGpPCsuy z($mGwljWj2cskwKPl0~_oc1wv<*SQ<`zT1-EtQnI%s#P8X?){bQ4aszcslhi;I--L zx0_S1xBLpZ7p@PSd=zQC%RLw{3tmo&*VQDb_rpJjInlW1bfR#^iNz4H3h4tueGhQ3s+suD1G&@vAEN2yhC|0v8tQ z>zX5)Pg92{&wXb1JDWLi3D4=5D||nQV=D#tH3{Ds!_-AhYauO5scA3NI>`MbP*Nz=si zV(LfR&ToC=`>10dcTIPS1y$L8;OC;)DU@*=GmB#lTP0$aes_Croz(#toecA_j@C9U z%Rgi7?NFQW41!<2;MJns@{Gxq~PvYklXA}exL{-XBrYx1SD{bqxh17oSl{}@!fv^2=9_CM> znx0|n@hvt#Xp^v$?Xv4zZ@jeqmk+H@s1%CF`H{)YOMrwclfS)weLAypr%)qdF=THy zYvpsU`1A|C<&PE8@z&gp>}7f`pGNw(OcLKVO#qy{xFN(5!CShF`sc`^4?0*E#97{gY#Kgtfo+)K4(U ze+Q0s&5JFtXs?}PJ6asT9e9n++aj+BXXj3QbKa8d+C{UM@$3Vn=N>4|@vmJxr^BNM zzsHH#1sjlAvWnXBeBl9eV{M}g*kgv8*11j zyi7nuRu`v*n+Ug->jHA`41pG89AiVHhthT2ZWT*PQ}29F;NM1PAkm&*nldklHtjKf z0?fjDp3MYk8e&dGpJ$V{SzL<&3;@bj<`k0V0D@k$B*@>ZUrT6Io9LFqF$$j&+U6JG zDz0mZE0^t{*qw+--Juc&{9e=`%rdot^4}foDa|HE2Hx$2#)20v1s7}eWT)4l zgm^ZfVt+mc;2Z)jbDm%?UWLtOE$#wd4p?a*(dee(W$*Xt)O&Gwst`_(!N_7&ZFvF8 zk@*(C<8Dn)NrE#wC;wpDShD;I%U2$~Br|(tA+g3U1T>?Ahf9F21nJa8XAFaUD7dm( ztF`t0v~MQGDR0kx_O4h=O*>Tydl`<#-LQQTzwChyf7S`@b6K`HR{FFP;Iy$hDrzL$Pnhy5A31@oi$bW*lInG)j^q;eXgM2+crefp5EVTinT zZdM$5T=9cxt?b@AgWbMevz7Jtc`N?Z?DKCsE3@mH9U;>k5j4tzRbA8bjyf4UB2XO_BWZ!8l%9L}ibP;`-+Yb3X+0+Py`bVPCfvm@oHtWEvKXf0Xuu(uly=cU%zHRM*dzzFSe|y?qWf{N8iu{emCPvA> z_k`}rn>k^tBzt+_8qG4u(^oFCZZB+8mtl~G#4Egy*RTk~!)D6hkiLF7wXas5^31`! zbwl=sa800W4|@e2wA@6XL05%Mnai!FKEgOa9y)~W7`u$q)UzWvJVlGk ztVpQFXAF8ooiUyx7`4^%X0}n(u~ro+IcM@AxPSs&##B6h7ZR5fy5io>xD*62o)UO9 z{eq41R$gaq4#sijZmtC?2u;7L12rc0*$Bs|CqztfwXL^LkY09178-|*1)*sxA`BHZ znchIGGCMt&&^A>8XY3C1GYPV~p@1el1Zwb{)VEbq2fX&Za;N4zdZRXkI0fM96n3(s zl9Imf>iT^9dU%w854+1DnGPb)?^#B$$F&34oS|}CrVJcI%oq|M2an1*N$O%JA>s#I zoQyH}1YWJp4viQU{MyW@v?k(1mA5jnCI3v0ahxr7(lgFYx)=*&O;J;FM1A+DoK5p8 zgfhshHg~4NMfzkRO50tm_#K6l2F+CNZ3I=pgN4BGBaeCrRl1tscKkAyUFatfs1#ICW2ZTnT`TNfqfpG$RW z|1)cak2sq+MR@b9&g`Qi3<4%oC;W50)+yKqSK~?3ffgcecIN;1B#-JEb#@|*R9zMK zjNGG<58{N*%_&ft6{QeEL5bIA9sPEVm#SXL=RVmBEcj2qnfv@*=5C}g?fpD#j(wP( z@XMx|J@^z&SawL0;uyDR`@}9D+xWPUL!q!`r}TMIsnjYZCjX43)YsaPw=5khcQFwV zf`~Ra>PL%*`SZ^v$^XeEO0qqC5yRHUd9ofmYjkE&%S15tpJsRRBJPccSXF>C@UBOl z@j(%K7;cMWjFwWX2g?E>{dwDy3*tQ7I@0A!TECqe0{RIx1dZ3^>PL zU`LegcV93cK5=cHx*x)B*M1&Nw%OZc2xrU}4+PbR7Yh$Jm?^yP0cV;n}`r2rU_2qvu^+GpNDnk8@Yyxo@ zgPr?0Q_MtBQ7zuie9T+(^zZ{kl5DM$uP0rA%D&^L#7Ev34W@FMCf!IFv4rwg%` zoD)|*eBQ?V*$bRv4AZ_A=2}zUhikz7$+_$j&i!4HdpHo{z3;ME`A(~!p-l8cF|qdA zz%Dia`3dO-xEM=1#hsyMN<)0g?yvc`HO`4)SP@C)^Gn4B-MDTVI$`_VieQhR@aANQ z4ROW9Zf4)sXO0vub_&CKG|jz<+lS#9Lv?c7&oA_QPuu5tTv5WkY#3%>ZWV@|5#Bwj zoGQz*G8vXLdJ; zq2N+~lrBLQvsUJ$OAm)~Bp14jwL-3&({dp-mH*F$~$k(>gCU@y5QX z3YE5lD^TaRnK)KRXoyS3Ajo>U9&@xtuG|?B-=_~{a!SId{Tgik>5!rP zb@M@ci#L^=7Dq;?>oT{fxgK=4idF}mL=_YR8n63spdGB>`dGk~&#SYdciNq?kRI9< zp5ayv6PvAw%fto+!z#&{KWtRA1Ml9)ppzBBk{s$taP$20t0AD!ej3^7vlRSRIx1yK z{T6NAKf6jw72^k}Enh{SbJ55j#s6r{E~D_>I6p6%bCNgOa)<#19V)Z07unn$6JR3K z7%=p*Xsq0(8kpDrQ6x-0XX!k={ikV`rZ7zU$m=6jH&n*1z)>l4epiHEFQsBm2yvmn z;NWFkkTc3^_4UTaBbdD&Ek#YtZA8)mA(H z-zEaQ+yD3i^PjkuLwqX{YNA%dj&3br>*B+HRVXGv2dBz|a-F)pQu4F9{yI>r4 z_DGGB1UMf!`>MtXd`?b<;;$=iXkC#t)~}gs(o@dU@DEwY`RoyAK{9?dY3sHZoZT=m zHT~4#o_>GSRbw8}j)2c6AKe)PodUtIbus+#Nok7X?F~G5E^)4 zf6RA82h~YISUxgAfS{McO3%hs@7MzNHePbLWyU>Kq3s zklip#q5d97kLEMPN+uoE5T`Baruq;^VUO}{c1X-a65>C{BQ!yb0Fq0m*TsJ#&UVN_ zBywgry3p#no^=kFj!F^*&=d_F6y>tf<{tP>TlxN|OP#}OX`9Q8`iN*Un%0Ii5%|S^ z844>^!`-+h%dSZOi0gM8Qu}gj7Z%_;WDiVDwwuhliuq#0#HX1 z;M@bwjDJDU<$QI~wQ;Rp=2Eyb0DU5#I=@ct2QzYIkcO?Hk{u(3Y<9;c!WtRPSjF;9 z2uply2t*pYy(0xdxEowmnB~NJ4EFX>1gv8$Oh!awD`N$O>ZhYAQ~Ot&8qb>l9Ij;&d*0Ia){Y7H; zuNTeGo_-*l|DY8JX~%XjAjl9q1Exo8r7t1(hu~(tH?KgYv8wEs(_Oo=T_oEl?{djk zU#S@`RIDLQ_KM8hFT*&l5q^sv)pkw8R%Y$LxNdF#s`!?c_zi_EN-h0U#^_dTo4E22Zmb*RB8i8FT*!>(;C9UTXU{Uux;M-ju{r7_uU{I8Iba;mG@=V`Xe3#w{S>-CQbZ92d3g0@F>YEthP3# zr^E1C<1WcJzPAX?x3c~2Cc515qdUTSeov|Zog&I&x?F@91zrSwB{(>Sn2&^We4IbD zpPr(2eyb$^qoq7+vlBwAt@(*db8G#*zz40U9}iuUIcOF;B~g<1UwyxKpzj`q^zm6C zU*4gUe>@jvM3t2_2| zKzNc&{r>S<<)$NQi2gr z-$lfFFaBo;!c*fDqf4hwd=d&l_hI1ojxd$&P{#Qn2A%uT&DC`SEE9=z0Y!?l?4Ls* zu@2;L@QSKm9X?*PHK%Kt@Hx0D6<+@}Z*+L=aNGDQ#4Ikbno>e0)iZi-3NalH{BT%+ zehZQ|)}TpJo-t(vjc^<(*GwCE!^K^BBY6OrGG$OyDeGo`3=&7Q;jlpPUU6ldLHHpY zX@XnE(`LeXCVn$b0z}YD5w1qwCLE7ZP%&W2Ghty#5>g!e56hZD2SQ-xP=WeFN?Cxq zmilW+i+VCdvBu~*#ZLInLL9d$kR$_2>0$_-l;H{dhQqB&aDdljIY{p`y5x=SK2gbA zfko$d9{bWkkiQQ0$DcdEkif{}^&~uMYqiypW4G&SbM3pe#%ln5aE9E9CFJ6^n zp$SmXkxoW~l^D9nEdD$Nv0dxW;1b0;rRad4EPL8%OGO`dI$}+bs3=+`Ns=G6)VCR2 zr)LLMxDmhsMa+MtBsg1(O@QZix_T$f6m{as$8l|0(=TwqJOObu75N5}SW1~PE^y+XXFfWnB5#LTWqt&xI%>5q z&m0V}5eWmFj{#+);FO_gE+BCdEJu|$FHg$vbVw9uD3G!sfNu(>qmfY10aE?#> zKDwF^S1P@1b79uabH%u+)OO-lxKZ~sd$>b#H+RRckg=LwzRIPE0ygL-pHUF^Cj((X zq;dIux?6xSB4Zx)zC}C}G9Ai0Q*C6QmDG#Yu+uFa<3;FRDD1cQBKKf|wAli!%H8JI zfuxhU%Bx?T&ETKbg!FAg3Dq!GUZ8-<;!9vxogy@Q$;neN$u0o%6_nm|f zN!s>d%Ni4_nYI}+%UZ^HA+M%yvyIdpr10MB_)6{}7S**i#7!m>D=(PCrCPKLa$CCF zxn0QpytJ+dW04%SJD}%ny=WuvMLLHJ5NoGA5)Y*1oZp*ddw~Bl?4r$P zwXrf9qipe2?o-THeThzjM9QqzQ)~GHlqVIxwok5N#BbY0c?*&*eK~%MQB^pGfjdQJ zK6;dj_=Ne}p?o_7i0mI_ws7j)!hHx{k9{M!8@Fk5&;O61F&n(Kx0s3bma>8ZeZSa7 z_t`v5C@=D_%t^1oBQ|b9Q(8CPXZBoHX_DlH%f&^1W2pye>CYqNacIkhoYdTN&any6 zul|?JR#|)UlUHrlMLH7J8Po7epbrln$g~?yIcWOl=lM%-bVV_N0%?WFTN$z|Z^BBf zOu_S0VrBxHi0{OGA+->InAG!F4*s8 z>7-_hZE?~Pm-1+(kqZAgOH~Sc;j+D`L73tok4WfS%dzl6q~mnb_Pgkj|J-Y=S)Pqr zMZcJWKZU39cg=%K1;ZQ7J3DvOr8W@ zzEPfsEtHAl44t{k{1{inHB%;%=Cwi-)6~zm>Mb=(0ZK=hh=bOfMu}87pYti07xl{& zUl77khV%sALf(olhYOQ%ILa2q-%_A4ie+>^Q|J1)ildBIz}1wovhchuPlll3$0j-LCajXQgZv(h7WNwwo_pv~?h!FTukBa+f-{#WjwXCIz5&?2t&9rQ^ zOI&#cJw9ygA*G40AioONTY8Jh%K)6YOh`l*^BMr1CWw{NC^5WPHqDVQg|IQWqP)TtG!&U+hB(sma zj!y0mWL~F*PJHcxT>{6`XTo%orM~qYJW-AL7Eg$S=KD_Y(mst(oRsDYwS*kehx=W)^ z3d)GFKopF9l= z;&J*YPy7qb$pTV)pIBP&h*aQEaMw&^#gEgmmO$Sj)pr+~wq)7={i0Ma-uW01g*;9d zxp^S5j~^v(|Ib`(JvD3%@vW=hDU|2Y;BF#M8quxIO{zH;xZ9WRD(t1arG1<^=AU6t|1%3QjtwyQat#2N9^Ex>*gcVbTByfQEny15jkR5=j*cqVKMnkH_|D!27-VJrMF@WGgxZl%Hy6PSsGBT zU4>{O>9WyhuGAcZcVD_&s&@zM@`o(!wn`;pQ~S{9nwPBxt}b}9?f_RvH4}vv90V?2 z$GBWsHrG5`0PkC70Y{+$PXk8>`ugY<@>z1%)X`|Dd6g2)P`N%Oo!YAMn!4(oPAI5U zt-3AS5jL0rYuy^wmY1U60oUudZuSSjqV$1L->s&Di zs+Vu#w3_ooS&(xike7LJiDtT?duboS6<&U_<+mJ;aeAAI@XSMd9FbL(R_QtZSIbDr zrTT74T55LMm&kCJ?&Lg_>R2q%Jy-R=Mgtu9H%i006DA#AK>@})RHgQ#r}si#ZqP}O zaRULZtK(ocXtHSpxR}BCxG5>&Rf6&!Vypilqk}_t>6r!hG>H50RodKhq%02pcGxChL|Ve8Z;bmwH40C#t*`C~iZH>&szxPK&DqpfLaDWd~L zzCM+h|A4oN-eGGM{LI|*!D6D#DOd`2a*PBtc-hO6f8{PL`8+`p2 z4krn#t?AL<%dGw8Bblu~XTvTG0gX?puV_A&tHf>?$P9<$H@a*Q>rU|Y_+2y=%8-x$ zW^cUA^NJVU?LYoOr`@CyQX0<;gRPDBt8HcFC1!7ComZ#5q`V=dM5O-emqtoa4+aw2 zOleM(U{-FIV&5rY*y;~$9%9&PvlAhF7=z0_6nyEZL(09IHzVm)lFO7uZo^3wIuz(* zbX6HdDOFa`QP7SwA%;SW(D)8o_F_vjx2YrD1qp*geKjSlX|?r}zvXS=T`a?X@PpPm zc#I;TQaKk1i7zQj@}P&nnwFD$D72{bKXT#%3+pp%F+)wRB+st@VYB=G^3t&R#H-B{ zkj4O#p!08SdF#-T;(?cC_Ry~+w`tg#^Fp7~n|@p0I7j6*0BzEJkNbe6V%!a{gcTFV~cMdLWPmIv!TmwF9rU`qA|_?KHgI9_b8Q8*RQ&ncjhEeMz4a9t|ONN;gv%6Pw&m#9?dO z8cEY`#ZsFg&=9-PeExP&1ghBvD%b=;e*TTL>=&<+;Sc$@UDNeG)g zTl$J?nt)}eFHsa8fw*Hr9IiSMukXYldd}BFQFts|3a|%4;_}tA%zH0n>f)_Sf)7(0 z2}v80nB2&lR@f;{NZV;LW0{50N`#XNo{EI~5odhx5^D@w`}ibGR&KIm$|og9(Uj2EZ8BspuFH|QbW-KW z&nKiTo3%Bqv5$mxiTiDSGDtzo$6K~UWF7Amhrbh|8plpz+-LmaMoLr(0T^mo=ae6G z8Mqbaa{+{kV;+yP<;|Fh^{!us@_Bw^0v&7EdI{pv3lbsi290z&sq2J$GZ)F5W{Vvh zw?*d0-iax+M;0p#t|WU`5h*lw7~qO?8*nFIQRY>4tUrH!)Ti&EuQn9?(9qKk42rRR zn0Z*A722CNyOqY*w#a>!`}61$QeD$GkymdAH|z^&{jr42X=$>hsQq~-&`}tjc214~ z5Wr{KcQZ=5HIJVR6rBg>u1H^HuYF!>trxC3doQIP;o@T1PA%JnfAJIti#nUWIiXJf zB(3KiOBB&W;)=lMKSlwmP3Zm2#SO>D|CL?o>Li(5{K286Wx7!()1Sz;tabi6-#5CZ zKWn2{4-Jnx8A>rgSXtF{4T>&{b8?IW8Xru2dYWP46YTIlRlA%>ev%2atG`aBS#4cE z{;j}6ZORswFAjF}D=vg&Cg1R4t`t{dW$5{WuuhlZu(5#HaQBpNC`&#P(?k0WYt;XA zf({Mc-CN&xcs&L%*qV)_u!opOU<2uqV-p{+T!)m#lR(;F54_l72}M4`F2DMn_8M(C zKK{7_Ez6F^F2d@UYjo2t$+I`SddoiiK+UGxmWIjRyzQt|V!BBlk0gj}B<$f;%GpQS zNLC`4W#*{;(~}HcUcvYgWeYxV-a$M5`&h~GB(KdlHVIS4E;-v)rf)Co_D7g7aH88U zle{plpb^m6wr1Gmq1bDKlN>m6;a6!9{BxNRgo6{8D1=SIuX*H9k==BWxB6FYJrEGP z%~ZKyANd~oKcnGix(RMR#3LC^IwC34MeVHAzT-7)CBG_Vmj4UvK;AEJ79^xUWgxt; zd&O-s@!Xr3q0IX|74LPIQQzsP38gKP`Y=_$-0Htf9~Bdyt5!8l@F z$G$uTIEFE~It-FNHd|6na9>_vQGCzS=wfqgG{koE3yJq*rFzRG9JgZTcXjsrC^)dA zNll)0X@EgJV*76Q0u3`p6T1Q*ARj9xInMHr)1t4IB4Oo<(r zwpKXYp5H|%&#mJ+vgQeLpdyrH9Z%M|55DCpm5_1CqT#6-FJTMeo>yc@iqa!viy$&j z98$ zLX!i$52b#|m*W7>0hS@xd-Z$(0cGc*wEMlb;ansgWS>(JoCiiM&h&^Y3r4DzdJ zBdjNCEJESE#UV|P7A8IK3-O7S4&~6>j6xkhpGE$-1U{CjO_XKnc;L3@%aCW|b+ien zo?IJ&!%HTh362{z#|57@#xY;`obF8jsO-7&?N=cfm*wAF@af% zN6C&4JUeyCE)OxBw05Dt6w@w#3`ZN9Ai6pqcmQOI8ctD~Iz`6Pbz#5V5X_T^V<*l6^w@N+mEUb7gtU!pRx8v2Vaz?g|95?wwj4x zRLYV)jy~{xzWWMZ9@Qfh22k!^@f&%~hXS zt2M^u^KrB08hsbNUVXpc-c@y~&Z&Rh(=+nE?o+k*x4->;-~RTW+W%^o6nvGQoTl0l znUuBeEc`rsl z$N>rwA&J4y2Zpo8O7K$ewNyYu`GvVeGrD_Ps|0Yy7OrG>4%FGAm8Pa0`5hP`T&@?G%2`FIxOEabrkE=V5<+ z^G#>Q0%Q=nUB)vF>$3J*yh;zqhhtHRs_(x0$2eyaoq7|+#GEmmo@@g!27s5+CUDfG& z2R#*h>I^l}KMVB#6DF1%&|%c)C)HH{XAOtZ$MFaT{0BipOvlb4laaDG9Hx&^@y~iC z)~xoi?YcD$K7IEQ&8kGR=ClB98&yk)B52@9_xNh`Sqx^2*1$>cUvPm{O&ueqz@pp$ zu{SH|igi%#A4ZMTOIYM(lr$*QP zr1-XYRz=wnUYi|7D~Ti}xDr$kZ;v5qeCWsq!!d-=xJ_f~|IRUlItT^fAOxf+>&Y&# zih}@|RMvS*!_&Ke5HEl%p06f(sw4*#0e-d(>f(;Mp8c9xI}zZp=#CO&!(^3m!)f*xS$ckyiiWOh0+*GO$!jk|q61T!~13DQv>s_{d#JZjclQ=xNGMY=t zV&qB&P{!-K4BMc&vw%^D$)Tb_706+SoP6(4`TLV$>%(K1CW1Jzt*zZ$W9VTyabWFE z=dP9egt550*TXTMa0kMVP&Q_Ri`4o$NJr}^HH6001iB!O`THwQtp7E5?)eScmk_IC z*Td5IA_0;a1+gDEeErq?@ku-2si*S&MQ`y3e#?c5a=m*cgyQ>d*co-u4 zHF*kt%J9MhkzpWK-|_gOx`ZKhU;?AA?0bIy(!G&f_=|yhrtgNWkH0)p`n$8f`n|n6 z41YQF%@)3=a_h_rhMH)TBb<4vcz&9v10@0<{pA$32CqJ!b zqUX)A&HdLafBxUAeH^x~{_-oZwt&@EgMSPviv>w(Agmq?sReAgC@8EM11acS6?k$B z4z;%ioZ3HEk+v2YSWZ%_6$2ARGUHkVi?EbMZJZXM7AB1u{}9u8?>zkHm~m0+-8xiX z=wh_^G6=xCtrTvDkZFvPyZ)+LZ|n*8J?X8!7Tc4Z&dKh~w4sl1(qbH&ZC!^nOXAYtqrk^i z6R`KVMk0+$z+FW=-VhM#87$er@kYEtiuNzxSGX1@SqGq*vv}#Sz zU&eL91!@tOoaaVD(EXX?*sPksAr&b27{-&Z@> z4y>XBdSTN)j12HyUrCcRgS0$Y1g&l+yPmqMSu7gaEzjH{QwAet)W;(@h!Vg{xOE=} zkQd-_bWb4O0=5E2j|4q+B2?pYLv4v^BbHK9z$Xw_;g+ed;@YF@I{(?msVdNI6Ou#d zYGO%c7)B*5WtM=}*7#-B5bjFoecF4R_LR%fFCF;# zK=u4N+eq1>EM#Wz<4m5Ny%2aJikhUaYpxY@z2Gc=T(!-4S)2KU!Bj3CjP?Yeo0AutrA? zJa6f{YyM`u$3*KdM;&?+kN#JDAKL!^QAxVmT470{4oy%3Wk6wj7!Yvwe%H45g zW{jFxAihWnL{=OsGq8q)9BYB%*oQNuVv%wgY8}Okq5KBWlGbSq6YYZ(l?Pu##|PdH zs&zz05<{%;^jy}BaucGU6B7-%X8iDIN6H1|ua9!I6=w-(B^T&}>VLqZJ%R;uO@b1| zBcinFTl4a*J9xEkpoQFt$LcheT9F1(=?O9;)H_Ecrr9}z zEGDyCL*;rf3$&&rVmn+qxpCe`iF&rjh0`I)X&(Y+>sh(bxD62t<8vrG3Z+g^8wnnB z6}uA_jS`ttYEFoSnJ0T7VoD5xO}2i+&>^6r^)O-&Aw9}1zPbznmqQ?#82ok>*w?HD zT^l+G6gqV@l8ymI&Sg7ED$Xh#g9J52BpKM@bqZ)!WX5bL1GDiOYn!sLB5vN>he;1X z({l;F=FBjIUofUUgV)Y=%-qNM6iZhEE1p&gTa0k61m?&#VR{9LLP4@?awxc+AOHvc zPB#q9*_e#RLgI86mtQ?*9O@3T5}Qml!uqhLXe2uXh2~DO98h|SF{vsByykU^&QX^* zI|hq_G%C8-W6Cpd&swr>gfX**GNSlylg10^L5vUZN`y?H(Gm%AJRWAkS} zIps5p>BFE(_yM$8%sv2TfJ(p>g48#9XLub*neiYJD>rz(OU*Lj8yE%zrjE-_!pa2i zgpZ38HYL=O1!?X28tfX6vBKW1{ymcS`58eYxgbb+cjhZgC3=ji8{TqnfT%5C=FDJ9 z_C`j%bKjj&-_{SUuEjI_w%MpBQxc6Gf(OIaz+9}3s1sUYlW;9x7Q*epRV+y2e+4C} z$Cw*eO(EC-MLQ8<;IJK-41* zyO<9(_pz1_K$+RSeiZ()@;Ph`zWkF5p&hn%_5@kltjbyaO*Lzjn-U5s*Z;)Q-+4b; zh{xdEhl?!0VTeyj*XT)2O0o9YV~9;jgr0g5Qq;l-uatTukwVfYh(!4UB6;xIsK-Nf z36H%cFlT>bL&U?2l+XW%=p9`4EF{Tj(v2jt`=pT%Fr*&(KYq@qXY~5lapLp(yR_;* zzN%O#Fl;rO-(4_&`7j1Lc-L0xFJblf3m?Y223&3Z2Vh51uR&$?Sg9B3LYWHo0}Z{2 zd5&I%W#wB`Xz-v4F&=kY;oxyfjSi6#Put)cw6 zTE+qL(*fSK?V6v%V6w9xK~!#IHNX>M6wD~929so_qhMCWcdp5~(;Xbq&ks_vecEM^ z9rE*C=^Kt6umm)Nd#(%@jXtK2ki&M^N=9w+%E}6K3$ZtYv`?lXPDVwaH~B<|wuK4- zQz%mgG_r{5gRvG!3)un0Ff@e^)2AsytGkM@aD7bmR_xe}&E9UpwlzzHSJ)9Hy$#sd ze8Chun3U<+D6-^XdruNOa*wpc*Klhm)L<5A@?!N~Zr`+xVGnoy)R>+T)FA_XxDpo{ zjq&rnm?#PIlUK~>A=q|iBoX>4A68SUGoK>L?^0pya%y6?tyz&3O9d>VglN<*d#Ae+ zE=LFmnSs9I9Fr0F7?Ztd@Y8vIhCzuCJnrBDCIH4ztS3OgYexE##20|y@&%#lAg0+pI;rW-cAi5kjffgFshr{xkBcWDTi}3xDKutjk z0_H&j39y*#3m$Z@-q^bog|^GTA*g8pxJ;N_*BL!v;t6#dYAzrGLVEl zUdJ7I7rF{Zl9={JTM5O-p<0rUPKbidix?^|Ok*>g>j-Cr+?`m)#$vCm;sbUe12n3t zPkk03%HU2lTyD@ql{Fm&J&34;9_A(yXh=X_n~Vw=*Pfj~H1sf_$g_pm79?a_3B#Jv z7%9Zm@+|y_l(7_Dci(a|D>x5=FwgYZq{6;Rh$C>;!Q(6Y-@E zq$H#e8z33aI3=wX+k&KCD~3X|5mPqDs-&<9sSG`30bN=v57xQ~A`LTnf?aW+V{f6(Rgi18|cgfz0?hXdPi zHO}h-gaJ!dEZUAJMtFPPBrXGOh_x3wI>M{Ad{b5MIC`;wt#+ASR8;4buhi1GtQuDy zNsPfI9Y<)mE!vtJZ6E@CaLt4m61WVoIm1p61zSABY5+wCgn{yeBb<5UpIB=5=ra&3 zfftE=reYW@?x6sh;w%&5D#~N8tp#)vLOx)`bqqA4q0>elRNe;UcxI_}!JzT*OT-qE zpK>T80j?f82u@6Cr-rOHz)}1NOi-6b5LY^3!{CkRBe8*eFA@eR<}hk3Y709r;?1WC zq7I{g_=qtfqakIO=?P#pL)iD7cFAB5x{rV!@hEVPpS9-TL152G!(bU*e2bE!^OvZHvlh|$(o1#hw2Rx zOA-EGL|~{3^<>=={5*|I1IXHcx!BF&_P&Iz3LoT=hv4vnCx7z(J$`@#UORXF`M${i zm-o5tghs*O))*+@_8GIp2*9jU>?50dHHRThU}8BJGwSbFqA}Zl^e-;5<3@6L_M7La zndy%irN8cl_x;oNg%Z9)^CS?rFr21Mu_4hElj=ctBe2LBQZWge$V-5{^s?x{1`tNZ zaGnWKDNjQWNvp-SAR&`RC}>7;DQG$h3Rw)XH4ty09zgS>(Rc7H^B!jkf`z}D(qBC4 zd%@R!#h4!x3~vA7;-SupfG1|-kuP{2W@4W?_OJq?8IGOtK{MuK?D?9lAiD318MvdFUOfcU&52WkhT$HH_HS?p)jm`3Y%!qB0RUE)Z?fK zz4<-<<(Ky{5kqu=bj0Wdk_OEQ?7*)nhi}&BTO3FGR*x!PR_=0*= zeSJ?5uKKG=eS6kYjb8Ev3!%>kksc))#du%EDcs$cb(DO|^l;cBvy7`Wkvejp>fpRC zB_GG@0b9WLFV*1duUU%SyU3C(C_HkE_dfIx?JTBIzBld6u^lzGgQmIKp9p&-yHkzlmCV6zos2{?bs-rM9u8yd^3tnj;lQ+73| zs~Iq9Fd!0&nj8A}1`?p&tZ}R!JUJ~x=>X*@h?x+H+B`}Oa?0;;%PPb!Vav%TxCwqA zLA~`gs%#$t{-%sDVp8|eNk zH7>sd$}ME%)(@dQ1e}0uyc2gouEX1=k9s&8?;tSmRBN8*!*{n~BjAZ&n&+V)Gaq0n z^LlXawwxCH(o&r#HP&OVu!7Afv8d)tB;&!jV%E%^z)sTNW@i{tNU!cNUzX6|jp&Pa?AlM2KI)-fc4SSYaIj2*9C>>0_lj+Ey-~5m0?6^W|%KR4@lZQ3P*S` zb`kiQaFoI}U6&d4EgM$?y^5N;Y>i`E1iP32sUyGSVMXl3 z19-df@XO4CyjFWb_xeo~!5Q=Za1~u|nB}D#PkPrP#vw19IIENFYb`Qi) zihc0FefDS!qk2lt$Ns~U2bO{itVuK9NjQ3rQ-OH}JB`+tJtl!M(MM8BCtAd48U^#D zs2{fMsg~L;`fY3vajOu<;uo)?NNbF909qTg6;={L{2n@PkI$%1KhaMibT>g`UBdCZ zg0htaR&;{fO-Z}K%TwC2Y0lPF7*QPcaXclFp4mkY#`AD~8O(}A;+18Wty4wKoCMS> z5)t~mTu)C6t7wo#kaLBQ`n~uPB_oM@aanclz)N##3`CgNkmGF4P?vihctGUP0b7(jgmP?ysTwos4C?B`ITl*xH(oHpMLQUh4^G+*3Rhv|XQ=a^o=IE*a; z#*fxVj0Nj+F;kSgPqmq2BI#79aos>c@TP^b1hj<;G*~Le+X={Mqz$8q zG(79%5xP9BWY!gDdQTHbg&f#p0C*Oi>o3q>Ko1BCt_9ss{LB1w#VhSYcH&#>n|}A) zP(6L#3MTm{B@BC+#S75~Ck(R(t@QEBq!?c1)90vJ_4;+=Z9z*pxMb;$V56a&=jlE^ z_kg{@unJ~$M@nZ4_8((Yl+u5ImQ;Lgno5`7Sc!FV9dR69SEkzT`Sy@-)SK; zl;YzTJqknNuJNk}>_(H>iD-s(r1NPn=&bGyG~JJR{`&bZQ~KvIw$b07-B?}Sz}{Vi z82qdd`$#3mW{4Gwb@%nfR|YXjY60TpZ(kPq>)!$Q6K5MW`%0_q<{!q&9_8}o>z1G5 zYOA-3kI^5BSB=@i2_$?qm+Ep(D<&(oOT@pGtOCEq>s|tS&pFWG( zx4^Kqt5TkZ;Yr$xVz)y=CbeJ?S}<<@F{2qZuaHF$Sp|aL(6cX$sVQhKoxc|>mfXNc zsKJ>k>gFvx&-OyV?MIfBda0n)&s=)&uu(x_Tj6V5`9;# z9q-xI)&+`QeiT#xzxg|AdGoP(o5$+$yWOx=t@1=4ur_2rVr(L7VF963c%D?W(}5d> z&d)xMV=-pXRL#Y}UJmvaJ(4y<;t{c$*u2$8Nk~x((2NV$N|P$(MRyq(U4|XP8TD<4 zS@8ZJ^(AzStX4Qv<$yg?MTZ@Oa8G^11q{VbygEhW`HYuQQ(x`iRQP97vb8vQ|nf zm^J}Uv`5$xBatwGKVAVwan)fLL4z0PBwzpxw>$|s|H<`*lLT{;@#~`NxX80!SaAP}$3v0` zE-cju$S?;Ro`LDo{hb|r)6;|=KG_%}^@0KWVoEr4F9?BWL!tCH0rNgYJ_Q?;iB#>M zN0bu&WyecJ{m!wfVVy}kHQJs#mSt?{-N`*TtlETg1uCiq#MO57JbOz;7eC;bKjHhn?17X&(8i8uamWBB`4QOL>(5}=nn}xP($=X5 zghs4eY`fCy{A<;f_yKgc{b(%Sr_6xx^tg1Pa%3_M%A0c9(Ar2lI~&sGNp!aCn~6*S z2A#uiz$o@6rNQC&*Kn5a&-^4#rG&5N?ZdSE5xxUE5EA&zyF5ny-SY;cQ5*&{i;xDu zN*p>?XWg@=^k?=xqyEhqR&4Zl;1KWe!be_jFrGa_1*;c(?vT=Bc-CUrdJFSa$Q&x$ zIJOK?k5-T>Y?sP98+OR1J~%n2uJ|j}`@v{TO?){FW-L$kn+%yICantC2sy?=g#U3VxJB;>IX#-tuCU;%xF#9B;3=z4pP+&Qo0TZvmetr z0RM4Trv|WMF>Jlk{A%E-pOGWsr_V@J)elafkwHVe=^fOH+g{WbSw35_Ntp3k0ydi4 zv}NR*){D;gq^CRY3dHYW#n<=tX9TCq0nOS&*X^pSiJ)y*nB+_x8fCkJESJG7W(C`yR(GwV)N}o!RQ}vjB$Q+%Z`MR2l>tuyVMC8FFm_j=Y8tQ(J{l ztwe}GGw)pi#Wj9tFG9O%iy{2&2AC!$?j`#ssx-Xy6DNsau<~UyFT!;?6m~o>v`jWf zhvD!dK2nQHB zujc0sCKhOFDHo|>gLwvF2o=pM*HdogTj;I z-2Lz#JgC21#FhFqu=4gjK@g9rSWUU}5DJucV_CtRfj)hFL|oxqX#NOofkWyUPEm?4 zzw2_e!y4XD+W3BjisL;>6HyEM*T$s(M%xfamwH|>zxunj?j3r}*N;3DJj9bEMUw^f zWH~qZQHWBP?$wG1i<<5w7V|*#WsGj0yhu%I2G;>THz`}!;q{1XFatoI;0~T0DlYJk zu2Gp|sE!nykhq5};$l_p6ByB7qL>hi(J=Ei3~1uXVec8J4E)xtlO6{!y+| z+;I@wx)wn?Gv@kPqhixYh8%`9)0)_ngzj3PW(DKA)EFB{^O~|+g4?)IqALK;nV33J ztl3hN+^$x%%LaT{&`{J`N*#HphtE)gHdu$ny2KxHo z?id~hp)&PU2<0>j)`$$xS@rtAKSIACS9v}4A*>`#ZNV@dZMq{zvW`UB6@=BSEvLp@ z1tXR$ZA%J_jN|d)wV9>Er_lP$Fx!*PFB(DZnc%$ff+OQKckuev)u=F|MBA_E>%S9iJG)a;y< z@;7!=i(xAWM314@P{VN7f?C*YAS*&It{!@9HVyV`;*J?VtY5@<<%Mw;vT|$Rf~#l4 zHqFA}AEi<7!e!3_V{To%5*b|%CrHqn@MpfV9}Hd>Df#NMT0m^p z@_Gmb;p3R9`?s2eCaE`Bg>(9BUD~R`o)}ve|3}ED0feRJpHQ4O&sH;X;k+exqLP?5 zVdBZ4oay*0k*u-gb^JuP2Hv&7S-PsI1R|@#kSPGF$?O#T8ri8yPgeUluosl3|A%|S zgR?JZo3Up$1z?_pq`n44E`#9*hhCFqgP?2AcK z3t%QPp`L!vH;kGEI!TQ$H?!w2PWhW($;}M>TMTb?#_RQvR}Uh!a||kl!|rcCvj%U=TY+b`He=v zJJ$h*t$v4;@Aye9+qfvg8;zykrhNJAyppGhSw{a+Uq5a#lNw?(vGmwTbfi`{^o)=Y zSqmEo9pU9l`Ea}^$h`>`LD0XCR3i8iWPr4|Xde_Jm4E!or)l022)e6=8R#uOgt-`{ zt&#eCR<{rw8kxEY-LdO2W7!6D4beYi{ohS_)(|nsXe97*OVm{uzKuZ4q4b9qjh&G3 zuzPMwT_2bcvV{@MNh73`LsIOLu*^~yloAgiQ)*$>CfyF4MQN$xJ$z2!bT@QVWz3 zwZ(BPR|~$KFQ_H4YU8cNdZHv0Z&l!(DeJ-cjWqEhFR&0dj(cV~p{u;1g$_hTXxF^7 z(R9n>l`$9~Y;u@)D|XV+(rULc*t+X4#YK89unD-u^^q7FX>h6WJn z<2MR6TSw8=7=EImCHTqSPLmHS$~+M>uQP z9bZ^f7cuWb^%?Xok*K@Pl_k>B>_yO9_eA)%HCpS}coep&f>+l*vQlmm>I*Qc`ey1c z+@;`a@|+km5BP8DyFyq?j0}~3R=t_6l5%GbKY&L90yu0{eJpaU!8XxOS6lOXgd2HZ zsk=m>jLy(S0#+HpPZ?h70L7O3JJfADQa|;kGu7tHU&+WgH>VE{(^D!xY_&DQAT+RA zAl3dg|4uE&kGu>8``T3O4b2CHqlRngPl#GS7%B~4+9ITiBg2yh7(z0!#k6H4-#p(_ zN4|*h2uSO}fY+U{%3*AyL=x~}I|o+CZA!(@z|Uc;x&>vF&DHV)sNA>@Oqz*pp;X`e*Yef0dT6$ok~B`nN#Xj4+bVN zwxIS7jEx8H9u)*v1Xz*00aK@Vwz0YzGlq$$CYcD2gC(_$)mGizR4bB1upH=4lV$_* zVheffI%(fV_!V~=OUk&lFg+LEb@%}+IeBMBn&JS$3x)LF=Bxf^?*hiIwqnJCGa^CW zq%AcoDFzf$2UI|lAQhK*i7Em+ehpy2WG)z&D7bGG-QVeWjE8O*^OH*(!RnkEKTaX# zuiC6sU7 z8+dD}!UM`FxeDF?4>84CmLJ|hx@Qo)bC=n9)Uue8wlZab1Du94icnv5A1w}(yW|3c zWp}T*Y8C*7F-kUQ#EPCXH$y5xI3-N=-k{=EM-P{AO62%%h?&&AGD3%A-n;SmCW?Tq zgf+wqHcSFjO|xquvTK|YMw)HVJUpH-a}IERMX3k8p0RxB z>1+XBD35_+54If`Pp4)ak}Y81E2M1*-;VE&sTx|2A--iJ{UQldXTsw+*_-+2Ex1rj z#LO=xR=T7Zq3HAB9mk$fjcG^La|1tcuHwR74W5d_ZbGLmS&K-+?AV9{c%vpwV9D)6 zW?e=(&@qC2^!U$yP!E)R;OGCDZd3C(Sr!wqNO}J~ydC zInUP^wsy+nsS|cTF%o{{Y~{;OvseK1`wfl~X#~MWWDxzS(yHGkM`{>$_85kG>oqik zARikGHZDPD?YffMjbZCJhpj<;#Moi0ir+AZx?`8@I3^<+UT7m04#BS#NFT?SJ)$G^ z#VvdQXZ43+XdK3R0y%|Fevl4ZM?3lIn|qbHrsqw@tM@LcXU=giKFDL|wyCQytN;FB zZ}56Q>_coCB~u&)&FWzTSY8Z%#k6H4AAE_g4w+!kXCrA999YED{IRjXe+GM$(@Mm4 z!V38W#U>zqZ_iz5_{?1RF%g@j%GFjSo9E&CCBG8Q^gq3*)K6orh>ESu&KWzJgu4#3`3n@9 zvkrOOsn2~ThScDotpjGV2j&T}shO}DI59uS?DC;42A%7C_1r&ts!!S`nC~go|Ktrg zIP)x%v@c2+$qIQJ0pvMEACI96L1?)fRf zc>*Bpdi%&$-Xd!J1RTe&oY%OO!7by{-Q$0O5HohG>ij21;%nT=p#cK*MFSFFXO6bNeWJ|hGwoTWBcEN zuO@B+PP|PWObhZ9o)PFJ7)lK>J#2KZlh_GGV4r7NSwjL1$%ect)Mro|z#JdnZPaaO z)d95LP*AJ=mBn?y2P73u#DM11yO2Z5Pz*J0rO)Fa)c6U0c2YvwXBK@1NDn{o3gFem zdH6wyXSf5|R*!K6FM!HWBuxvk8qRu{2X}tqK-g_LfT%j5Cl@L%^iLS$0z7y|(gu>y zYG%L)^$#o?!0Jd|T$ZyQiUVj~7=Xgz*cgG2q20bX3_Y1<0X!N%7YTPmPbCQhYQd1g zDuBBoX)a0uzh7$xHvm>GreY`$K0-y4*;&KU0;ii0paef_KTSs}#FARfICNM*0OusE zI)-$$a0rA3YYQkt!UWJv0K*IY&VIPIv7hi^j9ApH7G#`B#CBpZW{EHf^{^0M&4}{) z40WU$|Q@d9u=EKN)1v&QmYjMsTuDDUPSB= z5_|42_D-W2*l$J)sfN5}jk5ek3aj}#il$rD66{OU z)0)w|m{$g9I?8}m+*Ybwi&REef^*d*X`3|aEvktwp=*-@D2S0Z_cXTyO?9#RDqzO3 z)ffUz|Imqt7DxQ|0t|&(6X7n-*hJL;ryj6Qj5e%KDw;bn_9|Jpva9n!h8}AR-{*&R zIv_)F2xwc?qu9pHlh^>JDU08pJfLFy!83>G!{r3UCe_F=IG46uQDW7vs(g%hd1MlztN&@3e(MJ=S78B(RGtco#IKH)mt zjN~ka9Gzk&Y3f!AdflS2>(pOL3j>a)~3_UYslLXKxF{6ZUN$HECx%U zQzc#OET+JZRCVn1oZ*{am8s9-G&-b_qJki)V8fwFnH)yv{i0AH|B9Fp4{cUB2b&S^ z9dw4P)8$#3B!WMcuRxXpDn0#hx z75?ym7cD-U(#2tQ^-gJckA72pk;_mtIP!(1dD#I-yf;KEoYSk8$)QV>>f>Zg^$u)L z^M_*TNvLrn;tOAMLBAsCuk2K>S}nE}NF`Zs4P!wsu?~8w!Kghr?j-s$GxtKYPxk#J zZOqG;|IO_er~{i=ViUxUnYzo!Iu)X3UWZ3%e19O`Ys2VFvq)+3k%@iL%@ExPJ%7I+ zMuMZppT5{mDzM%dMq_Mx1V2TwnvPVgp(jrwUwRw5OJBI3l+B>=MM-(XwOXzGyl8_x zT#S+lc|V>*56%O#JPWZbESKYeqP$7BquGFOvtDJXOkxS|sft`j%MO)F2+D4ucf;h8 z`uwkWp84-D6Z6bVg;aNZc3jDIXeEzfQqTZVu%s0d@r0J>8?pHdIo?c>t?xFmwlE|mqIJv?LQ{e(M+F*5 zva-?0jzCNdCYIP-;316PE+|Jv7f}pp{-+z$8!)(?Kdty{J5B)@iiU#^50|mxe$)1T=y~ z3#8%UpH^ODgcg}EjZ88EM`|*E&S4YVXEJ7hWxD#g&{OAx#8D_kwzKdPY6iQZzl03x z?p4w1FN9B%*%$ZB`dwQ5FO*5e4VxBKPQ{?%R|-qx;u~q zuAM`C8RCBaFviBp$xbrp(N zTF8(DdbO!IMoo0^`NQWY)#hz`Jag8yjH`M_&(giKCyc_d)d(@D&yHOxME!4D*kKT( z6a32`#ya}bhGKQkzd(_q5yG!^a2Dye(30cdS{Mp*Xkis+2|_@LSOa8_Y0F67j-qk& zThOBo^#1$|4|~(lA^3!%!WOc$oe3@+wub9}AWCz!8N=4V9>7Y%g4irlY7kRR!jJ|C zH3=XS|7eqJ^TZhh(CtWq+#?l zNo({_NbihuHdN;Yd;;!HyxaQbOA+Za=cxIymvTc%BLwQVQ8U8ccwjE_4cdM}NzziW z!tf+*hH2w$ceF_CWjETxV+|Y4M(7+5Rv&oF^?0^?9LY?`Ga+~3{D!yw2Cyt7n^+Hy zNs)*hGI|!Nk;J%#BGT1BR!lQ-6~v|jUqE;9aXvrlV)U*42#YZ=4%ptPaO5mb>0CbE zb8TxD#bhqLc?dTus_I@J-g4ws`k=W@OStA2CW>?9MdyL%V7$l}x7)E8W_`9{rtPXh z+o14XYj9el1^fw0&zo89er<$!LH(bY?m9dnTsFt^h1+O$1DIjE2)Z>tyC{dH7jbPH z&E&1(>wo@jP{&lM6a{@uHqu0W*fhExky!17jaM7MAu&BZz8lmuDKDKmPUapnGCTUB z=_&J|{#qf`-8?!%!M&9l>tQxufk_A~*3k+ID=4S7f*aTh@3<5efeP4>wW5x)MmD zY*sY!Mm7Tc2mv$J4&w<$cGH?p?XMO2l-J9!SvJ~X zD<11vNUh_hStRau5dWUd-#o3s04tnLhOJ9#3dK2mF|M}ebuM?asagAg+PwX{ULal& z2G2d^1;~}&U!Nh1FPTu2w|~VCgmGDLU=jCD8q0ZzSKZ{YUtu@tm4RCM1{*KH)UC^< zwSZw6Q0y}oVCQBWDtYLV@N^ zYyj}+>2Xi~Wy+|Pzg5P7zK35TM3i@t2{~+?UjGA#g&nTEW%{(Nwn8%vMT)5;0wBC3 zDmn@xYGFz}psqjPsOj%S=C3?#&wb;oRR=L%eQ2LL=QU$~aQT0p4-8o(#vTZn118%1 zXU|`|^4z|9{!c>h3-SAv`fq0#P1;&Or_94UlzwQ&t!>FYuee<%He^9o%yGf5Kr+bV zb1%c^nq`vtUJrc)cm5A_3b#-X=U?kPO9Gh*iN>{h>u(5N6azLIN14ODLTnbPnS>Oz z(1(!GRMtjm2*gwLA=Qtr!yA8|3B%so$i8d74g7~E@!jF`a_!y-t4MxnQB9#*&D#0H zcdG8pw_nm+S-~grzdfcNi@IhlWy);b5%36krJHlrGB;PuV$1n`i10%t!Xoq&4zuk= zn0Z=eOGr);%4{e3x`h&6oi+biRUwCd;~UVYhbx(U|sxBf)2E0k?J*WOEaEYQMH7bgS9JiPTz(!zqv{=+trQ?$B&Rn`&t-rXn$ofJ{7Y zYnurJWTgQ=K3lL36QWV-@C`BtZ0z#j2b#lH76ii##|Cg@>0+Lw+KrSL#8N&s30tbh z(%{HSI4+goDp5KL0-1*uN1qi74h2OpY>mNTMV%#;j9$b6#x_NsVa>P$zt7-j@S_C} z;-^_}RTa#!{Z(Vigg)Px1GxcWe7=f4!yC)l#umH3JPWCFWZ9ZI>ZnV?sI3Y&(-7-T zJ-NGfPY4Xf3EEyy@ufG>&)8m5jE$;Ez>&mh!vfMsl<)9@_#t zY;6-musae9ZAzUuu!z#f`NLtj8Kv|_B0q|j2~S$Cwhq&5-*37NaYRWeg;S7MTFj89 zwRsMn=c`A*>CekK)9S3?^_JDj)+K7??1^ap=<|J9B|D~WmX1_NINeu|YZ+JlS)4a< z(Jy+lMlR9Oo4;R3)dZ3H8tPoMr2?6}&2neuFsvL5>i8bA-%xM&_hYqH_tl$R9nH}vP97|ZjKF6TkK&sw$6tY0q}~d|kvFOd zosd-C_NbfG7t!^TuY$z?K4DI_2U<1<2hdomf28dj;4s5CJl{WtVO#%@B;-1|V~v%H z9G);CHwl}kv4CMe37LkUy1Uh^HGADeDGGf;01cz@lLP7ihn~Xvf-Y{jqIy}G27VM` zmIB&YN8&=KoLq>hri%al5bG?Jq+sW-dp%!TZvJ|;!z)B$B(aTp*@s-aBS*m9Oy$EmD432^ZI7L$^uH_0%b|$cfe)5r25#R_3-y&rR9Zu+D;(clDsR~YnEuXq8Ygk1c9Tu0%R_2#=YCh zXVIp=qGH?!xsz!|Y%Wq03CU|gz@b#L(paVxn@5f-(nyBtbd?YOKF;I}F>Ljj5Ejc_ zhHc)F^+k%6kEV;1 zRxK((CM0V~!lu!(*ge8pAET_~3F(~4^*HWkB6fXVZ&-;9@Lws=xAUO?&1Ys4AVJBn zbv~SyChA=Y-%h5s5x8DSG|Q0r%HS-(WUg&F9%5d()bx}dj+zt|S1;W)M>3MnE-cQG zR5;t2j{-G*_R~7Hji_LE+R?^J95KkS9z9R77e9c-EAgRPJW%jKh8e839vXze#hlm` z=yNoZY-C$FKF&wj5S%cWa^GW|$Stg-B;iThco7b=elBR2NdwL$Z?g^p;j;X^{IFH& zn?`jjQMbTm#G^+r!wBE*0UO39ex@C&p(lbYV3sy!>$0(r(zM$z`rYqAG^Ms~f8W}^ zxk-DHa&L_oq)ux(5+N3&3K)Zi(~2coEd){EJ@5mZOje%UF>z~ZD8pD(I(orV8(+VH zJu1jOF{v}Lh6o?KBzcOIz+>ZTI-0`=pan5f_m23 zaVQ!BTt(sC@IvM22r-pW0=fiOK;exWyWUnnmU_}*D+0w<)>5kW$fA))<87!?6O0mC z%t8e|hc-DkoU|NaLOh#2+mYsC^2+w476Lz-0D<7QLQ7Xhu=@CJVr>P47CL@ugvB(x z>TYb3T3FD4LsL#v2`R%@CT~F8DfV0k0XuV<%vd%)j?lF^i6av#+MH8b|mmlOkyXn@Ll(wC~5&N--8~p4P_y%&dkXAvN*e5k)0kqOCs%0)eU~i&n|S-00|LW)=2XU6SlEieiSFE9Yl*twyO+aanf|8LPjx$ z!Q|Gen|k}jo{m25sb{@C*}kyFlmo>IJvAa~&KjG~*rfp?wkGjJSTj3G>GCdX; zsUBQ^f#0x9?WCEULfY(VYk>Xmn)UR(p-xGM#zBQh1*>Purz9<&n~7$raZQ>IFc!K~ zR@VGYQ?ra0&9Fcfhc9#>ORmsu|slFk*7XNI3{SZ~G1CZ%;`cpYql= z-cw9l+dS@)Rmzv{Mu29akSa5!vvp&{Qi6!G2XNfmBwKaM;xYfj_-@J|q|(KlFMuxv zZ-qzpLo`-Q$NzcRQVg^4vDz9b8HYMo4YP>H#GYze#9PISs2%wDZmSYZLd1-W(+^G_ z%!N9@}TpclXA-pDrMiXSZ?=7sg-wGZ72ho#@5hjrX1pTHwr2u+2RFd=-xgI*Sk^< zHcKE~&|9~9+Puw^vK!ySuRCT8ZbQH<&$87@)I$^_UXABuBXA-DpzRftVD09(9d*@J zsseU|4`swu&3qnIG?+5uG@5mm$LW=$SxlrRS{92rVapU61P%8lex}gZVoSjc7fS|5 z3U)O&PJPK;0_@1&6~Oye9mOMr1tVPiUTmP771GwE13I3KXUnVo#O*d4hXI>rmq7>N zup{fO>x3Q!iEBCo=;C~m(XyFl-$;HBB9^*LDRu;zG&a~4>%$a@Z-i<)h@=ImjEZbYlWO~M?uM(W>=CT|yHY>Nd;F$RJ26p6u3)%2v&<_uW#kz4WY3soMfO|H| z=KWb?Z#R(Aj#eKoiOnBG*XTL)MvFqY+KL5Pp#W&6G#za~lc>N8{)__yZf0{ae-Jz& zRj;0@3nq1%fua~GZ}m|Uo*mN&niRvD5huJ9R3xGSHp$9M)iSSpUL!&@|8{&&w^1O-(G1nty$tGc@*FlUfKyc&tuR&UriQCwVy(y) z$%26eX}Jjr!?^@kxS4{l!h;>#P0)kNIw6|v3{$82=pI76F+B*kDeWjTz+|o}BV+{I zchG)%`_YDDoRnt9X+Tw&GiI21nSawy2yu69r+sEY4@4J@M<#kKHb5Ai&57o-h!fL7 zIR#82cAd;>VH2T->{79kkY|dItyq01$t6eQF0-Cd)1v$y7wg8f$!jK-G~rWzW6vdk zV9i^~7&yVt1{mJ(;Q;r5XW9(h87bXGm6PO;kQ6j4j@ig#1e|7ynhkg*RHHJedMy}y zAq516L_U69IsoGupUIG101F)aOm-rC6q7R)DQlpYi1h-zMGiXhBBUG)EkS*TgrJ-5 zX@2Nx6)H~;l?rNB5BN|73DCrfrXw-WkPrlPG0GEC%!u+d?m5liDbcK!gbeB-(JV7= zqM40!A+B3=VPK%Hp`#IS94QH7vVj|B+_Z5#y!gcY&H@g@BkUh$LPjy|#lGE%HQ;A$ zL|z0mzLC=h$DFxhCQ7j7B@wG9khTaa3pER@%9$RHMa^{!YmQ6;jkiTQDh$XtT&T2) zfe25aSXt6*f!PAak`^qLi zY>*V@E7je$6aoSXjKK|#0`uWk#**yD1#9~;_&})u)+pC)H{>+)(B&kZf*s0fidZJX z+AE$sS`2CFYb&u2em0u~w@xi{R*%yT;bzx05&E=?t`o?1L(6t*t06nzzS@e@?XJMu z$<4%^Dlk2_B?2#nc)cI7D0;`Atit<{9^9+V!=B%cBZ=2twP4fZ?4P#ONqgNYShpzk~9Uj4~a27E7L>a}<9&N?iwbm{osQ10p zKEPM#PB|DWl! zS19uSeWGiDASxU`Pao?fR|2%_mNhHnAyrl!sE;J&hduF}Pq-4Me7yp(mI8 zp?pbgMyf_Iq$u#JiqfqL$l)n^0J{5IHp|rKCvhz05oh;7FkDFU0QA7QO_#wbR!oCh zInbXQiF>0x<}^cpCKY-WOuR+UUQA8BH4Ar+&XB*>TUPqS#)!|S1-Kt_Y`%=DmhGty zw3#E@k)lB?yZ}&6r%`J({#;=tatKZ?$pXI2AHvOLE%)kz3$#~Gm}X#0L+0CU2dgNC ztru8w zE;%%TCINW(=x-K4!&k5*rUNX*ybkn*r}zM2a09pqoq8&?BC%twaaOBaL8^~Y43(m{ zoo^^9S;l3K92;FQPc9&HPG>Hc5S+9{Tcaj;^QgU#mvJ3L8MvzRctA7k(iU+AzWh+g zD`4%*Bg5n+{QHP9|;Y%EIUDfj-KzDbNwgN*b_{u${ngLlRybZr0DbKH=d@8+l z7UIf7(ymP%;?c(IsV-N_&zM!_Eenv9gJoqhpTs!9Oh#5S?opZ~Wogc$Ryw3MrW>|C zwjSDHtM}1u7oAGEwEv5Dll;0SsV; z68voYxIjmW>5qzKa03M4-4H7nKlneMHLZ41EWA@q7{<0@x3rRYuX~?P6HE2NcZJ%} zUC<1OCKwY?$ONt$4$BL-G$o2Dal_WX#Y=)nrC$G-3`h%>Dn!zVXt|MOiJt9h77Ak( zOi2VGVHF8Iq_~uhCdjigEAQl+%ChWLf+0ncgG%Tb0zL2}+1mlLX51#E z@tMia8J!E64kwFu%?p8MsDRc2qYacF(*@J7V6vzRBJZWuM;kx8JGg3>Xz zieV4i5cG!pyXi$DIc&Ay=8elNyPFbgd6ijN*Tz7gDAh}8v~z@fkaZY>lDh72jaNyN z-ftu&fefe&eDm-wc$nPEs(LUWP@H2zF-ssLNfGv*r!NE)JcVLe2ywlk1m$*Iz@9x# zzICyNnC#eFA)`>jx5u1)P{SxTXC|IsGe}F+ziyuzGtoQ)P6_y?7u@RW{e55kC?>W( zhbmG6qP~g)7v;Qs(4e=J$L@k#Y~gUj)}#2&I%dDL`qN!te3C4vmL?CHhy}pvNkkPG z(1S2E%v$Da^p|$jC6^pj2Nq&)3jtZLTMIpz5Ei8(24d~0mn5qNgH5S;v(=al$b91m zO%#2_shTPd0xLG!Jc3+}lVe~sv8%rheZL)up~tF>yU^1JkRc?ZEKLW+0hp3U>0p2x zwtfbrXc&Uv^nOy)z;p;QvHojoHds=bi!DfK0JZ+^216!gVk2MhDYJ8l;+pde=gwX63P{ z|8~F#mKl49fdps|Yns~zMz+Roh-oxdTPJCrsDIJm$(f5KevI!ovn33&)z&09f@U=F zDFF;y0a$JI#+HC40m^EV~m5pF77LM%^ATavKmFhbQa@8I~bi{BRl4WuD<$ z<9b@a>TW1X^FqL;Q<~vL1KoC-4B3&*wtqPaD$YVOYur$}T|j$d{68ViCIs|CCbSl2AB(zpSXNmc^jOTm`H)YI#CQ+GwZqq!PR8%D~3BC0I!g)gy^4|ZHjTevaom3YZjRlq@fF)h%$%GKo z$4>*ZU&FDGibWZ3gb=H(=EnY-QlI?E$bNW$QBn?uo@{LK&OOG@#9ESf;bUoAmpGL( z%^0TvesGlp1zzx{958MQ?Xa~I{Vh_<`K;j88$FHtkY+%nk&4`CJ%gV$W=f)oXaXU@ zkY+Boc}-ba3Q{#ffz`(Cvj%5Z${(NWsk2}=&FI#WxEp#>A-3EUFoMu9xSAR?!&q=l z!hQDF?IvMC9R6-A=u>JYw@DbZwr`e^0MP`t{ObR9jtuOCC>aN)?a`ZYwO#ZJk?yQ$ zk#if(K&DAsuo)3eU`UV}SLmsNE_v6&g~d?u&6_x%pjCHPccVjV#o%V2@xp`6RQCPj z(6y|`{65oC0XmPD)Dt8F>I{(v)ZgRO9QPC#t%|Yae48bzf8Vdop`eZIwkcBYjxW=! z9XpdIq>3EFvV0N+bcVDzJNhYD(q=$meD<3RQ|0inu^cTpOFv*exjWy;wO#EW zi8hMRIaELA&YW3Sr0Y-|PfHeh3LX0Yqwa0ME4j)u!BeG@x+KeXNmkpkG5AOp=DNXf z0h2Tdflsn*WXB2DP8&SzKrW8Q<3N__43KpQFh{Zs!hx(XPS%-WHy4MnjW@}3X7kJd z!$Yn;ChOtxWP1{mWp+iPI#`8&4b?Hk$ncfg2i4~A@fCf_iziULHFn#pQta{_V%*AFe*&;rKd z*fv&d3cJx|E0AMXY))W4*ODmdahP~04tS5#($&|!1(O@f#MoBQ(Xhdr!h}qq} zC{(jp(hw8A5I20nUsR^nbbB?5u78W)lEn%9%6;T@qNnHVtlfhRNb5DIv(*_r%^ySq zz^rH{JZ#~+kds5{bD?(mOky=m42qymuAu1u-|Os9pDmj$Nv^aGW6eUn&_o;%W0-+w z#Vk6}+ap^gWIfa5q&bw+?uWx~k(E1*jxy|43+fD|h6%YMIh#Vh4<5!(ZR2R}KQ}P5 z@9SG638kRnY4A&dr=MC?=9V2l*!ensm1=M&JJb}lk;H9JVP@v=x-;@}xMe-tn+)wm zN0!~PkgXD6RmgxNzF3RPTX*t_=uAmK=&&R#nCWh%`#C`$5kv{3RQt`JRv=2 zu1cVqRyWbDmbTQM>L1dsSv@h2d#69qVCHx=@8!;@SF39osYrTqFB2(*XuSl(AKh@e zk6+{xL3E=HJgA#-o3^YCcH+7~%=sFeHGbbcCe=Xp?6TxNtF@IYM=^I2qhhMXR%?NR zU8jU2sQcJYg)&E4!a>goo^s$zm*I@+uC8Q+(j|p<4Va{kO1|sOCe0PjhqEHYjR8hU z?c!}%U9RN~6D#u#f?OtF5PT`)P4Txja8;+=g$a-Yu@r{gSG!#sc8e+7Evk-ywu$Zc zG@61zB0CvQDlj?6fQ*m7QZM>%eA#E%%6KhaTbovIZf9(+4MRCJGozRhmNG<8Mi>|G z+J~+a;};GSI78bSmv&K`05q(yizk4xm#v5&g?ZPhVKK0MP~6#!j4Mcb3WG2bIQwx& zcsVv>TdrZ&fg7HaoSI5g#R)w?8ziGy#lyg~&HO-idMvB$T6SI(*eAfogssS{oucIi z`T~PGSl;Ex`ha5(P{AoY%2c-Zx^{z!06Ob{(WC-nC(!CQ40e)UE*x9Mvm-Fna;;I< zWh?9(`@4?=20_vcvb8A<4z1pvk&!3lw&CG%M2*1%ed?J>ty*t352_bLVt#wh3lg?y zJ__(`nsfeig5?ZnZxz>(?@^NA#yqzT zBaPHRK|U1)(InbKUx;RHAeJ_q?8Iy+f-NDq%A-zAEcv#vt^C?Eo~M!EAS|=rX%KaY z?t~w}MTsZ)y9<}$Ik-P{cf_q(!ChY5O7*QjPtV0>U+eL`N3H$@4|&qF8)z|h*|88& z3D9m>qySvMzc>+^SGx%}O9DbBfTI}e8CZmTIkVKJi3Lkk7&Aj^CCup|2WXBUP&;DH zQtEbaOEj6Fsuy3QGt6iG9j#;gkg$MFZg?K<&mv*CEnFQ6j@2M)O9gjLivGx^n*5LV z`r*+HTaNo776fls3n#zoEz_Tz*%@o3edx9l&#G-&|3t`S<8;r=Mt^%w*k4ELA}yO^ z1&hH}Vs<3z8mz6H^AxTEgD46aa=KU}8h_(M=4&9Su%xMGiKzdopp~%V*@i!{#vo9`fA+rO30Py)CjnY!9cTA?rg78(?Jun zo~61?n#v^%o6OiC!xAG}*lR2p>?1rYt=ZlQJ>H&NNpcQXu*dJN$G2rCd^7`tdSq)g zF)Qv3a!Qqj%*-a?0y*bd2A`E2q_y%T&I!B40bGiS)zutOylT+?dNsq?)cj(^ooi~8 zVz$We4k+4!Z7zb8xB)MwXAhl4HNh&$gRS)0QGA{YZKt-u z4NDwI{;@OG5~LkwOckfVZ%SospmUWr%JymO$!Sg5(UYw&8qhLj!TU0*X-blR#55JsN4{S zU!ST1zl!+m(MH{fBi76XA)Dqd6D`Ah4)Qvq^;^_=2ESKN(7g-7j(1ExjJmA_t=_Bp zlUw*Xu?g~wrp9I~2JzQ?FtQo~W4nuhi_0Tn1E!FTAdaipEP@1sElP}N zVs^WS!jr+#jy0=4gJ6f>@w62JwH!Pz3_q|Qb@dha>PX~C6hGbvvu@@07=93i^_-YZ z%jR9qp9{pzx*Oy}d}e0A(l0j`Q=r-~$s+LcaJ|xHD=$eKY^m8aAVO@`Yy+09d|#l3 zVZbv1MA0+N1Oj3AywWymjcj$0Qw0Z@H`oLTU!(A&MY;fF*Bnk>9;i2dK*!-fKT;pL zx~G>&t^xh&t9wR2h0nbF;z#}DI-#Ku%$hq+;YKQpcI(f3Z6OwAvu&>b{2{xs2e0^P_YiOL;lU$roaXwzd{0?VH09LN?#WHL86`L8f>^ zl!6w4Z!U}pEX%MDlGS0d8Qv({Ht2ONiw)!PTIHE?5@|;82=XR!ng;Jl(Jmo4I5$R0 z6{)TMAM(zfPo^xGY^E;V8DbbN^bmP}S=pcXi1b+1${9-LTF!_v)z6|#n#nZl%T*U= zDyfapiqcoA+trV|6{u|#0)5wFYr|*!YbXL3)NZQH^)7Q*y^SPK3=ad)jy^yPrv!*W zGl@7i8)UQ0CPjng*?{_pWxZ&p?q#vr^cn)Ue~>hwT~94bRBINK0nB?etBeh)9k&eY zOVUbo>{mXr33eCVIZuh%s}8A~Y&adZ7q7A%SLqsy)*v7y`s`ePh&Cw35TQwy;WbSd z&5KQkd`pW;ZuFi|t7-Qtmli#7Ol^b@VF`Nr7P1A)anU~1SB-q|~nH&XjG_|OgGu)BLG zQukkNL=#&FkN%j}y=`1dy7HsSOn-~)9m9Qabr9hrUxUWEPVA?DtSdIyn|MBL`_*yy zbCHZYIJrwfNMU2x^#gerUtJ=^)n(FFl3)f+-HjIz_=rhzweuHL#^274iaI*gxxXm900@aBBPG%jG~4yW*9M=PvSdDAqI^rop!Jr z=teiWqr<5DkGU@i^9xWaV*;$TJ$hbI4 zti%-Ss}vzoI3&2z#=M3;^x{m_AtyD$IMOTnBP?9fu)ooP2hAmP!`@)AsLEZ0(rU|L z>>!>pd%&7B7bjALjc&oMKN*&&%U2hD^y1qYR!%zJ)cI3JHzcqPY9>&8;js#Yqdp&0 zKoLEmaO}IL6a&bz3pNn64ZdLqwGExD=&L?3@lVuo4#TH!>OlP15?`hgJiMghvkyg$ zhY8p$t~VH}p(PkmeS<07)Rt0u0@gNTtl$Wnj>S4fL>a8W7IhgikXthWNHiE`K)|&Q zB=Th=3EpM{;>;RSQf);nnjDp|0&1aWg?QSi1etV2%Q*vyN-ve6PT`KF?_e|7tWb{$ z&0Q53n4MU5A02NYSmGJW?#x~R0?OC|N{FVBNKL><+l>T0Vp+SHHs``ZLOI-;=z_yO zRta$<7D_lHaY;ro&NJ}kB9#*=XVH+WhOB4gq^<;xBVi`6yA<0Vwt6@kGxF^Rq*b3@ z15yn+0$%_~jaXJe>f%_92@Q zHAz}C5jTwlS8zO*$4Nem9J>KP?4COvXDmU`j({5%WYUZ)@+|R>=b5ezFnihv#4#Pg z7bD1RJ1ohZVreGoAV8n&l8K`W#rsKBy6X}%$VNDuny3#VK=mp>XhZ z?NApeSS-N(U{LmgIAZ03%4rMFJGF31O<6`EXEG^bnh_W|a~V?Nq1HVJl*PC{hMFK( zHS|HIc0*;cLZoQc$2{5(g&Egi3>AtB``T44-@4~&&QQ>?D9+j%0NR6PgrL*4=7iE# zNrEPB6jK|Js+dt_C1gF*~n$#>yl7dN7B?*(&&#DpiRdzHV2~2|n z&~>A>4oe!po3y!vNSn7oIsp=pc6M~qAJO7Gh8mNmDIN%XcilldNz@%X8HNb!>$ObY zwUGo-bcH>kJ!{8&uv4=-O_e50DWw^~gXutARp7DGMYSW3G?P@@Y>&4)W@yL&zKuF~ zy_I<3@a`jldfC|^2Dn^Kcc6Cn zCUKwkowoNTQsW*mq_o_Z+e;*eV^P9(_R%b+p&2$~&4kBYCG-c3D2JAqIo4q8{aO~# z64+f*BgDwo^MTp(ysc9og4v@Re*3ExKNSaw6xzRad3et)iz!5?NF`&&rYg8cLE&iOkh&=^)`{cVlrL*+C(_cXrt@}7&_JIu-NJ*vf@ijmdZ(C7b7Ph(t1GPA9u`PTa#O5<{ zj$XOXR+cFhMI(Ez06Wew*we!>vMg`Il`S?HM@!DiH&kKmai>+Z8i#t;4{?DnU3QSI z41_Cdj0A!m4*j&Ul&AS*lK~cZ)-WNv7{>3TY1;<3NK5KQ$W{ZgRKrk6PAn7>e*j2R zYf}$6>X`?;OxbG1QDaN`=MKW%+XSK(7FZ)!VOxQwr0y`nrqyJS1o+w>xD%TKi?P)S zp?ti@>S9`{-h7~ztGZp2IMEHLg%3z7Ogyv1ohhzfq#eIu2|OF((QKXzh|1Nmic&)e3KdOuJ6qlr(iV z6MwUYF;W@TI|3_mPa~s&dcX@*ujO^HY*nfsuZq49-*?@)BtBiY@C$)47#y*^2IIuu zBu>mPBjSu<5l^gR*}9TdU`~86ZbWY3lcoJ2(&!_&NXnjKXguBrGcZ+cneVcCj%ac<(7JX~lVeyiBi zXa9a&JdDlmSR?q^i%6edQfBjI{@BabR99qLNRKRAABqDg7{sPxvqK@x1|;#Uj-?_F z1Ja1Ogp}4x%0VCMAgQPH^+r}HGeTIouhkRZ#f^i<;Z>qh$C* zwj83rRq9K;>bUX|d&eSBM_){JHTby zt4SAIg4K_Kh{%ZCScuM0^Vee*ek^0pDzlipImu^hb3Sf`qh9oG8X+uO^9`j$2bjc7 zw8(%Nw8;h)=}jvbC`T|nC8S0yi3;`wIq_lL`_eqVa6f;)Dpjzf)8rTlKK@q#y#yyo zV)Phy$!q+6u1oQ`vIZeyCF;aDF9+-GAroK#K$Shk2P)jP2yOQVwfkUU@o7~K%EUhO zXq9X0%{3KOfQGn>X_=mMxNnhTR?Q}JBuaUqfi?mkh{AiB1E~ zYNbPVwv%NFdf8lkTIX0~xE*DvK7MzpC^Q+C15N5;Z3gJkp)b8!UM%FW{j`p%xNvWKJ)^v@HQ>AQ)OGCQ+z z0bhwKet^wxe8*G$NU%A{50^FHXMNc^T6?LpcC=!S4PD0@4i(_JA91w3lbUZt2O@i{ zK~jPc%gDnByP2D>$nxR#y6T1I>5_O;S@YHr4fJ48>Q|2?5xx1}5_OVz2B#yuQ z-@OE*E)cT!qS);BV$Zfe2ieDQ@5cM6YsywI+a{=}5E!4h2<~?tKk{l#M&T|cFvDeQ z9+P-h7!X(dau%wr1U`nAbAY-MuyJ4};i$bx+CvGljlr!>Ga3xi>3TL-B+(>2e4aAl z=|HU_(^-3gI`QUjSnJ4EKo7L&^GdecQ_5eT7uEY+*C`Xnjlzvv6ixTOjPFdM5riz; z4G&Bx|Bden)O~-CIyN}D=OS5Q(2-bI(B<08Ez^3ryP<^gTtKsl)qr8M z8o;2~1KemTqc?2dq{Lq7kI;Lt*b#j{E(+E{4;)6R3pMROfY()V$U^YIS@0 zt@3xUY^?`W1N!tbU$TptXH?_hv1Ky3^Q)#OLpY;I<>TO)oJ$)lbfgt3O> zW|67sC15u#MZ2@Ah!imn;qFn<5-KRr@%vLaIxsjG%%+@AzIIsbUD4Y}k>q2oo37vm zh$bMzOxNWQX&BHQ;x}k>^yf;ZSLaCF@;Qh}9d8L;`%1?lSGp6b#jO&?4B9<>CR+7_ zkR$da9{xorGhhq{07B9^XE&I`DK9Ws@Vs9N!{Fc}qC29`kKH?(tr{hJ zqM|Sr1|F~nd*%{$V*u{9x`FaB+cj}F?Hy=?bJJ1Rw3aN#U{~R%WlC#}Ide!&^4tio31ng!li|6}X2kFHl_!v)1hCYt%hodaYQ0 z9#8JJ{t4DiJ5c9uzXN4khg*Mgz8e2-t9}2%7NP$JrM~gE_THn=&1~6};9^-GQy7pM zmbFALk2SDspWjJ>Yvb=~BvUVmi#4hoIjd@3E7jwum}oHMDK3&G>EZJt6aF`xI4PqZ zIgDEWOTq0Nk}AQ>-i+t}H}As&kt?H#}HFyl46h1nOxZai3A4cK3 zlLxczVlPIM0SI?WKA{5LQEQWGmTUNNAiGGlF5Wp+*Z_Mdj)dSPgZb zG_RIsvz?6)nJwGa!Hl#~QX3LH8`=Z+?@y^{enIhPnN23XMsV#ZG+-bMnh3jv1)OEc zuGwEx&=!|w3lauxA{!9oGggNL$|*yP%%+|d(3+r38Ivv~aPi&#popy%o{8*M~s1r*m6;g}#pFm~TXb@~$`aEAAJ2Vj&QWXe)J zWu{;-&SRdoe=z%3@q^Zo3#E0Ylek1u4_mOBucd^ZIoc0UMjDr-M6=Jt0_Y;h>ay?o z#ayYLAzx}cm}gQ^BqHYQBrS_Qu=F}K$(Y*q+v^i^I!QD>j#>`z9WpD`-VMFXj^fN8FqnPot1VNJ1(;3c z+C>bFa3?Mtu!Y9Mht>!A!jzc~)H#3V>1%p3zM8mYKJbk%sMnVI0zMA)SJx}Q_mD`T zXo^hzPB0^ggpeN5x+|iW8tn53Z1O|mI-f$D_Y?vafC=D|Z3YYqxf1H^r=r4<>AM*T#n`V3Z zBX3ly`>p2%G>M0V{pD{?gxgnMi7-lRAEt@_Opy z)M7;_Rt`DO$VPK#4xN$n)A+jj>Zg->JPdDsSV`>(tD}u<{#*P|!(&TwsQ+`)R(G%s zDP>+LN}WK|_83#bU24N6++Jrh0!%&$)pc9NvEqzzfXJODcPrr z67kx0l3)6bXwf5>QG~%2U5nMI9EC@troFnwAVfNhDdtPpGrDxsZ686Pbub;OC7=NA zI=4$}ci6|?WM%JxNvRD`R$`2FpTGKXFzTTv^*c>eyVAmMtYtxGGb8EJ0c#-Eh0x;a zh~y9gpBXfXulA|~zWpIFk3lJ5D1LqJAj1yL8IUz(a#trZ#C<2bxNKI}xCcheAUTq< z&&nlOo`d5~l%NWamamX92GUMq9Y6Jnsgvyl=!}tn4lYKJ7s2hRqGKO zQ`I)WMyd*#rZgJoSplilI#W=s8Puo(GorHRJv8v&s3#{IT(|Lqf9lCqM(>=1b>mwO z%`1I`dNnrj_OR|X*IS)tCVAM^1@hlyYdt5iSHO@(>1-xV z8<*nw-zMp=#&!X=N)R>lknn2Eo@h|?%l1GLFe380zBOVb#;DHUn$+y#r~ltHbfg!9 zK>f+@dOIr*e>7AZZ&6xKx}Q#_+4kurPyOa4q2VnY*}L1FbrZ9SEE*d;Gda(QtA=%u zIjBRIm^M~WpVC%9xQ_`?3J~scn2gzcKBj&6S;-3wF z^EuvVz=~{W8p+nNCdNLNvVm`32{P8PoBz!D!F)OB+tu!$E6?0_(TOld^&C$_0rHjr(LN;d+P0*4|!LzS@^x* zMcHq;h5~G~s{b<2$3U!+JZP}`ozkfzJ6bKaHLL4vfZ*W{`?-_-C?9oh6tf@w)w0@= zX|k{I9gnWdm+#%JR1^5>x=)^~P|3>J^*C<+?#`?JYPqCs#wFymZI#eX20t^Qyl$qJ zGo*t^YATVWqbA4wYc~5=QKKP^2~1_%kj`dGbck;>NVXn2=%kcky|%E$;-gh-Xi>10 zL4EXcr~P}%^c(4|e8aeD zfZy1q25q8pM1dgGqcz+7;M0;iE=2=L6uT+(n=%&hNfELL z2mc4*V%$89T_uFk9xER=RRc`cgFXX*nzR=t@L6vL+}&ef;vm6lhC;z*J+>)1NacB5 z=_74<^tidiJml@$~Nqov3&HS3ZN^rML2O`7<|fc3J*CW zqnOfwlz4XMmptA7Bm9C4^)`Z>12hNd&*RctS}zHQqt)!wdZMZ;V&+7HVZOLXnk2^d z#P^jFW+*g&%B7jFgbV*z1*ZGo=@XxNDXQ{Y_;p^e+qIYMdH}GH(($} z;xE+-2~A+&MHB9CgsQcu*P5`N3D46n#@YM&Gm)&7(e(vo6FEo_D${J*RUpCQgc9XX z+E2H%mp_H4`vsNC=Fn4yqI!$_80V5Td-kQ~fIEVG^T0Hh7?*R&9CUDF4|;Z3ta3-= z1QvsJBF>ovS#iuXnJha7(|aqVQW9=PF0d9^bOT-CIug@r*1hkFCxEq};#I~9=+!V; zO~%W#0|uB3R;WC_{WqW-RI1rkzFKR3Ek_N*Pz385f66YOq4APl~ybP;ex~8mcDwLO#ZP7gr+;GZcE^H*%Ly2_pi9-%G(mCoD)Qs5BG?J_Q6tnYQ+yy6@ zLO0`?fL&&4U}N!As2=!opuFo|Y)tQtLw3GH4ZB~R@K15s`Zau^M^jDCY{oNV`zKhm zWodLWi*;#v;LtYiw&Fq7A|udQZnjaQ4_*~5>HE}X!$w|Yjy{NUgUJENAbHkO#_zmE zPzw%>`XSHTZeVQnlV8OANHjj_egw_&7M^Tl!29LFv2&-@X^zm1KpJsnnF52gHI}XQ z^M(uuo*^U|{d*??+3VN?r(GV?S!C+pzV zj$e52@Kp%BF6Rr<2M*bQVBvb;`csAd%-z-$d8P?=PS&ZR0yETJsK8bbY)ktY= zFf5}iERK+biEF-WnphT)1F0xiXe7&F7d*mZ`QYi2FFy0}PK7W}0xagrW-%;V8W4A# zH#VCJIR^r;Y4Tdnz$47m!7dC7nPLZ=E+;jLr;TbjY&OY|a|U?^(_qUJas|mow9p-j zm%*g_HoG;;TO7FiMCf2MvnEn2!jX8}Ju#6pTke_l)v77mb=_?;A)TMbra3~UZMc$* zV#>`5HRNi;mWuc16TRf9hM&uM=Hj17^r_}NY{#*g?0A!Kj2!!cW4siUJyLNOjF4)` z5qJqcHDXzFm{iEBsM=|3Hc*!;O|z5GrOAIB?a54_TAkUx4-(d}*iy5pkrB_Rpc>wp z(-qlLQi6rf85zZtn=SUN%et5prx(c@z=e^V12ne}5L$osp)-!zeP75+%ZG0ZWr5ef zyII7+riFc&J+}cw4R}%((@<$D;1V44&MpYmYitIaVBLhckIl*?gaAs(uslQp{o zUWxfb&2*o7eYIc$WPJBnd|bBjvppp1ppC#J4lACdTCQ$|f(gMefWw$_vsLpD`0w=M zPz`O6GY+J!Sr>@~`3I}l(ih3xK9@V{n%-|uG1wY4 z7R$(UfX1c}Ndh#8VIoIJ&%HL(WX)pSV`IB7vyVDZwhGovcXCN>U%g}6m=*h*H(1mB zmHU4GvLFmzi)&4%@!Y~sVZD|$GAP@KXI17>TB1uHxzyX4=jsVCT#^Dz8qyK7LJhg7 zlcoFUWT0M+_DX||An`2Kl}KqOvM%OcVotb*k3vM^)@Do{v0+Ha6=@V>g?c`J_M;a3 z?!UiWt@_Uw6@AlRH;=jHGBn7>D{*<^iWdaxg2zQ|w{W#TXSUq4TAO4@b^X-yBlQt~ zs|B3N5g5QxOu1Q2>1@<(Fi(AGQf+Tz5=(=PAoVQKRVvjWyrr^dO6WBd^u9VN7nnmR z!*UqTer+=m6aOLnuzG}Q)>q(1n16MmaawWcrZiDeYY`YmD&>p~h+=e=qdA0Pw zAXDBJ^oo50dqle)!JGl2-OCs|1Nm~1jdGHsm>~vyuPz5Vm&;{X9R)xInF=c4h*7v9 zAR1}kQ!5x%G}knQq=6H+-efp;V$JqjBW!vEb3lmhpeP5VF;OGBuAz&Ud(zMT;#wlz z{3sb#TGW|*ankxFK0cEepm`Wnp>0oMxlK~D$YFI^tYH+ZM^VL?xYe~l-7$p`YSb=^ zm8V$yHh4TGOOazIbb&bz z@Xfk+3C2hkm|H@~myN6z(;y&OmZ474 z*Wr7Z)}KBIa5VQv1fcfI#}9vg+P zeof6y_V2~R!Pna75L<(fl$L24AJ33&1nniIyJ~xU@kSDXus-8u>wfE~k;OVD_JA>A z&_N;Wn-4b-KgsdI!z+_0vpEg{Hdb^ZC0N0teivUc}(x`5Ivz~xcH!-M3>B{@yJ6-^q&n9ifX3@4=x3y)tJ zMRlfAEd!LRJ`XD%joiUQs01N5#bF-~%lPJv2mJ>CE(}A827}`eA<35cUtdjg@Om36orX#LV@ab;@!w#HaRHNN285cA@J<70_8V8((V-0!m0nGqi7DBFm^Ru8x? zYskfDY9`|Lk!r{h*ocK(HX3BLm?v-_Ej7D)nSH06T;*_Mpv=kZ)OK*x|0Hgt2b-S# z^+o?hJrM=N-$6%Qqbr@ruPJZxZvq!hV8q*FdpUTB!uFCZ z%i+1w$^Cw9zEXMq3p4YN{p!oe+iyx`@UE@nTgf!8Q?jUkUH zv(lTwPe(Zc9@s3E;R9>j#Ab;)KtRu$ZF92PIY6a(Rl#N^EP2xYa_!pnqZAn6Sds-( z++TFUgQ%zJ7*|&j;@J;tZDNSRH<=)WFCRKHZMhD??#FsKOBi-1C5@lRj3a1x5iZ8# zvodE3y5o$M8PA&dQx{+8NY_B6ZL7Fi2jR}r(f3rnj|LqQGog6|*X;6jxfDXq2_n39 z)7BPO_&eMK)2SR2&{hi>9&b)yzEGmXKp}525{-1VJ`TmQby!BP8ZxCUXDn8dIvuJ` znx+yd*OX8qPdZ8)Wak$meC6g5)t|%@!+@~E8!0JBnre{Hbv)VjhoN2y+YFWD%2TJc zgdUk*38es?_bkIuSV4Ax%P@^3i)+lW6>1!47!>{M*Q8y(*FN?`v%95ECep9KujzFT zd569K8LUsNMz`Z`t2K90t1E+_7o}c{!P#(xJiukEPRyf|`n%WLT%oHqB_F7%NIf=T z&S`Wni#l|%BC)F;?A>&OZW(5pfFTp>NHY-^Gu4nIaBLEC+15Z-i`m0C4vb-cGYh;W zQwK)feZQL2>)S^=Mx*WVZmWih=xIOL+=R{9*Lt4rBenU{u@S1I*>cYY--4?!pBCYf zOE)!U!G=21l28x`d$VqI9cf4|4@llKEL+uo_S~AAatBVx9WH#Tv!!RE0BT4}B5f5f}3Wy{u7 zr>4TBY{E=7QmM8AmM2tTeB2{qgc<=EH8~S=Ocz3%4X6tGo?6e+*v26|0lx-)?UDauo}saJSXll3>G=Yi;&+ViRZ5gtoMJC)rGO znlCxW(801*_2e)bZY&wIkO`06Xgjy@TQ<^erJPmrSO0Dz%*B# z@vMnZZdO?%FoqX7O_0lYg=@VzYH?=MJ#($ycPs74>guGu8rNdrbYi$DuPt3ykHyA` zh2Cfr$FsIKw|g6gZv0ac z8GJ!yu;-fa!H_--q7^TV_E>nXP? z&_r$ix30bfX|ZK{5-vZ1NN>DHfxo`+`C%~QwmP{!{# z=C}Q>ihkn#T6On+nb$M{3`1erXm(N8vZ&jAeC+T4df9>wZwZ9#l>pBSPB*@g%a)Rf z7&MPLhhjqc0V;&lMAc5rT3Vz*9UHRdnnNlSD=9x<7W~&eeEjZzJdB&Fr+4)I>X3DO z=PC6Ud#qggV=rz{N8U&Wr=hQ-HvLP`e*1_=ABz;S)Q&V7Oi$j3-wnA1mpLZx3|fn8 zkpO4fFACJuk!6%^6Sp3S!De-8CUPN7B4tR)dj^CMYgzhQec0fx)Hd`|K_zHVM|4$6 zR1&4uV5Rt(5@9w{-}OJ%>P&uWR(%22bd39Sk2)+q2#-*)6lpgISzk>(%@%anQ@yRr zmD*cZ-6Gx*94@nTk7FpHq|ayg`(N=4xju(5^v=fxr)#PAKtR(|@w6xSy%(k{fwvz4 zV)qBJ>mG!XGt(gu)24?#qB^sLBjJ>u-K#}KOFioWhl2xvJub$t z0)K{4ViBmSdXQwi3pLJYW+0#k$-H(-4;7LQi8~2kV$t-M65$3W9O=Kw0qUfJI&7|9oFR@1?p+FiC}s7ScrXW2CRoL`*{X1`JG8Dhc7`L90&yd23B1!#Z2Lw4Qk8aYr9766k8Ue=@%6lZ1sSK zXvoFlX(r;9nQF)pP#a3fWeZQ%93~YaOC{j8-}dh7@ym~|LiOA`x2it+f)%R6yREAK zR~N1MiP>n_NOD=Vu1?$6_d{vnLni>Ib< zqs-ly1c&cd>ITCPjuSI%b`a9Uvl%e7=gJ`yg_iYO+iyQ=TMC{ zWxyb0Vp+1al2lhWN5~e*Y*H?Z8PqW;Rlshu*Tb^Z|0z+?m%>%FQ-OfF92cQH1oqym*_jRkaCSQj zuv@27qd9{IAq;&%WC!K$M+V`@AdndXi9nw3Ch^CS5_(4T5aUSJS3S<b2vfO z0S5^#CG6Abo}}#XCNP~rJ|!dxsAeq=IDoj%5?zrKzQSW*Jv=RS-FyY*ax?vkeGsU-ha!P%7RW`-hb|>s+3Gkr` z4Au#tiviI9!l0$CW!s{#euWHd3#S!JR$itJkHTF!Lp2)bcfA zkVahNGSxRxF-mpSEAZKmQ?3VD6D?;*yMrBXkhImBj45E*#u#MmIBjA>Xb9kI^b4$% zXyb1&8E-LfGdPdzDu0}mQ@6UGM1o9W$2X|2ISgs&|A@`NwqnBQxOYvMnbyouWQVeq+VjMSQzqO@*nFjZ5F<|<$uVaCTgk&cAh{C{ zTa&@2SFo#w_yb)Gq$Xz^nnZ)j5jdC#xom04n!_YQ{x*54APR8;eUY8fphuLQEe|f@j62_h*BRxNaS@h zN)AO)bcwwWq}20pNoMwafiLR7maRQT();f*8NL{^xj3rj-hm;!CLXsKT!u0M%>*^1 z!6u>(gbax)!wewRkRz~;(}kQNA2FJVoLMx|T98ky;R5^fQGzFpIwg}<70l{Ae2>iz zM@cKm8~NyaBUvTO%q93T!MA6Wx&McpAJ&H&yZt*p?x{~{Lb?jz|y8-#iAxJ+imgp+yv zo7Atz^7A)wvFWK7;<}TCPvM+|PiB{ZS(aOjQs34H`r*y)=0lMB{g^k~!b`+)#|9m9 z^Sxrc;8(iq60_a=;cyBArdHSboXQ;ph*CexHvwmK!#W6>zmsBW9W0Gstz-p*X{Obk zEIIO^eWu}L?XFiSR~qMIH5Zr*b-eXq7qtTtvAD+U~1%JD4ao8mg@lU8Ty> z(g){jIfoh2H}glwK0VAkoJYL?b~rIWr`u(>Q>(xTs!I7u4Fh4}&Lj~ZwLzlC41@v3 zC451;4m2Xoo2ng}$1XQFK{nLXkd}>^8bBG!fR$=X>ijHY1Kx1C*BGQR=RO#9R@P>6 zm@GAu?z(b#UqiWrSzHv8n270?))~g6@&>2?9n}R24=obyA{z`iN>cL*9XjkE!Ow|K zaWN4~^i_Wb;s40)BrLoBRidB>t&5OsFf>NkLV;|ee%GpJ0f%YeV|_3hnaVODU=5%@ zwY|RicC#^kY!XlC&Maxl>}gck2bs#kU4tHB{HIYHCoZy8Dc)&Jw4!)UVzMHo>FYu9 zFZOX02iGu8YgX+dmaQFi%-iylW$OV1#s2gwo^gEmm1sbx z0XyYj;aro7Yb4Q-C$N_R{LtAp#$`+JAo@p-v6N0zsFC~3b8d{!e{K6e^7&ofM&wR0Y_n` z2?&{3Pid_nU0hwcU>mRvg&zpPmb{{XWI4zuFrWJ(9`wESdwAX(UlN`L@5V9X$sT6N zYZ$;%e`t2)Gzw|9un^9TMkFtlNYP#@BW+$ zl=&m0`U|fWt<}ez5kqvYv`Ih;?*k7-oxwbQdP|uvZXsO9iniz z6*Z$U5XWZz)HX_R)|(Z5dm)_bPa-gotoiXTK49+v`}YaMuM0&B)-cMYG%R#WJ!anVu78o^7}lLIwL zM7zn*qAiVm%0Vkh)E>>`V>qL>-vLwXg$cYJXD!2w=5AkL@YEbUo3cKctdSD6f*xoF zWhvo`(M|ctZep4JAEcRzXbHu|lbxFE-Z50`mK}Y~@JyKWDdCE%t?D2^D`90uVwLH7mC{#^w}6$c!V>8+O0RLKh82+64Gh@= zY?=u`tYL`2AjC#O$I5puVoZ<-tyv9KJDSyG;Dx3gY!Xivw~^M^47SuC<9VWH@ip+S zLYw9#mM*9=ffV@#8*Y8^9&9xTIkvF1un9Jd5t;|P^8>D+4GD%AUwI(m&Vyt1kr|DY zV~MrpS)iv6H7YI{Qsp2NC1V^)7yp=l#D*zX6jN?3s6dc}vHV&h?#6NHiPfQK%sT_N zuNp8|m(oGpCP2+j;Lc!(MY1!}oj{L&9-0ON8#=Q{(LgTLO>35=g4Zwzh*w}t76Ux% zk&-i)u<}bON3r!ub&uU9q>`g~o?|=?x?#_`)G?+Sa)dn+6C;*2he?IVRtW|-yw6kf z5wsgKVMfe`sacM$drD8iY=p8I)Fh(JN%L`5s*}~IY*s`ad^T+rj1&5yC#*7Cl0Fkt z*cd>cVc5e4vqK?GJ*#2Cu;RZ&>2}wP3>8%aJhO~BbJZeMRDty$0E}l=Q2Fd+L8M&c zKU0s7*^YOn(q~id+3-xC%>p>x98L^2;f^P@NSD@FU^xc^l(&iXEiV4)Q1e1ERk8O9 zwg%AWdD&nD=9$t2eYO`1{naj74!$u1GIbsV$U!J^C8L{Y)^RNA^v-I>$k=Hz$0bTN z1i+<)+*!u5<}j%c6;%SvLYy~lcK8TEjkcke^ zo97fXDh3PTuLuskIF3jonA+eYEQVnj0`|uQtOaqo02$vP-OTJ3zxBi_0~mu%Gle6j zZxL_vt=Q~A>|!fTRlx%V`%NGlizfWfDv!aMMQOoY(f-J%IOEDKRD}W)xit4W}ut&gN-i;&CxJ&^s2DhOnWAU*>7xUq+`Mxy;_e0A^pH{Z%U;{I5;b>}{5C z!eiTvh4i?sFj)mHyI5vb7_!B|5vHjjN6^G3Ml5R%BP9EWxo-f6Ugh2TBfq1%rga_6 zUhN5Fl=F9LQpEqb1h88I8q_l!eO+fN2e7=LhT=^H2Yyf!K%RThY zjwTra!!%WCCK{G=*D^wttWqj&44UccA{7_XgOD^ZE#`kZU&-U|P%T;b89Zj_8pFvB z)?2I3U(Ywr=t)Gpj};xC%xixO+Bfl`rs;QKK8y!nf`4;GDD5Y#$Mm`q+W+E}n1Xq; zdg{E(q3g8s^vn2`(8u03p+5G>Deut*yKd#Fmi0_+xsawouWt0h@c73?b#Qx^3TokF ze-C@)ip}+zE`)v|whpQ57^>)Tv#4URG*M<|!E}DLr_@8fx?24sC-MGGf1x{~10Biz zB;@((lzJbE-Jih9=wf{1CEQZptz42^Il1CARPQJI7e_1Vrs?${I=VH(`_?qJhYM7_ z^Kh?w<0>?$gPD-@UZL8vs3Nmss$GhylMlb{0HOpeJU4fr>}vwjYmCxYl5iv>Jo zF!_l=CkYF@Hq`+By{Dt3$wZ#O^oy@pJ>$UZB=-&>ESy345P1?(viW-gvlu zWLzgEWlTt0Bs9P~s~iiqF}3^v+56?TQ!F-3a-&qr&YCOGbAg{3vAZ;aG;DmULx*ImZ45}i~_Sj%& zRJ>@#?<(2AJDJG4QfW-e;Rs=j%5d@{dks&e?b^=>u?XQCPe%yBf6;*6i3@%Kim`pO z63vF%!^Q@VP^><}eR1ne>bQ?FoXidw51wJ0fFRi`;Dy^SG`vD#WF{}G+?tMgu4CI!Fzs1?O~EZzM^ za+=)~=4GJYr8h8J@*Xw6u2v^|aZSn!xUuF-Dm*7UlxfYr!qcjEo3~*8GuqH+@oqXe z^lPpW56jlD*J`3Y2}f4sOZ(G%#EC$3Yy?RT0Uq;5QRcc9+%Ixk|kHP{A5 zxTLYqAMW9oGatb{vFOyaW4RH>i2zrm8+5BTZ+ui{5O&1NBi-io2S%(a(pcayP5^~11 zqM42fkuWn-q*08Ffhxz zakc_|mhI)=z;O8)*70o^wH}yI-oL&=;UsN0(5t~%T_>jWF6US6H&63gj6d^&IkWpV z3=_D2V*^-NwlpA0Xas`!-k^z%V+Ix1n;Z6 zr-rlqMQM*=_m71EPoXk#i*0~`d68QDzT|5%P3}qrts$?U(;%j4QT52L)#r^BzjD(^%-Sl{W#HyKu=d z8edSm=yl16uocgf)d0*OS(?(r6#FDix@;Y$7Uxai3Rp}XV0(k)IH@!2liJBu2DyFQHz>f z!zyg2q$ndg&E$k0K|UuCGL9E~crbtjm#mBmpXah?rumpqp)3#Nk{%Ei5-&W#?wvcBjUJm{JB zq*NViP_S%8$DR``aj~s;F!^o|2I7>rED;>Tg}2^qXgGI;YVqsOTefS!*Q_coTk*S- znSr28MKgT&3O`-8Hk0s{N~(g6xw0cs!jm@U8yj6J`9BpjX03Dxt2rHV;%-}gGELFRLr4``n4|v+$ZGD}#0kmPzptK>94{0t^ zWJv=e%%m|};&*6{_@?`hn_T{a8LiMdbLOZIV7SW#n}|x_ge|8kZVZ}IBZOR$1|=Zx z_-2>R*Z3($Z|&NJ%RTMP_Le)-zWOb!Zj#0s`gkX9?#WEqUj8)PvddPqf(=;qeXQbf z+lS6oLl0A!#ix~+V|dbfYDGn_=;1MA$Y9ypzOSuhN3EbPs3ff&W^!dqJgZ@OJLEK< zHU3v^UsFjX^zZ~bKeubhm6~Jbzc9cAdFd7L;#qUJtI08QeSfc(*l% zj{iR8Ek1F#%^EhmS#tp{VZ`zYTiMZ5uR)VJwz8(eqaELW|8-8!iqq&R5eMPj_&ZskMnKgQI&R2~B%WO+)6|^t z@p}`2+Cjl(86il4H7ePhO#|yd(81XV1PMGTW4PRPi5t%_!?0rjuSm5Z^9!nVNmtHu@SSw^l;Do3#|*HRGc;3(9Dr4p$#cojL5G zq5unUv0h1_Y!k0%Y{t>RVlzbvm)JyF2?=`WNV9Si`sjm5@FC#@%slSHylGf`FN@gw z_ zPOd8JCTvuKA@vE|7AORwZNx}*jirz;i_}=g?QhvCXJS^VzgP%5yI-9Tw(LW~whOB6 zUw_D-*?OMjm(lt(qLUcu@>$xq-m)Ti#&pGeZVJoW&%~eB%oeLSEne>g6n*AMpf1H6 zxZk-fV(GU(x2!Z;$vp11Vx9wFzt&77W`&uNNNHlhBDtuurQEMBT~-0Ev2^bsPd&_c z{~R@uGZzaP9&--Ggz7_1RooafMMenp*N|dIv$&8t#b}Z!)Bxs3+e;y^Qg)~T8k-?MUIkOfCg|I77v0q#kYV=B8X%aKr22st`?_M zpsxfY^$BDng?X`(T@$DeR>_Y;!}|edv=n6;ET`f0tsKmN)E*?C%~TV!kqUy#G1{O+ z_IjP|YFM^fFqmX16xf4sKJj-@nKet=UdFWK`wsGg^y?;ePCd$ zCU?uffzpYpp;tpez^09oZVcLYnOYkUtQ;v-##4tsgGkKLJwvPIF0v2=ZTH-DZAwV+ z?pbq78)I=sX|ajQT~~aKa<;HQ?N>7HpJ=8;EunP>oNCu!I*bBoX0v74>LPB)#Z@@q zjH{KxV*?)XVb$6eSS*}Ws|=B&ZA`C7WD&K`kzog)6bvxD)&L%+nO2JtxG4UUHQf6m zYo1>CF&V7iR#DDcxE8PHVu?EpIzillfvi0tTX^lrxt|buZhq3Rijivl9jn)0DgMNqYzk`M0yIp4&+jCi8RbY&ITkyoB3eEW&vup42 zx2l-;OS}EDK$h0nRer)f_EDwKfarbiQoGp7@By8)!SG$8iIWCj9xxSaYy8Qj$@OWv%cGTJkI} zpTZGVYMH}yR9@u?SLz|t2V27U;8Upb@by8ppH8jVy?pt z+0O4^$-=@^fl=CYPHAQO=i|$3ubx)gahuAr71X*=ZbV2E3+IG>2!7e+g75x@R_$%4 zfW%TTphPA#o8(9v88ZoN{B!91lCLiO?1j4iY%{6+MIT?2H&?@-eS&E&Kj~N(;`>(Y zoAsa_3u;sCq7wH$iU)m#1;EdCT6acN$O3^xs+kS+jbd$~pdC zN$}MlM$0jqahomYRUOi{4fo|Bp7|K4VXH}1iHBG9I_`c*xyl2l=vd=+T^B2|%w-T7 zFMq|1ZF|w4lX^v*Ih=_W&%tGfIXvpPj-~1XyT#c8`iILTTR7NBORXIrGuMJCQ!KZj zZtb#lAX?4(0C@dAc1@Z9*qQ+6UUgtwumRVYc6(E3hp3MbvN}*^nmRVIA&q@C7)7(V zlqcjf+?_4JeI`^v=r=8%jyzq#tKsJc>5Y1U0VB%kifPjau&cLh_G1N|05xhPTSC)l z+1fIwQ8k#Cw)W#jt*j@w%HnTU)>fh<4q0VK>?PFXm%L7LEWWVLaGlZyy6-A3=(a;bTg>fey@)IL~my6;)dU zGr@|I=}?2Mqoyvup@w}wWWBQ`LH~<5HyoSh=VEFAAExrY^Zb%Q(~iCGQe3wB>XCn_ zYjlB(*6Yo*aTux0O4mG3HT{TQDlWWasG{e4b9?8msi|8*I5n@P4Bq*! z4PQU%9QIBaG=1JBI+E%fO{8TTB{jsDXH;K(>ms@K;Uj~$x2j}K?~5UZ%^c`V4yQ}c z*xn5HGx(yUaTBGTxX%=^4B;SA2WGXdq78edgEWyKDvoh!c&6e*y~6IZg-oP-*w=$# zy^8svt^dQSy{zj+&X@zw<>2adxZHBroNDy!*djP%-Gjwap9xh zNc1+Px6Ao7HLy0TD}`JHdns2b!GBU%i{H<#(?Hme#|ZZsKo_hBVd*qn4%fF`xAi@v z#59m}k>QNF$dYN$GU|=Q98);9>7+Uy=mt`O0h7i?&@5sOsBU~n){ka<(VRq6`AvJZ zPr-Z1%Ij>VI31~Io8j;CZYw^IrF_T7i-~m*g;*f$qDIZCfVbbO6WBMMzx~k&Y>Nsi z+SMJ1RQrMrztOT4%{NkSMMNHNWV|7hZoubip}*E_P{}I9rITpRs9-lxSM3~H4*M5- z{>%x!6k7*j(6_%@(#9y&zihRReP48gmmmezH1ouCMP+48$VNPLW|16K7^?7HY97m0 zg=MR$KMxBE$oCAfY{ftZfD80Om}WzxT7%7EH51kdjuH7ZHv7mjYe%qIq05=50+Cqz zUPe0vfUYx(yRE*$yR8PxR^R+Dm#Oec3^h7HB{gXwy}eaGya`qwdxNp⪼@1Y1u|G z0ybN=@_^)7`sXV>yS$~keRwuU=_)`!)f$zS1XnCG_9&BK`oh2VakuqN%6#b(e$lT1 zOtx^h^#kD`rjXH;%h~XO$Q7x)kX|FRBidm=V@u7hGc#;9=*~`t6JNP%W8v|Y3Eh7q zzD;_;|4-ig0NHYt_kpK-dgk3XdXnywM$(f2ab`4<2O*IwA+R7?@y#&c0pu`ZW!E#Y z*Y{x}WWcUxBRjGe@HdY^Oko8NtZctzDSQ#Kqs5p~IdTfePU;GQOD2k~rEr2%>&@V@ zxA31$y`=0E>tM6L-#OiV`+xVHnfD|+-%NL(^Zh^HIeq$^?$dpL3!eRPpj)h+HhBE6 z@St@?)hxVQhc@Oe8Y!F2dnS}C30Y#3Qf+)?Ccy7mF7ne}_&yOyEpT#t+$ed-Y?<)d z)TQ?D0W0|;l6>0Q2`rzs-cNrH30UARpj{a=%CHz|Yt!uFX6xR?5}9|I`4FA4eDYS! zFf}FrHP*(>@r}88|5H^>ya%9#pEkXj^_y|79YcL|QZ#Jlf)YKxNKU_mhO({eYUW#m#6vp}tC1 zllFo4WZO&Y$KSM@JQkR;)SX?%^?q>(Zu%4ncFh3qjaXjfs$rRE>S9|_W?I0-Lcla- z0V$G)dZ+Sy$iX?n6cS{2Eg=wkyoFFXY2r}J#;n<-rhusf+V$;;pP1!YTM5HTY&Ehb zYx!Z0|y9e-Z7Vj%_-;J1r_ihWx&Ks39Nk)-Pp=1%<~GOL4C1 za4(Pp{D3j1t+t9GkF%k<7MKe@ZS`J^&g8vm&7tAH#C%lvNr7FyJ&G}=o3_62K{GF{ z(q`HGyNi#`p%rfich6MJ5@QXpa!^p#(R<;h>AhCkTO5iK_%{a2hS?U6z9$GdZN=Ai zt-yAH05B_n0CMyRzp zAbA#j$eM@lH0GBu8|`uf%nbX1|NRIC*XhEMpxn*<*^+tuk3X=3Y3nv)(gwI?ajA)J z`Wsxa$x6=wmb;_^g_%;3Qp{PBi{U4(8JzaF#U+JYL7U zPXAt-t;JM}MZ)@pgrm${=*o;t~#WnizfBWY~{_6Xs zzxg>-Ok6yQ)xzc$7)eddG2>1k9PzZ(m@nci!})`CcoZ%P|%* zcS`}$nsp(18l$5z=7Z)&+e2sEGxnDu$au6s&nyL7n6{$-eJ56wRsdVuVZdKS=8AJy zVKLmtwWGSNt)gw4D-)l#c2wm~N#*2k3XxXb9pbx;1}spdt;$La{Q+*~_Y zlTc#(^ZHmw$<_$FP$}dHp&&NPgA2JZ5oZ0#nC)Z8Xy7v3;`)dajKvl(xPQoGGvFeO zVUl>$7@)*snNTT~F#-bxOh4;cbwF~?r&WL#Fk)@gSPML%ISaDnU^y7d80gpt0dc(x z?`AXI48wqTM?;||GP~H~m}nNHQ-U%>BbJG{HZqt*h}32nVmJy8A=i{iN z3g$UM5UBysvqr#|fzS(wWue2+gn;!ePA%MOZd_kc2rGzEVkgB}|KFdo-ML z9vA=KF2A8@H09PD9_5h;$HY*1(I@QSGAab6m8(n`aT$gamuP`>VhV^n@!3l^bZ%+ULQxzUXDm8gYYJ)zmOgSRmc%$ zE@I3(VE=wlz~hK1!Sx&k88`AE!50yUsEb~d6M^X)D{*-_1j4`YqRq|&>MBMQhy`xv zf^4z5c9y$T64?ke8*8UMYI7jmH<~3o##wM{6DE}oTq6MwYY=UL88y#_SQ@hgK4|c! zain#NEh$M;*;2WpkP&LA9_o?O2)Min6$aSSP-0lQ$V}{LY|vVVYTkJaCnU5$Z;} zie2=<=8-WwwI@I5I=gJlqpX5aHY4@%&a!3F@_TsQ;6Gz!PM4b`UhcHXIglzN);kk_$Kb1kdeJ^?@E>sMGOk&1ju*8BbB`7n_2oq#gCWu*KA`xP`4Wf;IT+mL5 zM1wGs_@m4uW`|dwz?64*G#vl=j9L1_T`^~04nN!@Sn{>N_`ccR+&V7B7AsMB2Pk7i zQzQAZS?X-}EYC7`+?vb20MABqbiExkm>W$Tpt%I-;>pjv$&A;ovgXQ{Mx%t#bo>mz z()su|W`cB8D7cjpNhI_BCUK~*_3Q~iJ=IXs4mKrgi6F$%?BG{c@$MtWunV{J&;@_M zn7eyX{~;_AmY+T1?{AQ`0hWiqgYn^~aHDi{jP4N@sW)!F6111iSn%fpQvGJ#V?X$^ z(0u);U;{tO+X==jV&Z@nMf6=*QTN1$G}!w|+)!x;3HX&x^W|vR&fAp(Xa0Esd=<># ztFVDpRs>cHFZ35|Wbw3h_DjaxoNeK?_TM46;W&Vb$MB7q^9X~B`eyhGYrNOrt(hD9 zU=yR#*X@oD`#!f*JUVKemd$(6QA*sY{HQUuhf(Zk1*dkfiAORx=TOt_s>C&PG>*s` z-L|w^8A>QWAfU^^t$AT@5Oxi(8_w0*b*&I*v$Pk}XsTs>+QxfGr(_2wQyiG+-^YHv!Ec`Q1;eBz79lWu zQP5$Lu)5wA{fxz1ckbuVE1i`}>@-LXRKdTEZFt;f>ZVQ!nkqYyJfKr?qc~vCqCUrW zA#rcGlxM2W&4cl-5TAv)z`&w*C~na!d-CGT`r#vZ+Ui>5cf~z}?Wvz*75Ve|J{K#_ zj)@FD=tu*%KlGj0`M~ObhmYr8zS>3moaz6(Ei$?yx`JZb8erOrntu`dTBZoN()j@;5)CBe%#ISX_LpC6cHjNJ za4f;Kp?&@L;H(3yZen&u;TDjuY~arR>jEQoZNT9$>HLDqQK2uta6c!tkH`%r%&{9x>6+J>j{?)Cezp6ca-H6in(l zxRnw)8|)v1SQ=ret83i}k);(L#cbJ-7X{!}dlhP_P@JuZeiOa%Fk>xTA+Dl_?2a>{C1DzjQQU1lQ*@NAPVoFdk3213B1-}UTTY0U1Lp=#p|3W zXdW@H+Yu3h3oa}{7KaE++yHr^mItfSRVqvue6CoIayT1cyvZy5-GGy9_LbY41Rv|cw2he9wy=N$0S(DnTsslr?j9#H%slQr!jB3kQ zE$@5p2h<6L`?*!-MN3A}owtLwvt&3nGijH^ljLX(t=4WMyMl~cT;1M-ZdmYR!*9}n z`2^m2=5V|MG{KcjCxi~iqZUl-*s5*hG&mL|3g_1Z>?C>isF}mGb<^BC!8N3GAo4Ms z+?TVd931rED%vz`>mzH{qGpcIkMLQ4{otpUL!7z!fK)26xbuc-YcTvc!gtT?*4&Ac zTx&oWyFGTxN#cOV-9JE+z_fMctKY#3c`J-y`luaNak6GGZH+K(6;4~Lc=GxM+1?nJ zVZL9-{vv7e6lD_HmAgSZZnnn9;w+S3MuKXrbh!t^^o|6Q8-rjDHSK+OB+;P4M-{6*wM! z62Axh==GCt@Ia+fq`64tdP#*S*a$FN)()Ifs@d%L>qo-TZ+^BHSn?iyz(EGzHjQrRUR!cLm1- z7EYk7%;;TkY2mefv-eib;%n2ro*kZ)|3H^L*d)<>WISph+tg}U_K*H$OjI}h6r@FaIBqn0hNm>HG@HXlHb-=!@QB-*~2KyRJ5l7nm)K z#C+Rp?pV;9np(2YK3>tD_Dl4GQ4?i_Ub+2V&&bIn?1AzJ@lxNU7ZwwqR*f5XvaR zv~|VYiPOCQoi3JbGW({#qHoUp_cM6fdh2St4o1ydTV#yP2q?C9Oj~=sQ=;xB;~SAFdaP0v3vlZ z@A%la&KUihZckf7J-$8(t_wtRT}1fxt)F>;89)3XGoE{ws}ApY)Bf!@81t)m+Uo9^ z$F-sqNUEzk*lxjykgr(l-EM=Hv_q3pU5OyX#zsUH3zimc>zN_nCB5Y$jD^ugyWEbiAJYSJ@{qL6>hY)$8wj4{}3^cnxz*{KcVt|{u z_^!t`*7sbjUeA||y}3=J2A{_VoN&GC9ShuGt4-hlba#5lFQA%sHrmyUaiT}s{8)}! zUXRT((E|9?B{@(L>_L*fOPsc^}AYyYf{yuTI>lE4};Bk z(e;XPH}lb2i40)?VKo-_92qachV*%Xforsz)ZOV5v0zgyG9-Tg}(Vs=Bup1aWq)84umwCDy{ zLN6wh5|msn*K>oi^xSLWDbkX%X_ip(BTJ0a7LAtfb>U6LTg&5b(hX99B$37_@i!q< z9BVw%g!L>sQ%qaOou0N@NQM9CA}$Luk>DqYaclwiBw=T*i7RcTbxhXSI@0;XGrGg8 zjM~qx9-^7?6{wQizr&bO-H_*@)@HO+{WOzj96NC|M!efPIQ25=_3)9>R_D>eWaCHT zNBEb%X4?-$AjZZ+m4eNk_^f!vW*=M>W3kNEu@d9WGLZB)s3M24#AH3g(}oPoyO+)w`)<(h#>@+)dRoYz zW%;PX5L*Xm5K5Y>K_+O<*ML`b;+uha_Uo7SdUJP>rv>1W(@C*SJQ` zQZ8#_@=eaSV6xZ$BE~7DdQr$|2cyQ=f_A5i(%5oltBusKP`D8g>fy%P#>_m{;-TXD zI`-b?N^&_>FrE`PlnJ$<+u8CbIgSSPlP=FkIV2N74@V8jP*}&pJMapdAizj+`+TH^~ep zpOnBX=oXg6Z!WA@M;hiC_Lut{-j+6Z7<25aOHP57)YQM}6SKmzvQ$w`G>hAdto2SY z#`PX<>1_X)TTN9|R?g#-3%*sBNq-wKYvU_1ig!&QFcQwR`rI5vd~1)N3w02RKTf~z z*%}#CxCh>QaZe=eP7P}wy$QD@#2S!69$%9a;@e|UBmJDCBR7V(YtE6@&a4X;?z;zJ zXgM0LYXH8hZz_AR+(gA$M>5qcVyhdOk;elHW|=~pkQ3$uq011F0nMa6y9S%?3$-a> z*QJt%m7;4Cp=l{btz?dn?{)LJ9HY-z6w*JSh?fdS>aS#;T(`G%1dqqBKJ0Fx=smt$ zE0gKG*&to9rSESMQ3=CUt;Cm+9q%vG=z_V~jQkyr%Jy5RuB|iVV$;ck&1cVlPNz2^ zKG5m4z29NlTrO$B?(vY{vp}!sV7wA1+`*r~m0``YB}3ml4msC0aP;rCT0Cv7h z`L&84MKj-h!5fXaw$ttJyKzsdcG}wBKhOmYYp*3m4_otT$0x0hAY3pT{N)sc(3_Nom zeo&;jHN$bi>lsJPnPYptE=Q;S2?Yf1^p&Z!B=uzz@?`_EwEmZhwJK3$w(yghJMV-( z{%2X3ZicHdwjoFA082>_Y!pB7%~d;AEav#`*C5vhJ$0%sy8-8`ndyDfRV8!-?QMX7 z_cVb(t2TEL`_y19eCvZSl&f`4_LO8g)(SjV$%wVU&OSUFZ+zOVn z@O0+ZU^%TgNaRXFLcVM%YvLILk_yQq{}I9anhEqA=*u^|Nr;PC%F^UiN)x7XrDSL< zu>kvnp#9o)(WahU_n4ObW=gm8zZLGk*{Hs&?`}7?GEc!UoPu}1tUWP*9zJQJW4V&D zR`(Bmb3B-}KQm+IA3&uH-yn8+U4nDH0yK-mYqDk;Lt61IDoZM*Q8^Y!i3w~hEfASq zyV`tGYKsaqO54uUs$^;g>rMu|k}|bqg8(0WBWz=`2sS&$&Rw8*bp6WV*z*;S_pN*B zDeNrN>}Yh?)7ay-!F^yj%4hGKx~>$tH-M0^wVUuiWl$78V1A7yX#t$294&1-R_)M= zTl9f!`R3A$IkR~jedf4R$Sag;1rDf)O$MOcv0^O~-JrKHZ$$C&?;~%>3RD;R7HHSN z+I$StT-PV+%UMg6>1y+ptZ~_^X&J2I#Nzk}VM5)uz_^w!ISLl``N!lOeGD_xR#y#< zb(*5&bTeZU%s5GOII=#R)yNjP**MC8M<4uULpPB1R7NOwtttzZFw^pv6yy++%hhJY zhiCu>3#Vnj(N5cNY$u16bRu2D=@tp!C1&Q{P6ou{qgsU`P1=rq50As zQTp{|I>(|=tvj1}dMP$}aM73>vQJw%Tjqo%ovC!X6&;qeXEc<}`m!~$*w!}O$K~U( zIj-v$V-sCCCS{`$CBb#nibMyqqX%y^xSP%^6XMW&k1abtqNWxIl?*I`Wdgd~9*DUR zsT1GCj{yGZ5u;bW*RND_^rqeRE6mXT5nj2+PeLaB#tsD%8$ugtEj-m<8|*%UyPPS8 zX5+|+6LRf<8k!){?n*#i0OhVk5Mnb%>R3==tuQnn*$u)M8&1#g*m9l5CS^;E5Hk>x z5{xoa?b++U3&sBgoPYd-=GgYP8L{Ne#mr%dt%pEJ%bD{Ay@>r2(E8VfcJzH~>0L@s zs@ad{t%mQOHw$BqZCl}fys&BRR^OaT+z!n;ex8Cp6_}gUud+ui)s$i?=VjLR&6^=| z_63;d@V3nP0L5#v_^KEl6ZzkaXt%M;W*FdJ=5{+T+VQTUO;vyDCzgM1sa`r}xnLmX(&|H-k2Q3s zm%dsuuuV3CTdm7R<{XM&vPF(UiSRZvRO!GXseyIrbf;;mTcWk~c2kq*vT4K4<0m;3 z!t>k^rRAAWCn;^!G~s0mONMxGVt&x8%%@<})PWOj{Lmggfgy{nAFgCxbyHv_HA$b7 zR*E}iTW^HxE96MQrYV=;y!`nMT5!)6@>69w6>zUkUp7MBGMzLZpPo<85TBoFANMC` zk76XuCoT74OHR0YMG~1WK=Qt;`U~dC-Fm(W1y5VU%(QhvNK=}o4lU7ma>Z7pqqQ?= z$L)dXsLZGvXzsD;zg@9oq5x_Oh$*s>xYNro623$*ZQU|&>>~g1_lM?>#@~hoGB~%B z)0@um1QlvP4BUKHnc>scJ+rB_FA)!pmc-vYO$q8gc;TjT~=(iOVyL{ z%&{TvltCa_GmvPQ7%5|tvI!LA%<7|5b?zbzRH5(o^;2ogQqQ!jXA=i#E(VK2>WOb| znP<;j8X8PnyOk6+NA|1G^S|Ba-^2kzsgllECXynt50IxYpF8=WSrhqSHyn`A4Sp2UR@>#0*uVegNVeW} zob9;|q>eDb+ez}mratW*Z*qT1hwz7A#AYZG>ebELf=I z!YXjS1|uYg)Er5AB{e0$R@2rjME z#i%uc&e{=6HY=EkDi(0X+$^kR8daDN@g`92GlN2M;(~f+qAxEe- zQeqKmY=n~-?Tg?>`J7QQ#!vi(>70==rrxswgq6#?c}LGhz2q4yrWrO04$xczr;$+J z(&N`Q_OP|X=g4S{IRdvit0CL)4TL=((@%|cmDJM#5r%SLjt z^sE&Wcj7NW{hh{%;o@`x#Q>ybOO2E<^_~r(0RiFd2#ab3Oz)Y&^8pqib%5p$0QVd~ z_5h;KjaivJfXnAs6z`z67zh;{c=t_Z*un{N-?2DGp5`1Q`tHCbs&~Nvy(f+AAH3Ou zJX`z)0Qd2F8ygCaC8@0CO82r1xwPe@TV9WSuRhEXT_)S5mZ=US)p)$!<1bCPc1-%| zYTgWXjI?u#I6T~l>$z~%%UyT4no%OOu8f=k4#1MNUe3ulju{0<^omLInrO%o6bvk0 z-iQ#J$SO0fz&cEPL^5r_nUbhClEJi^rDsCL{5fvUGm*)AMw^X*v(P9xa-|eJC5wOH z2jz6X;XWc$M^LA2*oj;56mMTY*3e1&d1qpyL+k}%^1wJA2znT8Fd*(FQmwAQ{TeB0 z>rs<*@s|btyuapmVMl{PNX9lEM0OLoAY7e2!g!x2ql4MScBDzqn>%7N?!@LzGy=H&S44vw*;a1pxIMbL>x%$ z_Z%vel&T)T4ZD?9ysgpgMqNQgeRkJacRd&!v1a>KxI*A-FI`}0!FB!Oi~B+Eo7PAy z!V+7ip~SsXCCsqZ?LJ~u7cituF*JJ?+vK{yOdD7-&_$jvf{l=vl^lU)J6!9WhUT4L z#BjT!P&69Xt57Isq>M>Cs|W}r_0bRZ%(b@#X806ttCyXp@;1mh5PRli7@*evMwNqxn#-C-B#s zO)I~irP-dR>MVvJFO;|;Q5V2+hqBq!NP?NPxq7oZ_hm^VwaiEL#jBBo+>v7B?EW2L%Ynr z1+}DD&W&4{*47)!v^Or>zATgsre5s%N3l!12Xwfw>D3Jod8yLOo~aS)8Imegeg!1r zFJJKnemh7Yo)JqA>ubuUif}{N^%eD}WS57wsk#fCaIY z*T=P^nK3$LL3Q#3>;@xr{}96WBXEle)2|l5v=t*84XeCw5uK2&PSO`T<=#O*M57T` zpfGOMEGteXQ)(01ZVi)*geiY6W=@fhfdi(x03JO?=A?Vf3Uz0?h?wOy=R0;aU~BN_ z8C~CfOblzF%=GT5_nvf4S-L}{F>m^P;)3kO90@f;TB}($J82`s8~S2i4&q)Abi1D} zTSlC+A8`A&9j2|foxb^~;rD8)p*dvLG6%zIS8+AJFuF^6%(b7fl-X6PNKt>arGb1cO;vm{f_<2aJ8|< zJoY-%d;BFR-G^C-6l|KxB{*$0pM66P_k74mCnx%Q7h#FuwVimul*}#~`0Y@wG5nJ7 z116BID>da!qN#4xM2fCXbgFtb=Z=kJ%+ESmP1U0XrR_#E=q%dUD^@uQjj#& zoM5*&B+HD=M~gV&t{KWgF@N&hfH7sHG{$#0*tH01Hw-2^gvYVfw=XpV4I>HpaBc!J zNp?$J*vg#vG;fY?B0y`Em;cnM_SySHBU5ZF0E-0@`}!=ArF0pnZ-V7VkL@Jel=5{W zXa%97EJ(D2tI%}WX*+)fN3Ka!(D#MPM4(#UrUgvvFVDD7w@xb5umg6j0naxN3v{Ar z$Z5&TgYXzXQrZcgn6Veh%So$Nh_OxDU3Fn?xNIt(Ve`iYas z?5$!Kz19R@y{s%&*)tT_dZPj&&hEy2FP^rJwqJ$Q^%-|Zu$no=qDoHhT^^bzU$%79 z#$WbV=^cT6J==$2ow4}|HuN=a+G?J?6}OyI3=5*zoRDRr6>t~Yk}0Phd78D@@&uaY z`K8zzSV(OI>Uadd(0EdNs|c6Zg@Z7iH6kTUgV|k3>cua{MBd_2$-7a>*;2U)wKGN| zb-wq(tFf;ta|MDSYF1j<+ljpV)eEo3*k6!)POVMv{U9}WFgj-V9T-VUz-g=}~|!bkD6^;t|? z^~dp`trPqqJb2=W>5acz2JHglUo$84v)^R|tjX3c^*rJ5(Heeka{04Wo=Fw54mX^` zYb*CEB91#c0PFVHO^hU%h9g^f3()yrW0%!oG7Z+TiSX?yUt(%5x?_o)G!Y3=`In(J zW+9Q5EV3b85O3f-FQkoBt4&kg6U6{|$rPJ}O1f)gB~-(#>(-#Me=8ae-l;On)r?uJ zZzHP?rA9m{aVue@zcC4#1EkXuBrGX8K{OvbG|grO71U7$u7HXQ#>SrBc98-PI@4CD za(~s_#~_7LMJ&auXrdVMBHe_DJT-q58wY)@@GtOvk8PYhEBBdW>#f{qRlR;VC;rBC z4ZGIhp9g3k;DHNKr8}-0al1+~k;O`~ft%S3upjXPS%zkPj=!13#yU4D#@S_a#wptb zL2CFtWTL3PfsHl8U72hyL#4U7x;jHBYGA>Pmx`ox zuC(E5981-v1uTh<`q-pqN)x+|Og*tAne!NLYqkBs@DPGFIf5M@P-l;?JOO`Mt=9XBg8D%QVhO zw@COdW>|sv5{T-`T>fdR-61&^5@Dtp#wRTqpxrfaVFr*jJ0Z}lD_b**;cbCtxCyU} z^J%M^Eiy*88O1@cxw0ijWVMKyc(%HcahaYCUW5>h@!g2G-yRx!2iB;>rRuN6TIQ9B zX255r!H_uM%q6`&o8Iu;ckpRzfAi$IMT`5BtR&q8V&1Oa-?7rnt86>?G+z;cJOO+JI0 zVA!-&NUk?~@)|YrW!&TlPorKw@gdhzCd~kP*;tz)f*~;GTXI(9K}=f>pSHSbYwUNH zA(w_DR{xtv&5bAT%H^2y8BAO8v~@L)%r&3n7xeNh*&NJ17jVREhG#BXvG$z%bWhId zefu-E-wD~D!;o`ZBQqY975R2i#%C~o!ka-9lNh0*Uire@*tSnw-FY?cyGp{apN|aF zHk@jZ-MQQ+BM~$YUlT?E0&7p34)i4y8$O2<#2U$#Yx>L}+Xt#Szv6+>uxdG1knM~bf zX@`*BEa=F!?E>u(v;HmXLsXbk6-PUH?2V-f;c)0Vs@g(Mb{-w98*SvU`_Am_Te3+| znuv}jnrqayRiK@rHFO+jp8xj4chTL*XX0_YQ6;nbpDvro?K`EllE(uxkFilM__fT_ z5_G%0q|@r`;3~h2aJ~UC+l+@an}#cU9k3^Ef~OgedrBO>Vzm=qY%viI;hkhq~ez<-#8@*sY-8 zDAtM-`qjVRH@h2wAwx~DElJt%50l8WnpQ~0W_6++$V3AfCWNe!1{TUR0xQ0#i+M|_ z9HETq=-DnNJIecju8w~Tms`XzTBU&|sPe3dzlFBxi&Wa1CjWm_h+V3c&<)EYR^ zJ=q5G`65jf#khRD&=Cng9)sQ3P%+|6f z*%~3z3Wp&f*zzSQV`|M#0^(Rc231>VwG8H1@GFk3UV+h|5+u9^Z>u4#4#8avX}u(I zNC>q@3rbEE5rqE=Z2y*UV#5-f4fb#;i&;lzGcikz&43|ArP)NoS&F+bF_AT!4}Xq@ ztc^gAq023Z5OUHSz;c&0GAv;l%;uuLi&ZHP5Ac4{_OIZ{x51+{=xvXO5&S^##esXt zL+Fk7jK$j*tZoX+Q-TMu6jhcR)y6ULqB+i7rlcB(zOhy4^Nu_JR`;&%ueddh#)|EW2%tHP>l7q+s*3wV?@iX=Gh^^4@EMMRK-Jg`+LUmsfjUa;xIh zj=gg056$~QGucz63ln)pHH`lNcw0j|?`YYFg^dm}Ee)fCh7K&e*1BtBX!dLee$OI@ zI&Ez(XqVojv*S}R>J+?Fu%e?o?=bKKB*wKlws}v)7+fRrxY`_;J3+Zev|(sow$Cs; zM~&g$PDMR$OuiC1Z5^BFjrcYQx(^>fzf!c>u;BM?YCcNGa4Mx~!D4gW0gese=Z_KX zlUrie7w%zrzTau9osG3L9W043du7&a;<$@PN?@Zam95M|g^eKAy7zrK?N@Tr96-3s z87X7hJqsMmDX2QN((m=&ix(|}OO8_SYL|B23$Y+ITS^q)`-cQyGM%{Sd?lg@vZ`ET z7=U{YyJt7VC?%_lWJ$_3ha@s?Asean;1{1L8B*;?CmQku7;)>*1swG(p(B%}2}%yb zq@_TPkYL=ZBq6!hv&q>&7WcGO(5(4lg?;A89QDppcO$S4Rj zDK%?&+B&6B!oHp)>W^$XhYYyGu@8_&8HRZ(*t>dGf|24z1ZFrhcx8vr+-;s(YBS-6)&RTTPHk2)HC1@FJRe}zSh^v~eMewLb#6oXbGOApAu5Gz zNjP71ZQLdC!$-I;G#tL#{c7xEsY@)|_iO5#HKG67|4CR>U8Tu+v$P9VDY9qsiO(6- zzLU+(ubU;&W-V~8iz3TH@WPmaq^V8`-@y5M)j?>Tgl#_$;n@_^*5x)w>d*T*-Tw(# z_kbz&M$?o}*g}3k*)Da~L9cY+X=3U5$7}p@AlP>4mA$J;fHX~aOtb+WAGAVxy4pM| z26Ov;+G-1`@`}%2E-lLWGtsI!p0*luGdhQ}v+4wFs~8#XsX33>2`L#|6T$F!&|XmS z9R(TL1_T@7*xS{NejbS&fp$|Pf{e*wVzb7Q(gv0cIqk?N8uElnBh@Ta+6X0G;1)`N zAi1)oPZ^cuuAcE}>nS`xx$jT(9&Qe#8gNu89qQVouhHrxNX#8^gX8D-=Y zzN1`$?}$q6cjW)O#l}magV2n3lXUAb8q~9}Di~8G3%Ww|1}%V(SGW$tl8=o8PVu1F zvIIZ%5@KT`bCV%fj0u!vm`w}Dd?maDZi3NRQftgcDM83pEL%?2fJLdN5g244M@E