Test branch copy to move to local

This commit is contained in:
Aadi Desai 2021-06-01 16:04:15 +01:00
parent a00eb39ad1
commit 20cdb8f06e
92 changed files with 12074 additions and 3915 deletions

View file

@ -1,2 +1,2 @@
<?xml version="1.0" encoding="UTF-8"?>
<filters version="16.1" />
<filters version="16.0" />

View file

@ -10,5 +10,5 @@
</columns>
</systemtable>
<library expandedCategories="Library,Project" />
<window width="1694" height="929" x="136" y="110" />
<window width="2560" height="1377" x="0" y="23" />
</preferences>

View file

@ -6,7 +6,7 @@ set_global_assignment -name FAMILY "MAX 10"
set_global_assignment -name DEVICE 10M50DAF484C7G
set_global_assignment -name TOP_LEVEL_ENTITY DE10_LITE_D8M_VIP
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0
set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition"
set_global_assignment -name LAST_QUARTUS_VERSION 16.0.2
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:21:37 AUGUST 23,2016"
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484

File diff suppressed because one or more lines are too long

View file

@ -0,0 +1,480 @@
!SESSION 2021-06-01 14:03:06.351 -----------------------------------------------
eclipse.buildId=4.3.2.M20140221-1700
java.version=1.8.0_05
java.vendor=Oracle Corporation
BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US
Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini
Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini
!ENTRY org.eclipse.ui 2 0 2021-06-01 14:04:23.380
!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points.
!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 14:04:23.380
!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file'
!ENTRY org.eclipse.ui 2 0 2021-06-01 14:04:24.498
!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points.
!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 14:04:24.498
!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file'
!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 14:06:17.329
!MESSAGE Indexed 'D8M_Camera_Test' (6 sources, 6 headers) in 0.60 sec: 306 declarations; 1,011 references; 24 unresolved inclusions; 0 syntax errors; 201 unresolved names (13.24%)
!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 14:07:50.514
!MESSAGE Indexed 'D8M_Camera_Test_bsp' (83 sources, 154 headers) in 1.78 sec: 5,266 declarations; 8,569 references; 16 unresolved inclusions; 2 syntax errors; 51 unresolved names (0.37%)
!ENTRY org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE Keybinding conflicts occurred. They may interfere with normal accelerator operation.
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for ALT+CTRL+I:
Binding(ALT+CTRL+I,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser,
Open an include browser on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@24090832,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(ALT+CTRL+I,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser,
Open an include browser on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@24090832,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for CTRL+SHIFT+T:
Binding(CTRL+SHIFT+T,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element,
Open an element in an Editor,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@7e38d2a2,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+SHIFT+T,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element,
Open an element in an Editor,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@7e38d2a2,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for F4:
Binding(F4,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy,
Open a type hierarchy on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@79408109,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(F4,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy,
Open a type hierarchy on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@79408109,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for ALT+SHIFT+R:
Binding(ALT+SHIFT+R,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring ,
Rename the selected element,
Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@33671907,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(ALT+SHIFT+R,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring ,
Rename the selected element,
Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@33671907,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for F3:
Binding(F3,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration,
Open an editor on the selected element's declaration(s),
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@5fc3dfc1,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(F3,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration,
Open an editor on the selected element's declaration(s),
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@5fc3dfc1,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for CTRL+SHIFT+G:
Binding(CTRL+SHIFT+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References,
Search for references to the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@67d6bb59,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+SHIFT+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References,
Search for references to the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@67d6bb59,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for CTRL+G:
Binding(CTRL+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration,
Search for declarations of the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1a632663,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration,
Search for declarations of the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1a632663,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for ALT+CTRL+H:
Binding(ALT+CTRL+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy,
Open the call hierarchy for the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1147ab09,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(ALT+CTRL+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy,
Open the call hierarchy for the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1147ab09,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 14:09:49.546
!MESSAGE A conflict occurred for CTRL+SHIFT+H:
Binding(CTRL+SHIFT+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy,
Open a type in the type hierarchy view,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@503b5337,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+SHIFT+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy,
Open a type in the type hierarchy view,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@503b5337,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:11:37.605
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0]
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:11:39.859
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf]
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:35:55.217
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0]
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 14:35:58.889
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf]
!SESSION 2021-06-01 15:25:18.150 -----------------------------------------------
eclipse.buildId=4.3.2.M20140221-1700
java.version=1.8.0_05
java.vendor=Oracle Corporation
BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US
Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini
Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini
!ENTRY org.eclipse.core.resources 2 10035 2021-06-01 15:25:34.463
!MESSAGE The workspace exited with unsaved changes in the previous session; refreshing workspace to recover changes.
!ENTRY org.eclipse.ui 2 0 2021-06-01 15:25:35.756
!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points.
!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:25:35.756
!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file'
!ENTRY org.eclipse.ui 2 0 2021-06-01 15:25:36.679
!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points.
!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:25:36.679
!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file'
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:01.828
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:03.222
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:04.718
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:06.290
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:06.295
!MESSAGE Downloading ELF Process failed
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:48.922
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:50.294
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:30:51.067
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:52.474
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:30:52.478
!MESSAGE Downloading ELF Process failed
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:31:19.409
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:20.799
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:31:21.477
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:22.936
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:31:22.940
!MESSAGE Downloading ELF Process failed
!ENTRY org.eclipse.cdt.core 1 0 2021-06-01 15:39:40.412
!MESSAGE Indexed 'D8M_Camera_Test' (6 sources, 68 headers) in 0.40 sec: 2,546 declarations; 4,517 references; 0 unresolved inclusions; 0 syntax errors; 0 unresolved names (0.00%)
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:41:17.879
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:19.297
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:41:19.587
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:21.001
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:41:21.005
!MESSAGE Downloading ELF Process failed
!SESSION 2021-06-01 15:51:10.061 -----------------------------------------------
eclipse.buildId=4.3.2.M20140221-1700
java.version=1.8.0_05
java.vendor=Oracle Corporation
BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US
Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini
Command-line arguments: -os linux -ws gtk -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization /usr/local/altera/16.0/nios2eds/bin/eclipse_nios2/plugin_customization.ini
!ENTRY org.eclipse.ui 2 0 2021-06-01 15:51:21.549
!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points.
!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:51:21.549
!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file'
!ENTRY org.eclipse.ui 2 0 2021-06-01 15:51:22.916
!MESSAGE Warnings while parsing the commands from the 'org.eclipse.ui.commands' and 'org.eclipse.ui.actionDefinitions' extension points.
!SUBENTRY 1 org.eclipse.ui 2 0 2021-06-01 15:51:22.916
!MESSAGE Commands should really have a category: plug-in='org.eclipse.linuxtools.systemtap.ui.graphing', id='org.eclipse.linuxtools.systemtap.ui.graphing.commands.SaveGraphImage', categoryId='org.eclipse.linuxtools.systemtap.ui.graphing.category.file'
!ENTRY org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE Keybinding conflicts occurred. They may interfere with normal accelerator operation.
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for F3:
Binding(F3,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration,
Open an editor on the selected element's declaration(s),
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@612bb755,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(F3,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.opendecl,Open Declaration,
Open an editor on the selected element's declaration(s),
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@612bb755,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for ALT+CTRL+I:
Binding(ALT+CTRL+I,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser,
Open an include browser on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@6ba226cd,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(ALT+CTRL+I,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.include.browser,Open Include Browser,
Open an include browser on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@6ba226cd,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for F4:
Binding(F4,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy,
Open a type hierarchy on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@62e99458,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(F4,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.type.hierarchy,Open Type Hierarchy,
Open a type hierarchy on the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@62e99458,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for ALT+SHIFT+R:
Binding(ALT+SHIFT+R,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring ,
Rename the selected element,
Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1eddca25,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(ALT+SHIFT+R,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.text.rename.element,Rename - Refactoring ,
Rename the selected element,
Category(org.eclipse.cdt.ui.category.refactoring,Refactor - C++,C/C++ Refactorings,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@1eddca25,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for CTRL+SHIFT+G:
Binding(CTRL+SHIFT+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References,
Search for references to the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@22f057b4,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+SHIFT+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.findrefs,References,
Search for references to the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@22f057b4,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for CTRL+G:
Binding(CTRL+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration,
Search for declarations of the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@581e8969,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+G,
ParameterizedCommand(Command(org.eclipse.cdt.ui.search.finddecl,Declaration,
Search for declarations of the selected element in the workspace,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@581e8969,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for ALT+CTRL+H:
Binding(ALT+CTRL+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy,
Open the call hierarchy for the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@767b9d66,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(ALT+CTRL+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.edit.open.call.hierarchy,Open Call Hierarchy,
Open the call hierarchy for the selected element,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@767b9d66,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for CTRL+SHIFT+H:
Binding(CTRL+SHIFT+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy,
Open a type in the type hierarchy view,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@687fd6e,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+SHIFT+H,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.open.type.in.hierarchy,Open Type in Hierarchy,
Open a type in the type hierarchy view,
Category(org.eclipse.ui.category.navigate,Navigate,null,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@687fd6e,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!SUBENTRY 1 org.eclipse.jface 2 0 2021-06-01 15:51:50.182
!MESSAGE A conflict occurred for CTRL+SHIFT+T:
Binding(CTRL+SHIFT+T,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element,
Open an element in an Editor,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@266a47fd,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cEditorScope,,,system)
Binding(CTRL+SHIFT+T,
ParameterizedCommand(Command(org.eclipse.cdt.ui.navigate.opentype,Open Element,
Open an element in an Editor,
Category(org.eclipse.cdt.ui.category.source,Source,Source commands,true),
org.eclipse.ui.internal.WorkbenchHandlerServiceHandler@266a47fd,
,,true),null),
org.eclipse.ui.defaultAcceleratorConfiguration,
org.eclipse.cdt.ui.cViewScope,,,system)
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:53:32.747
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --stop --sidp=0x410e0 --id=0x0]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:34.132
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --stop, --sidp=0x410e0, --id=0x0] return code: 8
!ENTRY com.altera.sbtgui.launch 1 0 2021-06-01 15:53:34.450
!MESSAGE Executing: [/bin/bash, -c, nios2-download '--cable=USB-Blaster on 129.31.224.137 [USB-0]' --device=1 --instance=0 --go --sidp=0x410e0 --id=0x0 /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf]
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:36.047
!MESSAGE Failed Executing: [nios2-download, '--cable=USB-Blaster on 129.31.224.137 [USB-0]', --device=1, --instance=0, --go, --sidp=0x410e0, --id=0x0, /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test/D8M_Camera_Test.elf] return code: 8
!ENTRY com.altera.sbtgui.launch 4 0 2021-06-01 15:53:36.052
!MESSAGE Downloading ELF Process failed

View file

@ -0,0 +1,2 @@
*** SESSION Jun 01, 2021 15:25:39.63 -------------------------------------------
*** SESSION Jun 01, 2021 15:51:26.00 -------------------------------------------

View file

@ -0,0 +1,9 @@
15:53:28 **** Incremental Build of configuration Nios II for project D8M_Camera_Test ****
make all
Info: Building ../D8M_Camera_Test_bsp/
make --no-print-directory -C ../D8M_Camera_Test_bsp/
[BSP build complete]
[D8M_Camera_Test build complete]
15:53:28 Build Finished (took 237ms)

View file

@ -0,0 +1,129 @@
15:52:33 **** Build of configuration Nios II for project D8M_Camera_Test_bsp ****
make all
Compiling alt_alarm_start.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_alarm_start.o HAL/src/alt_alarm_start.c
Compiling alt_busy_sleep.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_busy_sleep.o HAL/src/alt_busy_sleep.c
Compiling alt_close.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_close.o HAL/src/alt_close.c
Compiling alt_dcache_flush.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush.o HAL/src/alt_dcache_flush.c
Compiling alt_dcache_flush_all.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush_all.o HAL/src/alt_dcache_flush_all.c
Compiling alt_dcache_flush_no_writeback.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dcache_flush_no_writeback.o HAL/src/alt_dcache_flush_no_writeback.c
Compiling alt_dev.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dev.o HAL/src/alt_dev.c
Compiling alt_dma_rxchan_open.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dma_rxchan_open.o HAL/src/alt_dma_rxchan_open.c
Compiling alt_dma_txchan_open.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_dma_txchan_open.o HAL/src/alt_dma_txchan_open.c
Compiling alt_ecc_fatal_exception.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_ecc_fatal_exception.o HAL/src/alt_ecc_fatal_exception.c
Compiling alt_exception_entry.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_exception_entry.o HAL/src/alt_exception_entry.S
Compiling alt_exit.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_exit.o HAL/src/alt_exit.c
Compiling alt_fcntl.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fcntl.o HAL/src/alt_fcntl.c
Compiling alt_fd_lock.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fd_lock.o HAL/src/alt_fd_lock.c
Compiling alt_fd_unlock.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fd_unlock.o HAL/src/alt_fd_unlock.c
Compiling alt_find_dev.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_find_dev.o HAL/src/alt_find_dev.c
Compiling alt_find_file.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_find_file.o HAL/src/alt_find_file.c
Compiling alt_flash_dev.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_flash_dev.o HAL/src/alt_flash_dev.c
Compiling alt_fs_reg.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fs_reg.o HAL/src/alt_fs_reg.c
Compiling alt_fstat.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_fstat.o HAL/src/alt_fstat.c
Compiling alt_get_fd.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_get_fd.o HAL/src/alt_get_fd.c
Compiling alt_gmon.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_gmon.o HAL/src/alt_gmon.c
Compiling alt_icache_flush.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_icache_flush.o HAL/src/alt_icache_flush.c
Compiling alt_icache_flush_all.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_icache_flush_all.o HAL/src/alt_icache_flush_all.c
Compiling alt_iic.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_iic.o HAL/src/alt_iic.c
Compiling alt_iic_isr_register.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_iic_isr_register.o HAL/src/alt_iic_isr_register.c
Compiling alt_instruction_exception_entry.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_instruction_exception_entry.o HAL/src/alt_instruction_exception_entry.c
Compiling alt_instruction_exception_register.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_instruction_exception_register.o HAL/src/alt_instruction_exception_register.c
Compiling alt_io_redirect.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_io_redirect.o HAL/src/alt_io_redirect.c
Compiling alt_ioctl.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_ioctl.o HAL/src/alt_ioctl.c
Compiling alt_irq_entry.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_irq_entry.o HAL/src/alt_irq_entry.S
Compiling alt_irq_handler.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_handler.o HAL/src/alt_irq_handler.c
Compiling alt_irq_register.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_register.o HAL/src/alt_irq_register.c
Compiling alt_irq_vars.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_irq_vars.o HAL/src/alt_irq_vars.c
Compiling alt_isatty.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_isatty.o HAL/src/alt_isatty.c
Compiling alt_lseek.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_lseek.o HAL/src/alt_lseek.c
Compiling alt_main.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_main.o HAL/src/alt_main.c
Compiling alt_open.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_open.o HAL/src/alt_open.c
Compiling alt_read.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_read.o HAL/src/alt_read.c
Compiling alt_release_fd.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_release_fd.o HAL/src/alt_release_fd.c
Compiling alt_remap_cached.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_remap_cached.o HAL/src/alt_remap_cached.c
Compiling alt_remap_uncached.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_remap_uncached.o HAL/src/alt_remap_uncached.c
Compiling alt_sbrk.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_sbrk.o HAL/src/alt_sbrk.c
Compiling alt_software_exception.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_software_exception.o HAL/src/alt_software_exception.S
Compiling alt_tick.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_tick.o HAL/src/alt_tick.c
Compiling alt_uncached_free.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_uncached_free.o HAL/src/alt_uncached_free.c
Compiling alt_uncached_malloc.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_uncached_malloc.o HAL/src/alt_uncached_malloc.c
Compiling alt_write.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/alt_write.o HAL/src/alt_write.c
Compiling altera_nios2_gen2_irq.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/HAL/src/altera_nios2_gen2_irq.o HAL/src/altera_nios2_gen2_irq.c
Compiling crt0.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/crt0.o HAL/src/crt0.S
Compiling alt_sys_init.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/alt_sys_init.o alt_sys_init.c
Compiling altera_avalon_jtag_uart_fd.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_fd.o drivers/src/altera_avalon_jtag_uart_fd.c
Compiling altera_avalon_jtag_uart_init.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_init.o drivers/src/altera_avalon_jtag_uart_init.c
Compiling altera_avalon_jtag_uart_ioctl.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o drivers/src/altera_avalon_jtag_uart_ioctl.c
Compiling altera_avalon_jtag_uart_read.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_read.o drivers/src/altera_avalon_jtag_uart_read.c
Compiling altera_avalon_jtag_uart_write.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_jtag_uart_write.o drivers/src/altera_avalon_jtag_uart_write.c
Compiling altera_avalon_sysid_qsys.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_sysid_qsys.o drivers/src/altera_avalon_sysid_qsys.c
Compiling altera_avalon_timer_sc.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_sc.o drivers/src/altera_avalon_timer_sc.c
Compiling altera_avalon_timer_ts.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_ts.o drivers/src/altera_avalon_timer_ts.c
Compiling altera_avalon_timer_vars.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mgpopt=local -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/drivers/src/altera_avalon_timer_vars.o drivers/src/altera_avalon_timer_vars.c
Creating libhal_bsp.a...
rm -f -f libhal_bsp.a
nios2-elf-ar -src libhal_bsp.a obj/HAL/src/alt_alarm_start.o obj/HAL/src/alt_busy_sleep.o obj/HAL/src/alt_close.o obj/HAL/src/alt_dcache_flush.o obj/HAL/src/alt_dcache_flush_all.o obj/HAL/src/alt_dcache_flush_no_writeback.o obj/HAL/src/alt_dev.o obj/HAL/src/alt_dev_llist_insert.o obj/HAL/src/alt_dma_rxchan_open.o obj/HAL/src/alt_dma_txchan_open.o obj/HAL/src/alt_do_ctors.o obj/HAL/src/alt_do_dtors.o obj/HAL/src/alt_ecc_fatal_entry.o obj/HAL/src/alt_ecc_fatal_exception.o obj/HAL/src/alt_env_lock.o obj/HAL/src/alt_environ.o obj/HAL/src/alt_errno.o obj/HAL/src/alt_exception_entry.o obj/HAL/src/alt_exception_muldiv.o obj/HAL/src/alt_exception_trap.o obj/HAL/src/alt_execve.o obj/HAL/src/alt_exit.o obj/HAL/src/alt_fcntl.o obj/HAL/src/alt_fd_lock.o obj/HAL/src/alt_fd_unlock.o obj/HAL/src/alt_find_dev.o obj/HAL/src/alt_find_file.o obj/HAL/src/alt_flash_dev.o obj/HAL/src/alt_fork.o obj/HAL/src/alt_fs_reg.o obj/HAL/src/alt_fstat.o obj/HAL/src/alt_get_fd.o obj/HAL/src/alt_getchar.o obj/HAL/src/alt_getpid.o obj/HAL/src/alt_gettod.o obj/HAL/src/alt_gmon.o obj/HAL/src/alt_icache_flush.o obj/HAL/src/alt_icache_flush_all.o obj/HAL/src/alt_iic.o obj/HAL/src/alt_iic_isr_register.o obj/HAL/src/alt_instruction_exception_entry.o obj/HAL/src/alt_instruction_exception_register.o obj/HAL/src/alt_io_redirect.o obj/HAL/src/alt_ioctl.o obj/HAL/src/alt_irq_entry.o obj/HAL/src/alt_irq_handler.o obj/HAL/src/alt_irq_register.o obj/HAL/src/alt_irq_vars.o obj/HAL/src/alt_isatty.o obj/HAL/src/alt_kill.o obj/HAL/src/alt_link.o obj/HAL/src/alt_load.o obj/HAL/src/alt_log_macro.o obj/HAL/src/alt_log_printf.o obj/HAL/src/alt_lseek.o obj/HAL/src/alt_main.o obj/HAL/src/alt_malloc_lock.o obj/HAL/src/alt_mcount.o obj/HAL/src/alt_open.o obj/HAL/src/alt_printf.o obj/HAL/src/alt_putchar.o obj/HAL/src/alt_putcharbuf.o obj/HAL/src/alt_putstr.o obj/HAL/src/alt_read.o obj/HAL/src/alt_release_fd.o obj/HAL/src/alt_remap_cached.o obj/HAL/src/alt_remap_uncached.o obj/HAL/src/alt_rename.o obj/HAL/src/alt_sbrk.o obj/HAL/src/alt_settod.o obj/HAL/src/alt_software_exception.o obj/HAL/src/alt_stat.o obj/HAL/src/alt_tick.o obj/HAL/src/alt_times.o obj/HAL/src/alt_uncached_free.o obj/HAL/src/alt_uncached_malloc.o obj/HAL/src/alt_unlink.o obj/HAL/src/alt_usleep.o obj/HAL/src/alt_wait.o obj/HAL/src/alt_write.o obj/HAL/src/altera_nios2_gen2_irq.o obj/HAL/src/crt0.o obj/alt_sys_init.o obj/drivers/src/altera_avalon_jtag_uart_fd.o obj/drivers/src/altera_avalon_jtag_uart_init.o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o obj/drivers/src/altera_avalon_jtag_uart_read.o obj/drivers/src/altera_avalon_jtag_uart_write.o obj/drivers/src/altera_avalon_sysid_qsys.o obj/drivers/src/altera_avalon_timer_sc.o obj/drivers/src/altera_avalon_timer_ts.o obj/drivers/src/altera_avalon_timer_vars.o
[BSP build complete]
15:52:36 Build Finished (took 2s.792ms)

View file

@ -0,0 +1,7 @@
<?xml version="1.0" encoding="UTF-8"?>
<section name="Workbench">
<section name="org.eclipse.cdt.ui.text.hover.CMacroExpansionExploration">
</section>
<section name="completion_proposal_size">
</section>
</section>

View file

@ -0,0 +1,61 @@
15:53:05 **** Build of configuration Nios II for project D8M_Camera_Test ****
make all
Info: Building ../D8M_Camera_Test_bsp/
make --no-print-directory -C ../D8M_Camera_Test_bsp/
[BSP build complete]
Info: Compiling I2C_core.c to obj/default/I2C_core.o
nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/I2C_core.o I2C_core.c
I2C_core.c: In function 'oc_i2c_uninit':
I2C_core.c:146:15: warning: unknown escape sequence: '\I'
printf("\I2C core is failed to disable! \r\n");
^
I2C_core.c: In function 'OC_I2CL_Read':
I2C_core.c:612:22: warning: unused variable 'DataLow' [-Wunused-variable]
alt_u8 DataHigh, DataLow;
^
I2C_core.c:612:12: warning: unused variable 'DataHigh' [-Wunused-variable]
alt_u8 DataHigh, DataLow;
^
Info: Compiling auto_focus.c to obj/default/auto_focus.o
nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/auto_focus.o auto_focus.c
auto_focus.c: In function 'Focus_Window':
auto_focus.c:80:11: warning: 'end_focus' may be used uninitialized in this function [-Wmaybe-uninitialized]
return end_focus;
^
Info: Compiling main.c to obj/default/main.o
nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/main.o main.c
main.c: In function 'main':
main.c:233:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=]
printf("\nExposure = %x ", exposureTime);
^
main.c:233:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=]
main.c:238:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=]
printf("\nExposure = %x ", exposureTime);
^
main.c:238:20: warning: format '%x' expects argument of type 'unsigned int', but argument 2 has type 'alt_u32 {aka long unsigned int}' [-Wformat=]
Info: Compiling mipi_bridge_config.c to obj/default/mipi_bridge_config.o
nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/mipi_bridge_config.o mipi_bridge_config.c
Info: Compiling mipi_camera_config.c to obj/default/mipi_camera_config.o
nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/mipi_camera_config.o mipi_camera_config.c
Info: Compiling queue.c to obj/default/queue.o
nios2-elf-gcc -xc -MP -MMD -c -I../D8M_Camera_Test_bsp//HAL/inc -I../D8M_Camera_Test_bsp/ -I../D8M_Camera_Test_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o obj/default/queue.o queue.c
Info: Linking D8M_Camera_Test.elf
nios2-elf-g++ -T'../D8M_Camera_Test_bsp//linker.x' -msys-crt0='../D8M_Camera_Test_bsp//obj/HAL/src/crt0.o' -msys-lib=hal_bsp -L../D8M_Camera_Test_bsp/ -Wl,-Map=D8M_Camera_Test.map -O0 -g -Wall -mno-hw-div -mhw-mul -mno-hw-mulx -o D8M_Camera_Test.elf obj/default/I2C_core.o obj/default/auto_focus.o obj/default/main.o obj/default/mipi_bridge_config.o obj/default/mipi_camera_config.o obj/default/queue.o -lm -msys-lib=m
nios2-elf-insert D8M_Camera_Test.elf --thread_model hal --cpu_name nios2_gen2 --qsys true --simulation_enabled false --id 0 --sidp 0x410e0 --timestamp 1622558600 --stderr_dev jtag_uart --stdin_dev jtag_uart --stdout_dev jtag_uart --sopc_system_name Qsys --quartus_project_dir "/home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16" --jdi ../..//output_files/DE10_LITE_D8M_VIP.jdi --sopcinfo /home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp/../../Qsys.sopcinfo
Info: (D8M_Camera_Test.elf) 84 KBytes program size (code + initialized data).
Info: 5096 Bytes free for stack + heap.
Info: Creating D8M_Camera_Test.objdump
nios2-elf-objdump --disassemble --syms --all-header --source D8M_Camera_Test.elf >D8M_Camera_Test.objdump
[D8M_Camera_Test build complete]
15:53:25 **** Build of configuration Nios II for project D8M_Camera_Test ****
make all
Info: Building ../D8M_Camera_Test_bsp/
make --no-print-directory -C ../D8M_Camera_Test_bsp/
[BSP build complete]
[D8M_Camera_Test build complete]
15:53:28 **** Incremental Build of configuration Nios II for project D8M_Camera_Test ****
make all
Info: Building ../D8M_Camera_Test_bsp/
make --no-print-directory -C ../D8M_Camera_Test_bsp/
[BSP build complete]
[D8M_Camera_Test build complete]

View file

@ -0,0 +1,302 @@
#include <stdio.h>
#include "I2C_core.h"
#include "terasic_includes.h"
#include "mipi_camera_config.h"
#include "mipi_bridge_config.h"
#include "system.h"
#include "auto_focus.h"
#include <fcntl.h>
#include <unistd.h>
//EEE_IMGPROC defines
#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B')
//offsets
#define EEE_IMGPROC_STATUS 0
#define EEE_IMGPROC_MSG 1
#define EEE_IMGPROC_ID 2
#define EEE_IMGPROC_BBCOL 3
#define EXPOSURE_INIT 0x002000
#define EXPOSURE_STEP 0x100
#define GAIN_INIT 0xFFF
#define GAIN_STEP 0xFFF
#define DEFAULT_LEVEL 3
#define MIPI_REG_PHYClkCtl 0x0056
#define MIPI_REG_PHYData0Ctl 0x0058
#define MIPI_REG_PHYData1Ctl 0x005A
#define MIPI_REG_PHYData2Ctl 0x005C
#define MIPI_REG_PHYData3Ctl 0x005E
#define MIPI_REG_PHYTimDly 0x0060
#define MIPI_REG_PHYSta 0x0062
#define MIPI_REG_CSIStatus 0x0064
#define MIPI_REG_CSIErrEn 0x0066
#define MIPI_REG_MDLSynErr 0x0068
#define MIPI_REG_FrmErrCnt 0x0080
#define MIPI_REG_MDLErrCnt 0x0090
void mipi_clear_error(void){
MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error
MipiBridgeRegWrite(0x0082,0x00);
MipiBridgeRegWrite(0x0084,0x00);
MipiBridgeRegWrite(0x0086,0x00);
MipiBridgeRegWrite(0x0088,0x00);
MipiBridgeRegWrite(0x008A,0x00);
MipiBridgeRegWrite(0x008C,0x00);
MipiBridgeRegWrite(0x008E,0x00);
MipiBridgeRegWrite(0x0090,0x00);
}
void mipi_show_error_info(void){
alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt;
PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta);
SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus);
MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr);
FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt);
MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt);
printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt);
}
void mipi_show_error_info_more(void){
printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080));
printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082));
printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084));
printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086));
printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088));
printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A));
printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C));
printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E));
printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090));
printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8));
printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A));
printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E));
}
bool MIPI_Init(void){
bool bSuccess;
bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K
if (!bSuccess)
printf("failed to init MIPI- Bridge i2c\r\n");
usleep(50*1000);
MipiBridgeInit();
usleep(500*1000);
// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K
// if (!bSuccess)
// printf("failed to init MIPI- Camera i2c\r\n");
MipiCameraInit();
MIPI_BIN_LEVEL(DEFAULT_LEVEL);
// OV8865_FOCUS_Move_to(340);
// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared!
usleep(1000);
// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE);
return bSuccess;
}
int main()
{
fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK);
printf("DE10-LITE D8M VGA Demo\n");
printf("Imperial College EEE2 Project version\n");
IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00);
IOWR(MIPI_RESET_N_BASE, 0x00, 0x00);
usleep(2000);
IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF);
usleep(2000);
IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF);
printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID));
//printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP
usleep(2000);
// MIPI Init
if (!MIPI_Init()){
printf("MIPI_Init Init failed!\r\n");
}else{
printf("MIPI_Init Init successfully!\r\n");
}
// while(1){
mipi_clear_error();
usleep(50*1000);
mipi_clear_error();
usleep(1000*1000);
mipi_show_error_info();
// mipi_show_error_info_more();
printf("\n");
// }
#if 0 // focus sweep
printf("\nFocus sweep\n");
alt_u16 ii= 350;
alt_u8 dir = 0;
while(1){
if(ii< 50) dir = 1;
else if (ii> 1000) dir =0;
if(dir) ii += 20;
else ii -= 20;
printf("%d\n",ii);
OV8865_FOCUS_Move_to(ii);
usleep(50*1000);
}
#endif
//////////////////////////////////////////////////////////
alt_u16 bin_level = DEFAULT_LEVEL;
alt_u8 manual_focus_step = 10;
alt_u16 current_focus = 300;
int boundingBoxColour = 0;
alt_u32 exposureTime = EXPOSURE_INIT;
alt_u16 gain = GAIN_INIT;
OV8865SetExposure(exposureTime);
OV8865SetGain(gain);
Focus_Init();
while(1){
// touch KEY0 to trigger Auto focus
if((IORD(KEY_BASE,0)&0x03) == 0x02){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to ZOOM
if((IORD(KEY_BASE,0)&0x03) == 0x01){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#if 0
if((IORD(KEY_BASE,0)&0x0F) == 0x0E){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to trigger Manual focus - step
if((IORD(KEY_BASE,0)&0x0F) == 0x0D){
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
else current_focus = 0;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY2 to trigger Manual focus + step
if((IORD(KEY_BASE,0)&0x0F) == 0x0B){
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY3 to ZOOM
if((IORD(KEY_BASE,0)&0x0F) == 0x07){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#endif
//Read messages from the image processor and print them on the terminal
while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read
int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer
if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier
printf("\n");
}
printf("%08x ",word);
}
//Update the bounding box colour
boundingBoxColour = ((boundingBoxColour + 1) & 0xff);
IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour));
//Process input commands
int in = getchar();
switch (in) {
case 'e': {
exposureTime += EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 'd': {
exposureTime -= EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 't': {
gain += GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'g': {
gain -= GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'r': {
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
case 'f': {
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
}
//Main loop delay
usleep(10000);
};
return 0;
}

View file

@ -0,0 +1,283 @@
#include <stdio.h>
#include "I2C_core.h"
#include "terasic_includes.h"
#include "mipi_camera_config.h"
#include "mipi_bridge_config.h"
#include "system.h"
#include "auto_focus.h"
#include <fcntl.h>
#include <unistd.h>
//EEE_IMGPROC defines
#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B')
//offsets
#define EEE_IMGPROC_STATUS 0
#define EEE_IMGPROC_MSG 1
#define EEE_IMGPROC_ID 2
#define EEE_IMGPROC_BBCOL 3
#define EXPOSURE_INIT 0x002000
#define EXPOSURE_STEP 0x100
#define GAIN_INIT 0xFFF
#define GAIN_STEP 0xFFF
#define DEFAULT_LEVEL 3
#define MIPI_REG_PHYClkCtl 0x0056
#define MIPI_REG_PHYData0Ctl 0x0058
#define MIPI_REG_PHYData1Ctl 0x005A
#define MIPI_REG_PHYData2Ctl 0x005C
#define MIPI_REG_PHYData3Ctl 0x005E
#define MIPI_REG_PHYTimDly 0x0060
#define MIPI_REG_PHYSta 0x0062
#define MIPI_REG_CSIStatus 0x0064
#define MIPI_REG_CSIErrEn 0x0066
#define MIPI_REG_MDLSynErr 0x0068
#define MIPI_REG_FrmErrCnt 0x0080
#define MIPI_REG_MDLErrCnt 0x0090
void mipi_clear_error(void){
MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error
MipiBridgeRegWrite(0x0082,0x00);
MipiBridgeRegWrite(0x0084,0x00);
MipiBridgeRegWrite(0x0086,0x00);
MipiBridgeRegWrite(0x0088,0x00);
MipiBridgeRegWrite(0x008A,0x00);
MipiBridgeRegWrite(0x008C,0x00);
MipiBridgeRegWrite(0x008E,0x00);
MipiBridgeRegWrite(0x0090,0x00);
}
void mipi_show_error_info(void){
alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt;
PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta);
SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus);
MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr);
FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt);
MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt);
printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt);
}
void mipi_show_error_info_more(void){
printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080));
printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082));
printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084));
printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086));
printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088));
printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A));
printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C));
printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E));
printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090));
printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8));
printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A));
printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E));
}
bool MIPI_Init(void){
bool bSuccess;
bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K
if (!bSuccess)
printf("failed to init MIPI- Bridge i2c\r\n");
usleep(50*1000);
MipiBridgeInit();
usleep(500*1000);
// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K
// if (!bSuccess)
// printf("failed to init MIPI- Camera i2c\r\n");
MipiCameraInit();
MIPI_BIN_LEVEL(DEFAULT_LEVEL);
// OV8865_FOCUS_Move_to(340);
// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared!
usleep(1000);
// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE);
return bSuccess;
}
int main()
{
fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK);
printf("DE10-LITE D8M VGA Demo\n");
printf("Imperial College EEE2 Project version\n");
IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00);
IOWR(MIPI_RESET_N_BASE, 0x00, 0x00);
usleep(2000);
IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF);
usleep(2000);
IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF);
printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID));
//printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP
usleep(2000);
// MIPI Init
if (!MIPI_Init()){
printf("MIPI_Init Init failed!\r\n");
}else{
printf("MIPI_Init Init successfully!\r\n");
}
// while(1){
mipi_clear_error();
usleep(50*1000);
mipi_clear_error();
usleep(1000*1000);
mipi_show_error_info();
// mipi_show_error_info_more();
printf("\n");
// }
#if 0 // focus sweep
printf("\nFocus sweep\n");
alt_u16 ii= 350;
alt_u8 dir = 0;
while(1){
if(ii< 50) dir = 1;
else if (ii> 1000) dir =0;
if(dir) ii += 20;
else ii -= 20;
printf("%d\n",ii);
OV8865_FOCUS_Move_to(ii);
usleep(50*1000);
}
#endif
//////////////////////////////////////////////////////////
alt_u16 bin_level = DEFAULT_LEVEL;
alt_u8 manual_focus_step = 10;
alt_u16 current_focus = 300;
int boundingBoxColour = 0;
alt_u32 exposureTime = EXPOSURE_INIT;
alt_u16 gain = GAIN_INIT;
OV8865SetExposure(exposureTime);
OV8865SetGain(gain);
Focus_Init();
while(1){
// touch KEY0 to trigger Auto focus
if((IORD(KEY_BASE,0)&0x03) == 0x02){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to ZOOM
if((IORD(KEY_BASE,0)&0x03) == 0x01){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#if 0
if((IORD(KEY_BASE,0)&0x0F) == 0x0E){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to trigger Manual focus - step
if((IORD(KEY_BASE,0)&0x0F) == 0x0D){
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
else current_focus = 0;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY2 to trigger Manual focus + step
if((IORD(KEY_BASE,0)&0x0F) == 0x0B){
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY3 to ZOOM
if((IORD(KEY_BASE,0)&0x0F) == 0x07){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#endif
//Read messages from the image processor and print them on the terminal
while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read
int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer
if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier
printf("\n");
}
printf("%08x ",word);
}
//Update the bounding box colour
boundingBoxColour = ((boundingBoxColour + 1) & 0xff);
IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour));
//Process input commands
int in = getchar();
switch (in) {
case 'e': {
exposureTime += EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 'd': {
exposureTime -= EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 't': {
gain += GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'g': {
gain -= GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'r': {
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
case 'f': {
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
}
//Main loop delay
usleep(10000);
};
return 0;
}

View file

@ -0,0 +1,302 @@
#include <stdio.h>
#include "I2C_core.h"
#include "terasic_includes.h"
#include "mipi_camera_config.h"
#include "mipi_bridge_config.h"
#include "system.h"
#include "auto_focus.h"
#include <fcntl.h>
#include <unistd.h>
//EEE_IMGPROC defines
#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B')
//offsets
#define EEE_IMGPROC_STATUS 0
#define EEE_IMGPROC_MSG 1
#define EEE_IMGPROC_ID 2
#define EEE_IMGPROC_BBCOL 3
#define EXPOSURE_INIT 0x002000
#define EXPOSURE_STEP 0x100
#define GAIN_INIT 0xFFF
#define GAIN_STEP 0xFFF
#define DEFAULT_LEVEL 3
#define MIPI_REG_PHYClkCtl 0x0056
#define MIPI_REG_PHYData0Ctl 0x0058
#define MIPI_REG_PHYData1Ctl 0x005A
#define MIPI_REG_PHYData2Ctl 0x005C
#define MIPI_REG_PHYData3Ctl 0x005E
#define MIPI_REG_PHYTimDly 0x0060
#define MIPI_REG_PHYSta 0x0062
#define MIPI_REG_CSIStatus 0x0064
#define MIPI_REG_CSIErrEn 0x0066
#define MIPI_REG_MDLSynErr 0x0068
#define MIPI_REG_FrmErrCnt 0x0080
#define MIPI_REG_MDLErrCnt 0x0090
void mipi_clear_error(void){
MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error
MipiBridgeRegWrite(0x0082,0x00);
MipiBridgeRegWrite(0x0084,0x00);
MipiBridgeRegWrite(0x0086,0x00);
MipiBridgeRegWrite(0x0088,0x00);
MipiBridgeRegWrite(0x008A,0x00);
MipiBridgeRegWrite(0x008C,0x00);
MipiBridgeRegWrite(0x008E,0x00);
MipiBridgeRegWrite(0x0090,0x00);
}
void mipi_show_error_info(void){
alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt;
PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta);
SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus);
MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr);
FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt);
MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt);
printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt);
}
void mipi_show_error_info_more(void){
printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080));
printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082));
printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084));
printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086));
printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088));
printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A));
printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C));
printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E));
printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090));
printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8));
printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A));
printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E));
}
bool MIPI_Init(void){
bool bSuccess;
bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K
if (!bSuccess)
printf("failed to init MIPI- Bridge i2c\r\n");
usleep(50*1000);
MipiBridgeInit();
usleep(500*1000);
// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K
// if (!bSuccess)
// printf("failed to init MIPI- Camera i2c\r\n");
MipiCameraInit();
MIPI_BIN_LEVEL(DEFAULT_LEVEL);
// OV8865_FOCUS_Move_to(340);
// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared!
usleep(1000);
// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE);
return bSuccess;
}
int main()
{
fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK);
printf("DE10-LITE D8M VGA Demo\n");
printf("Imperial College EEE2 Project version\n");
IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00);
IOWR(MIPI_RESET_N_BASE, 0x00, 0x00);
usleep(2000);
IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF);
usleep(2000);
IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF);
printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID));
//printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP
usleep(2000);
// MIPI Init
if (!MIPI_Init()){
printf("MIPI_Init Init failed!\r\n");
}else{
printf("MIPI_Init Init successfully!\r\n");
}
// while(1){
mipi_clear_error();
usleep(50*1000);
mipi_clear_error();
usleep(1000*1000);
mipi_show_error_info();
// mipi_show_error_info_more();
printf("\n");
// }
#if 0 // focus sweep
printf("\nFocus sweep\n");
alt_u16 ii= 350;
alt_u8 dir = 0;
while(1){
if(ii< 50) dir = 1;
else if (ii> 1000) dir =0;
if(dir) ii += 20;
else ii -= 20;
printf("%d\n",ii);
OV8865_FOCUS_Move_to(ii);
usleep(50*1000);
}
#endif
//////////////////////////////////////////////////////////
alt_u16 bin_level = DEFAULT_LEVEL;
alt_u8 manual_focus_step = 10;
alt_u16 current_focus = 300;
int boundingBoxColour = 0;
alt_u32 exposureTime = EXPOSURE_INIT;
alt_u16 gain = GAIN_INIT;
OV8865SetExposure(exposureTime);
OV8865SetGain(gain);
Focus_Init();
while(1){
// touch KEY0 to trigger Auto focus
if((IORD(KEY_BASE,0)&0x03) == 0x02){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to ZOOM
if((IORD(KEY_BASE,0)&0x03) == 0x01){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#if 0
if((IORD(KEY_BASE,0)&0x0F) == 0x0E){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to trigger Manual focus - step
if((IORD(KEY_BASE,0)&0x0F) == 0x0D){
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
else current_focus = 0;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY2 to trigger Manual focus + step
if((IORD(KEY_BASE,0)&0x0F) == 0x0B){
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY3 to ZOOM
if((IORD(KEY_BASE,0)&0x0F) == 0x07){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#endif
//Read messages from the image processor and print them on the terminal
while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read
int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer
if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier
printf("\n");
}
printf("%08x ",word);
}
//Update the bounding box colour
boundingBoxColour = ((boundingBoxColour + 1) & 0xff);
IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour));
//Process input commands
int in = getchar();
switch (in) {
case 'e': {
exposureTime += EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 'd': {
exposureTime -= EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 't': {
gain += GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'g': {
gain -= GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'r': {
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
case 'f': {
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
}
//Main loop delay
usleep(10000);
};
return 0;
}

View file

@ -0,0 +1,301 @@
#include <stdio.h>
#include "I2C_core.h"
#include "terasic_includes.h"
#include "mipi_camera_config.h"
#include "mipi_bridge_config.h"
#include "auto_focus.h"
#include <fcntl.h>
#include <unistd.h>
//EEE_IMGPROC defines
#define EEE_IMGPROC_MSG_START ('R'<<16 | 'B'<<8 | 'B')
//offsets
#define EEE_IMGPROC_STATUS 0
#define EEE_IMGPROC_MSG 1
#define EEE_IMGPROC_ID 2
#define EEE_IMGPROC_BBCOL 3
#define EXPOSURE_INIT 0x002000
#define EXPOSURE_STEP 0x100
#define GAIN_INIT 0xFFF
#define GAIN_STEP 0xFFF
#define DEFAULT_LEVEL 3
#define MIPI_REG_PHYClkCtl 0x0056
#define MIPI_REG_PHYData0Ctl 0x0058
#define MIPI_REG_PHYData1Ctl 0x005A
#define MIPI_REG_PHYData2Ctl 0x005C
#define MIPI_REG_PHYData3Ctl 0x005E
#define MIPI_REG_PHYTimDly 0x0060
#define MIPI_REG_PHYSta 0x0062
#define MIPI_REG_CSIStatus 0x0064
#define MIPI_REG_CSIErrEn 0x0066
#define MIPI_REG_MDLSynErr 0x0068
#define MIPI_REG_FrmErrCnt 0x0080
#define MIPI_REG_MDLErrCnt 0x0090
void mipi_clear_error(void){
MipiBridgeRegWrite(MIPI_REG_CSIStatus,0x01FF); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLSynErr,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_FrmErrCnt,0x0000); // clear error
MipiBridgeRegWrite(MIPI_REG_MDLErrCnt, 0x0000); // clear error
MipiBridgeRegWrite(0x0082,0x00);
MipiBridgeRegWrite(0x0084,0x00);
MipiBridgeRegWrite(0x0086,0x00);
MipiBridgeRegWrite(0x0088,0x00);
MipiBridgeRegWrite(0x008A,0x00);
MipiBridgeRegWrite(0x008C,0x00);
MipiBridgeRegWrite(0x008E,0x00);
MipiBridgeRegWrite(0x0090,0x00);
}
void mipi_show_error_info(void){
alt_u16 PHY_status, SCI_status, MDLSynErr, FrmErrCnt, MDLErrCnt;
PHY_status = MipiBridgeRegRead(MIPI_REG_PHYSta);
SCI_status = MipiBridgeRegRead(MIPI_REG_CSIStatus);
MDLSynErr = MipiBridgeRegRead(MIPI_REG_MDLSynErr);
FrmErrCnt = MipiBridgeRegRead(MIPI_REG_FrmErrCnt);
MDLErrCnt = MipiBridgeRegRead(MIPI_REG_MDLErrCnt);
printf("PHY_status=%xh, CSI_status=%xh, MDLSynErr=%xh, FrmErrCnt=%xh, MDLErrCnt=%xh\r\n", PHY_status, SCI_status, MDLSynErr,FrmErrCnt, MDLErrCnt);
}
void mipi_show_error_info_more(void){
printf("FrmErrCnt = %d\n",MipiBridgeRegRead(0x0080));
printf("CRCErrCnt = %d\n",MipiBridgeRegRead(0x0082));
printf("CorErrCnt = %d\n",MipiBridgeRegRead(0x0084));
printf("HdrErrCnt = %d\n",MipiBridgeRegRead(0x0086));
printf("EIDErrCnt = %d\n",MipiBridgeRegRead(0x0088));
printf("CtlErrCnt = %d\n",MipiBridgeRegRead(0x008A));
printf("SoTErrCnt = %d\n",MipiBridgeRegRead(0x008C));
printf("SynErrCnt = %d\n",MipiBridgeRegRead(0x008E));
printf("MDLErrCnt = %d\n",MipiBridgeRegRead(0x0090));
printf("FIFOSTATUS = %d\n",MipiBridgeRegRead(0x00F8));
printf("DataType = 0x%04x\n",MipiBridgeRegRead(0x006A));
printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E));
}
bool MIPI_Init(void){
bool bSuccess;
bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K
if (!bSuccess)
printf("failed to init MIPI- Bridge i2c\r\n");
usleep(50*1000);
MipiBridgeInit();
usleep(500*1000);
// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K
// if (!bSuccess)
// printf("failed to init MIPI- Camera i2c\r\n");
MipiCameraInit();
MIPI_BIN_LEVEL(DEFAULT_LEVEL);
// OV8865_FOCUS_Move_to(340);
// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared!
usleep(1000);
// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE);
return bSuccess;
}
int main()
{
fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK);
printf("DE10-LITE D8M VGA Demo\n");
printf("Imperial College EEE2 Project version\n");
IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00);
IOWR(MIPI_RESET_N_BASE, 0x00, 0x00);
usleep(2000);
IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF);
usleep(2000);
IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF);
printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID));
//printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP
usleep(2000);
// MIPI Init
if (!MIPI_Init()){
printf("MIPI_Init Init failed!\r\n");
}else{
printf("MIPI_Init Init successfully!\r\n");
}
// while(1){
mipi_clear_error();
usleep(50*1000);
mipi_clear_error();
usleep(1000*1000);
mipi_show_error_info();
// mipi_show_error_info_more();
printf("\n");
// }
#if 0 // focus sweep
printf("\nFocus sweep\n");
alt_u16 ii= 350;
alt_u8 dir = 0;
while(1){
if(ii< 50) dir = 1;
else if (ii> 1000) dir =0;
if(dir) ii += 20;
else ii -= 20;
printf("%d\n",ii);
OV8865_FOCUS_Move_to(ii);
usleep(50*1000);
}
#endif
//////////////////////////////////////////////////////////
alt_u16 bin_level = DEFAULT_LEVEL;
alt_u8 manual_focus_step = 10;
alt_u16 current_focus = 300;
int boundingBoxColour = 0;
alt_u32 exposureTime = EXPOSURE_INIT;
alt_u16 gain = GAIN_INIT;
OV8865SetExposure(exposureTime);
OV8865SetGain(gain);
Focus_Init();
while(1){
// touch KEY0 to trigger Auto focus
if((IORD(KEY_BASE,0)&0x03) == 0x02){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to ZOOM
if((IORD(KEY_BASE,0)&0x03) == 0x01){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#if 0
if((IORD(KEY_BASE,0)&0x0F) == 0x0E){
current_focus = Focus_Window(320,240);
}
// touch KEY1 to trigger Manual focus - step
if((IORD(KEY_BASE,0)&0x0F) == 0x0D){
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
else current_focus = 0;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY2 to trigger Manual focus + step
if((IORD(KEY_BASE,0)&0x0F) == 0x0B){
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
}
// touch KEY3 to ZOOM
if((IORD(KEY_BASE,0)&0x0F) == 0x07){
if(bin_level == 3 )bin_level = 1;
else bin_level ++;
printf("set bin level to %d\n",bin_level);
MIPI_BIN_LEVEL(bin_level);
usleep(500000);
}
#endif
//Read messages from the image processor and print them on the terminal
while ((IORD(0x42000,EEE_IMGPROC_STATUS)>>8) & 0xff) { //Find out if there are words to read
int word = IORD(0x42000,EEE_IMGPROC_MSG); //Get next word from message buffer
if (word == EEE_IMGPROC_MSG_START){ //Newline on message identifier
printf("\n");
}
printf("%08x ",word);
}
//Update the bounding box colour
boundingBoxColour = ((boundingBoxColour + 1) & 0xff);
IOWR(0x42000, EEE_IMGPROC_BBCOL, (boundingBoxColour << 8) | (0xff - boundingBoxColour));
//Process input commands
int in = getchar();
switch (in) {
case 'e': {
exposureTime += EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 'd': {
exposureTime -= EXPOSURE_STEP;
OV8865SetExposure(exposureTime);
printf("\nExposure = %x ", exposureTime);
break;}
case 't': {
gain += GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'g': {
gain -= GAIN_STEP;
OV8865SetGain(gain);
printf("\nGain = %x ", gain);
break;}
case 'r': {
current_focus += manual_focus_step;
if(current_focus >1023) current_focus = 1023;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
case 'f': {
if(current_focus > manual_focus_step) current_focus -= manual_focus_step;
OV8865_FOCUS_Move_to(current_focus);
printf("\nFocus = %x ",current_focus);
break;}
}
//Main loop delay
usleep(10000);
};
return 0;
}

View file

@ -0,0 +1,3 @@
#GitProjectData
#Tue Jun 01 14:06:14 BST 2021
.gitdir=../../../../.git

View file

@ -0,0 +1,3 @@
#GitProjectData
#Tue Jun 01 14:07:48 BST 2021
.gitdir=../../../../.git

View file

@ -0,0 +1,3 @@
#GitProjectData
#Tue Jun 01 14:04:35 BST 2021
.gitdir=../../../../.git

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
indexer/preferenceScope=0

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
indexer/preferenceScope=0

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.cdt.debug.core.cDebug.default_source_containers=<?xml version\="1.0" encoding\="UTF-8" standalone\="no"?>\n<sourceLookupDirector>\n<sourceContainers duplicates\="false">\n<container memento\="AbsolutePath" typeId\="org.eclipse.cdt.debug.core.containerType.absolutePath"/>\n<container memento\="programRelativePath" typeId\="org.eclipse.cdt.debug.core.containerType.programRelativePath"/>\n<container memento\="&lt;?xml version\=&quot;1.0&quot; encoding\=&quot;UTF-8&quot; standalone\=&quot;no&quot;?&gt;&\#10;&lt;project referencedProjects\=&quot;true&quot;/&gt;&\#10;" typeId\="org.eclipse.cdt.debug.core.containerType.project"/>\n</sourceContainers>\n</sourceLookupDirector>\n

View file

@ -0,0 +1,3 @@
eclipse.preferences.version=1
properties/D8M_Camera_Test.null.1118614705/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632=altera.tool.gnu.assembler.992693488\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.430311947\=rebuildState\\\=true\\n\naltera.nios2.linux.gcc4.292435883\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.compiler.1543692213\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.844079417\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632\=rcState\\\=0\\nrebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.470477083\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.libs.552441848\=rebuildState\\\=true\\n\naltera.tool.gnu.cpp.linker.564618922\=rebuildState\\\=false\\n\naltera.tool.gnu.c.compiler.1412563702\=rebuildState\\\=false\\n\naltera.tool.gnu.c.linker.894229324\=rebuildState\\\=false\\n\naltera.tool.gnu.archiver.1031562009\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.1782992537\=rebuildState\\\=true\\n\n
properties/D8M_Camera_Test_bsp.null.1818471988/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122=altera.nios2.linux.gcc4.1972187429\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.440807335\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.1525859819\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122\=rcState\\\=0\\nrebuildState\\\=false\\n\naltera.tool.gnu.assembler.917602801\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.1131241652\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.libs.337058982\=rebuildState\\\=true\\n\naltera.tool.gnu.archiver.118240003\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.compiler.31029531\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.linker.1039959248\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.1080074126\=rebuildState\\\=true\\n\naltera.tool.gnu.c.linker.1364287684\=rebuildState\\\=false\\n\naltera.tool.gnu.c.compiler.1406613831\=rebuildState\\\=false\\n\n

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.mylyn.cdt.ui.run.count.3_3_0=1

View file

@ -0,0 +1,5 @@
content_assist_disabled_computers=org.eclipse.cdt.ui.textProposalCategory\u0000org.eclipse.cdt.ui.parserProposalCategory\u0000
eclipse.preferences.version=1
spelling_locale_initialized=true
useAnnotationsPrefPage=true
useQuickDiffPrefPage=true

View file

@ -0,0 +1,5 @@
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.applicationLaunchType=org.eclipse.cdt.dsf.gdb.launch.localCLaunch,debug;org.eclipse.cdt.cdi.launch.localCLaunch,run
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.attachLaunchType=org.eclipse.cdt.dsf.gdb.launch.attachCLaunch,debug
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.postmortemLaunchType=org.eclipse.cdt.dsf.gdb.launch.coreCLaunch,debug
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.remoteApplicationLaunchType=org.eclipse.rse.remotecdt.dsf.debug,debug
eclipse.preferences.version=1

View file

@ -0,0 +1,3 @@
eclipse.preferences.version=1
org.eclipse.debug.ui.PREF_LAUNCH_PERSPECTIVES=<?xml version\="1.0" encoding\="UTF-8" standalone\="no"?>\n<launchPerspectives/>\n
preferredTargets=org.eclipse.cdt.debug.ui.toggleCBreakpointTarget\:org.eclipse.cdt.debug.ui.toggleCBreakpointTarget|

View file

@ -0,0 +1,2 @@
GitRepositoriesView.GitDirectories=/home/ad3919/nfshome/EE2Rover/.git\:
eclipse.preferences.version=1

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
mylyn.attention.migrated=true

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.mylyn.monitor.activity.tracking.enabled.checked=true

View file

@ -0,0 +1,3 @@
eclipse.preferences.version=1
org.eclipse.mylyn.tasks.ui.filters.nonmatching=true
org.eclipse.mylyn.tasks.ui.filters.nonmatching.encouraged=true

View file

@ -0,0 +1,3 @@
eclipse.preferences.version=1
org.eclipse.rse.systemtype.local.systemType.defaultUserId=ad3919
useridperkey=ee-mill2.Local\=ad3919;

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.rse.preferences.order.connections=ee-mill2.Local

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
pref_first_startup=false

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.team.ui.first_time=false

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
overviewRuler_migration=migrated_3.1

View file

@ -0,0 +1,6 @@
PROBLEMS_FILTERS_MIGRATE=true
TASKS_FILTERS_MIGRATE=true
eclipse.preferences.version=1
platformState=1622552591073
quickStart=false
tipsAndTricks=true

View file

@ -0,0 +1,2 @@
ENABLED_DECORATORS=com.altera.sbtgui.project.decorator.bsp\:true,com.altera.sbtgui.project.nios2builddecorator\:true,org.eclipse.cdt.ui.indexedFiles\:false,org.eclipse.cdt.managedbuilder.ui.excludedFile\:true,org.eclipse.cdt.managedbuilder.ui.includeFolder\:true,org.eclipse.cdt.internal.ui.CustomBuildSettingsDecorator\:true,org.eclipse.egit.ui.internal.decorators.GitLightweightDecorator\:true,org.eclipse.linuxtools.tmf.ui.trace_folder.decorator\:true,org.eclipse.linuxtools.tmf.ui.experiment_folder.decorator\:true,org.eclipse.linuxtools.tmf.ui.linked_trace.decorator\:true,org.eclipse.mylyn.context.ui.decorator.interest\:true,org.eclipse.mylyn.tasks.ui.decorators.task\:true,org.eclipse.mylyn.team.ui.changeset.decorator\:true,org.eclipse.rse.core.virtualobject.decorator\:true,org.eclipse.rse.core.binary.executable.decorator\:true,org.eclipse.rse.core.script.executable.decorator\:true,org.eclipse.rse.core.java.executable.decorator\:true,org.eclipse.rse.core.library.decorator\:true,org.eclipse.rse.core.link.decorator\:true,org.eclipse.rse.subsystems.error.decorator\:true,org.eclipse.team.cvs.ui.decorator\:true,org.eclipse.ui.LinkedResourceDecorator\:true,org.eclipse.ui.SymlinkDecorator\:true,org.eclipse.ui.VirtualResourceDecorator\:true,org.eclipse.ui.ContentTypeDecorator\:true,org.eclipse.ui.ResourceFilterDecorator\:false,
eclipse.preferences.version=1

View file

@ -0,0 +1,27 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchHistory>
<launchGroup id="org.eclipse.debug.ui.launchGroup.profilee">
<mruHistory/>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.debug.ui.launchGroup.debug">
<mruHistory>
<launch memento="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#10;&lt;launchConfiguration local=&quot;true&quot; path=&quot;D8M_Camera_Test Nios II Hardware configuration&quot;/&gt;&#10;"/>
</mruHistory>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.debug.ui.launchGroup.profile">
<mruHistory/>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.ui.externaltools.launchGroup">
<mruHistory/>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.debug.ui.launchGroup.run">
<mruHistory>
<launch memento="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#10;&lt;launchConfiguration local=&quot;true&quot; path=&quot;D8M_Camera_Test Nios II Hardware configuration&quot;/&gt;&#10;"/>
</mruHistory>
<favorites/>
</launchGroup>
</launchHistory>

View file

@ -0,0 +1,57 @@
# RSE DOM Node
00-name=ee-mill2\:local.files
01-type=FilterPool
03-attr.default=true
03-attr.deletable=true
03-attr.id=local.files
03-attr.nonRenamable=false
03-attr.owningParentName=null
03-attr.release=200
03-attr.singleFilterStringOnly=false
03-attr.singleFilterStringOnlyESet=false
03-attr.stringsCaseSensitive=true
03-attr.supportsDuplicateFilterStrings=false
03-attr.supportsNestedFilters=true
03-attr.type=default
06-child.00000.00-name=My Home
06-child.00000.01-type=Filter
06-child.00000.03-attr.default=false
06-child.00000.03-attr.filterType=default
06-child.00000.03-attr.id=My Home
06-child.00000.03-attr.nonChangable=false
06-child.00000.03-attr.nonDeletable=false
06-child.00000.03-attr.nonRenamable=false
06-child.00000.03-attr.promptable=false
06-child.00000.03-attr.relativeOrder=0
06-child.00000.03-attr.release=200
06-child.00000.03-attr.singleFilterStringOnly=false
06-child.00000.03-attr.stringsCaseSensitive=true
06-child.00000.03-attr.stringsNonChangable=false
06-child.00000.03-attr.supportsDuplicateFilterStrings=false
06-child.00000.03-attr.supportsNestedFilters=true
06-child.00000.06-child.00000.00-name=/home/ad3919/*
06-child.00000.06-child.00000.01-type=FilterString
06-child.00000.06-child.00000.03-attr.default=false
06-child.00000.06-child.00000.03-attr.string=/home/ad3919/*
06-child.00000.06-child.00000.03-attr.type=default
06-child.00001.00-name=Root
06-child.00001.01-type=Filter
06-child.00001.03-attr.default=false
06-child.00001.03-attr.filterType=default
06-child.00001.03-attr.id=Root
06-child.00001.03-attr.nonChangable=false
06-child.00001.03-attr.nonDeletable=false
06-child.00001.03-attr.nonRenamable=false
06-child.00001.03-attr.promptable=false
06-child.00001.03-attr.relativeOrder=0
06-child.00001.03-attr.release=200
06-child.00001.03-attr.singleFilterStringOnly=false
06-child.00001.03-attr.stringsCaseSensitive=true
06-child.00001.03-attr.stringsNonChangable=false
06-child.00001.03-attr.supportsDuplicateFilterStrings=false
06-child.00001.03-attr.supportsNestedFilters=true
06-child.00001.06-child.00000.00-name=/*
06-child.00001.06-child.00000.01-type=FilterString
06-child.00001.06-child.00000.03-attr.default=false
06-child.00001.06-child.00000.03-attr.string=/*
06-child.00001.06-child.00000.03-attr.type=default

View file

@ -0,0 +1,25 @@
# RSE DOM Node
00-name=Local
01-type=Host
03-attr.description=
03-attr.hostname=LOCALHOST
03-attr.offline=false
03-attr.promptable=false
03-attr.systemType=org.eclipse.rse.systemtype.local
03-attr.type=Local
06-child.00000.00-name=Local Connector Service
06-child.00000.01-type=ConnectorService
06-child.00000.03-attr.group=Local Connector Service
06-child.00000.03-attr.port=0
06-child.00000.03-attr.useSSL=false
06-child.00000.06-child.00000.00-name=Local Files
06-child.00000.06-child.00000.01-type=SubSystem
06-child.00000.06-child.00000.03-attr.hidden=false
06-child.00000.06-child.00000.03-attr.type=local.files
06-child.00000.06-child.00000.06-child.00000.00-name=ee-mill2___ee-mill2\:local.files
06-child.00000.06-child.00000.06-child.00000.01-type=FilterPoolReference
06-child.00000.06-child.00000.06-child.00000.03-attr.refID=local.files
06-child.00000.06-child.00001.00-name=Local Shells
06-child.00000.06-child.00001.01-type=SubSystem
06-child.00000.06-child.00001.03-attr.hidden=false
06-child.00000.06-child.00001.03-attr.type=local.shells

View file

@ -0,0 +1,7 @@
# RSE DOM Node
00-name=ee-mill2
01-type=Profile
03-attr.defaultPrivate=true
03-attr.isActive=true
05-ref.00000=FP.local.files_0
05-ref.00001=H.local_16

View file

@ -0,0 +1,15 @@
<?xml version="1.0" encoding="UTF-8"?>
<section name="Workbench">
<section name="org.eclipse.ui.internal.QuickAccess">
<item value="900" key="dialogHeight"/>
<item value="1600" key="dialogWidth"/>
<list key="textEntries">
</list>
<list key="orderedElements">
</list>
<list key="orderedProviders">
</list>
<list key="textArray">
</list>
</section>
</section>

View file

@ -0,0 +1,4 @@
<?xml version="1.0" encoding="UTF-8"?>
<workingSetManager>
<workingSet aggregate="true" factoryID="org.eclipse.ui.internal.WorkingSetFactory" id="1622557557760_0" label="Window Working Set" name="Aggregate for window 1622557557760"/>
</workingSetManager>

View file

@ -0,0 +1 @@
org.eclipse.core.runtime=1

View file

@ -0,0 +1,12 @@
<?xml version="1.0" encoding="UTF-8"?>
<projectDescription>
<name>RemoteSystemsTempFiles</name>
<comment></comment>
<projects>
</projects>
<buildSpec>
</buildSpec>
<natures>
<nature>org.eclipse.rse.ui.remoteSystemsTempNature</nature>
</natures>
</projectDescription>

View file

@ -1,37 +1,44 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<?fileVersion 4.0.0?><cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
<storageModule moduleId="org.eclipse.cdt.core.settings">
<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746">
<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746" moduleId="org.eclipse.cdt.core.settings"/>
<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632">
<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632" moduleId="org.eclipse.cdt.core.settings"/>
</buildSystem>
<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746">
<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632">
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746." name="/" resourcePath="">
<toolChain id="altera.nios2.mingw.gcc4.1531733987" name="MinGW Nios II GCC4" superClass="altera.nios2.mingw.gcc4">
<targetPlatform id="altera.nios2.mingw.gcc4.579067770" name="Nios II" superClass="altera.nios2.mingw.gcc4"/>
<builder buildPath="${workspace_loc://camera_test}" id="altera.tool.gnu.builder.mingw.811061440" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder.mingw"/>
<tool id="altera.tool.gnu.c.compiler.mingw.2055167219" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler.mingw">
<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.858527592" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632." name="/" resourcePath="">
<toolChain id="altera.nios2.linux.gcc4.292435883" name="Linux Nios II GCC4" superClass="altera.nios2.linux.gcc4">
<targetPlatform id="altera.nios2.linux.gcc4.598162198" name="Nios II" osList="linux" superClass="altera.nios2.linux.gcc4"/>
<builder buildPath="${workspace_loc://D8M_Camera_Test}" id="altera.tool.gnu.builder.1551395097" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder"/>
<tool id="altera.tool.gnu.c.compiler.1412563702" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler">
<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.242847525" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
</tool>
<tool id="altera.tool.gnu.cpp.compiler.mingw.40803439" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler.mingw">
<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.1689406633" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
<tool id="altera.tool.gnu.cpp.compiler.1543692213" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler">
<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.1049590957" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
</tool>
<tool id="altera.tool.gnu.archiver.mingw.1823496507" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver.mingw"/>
<tool id="altera.tool.gnu.c.linker.mingw.1028904150" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker.mingw"/>
<tool id="altera.tool.gnu.assembler.mingw.1351004326" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler.mingw">
<inputType id="cdt.managedbuild.tool.gnu.assembler.input.1047514398" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
<tool id="altera.tool.gnu.archiver.1031562009" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver"/>
<tool id="altera.tool.gnu.c.linker.894229324" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker"/>
<tool id="altera.tool.gnu.cpp.linker.564618922" name="Nios II GCC C++ Linker" superClass="altera.tool.gnu.cpp.linker">
<inputType id="cdt.managedbuild.tool.gnu.cpp.linker.input.1645855151" superClass="cdt.managedbuild.tool.gnu.cpp.linker.input">
<additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
<additionalInput kind="additionalinput" paths="$(LIBS)"/>
</inputType>
</tool>
<tool id="altera.tool.gnu.assembler.992693488" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler">
<inputType id="cdt.managedbuild.tool.gnu.assembler.input.388812368" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
</tool>
</toolChain>
</folderInfo>
</configuration>
</storageModule>
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
<externalSettings/>
<extensions>
<extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.GASErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GmakeErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GLDErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.CWDLocator" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GCCErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
@ -40,15 +47,15 @@
</cconfiguration>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<project id="camera_test.null.871804730" name="camera_test"/>
<project id="D8M_Camera_Test.null.1118614705" name="D8M_Camera_Test"/>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>
<storageModule moduleId="scannerConfiguration">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746.;altera.tool.gnu.c.compiler.mingw.2055167219;cdt.managedbuild.tool.gnu.c.compiler.input.858527592">
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632.;altera.tool.gnu.cpp.compiler.1543692213;cdt.managedbuild.tool.gnu.cpp.compiler.input.1049590957">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746.;altera.tool.gnu.cpp.compiler.mingw.40803439;cdt.managedbuild.tool.gnu.cpp.compiler.input.1689406633">
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632.;altera.tool.gnu.c.compiler.1412563702;cdt.managedbuild.tool.gnu.c.compiler.input.242847525">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
</storageModule>

View file

@ -1,6 +1,6 @@
<?xml version="1.0" encoding="UTF-8"?>
<projectDescription>
<name>camera_test</name>
<name>D8M_Camera_Test</name>
<comment></comment>
<projects>
</projects>

View file

@ -1,8 +1,8 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project>
<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.2028725746" name="Nios II">
<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.510496632" name="Nios II">
<extension point="org.eclipse.cdt.core.LanguageSettingsProvider">
<provider class="com.altera.sbtgui.project.importer.Nios2GCCBuiltinSpecsDetector" console="false" env-hash="-1140257491276676675" id="altera.tool.Nios2GCCBuiltinSpecsDetector" keep-relative-paths="false" name="Nios II GCC Built-in Compiler Settings" parameter="${COMMAND} ${FLAGS} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<provider class="com.altera.sbtgui.project.importer.Nios2GCCBuiltinSpecsDetector" console="false" env-hash="182309947422893918" id="altera.tool.Nios2GCCBuiltinSpecsDetector" keep-relative-paths="false" name="Nios II GCC Built-in Compiler Settings" parameter="${COMMAND} ${FLAGS} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<language-scope id="org.eclipse.cdt.core.gcc"/>
<language-scope id="org.eclipse.cdt.core.g++"/>
</provider>

View file

@ -1,10 +1,9 @@
#include <stdio.h>
#include "I2C_core.h"
#include "terasic_includes.h"
#include "mipi_camera_config.h"
#include "mipi_bridge_config.h"
#include "system.h"
#include "auto_focus.h"
@ -82,82 +81,56 @@ void mipi_show_error_info_more(void){
printf("CSIPktLen = %d\n",MipiBridgeRegRead(0x006E));
}
bool MIPI_Init(void){
bool bSuccess;
bSuccess = oc_i2c_init_ex(I2C_OPENCORES_MIPI_BASE, 50*1000*1000,400*1000); //I2C: 400K
if (!bSuccess)
printf("failed to init MIPI- Bridge i2c\r\n");
usleep(50*1000);
MipiBridgeInit();
usleep(500*1000);
// bSuccess = oc_i2c_init_ex(I2C_OPENCORES_CAMERA_BASE, 50*1000*1000,400*1000); //I2C: 400K
// if (!bSuccess)
// printf("failed to init MIPI- Camera i2c\r\n");
MipiCameraInit();
MIPI_BIN_LEVEL(DEFAULT_LEVEL);
// OV8865_FOCUS_Move_to(340);
// oc_i2c_uninit(I2C_OPENCORES_CAMERA_BASE); // Release I2C bus , due to two I2C master shared!
usleep(1000);
// oc_i2c_uninit(I2C_OPENCORES_MIPI_BASE);
return bSuccess;
}
int main()
{
fcntl(STDIN_FILENO, F_SETFL, O_NONBLOCK);
printf("DE10-LITE D8M VGA Demo\n");
printf("Imperial College EEE2 Project version\n");
IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00);
IOWR(MIPI_RESET_N_BASE, 0x00, 0x00);
usleep(2000);
IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF);
usleep(2000);
IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF);
printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID));
usleep(2000);
printf("DE10-LITE D8M VGA Demo\n");
printf("Imperial College EEE2 Project version\n");
IOWR(MIPI_PWDN_N_BASE, 0x00, 0x00);
IOWR(MIPI_RESET_N_BASE, 0x00, 0x00);
usleep(2000);
IOWR(MIPI_PWDN_N_BASE, 0x00, 0xFF);
usleep(2000);
IOWR(MIPI_RESET_N_BASE, 0x00, 0xFF);
printf("Image Processor ID: %x\n",IORD(0x42000,EEE_IMGPROC_ID));
//printf("Image Processor ID: %x\n",IORD(EEE_IMGPROC_0_BASE,EEE_IMGPROC_ID)); //Don't know why this doesn't work - definition is in system.h in BSP
usleep(2000);
// MIPI Init
if (!MIPI_Init()){
printf("MIPI_Init Init failed!\r\n");
}else{
printf("MIPI_Init Init successfully!\r\n");
}
// while(1){
mipi_clear_error();
usleep(50*1000);
mipi_clear_error();
usleep(1000*1000);
mipi_show_error_info();
// mipi_show_error_info_more();
printf("\n");
// }
// MIPI Init
if (!MIPI_Init()){
printf("MIPI_Init Init failed!\r\n");
}else{
printf("MIPI_Init Init successfully!\r\n");
}
// while(1){
mipi_clear_error();
usleep(50*1000);
mipi_clear_error();
usleep(1000*1000);
mipi_show_error_info();
// mipi_show_error_info_more();
printf("\n");
// }
#if 0 // focus sweep
printf("\nFocus sweep\n");
@ -171,16 +144,11 @@ int main()
else ii -= 20;
printf("%d\n",ii);
OV8865_FOCUS_Move_to(ii);
usleep(50*1000);
OV8865_FOCUS_Move_to(ii);
usleep(50*1000);
}
#endif
//////////////////////////////////////////////////////////
alt_u16 bin_level = DEFAULT_LEVEL;
alt_u8 manual_focus_step = 10;

View file

@ -1,37 +1,44 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<?fileVersion 4.0.0?><cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
<storageModule moduleId="org.eclipse.cdt.core.settings">
<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134">
<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134" moduleId="org.eclipse.cdt.core.settings"/>
<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122">
<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122" moduleId="org.eclipse.cdt.core.settings"/>
</buildSystem>
<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134">
<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122">
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134." name="/" resourcePath="">
<toolChain id="altera.nios2.mingw.gcc4.1264067644" name="MinGW Nios II GCC4" superClass="altera.nios2.mingw.gcc4">
<targetPlatform id="altera.nios2.mingw.gcc4.662615011" name="Nios II" superClass="altera.nios2.mingw.gcc4"/>
<builder buildPath="${workspace_loc://D8M_Camera_Test_bsp}" id="altera.tool.gnu.builder.mingw.694360200" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder.mingw"/>
<tool id="altera.tool.gnu.c.compiler.mingw.519328631" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler.mingw">
<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.1765274234" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122." name="/" resourcePath="">
<toolChain id="altera.nios2.linux.gcc4.1972187429" name="Linux Nios II GCC4" superClass="altera.nios2.linux.gcc4">
<targetPlatform id="altera.nios2.linux.gcc4.1558528892" name="Nios II" osList="linux" superClass="altera.nios2.linux.gcc4"/>
<builder buildPath="${workspace_loc://D8M_Camera_Test_bsp}" id="altera.tool.gnu.builder.2144407997" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder"/>
<tool id="altera.tool.gnu.c.compiler.1406613831" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler">
<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.1940436434" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
</tool>
<tool id="altera.tool.gnu.cpp.compiler.mingw.392886073" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler.mingw">
<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.1911461635" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
<tool id="altera.tool.gnu.cpp.compiler.31029531" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler">
<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.1148728151" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
</tool>
<tool id="altera.tool.gnu.archiver.mingw.1538798919" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver.mingw"/>
<tool id="altera.tool.gnu.c.linker.mingw.1910292516" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker.mingw"/>
<tool id="altera.tool.gnu.assembler.mingw.1295242095" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler.mingw">
<inputType id="cdt.managedbuild.tool.gnu.assembler.input.432820980" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
<tool id="altera.tool.gnu.archiver.118240003" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver"/>
<tool id="altera.tool.gnu.c.linker.1364287684" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker"/>
<tool id="altera.tool.gnu.cpp.linker.1039959248" name="Nios II GCC C++ Linker" superClass="altera.tool.gnu.cpp.linker">
<inputType id="cdt.managedbuild.tool.gnu.cpp.linker.input.1551573079" superClass="cdt.managedbuild.tool.gnu.cpp.linker.input">
<additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
<additionalInput kind="additionalinput" paths="$(LIBS)"/>
</inputType>
</tool>
<tool id="altera.tool.gnu.assembler.917602801" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler">
<inputType id="cdt.managedbuild.tool.gnu.assembler.input.326589167" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
</tool>
</toolChain>
</folderInfo>
</configuration>
</storageModule>
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
<externalSettings/>
<extensions>
<extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.GASErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GmakeErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GLDErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.CWDLocator" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GCCErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
@ -40,16 +47,16 @@
</cconfiguration>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<project id="D8M_Camera_Test_bsp.null.1509165805" name="D8M_Camera_Test_bsp"/>
<project id="D8M_Camera_Test_bsp.null.1818471988" name="D8M_Camera_Test_bsp"/>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>
<storageModule moduleId="org.eclipse.cdt.make.core.buildtargets"/>
<storageModule moduleId="scannerConfiguration">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134.;altera.tool.gnu.cpp.compiler.mingw.392886073;cdt.managedbuild.tool.gnu.cpp.compiler.input.1911461635">
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122.;altera.tool.gnu.c.compiler.1406613831;cdt.managedbuild.tool.gnu.c.compiler.input.1940436434">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134.;altera.tool.gnu.c.compiler.mingw.519328631;cdt.managedbuild.tool.gnu.c.compiler.input.1765274234">
<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122.;altera.tool.gnu.cpp.compiler.31029531;cdt.managedbuild.tool.gnu.cpp.compiler.input.1148728151">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
</storageModule>

View file

@ -1,8 +1,8 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project>
<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.952648134" name="Nios II">
<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1234190122" name="Nios II">
<extension point="org.eclipse.cdt.core.LanguageSettingsProvider">
<provider class="com.altera.sbtgui.project.importer.Nios2GCCBuiltinSpecsDetector" console="false" env-hash="-1853935238722855090" id="altera.tool.Nios2GCCBuiltinSpecsDetector" keep-relative-paths="false" name="Nios II GCC Built-in Compiler Settings" parameter="${COMMAND} ${FLAGS} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<provider class="com.altera.sbtgui.project.importer.Nios2GCCBuiltinSpecsDetector" console="false" env-hash="182309947422893918" id="altera.tool.Nios2GCCBuiltinSpecsDetector" keep-relative-paths="false" name="Nios II GCC Built-in Compiler Settings" parameter="${COMMAND} ${FLAGS} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<language-scope id="org.eclipse.cdt.core.gcc"/>
<language-scope id="org.eclipse.cdt.core.g++"/>
</provider>

View file

@ -244,12 +244,7 @@
void alt_log_write(const void *ptr, size_t len);
/* extern all global variables */
/* CASE:368514 - The boot message flag is linked into the sdata section
* because if it is zero, it would otherwise be placed in the bss section.
* alt_log examines this variable before the BSS is cleared in the boot-up
* process.
*/
extern volatile alt_u32 alt_log_boot_on_flag __attribute__ ((section (".sdata")));
extern volatile alt_u32 alt_log_boot_on_flag;
extern volatile alt_u8 alt_log_write_on_flag;
extern volatile alt_u8 alt_log_sys_clk_on_flag;
extern volatile alt_u8 alt_log_jtag_uart_alarm_on_flag;

View file

@ -35,28 +35,17 @@
/*
* Linker defined symbols.
These used to be
* extern alt_u32 __flash_rwdata_start;
* extern alt_u32 __ram_rwdata_start;
* extern alt_u32 __ram_rwdata_end;
* but that results in a fatal error when compiling -mgpopt=global
* because gcc assumes they are normal C variables in .sdata
* and therefore addressable from gp using a 16-bit offset,
* when in fact they are special values defined by linker.x
* and located nowhere near .sdata.
* Specifying __attribute__((section(".data"))) will force these
* in .data. (CASE:258384.)
*/
extern alt_u32 __flash_rwdata_start __attribute__((section(".data")));
extern alt_u32 __ram_rwdata_start __attribute__((section(".data")));
extern alt_u32 __ram_rwdata_end __attribute__((section(".data")));
extern alt_u32 __flash_rodata_start __attribute__((section(".data")));
extern alt_u32 __ram_rodata_start __attribute__((section(".data")));
extern alt_u32 __ram_rodata_end __attribute__((section(".data")));
extern alt_u32 __flash_exceptions_start __attribute__((section(".data")));
extern alt_u32 __ram_exceptions_start __attribute__((section(".data")));
extern alt_u32 __ram_exceptions_end __attribute__((section(".data")));
extern alt_u32 __flash_rwdata_start;
extern alt_u32 __ram_rwdata_start;
extern alt_u32 __ram_rwdata_end;
extern alt_u32 __flash_rodata_start;
extern alt_u32 __ram_rodata_start;
extern alt_u32 __ram_rodata_end;
extern alt_u32 __flash_exceptions_start;
extern alt_u32 __ram_exceptions_start;
extern alt_u32 __ram_exceptions_end;
/*
* alt_load() is called when the code is executing from flash. In this case

View file

@ -52,13 +52,13 @@ char alt_log_write_buf[ALT_LOG_WRITE_ECHO_LEN+2];
/* global variables for all 'on' flags */
/*
* CASE:368514 - The boot message flag is linked into the sdata section
* The boot message flag is linked into the data (rwdata) section
* because if it is zero, it would otherwise be placed in the bss section.
* alt_log examines this variable before the BSS is cleared in the boot-up
* process.
*/
volatile alt_u32 alt_log_boot_on_flag \
__attribute__ ((section (".sdata"))) = ALT_LOG_BOOT_ON_FLAG_SETTING;
__attribute__ ((section (".data"))) = ALT_LOG_BOOT_ON_FLAG_SETTING;
volatile alt_u8 alt_log_write_on_flag = ALT_LOG_WRITE_ON_FLAG_SETTING;

View file

@ -93,12 +93,12 @@ OBJ_DIR := ./obj
# This following VERSION comment indicates the version of the tool used to
# generate this makefile. A makefile variable is provided for VERSION as well.
# ACDS_VERSION: 16.1
ACDS_VERSION := 16.1
# ACDS_VERSION: 16.0
ACDS_VERSION := 16.0
# This following BUILD_NUMBER comment indicates the build number of the tool
# used to generate this makefile.
# BUILD_NUMBER: 196
# BUILD_NUMBER: 222
SETTINGS_FILE := settings.bsp
SOPC_FILE := ../../Qsys.sopcinfo
@ -147,6 +147,17 @@ BSP_CFLAGS_WARNINGS = -Wall
# C compiler command.
CC = nios2-elf-gcc -xc
# C/C++ compiler to generate (do not generate) GP-relative accesses. 'none'
# tells the compilter not to generate GP-relative accesses. 'local' will
# generate GP-relative accesses for small data objects that are not external,
# weak, or uninitialized common symbols. Also use GP-relative addressing for
# objects that have been explicitly placed in a small data section via a
# section attribute. provides the default set of debug symbols typically
# required to debug a typical application. 'global' is same as 'local' but also
# generate GP-relative accesses for small data objects that are external, weak,
# or common.
CFLAGS_MGPOPT = -mgpopt=local
# C++ compiler command.
CXX = nios2-elf-gcc -xc++
@ -393,6 +404,7 @@ BSP_CFLAGS += \
$(BSP_CFLAGS_DEBUG) \
$(BSP_CFLAGS_WARNINGS) \
$(BSP_CFLAGS_USER_FLAGS) \
$(CFLAGS_MGPOPT) \
$(ALT_CFLAGS) \
$(CFLAGS)

View file

@ -150,12 +150,12 @@ flash2dat_extra_args = $(mem_pad_flag) $(mem_reloc_input_flag)
# This following VERSION comment indicates the version of the tool used to
# generate this makefile. A makefile variable is provided for VERSION as well.
# ACDS_VERSION: 16.1
ACDS_VERSION := 16.1
# ACDS_VERSION: 16.0
ACDS_VERSION := 16.0
# This following BUILD_NUMBER comment indicates the build number of the tool
# used to generate this makefile.
# BUILD_NUMBER: 196
# BUILD_NUMBER: 222
# Optimize for simulation
SIM_OPTIMIZE ?= 0

View file

@ -77,12 +77,12 @@ ALT_CPPFLAGS += -pipe
# This following VERSION comment indicates the version of the tool used to
# generate this makefile. A makefile variable is provided for VERSION as well.
# ACDS_VERSION: 16.1
ACDS_VERSION := 16.1
# ACDS_VERSION: 16.0
ACDS_VERSION := 16.0
# This following BUILD_NUMBER comment indicates the build number of the tool
# used to generate this makefile.
# BUILD_NUMBER: 196
# BUILD_NUMBER: 222
# Qsys--generated SOPCINFO file. Required for resolving node instance ID's with
# design component names.
@ -157,9 +157,9 @@ SOPC_SYSID_FLAG += --sidp=0x410e0
ELF_PATCH_FLAG += --sidp 0x410e0
# The SOPC Timestamp
# setting SOPC_TIMESTAMP is 1621008007
SOPC_SYSID_FLAG += --timestamp=1621008007
ELF_PATCH_FLAG += --timestamp 1621008007
# setting SOPC_TIMESTAMP is 1622558600
SOPC_SYSID_FLAG += --timestamp=1622558600
ELF_PATCH_FLAG += --timestamp 1622558600
# Enable JTAG UART driver to recover when host is inactive causing buffer to
# full without returning error. Printf will not fail with this recovery. none
@ -256,18 +256,6 @@ ALT_CPPFLAGS += -DALT_NO_INSTRUCTION_EMULATION
# SOPC_SYSID_FLAG in public.mk. none
# setting hal.enable_sopc_sysid_check is true
# C/C++ compiler to generate (do not generate) GP-relative accesses. 'none'
# tells the compilter not to generate GP-relative accesses. 'local' will
# generate GP-relative accesses for small data objects that are not external,
# weak, or uninitialized common symbols. Also use GP-relative addressing for
# objects that have been explicitly placed in a small data section via a
# section attribute. provides the default set of debug symbols typically
# required to debug a typical application. 'global' is same as 'local' but also
# generate GP-relative accesses for small data objects that are external, weak,
# or common. none
# setting hal.make.cflags_mgpopt is -mgpopt=local
ALT_CFLAGS += -mgpopt=local
# Enable BSP generation to query if SOPC system is big endian. If true ignores
# export of 'ALT_CFLAGS += -meb' to public.mk if big endian system. none
# setting hal.make.ignore_system_derived.big_endian is false

View file

@ -2,11 +2,11 @@
<sch:Settings xmlns:sch="http://www.altera.com/embeddedsw/bsp/schema">
<BspType>hal</BspType>
<BspVersion>default</BspVersion>
<BspGeneratedTimeStamp>14-May-2021 21:40:25</BspGeneratedTimeStamp>
<BspGeneratedUnixTimeStamp>1621024825749</BspGeneratedUnixTimeStamp>
<BspGeneratedLocation>F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\software\D8M_Camera_Test_bsp</BspGeneratedLocation>
<BspGeneratedTimeStamp>Jun 1, 2021 3:52:17 PM</BspGeneratedTimeStamp>
<BspGeneratedUnixTimeStamp>1622559138001</BspGeneratedUnixTimeStamp>
<BspGeneratedLocation>/home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp</BspGeneratedLocation>
<BspSettingsFile>settings.bsp</BspSettingsFile>
<SopcDesignFile>..\..\Qsys.sopcinfo</SopcDesignFile>
<SopcDesignFile>../../Qsys.sopcinfo</SopcDesignFile>
<JdiFile>default</JdiFile>
<Cpu>nios2_gen2</Cpu>
<SchemaVersion>1.9</SchemaVersion>
@ -322,6 +322,18 @@
<Enabled>false</Enabled>
<Group>common</Group>
</Setting>
<Setting>
<SettingName>hal.make.cflags_mgpopt</SettingName>
<Identifier>CFLAGS_MGPOPT</Identifier>
<Type>UnquotedString</Type>
<Value>-mgpopt=local</Value>
<DefaultValue>-mgpopt=local</DefaultValue>
<DestinationFile>makefile_variable</DestinationFile>
<Description>C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common.</Description>
<Restrictions>none</Restrictions>
<Enabled>false</Enabled>
<Group>common</Group>
</Setting>
<Setting>
<SettingName>hal.make.ar</SettingName>
<Identifier>AR</Identifier>
@ -538,18 +550,6 @@
<Enabled>false</Enabled>
<Group>none</Group>
</Setting>
<Setting>
<SettingName>hal.make.cflags_mgpopt</SettingName>
<Identifier>CFLAGS_MGPOPT</Identifier>
<Type>UnquotedString</Type>
<Value>-mgpopt=local</Value>
<DefaultValue>-mgpopt=global</DefaultValue>
<DestinationFile>public_mk_define</DestinationFile>
<Description>C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common.</Description>
<Restrictions>none</Restrictions>
<Enabled>false</Enabled>
<Group>common</Group>
</Setting>
<Setting>
<SettingName>hal.make.ignore_system_derived.sopc_system_id</SettingName>
<Identifier>none</Identifier>

View file

@ -7,7 +7,7 @@
<td width="20%" bgcolor="#77BBFF">BSP Type:</td><td>hal</td>
</tr>
<tr mode="wrap">
<td width="20%" bgcolor="#77BBFF">SOPC Design File:</td><td>..\..\Qsys.sopcinfo</td>
<td width="20%" bgcolor="#77BBFF">SOPC Design File:</td><td>../../Qsys.sopcinfo</td>
</tr>
<tr mode="wrap">
<td width="20%" bgcolor="#77BBFF">Quartus JDI File:</td><td>default</td>
@ -22,13 +22,13 @@
<td width="20%" bgcolor="#77BBFF">BSP Version:</td><td>default</td>
</tr>
<tr mode="wrap">
<td width="20%" bgcolor="#77BBFF">BSP Generated On:</td><td>14-May-2021 21:40:25</td>
<td width="20%" bgcolor="#77BBFF">BSP Generated On:</td><td>Jun 1, 2021 3:52:17 PM</td>
</tr>
<tr mode="wrap">
<td width="20%" bgcolor="#77BBFF">BSP Generated Timestamp:</td><td>1621024825749</td>
<td width="20%" bgcolor="#77BBFF">BSP Generated Timestamp:</td><td>1622559138001</td>
</tr>
<tr mode="wrap">
<td width="20%" bgcolor="#77BBFF">BSP Generated Location:</td><td>F:\Ed\Stuff\EEE2Rover\DE10_LITE_D8M_VIP_16\software\D8M_Camera_Test_bsp</td>
<td width="20%" bgcolor="#77BBFF">BSP Generated Location:</td><td>/home/ad3919/nfshome/EE2Rover/Vision/DE10_LITE_D8M_VIP_16/software/D8M_Camera_Test_bsp</td>
</tr>
</table>
<br>
@ -1446,7 +1446,7 @@
<td width="20%">Identifier:</td><td>CFLAGS_MGPOPT</td>
</tr>
<tr>
<td width="20%">Default Value:</td><td>-mgpopt=global</td>
<td width="20%">Default Value:</td><td>-mgpopt=local</td>
</tr>
<tr>
<td width="20%">Value:</td><td>-mgpopt=local</td>
@ -1455,7 +1455,7 @@
<td width="20%">Type:</td><td>UnquotedString</td>
</tr>
<tr mode="wrap">
<td width="20%">Destination:</td><td>public_mk_define</td>
<td width="20%">Destination:</td><td>makefile_variable</td>
</tr>
<tr mode="wrap">
<td width="20%">Description:</td><td>C/C++ compiler to generate (do not generate) GP-relative accesses. 'none' tells the compilter not to generate GP-relative accesses. 'local' will generate GP-relative accesses for small data objects that are not external, weak, or uninitialized common symbols. Also use GP-relative addressing for objects that have been explicitly placed in a small data section via a section attribute. provides the default set of debug symbols typically required to debug a typical application. 'global' is same as 'local' but also generate GP-relative accesses for small data objects that are external, weak, or common.</td>

View file

@ -4,7 +4,7 @@
* Machine generated for CPU 'nios2_gen2' in SOPC Builder design 'Qsys'
* SOPC Builder design path: ../../Qsys.sopcinfo
*
* Generated: Fri May 14 17:18:20 BST 2021
* Generated: Tue Jun 01 15:52:19 BST 2021
*/
/*
@ -464,7 +464,7 @@
#define SYSID_QSYS_IRQ_INTERRUPT_CONTROLLER_ID -1
#define SYSID_QSYS_NAME "/dev/sysid_qsys"
#define SYSID_QSYS_SPAN 8
#define SYSID_QSYS_TIMESTAMP 1621008007
#define SYSID_QSYS_TIMESTAMP 1622558600
#define SYSID_QSYS_TYPE "altera_avalon_sysid_qsys"