From abb3e7979a0e49a2f8b90e4ad04e97b3f5189637 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Mon, 27 Jun 2022 15:39:17 +0000 Subject: [PATCH] Reorganise Repository --- {Misc PDFs => docs}/ALU Ver.pdf | Bin {Misc PDFs => docs}/ALU top.pdf | Bin {Misc PDFs => docs}/CPU Diagram Quartus.pdf | Bin {Misc PDFs => docs}/Decoder Ver.pdf | Bin .../Initial MUX 8x16 design.PNG | Bin {Misc PDFs => docs}/MUL16 bdf.pdf | Bin {Misc PDFs => docs}/MUL8 bdf.pdf | Bin {Misc PDFs => docs}/Max_min Ver.pdf | Bin {Misc PDFs => docs}/Stack Ver.pdf | Bin .gitignore => src/.gitignore | 0 ADD_1.bsf => src/ADD_1.bsf | 0 ADD_1.v => src/ADD_1.v | 0 ADD_1.v.bak => src/ADD_1.v.bak | 0 ALU_top.bdf => src/ALU_top.bdf | 0 ALU_top.bsf => src/ALU_top.bsf | 0 CPUProject.bdf => src/CPUProject.bdf | 0 CPUProject.qpf => src/CPUProject.qpf | 0 CPUProject.qsf => src/CPUProject.qsf | 0 CPUProject.qws => src/CPUProject.qws | Bin CPUProject.sdc => src/CPUProject.sdc | 0 CPUProject.sdc.bak => src/CPUProject.sdc.bak | 0 .../CPUProject_assignment_defaults.qdf | 0 DECODE.bsf => src/DECODE.bsf | 0 DECODE.v => src/DECODE.v | 0 DECODE.v.bak => src/DECODE.v.bak | 0 LIFOstack.bsf => src/LIFOstack.bsf | 0 LIFOstack.v => src/LIFOstack.v | 0 LIFOstack.v.bak => src/LIFOstack.v.bak | 0 LUT.bsf => src/LUT.bsf | 0 LUT.qip => src/LUT.qip | 0 LUT.v => src/LUT.v | 0 LUTSquares.mif => src/LUTSquares.mif | 0 LUT_bb.v => src/LUT_bb.v | 0 SM.bsf => src/SM.bsf | 0 SM.v => src/SM.v | 0 SM.v.bak => src/SM.v.bak | 0 SM_pipelined.v => src/SM_pipelined.v | 0 SM_pipelined.v.bak => src/SM_pipelined.v.bak | 0 all_instr_test.mif => src/all_instr_test.mif | 0 all_instr_test.txt => src/all_instr_test.txt | 0 alu.bsf => src/alu.bsf | 0 alu.v => src/alu.v | 0 alu.v.bak => src/alu.v.bak | 0 data.mif => src/data.mif | 0 instr.mif => src/instr.mif | 0 max_min.bsf => src/max_min.bsf | 0 max_min.v => src/max_min.v | 0 max_min.v.bak => src/max_min.v.bak | 0 mul16.bdf => src/mul16.bdf | 0 mul16.bsf => src/mul16.bsf | 0 mul8.bdf => src/mul8.bdf | 0 mul8.bsf => src/mul8.bsf | 0 mux_8x16.bsf => src/mux_8x16.bsf | 0 mux_8x16.v => src/mux_8x16.v | 0 mux_8x16.v.bak => src/mux_8x16.v.bak | 0 ram_data.bsf => src/ram_data.bsf | 0 ram_data.qip => src/ram_data.qip | 0 ram_data.v => src/ram_data.v | 0 ram_instr.bsf => src/ram_instr.bsf | 0 ram_instr.qip => src/ram_instr.qip | 0 ram_instr.v => src/ram_instr.v | 0 reg_file.bdf => src/reg_file.bdf | 0 reg_file.bsf => src/reg_file.bsf | 0 test_no_mul.mif => src/test_no_mul.mif | 0 test_no_mul.txt => src/test_no_mul.txt | 0 .../SquareMIFGenerator.cpp | 0 66 files changed, 0 insertions(+), 0 deletions(-) rename {Misc PDFs => docs}/ALU Ver.pdf (100%) rename {Misc PDFs => docs}/ALU top.pdf (100%) rename {Misc PDFs => docs}/CPU Diagram Quartus.pdf (100%) rename {Misc PDFs => docs}/Decoder Ver.pdf (100%) rename Initial MUX 8x16 design.PNG => docs/Initial MUX 8x16 design.PNG (100%) rename {Misc PDFs => docs}/MUL16 bdf.pdf (100%) rename {Misc PDFs => docs}/MUL8 bdf.pdf (100%) rename {Misc PDFs => docs}/Max_min Ver.pdf (100%) rename {Misc PDFs => docs}/Stack Ver.pdf (100%) rename .gitignore => src/.gitignore (100%) rename ADD_1.bsf => src/ADD_1.bsf (100%) rename ADD_1.v => src/ADD_1.v (100%) rename ADD_1.v.bak => src/ADD_1.v.bak (100%) rename ALU_top.bdf => src/ALU_top.bdf (100%) rename ALU_top.bsf => src/ALU_top.bsf (100%) rename CPUProject.bdf => src/CPUProject.bdf (100%) rename CPUProject.qpf => src/CPUProject.qpf (100%) rename CPUProject.qsf => src/CPUProject.qsf (100%) rename CPUProject.qws => src/CPUProject.qws (100%) rename CPUProject.sdc => src/CPUProject.sdc (100%) rename CPUProject.sdc.bak => src/CPUProject.sdc.bak (100%) rename CPUProject_assignment_defaults.qdf => src/CPUProject_assignment_defaults.qdf (100%) rename DECODE.bsf => src/DECODE.bsf (100%) rename DECODE.v => src/DECODE.v (100%) rename DECODE.v.bak => src/DECODE.v.bak (100%) rename LIFOstack.bsf => src/LIFOstack.bsf (100%) rename LIFOstack.v => src/LIFOstack.v (100%) rename LIFOstack.v.bak => src/LIFOstack.v.bak (100%) rename LUT.bsf => src/LUT.bsf (100%) rename LUT.qip => src/LUT.qip (100%) rename LUT.v => src/LUT.v (100%) rename LUTSquares.mif => src/LUTSquares.mif (100%) rename LUT_bb.v => src/LUT_bb.v (100%) rename SM.bsf => src/SM.bsf (100%) rename SM.v => src/SM.v (100%) rename SM.v.bak => src/SM.v.bak (100%) rename SM_pipelined.v => src/SM_pipelined.v (100%) rename SM_pipelined.v.bak => src/SM_pipelined.v.bak (100%) rename all_instr_test.mif => src/all_instr_test.mif (100%) rename all_instr_test.txt => src/all_instr_test.txt (100%) rename alu.bsf => src/alu.bsf (100%) rename alu.v => src/alu.v (100%) rename alu.v.bak => src/alu.v.bak (100%) rename data.mif => src/data.mif (100%) rename instr.mif => src/instr.mif (100%) rename max_min.bsf => src/max_min.bsf (100%) rename max_min.v => src/max_min.v (100%) rename max_min.v.bak => src/max_min.v.bak (100%) rename mul16.bdf => src/mul16.bdf (100%) rename mul16.bsf => src/mul16.bsf (100%) rename mul8.bdf => src/mul8.bdf (100%) rename mul8.bsf => src/mul8.bsf (100%) rename mux_8x16.bsf => src/mux_8x16.bsf (100%) rename mux_8x16.v => src/mux_8x16.v (100%) rename mux_8x16.v.bak => src/mux_8x16.v.bak (100%) rename ram_data.bsf => src/ram_data.bsf (100%) rename ram_data.qip => src/ram_data.qip (100%) rename ram_data.v => src/ram_data.v (100%) rename ram_instr.bsf => src/ram_instr.bsf (100%) rename ram_instr.qip => src/ram_instr.qip (100%) rename ram_instr.v => src/ram_instr.v (100%) rename reg_file.bdf => src/reg_file.bdf (100%) rename reg_file.bsf => src/reg_file.bsf (100%) rename test_no_mul.mif => src/test_no_mul.mif (100%) rename test_no_mul.txt => src/test_no_mul.txt (100%) rename SquareMIFGenerator.cpp => testing/SquareMIFGenerator.cpp (100%) diff --git a/Misc PDFs/ALU Ver.pdf b/docs/ALU Ver.pdf similarity index 100% rename from Misc PDFs/ALU Ver.pdf rename to docs/ALU Ver.pdf diff --git a/Misc PDFs/ALU top.pdf b/docs/ALU top.pdf similarity index 100% rename from Misc PDFs/ALU top.pdf rename to docs/ALU top.pdf diff --git a/Misc PDFs/CPU Diagram Quartus.pdf b/docs/CPU Diagram Quartus.pdf similarity index 100% rename from Misc PDFs/CPU Diagram Quartus.pdf rename to docs/CPU Diagram Quartus.pdf diff --git a/Misc PDFs/Decoder Ver.pdf b/docs/Decoder Ver.pdf similarity index 100% rename from Misc PDFs/Decoder Ver.pdf rename to docs/Decoder Ver.pdf diff --git a/Initial MUX 8x16 design.PNG b/docs/Initial MUX 8x16 design.PNG similarity index 100% rename from Initial MUX 8x16 design.PNG rename to docs/Initial MUX 8x16 design.PNG diff --git a/Misc PDFs/MUL16 bdf.pdf b/docs/MUL16 bdf.pdf similarity index 100% rename from Misc PDFs/MUL16 bdf.pdf rename to docs/MUL16 bdf.pdf diff --git a/Misc PDFs/MUL8 bdf.pdf b/docs/MUL8 bdf.pdf similarity index 100% rename from Misc PDFs/MUL8 bdf.pdf rename to docs/MUL8 bdf.pdf diff --git a/Misc PDFs/Max_min Ver.pdf b/docs/Max_min Ver.pdf similarity index 100% rename from Misc PDFs/Max_min Ver.pdf rename to docs/Max_min Ver.pdf diff --git a/Misc PDFs/Stack Ver.pdf b/docs/Stack Ver.pdf similarity index 100% rename from Misc PDFs/Stack Ver.pdf rename to docs/Stack Ver.pdf diff --git a/.gitignore b/src/.gitignore similarity index 100% rename from .gitignore rename to src/.gitignore diff --git a/ADD_1.bsf b/src/ADD_1.bsf similarity index 100% rename from ADD_1.bsf rename to src/ADD_1.bsf diff --git a/ADD_1.v b/src/ADD_1.v similarity index 100% rename from ADD_1.v rename to src/ADD_1.v diff --git a/ADD_1.v.bak b/src/ADD_1.v.bak similarity index 100% rename from ADD_1.v.bak rename to src/ADD_1.v.bak diff --git a/ALU_top.bdf b/src/ALU_top.bdf similarity index 100% rename from ALU_top.bdf rename to src/ALU_top.bdf diff --git a/ALU_top.bsf b/src/ALU_top.bsf similarity index 100% rename from ALU_top.bsf rename to src/ALU_top.bsf diff --git a/CPUProject.bdf b/src/CPUProject.bdf similarity index 100% rename from CPUProject.bdf rename to src/CPUProject.bdf diff --git a/CPUProject.qpf b/src/CPUProject.qpf similarity index 100% rename from CPUProject.qpf rename to src/CPUProject.qpf diff --git a/CPUProject.qsf b/src/CPUProject.qsf similarity index 100% rename from CPUProject.qsf rename to src/CPUProject.qsf diff --git a/CPUProject.qws b/src/CPUProject.qws similarity index 100% rename from CPUProject.qws rename to src/CPUProject.qws diff --git a/CPUProject.sdc b/src/CPUProject.sdc similarity index 100% rename from CPUProject.sdc rename to src/CPUProject.sdc diff --git a/CPUProject.sdc.bak b/src/CPUProject.sdc.bak similarity index 100% rename from CPUProject.sdc.bak rename to src/CPUProject.sdc.bak diff --git a/CPUProject_assignment_defaults.qdf b/src/CPUProject_assignment_defaults.qdf similarity index 100% rename from CPUProject_assignment_defaults.qdf rename to src/CPUProject_assignment_defaults.qdf diff --git a/DECODE.bsf b/src/DECODE.bsf similarity index 100% rename from DECODE.bsf rename to src/DECODE.bsf diff --git a/DECODE.v b/src/DECODE.v similarity index 100% rename from DECODE.v rename to src/DECODE.v diff --git a/DECODE.v.bak b/src/DECODE.v.bak similarity index 100% rename from DECODE.v.bak rename to src/DECODE.v.bak diff --git a/LIFOstack.bsf b/src/LIFOstack.bsf similarity index 100% rename from LIFOstack.bsf rename to src/LIFOstack.bsf diff --git a/LIFOstack.v b/src/LIFOstack.v similarity index 100% rename from LIFOstack.v rename to src/LIFOstack.v diff --git a/LIFOstack.v.bak b/src/LIFOstack.v.bak similarity index 100% rename from LIFOstack.v.bak rename to src/LIFOstack.v.bak diff --git a/LUT.bsf b/src/LUT.bsf similarity index 100% rename from LUT.bsf rename to src/LUT.bsf diff --git a/LUT.qip b/src/LUT.qip similarity index 100% rename from LUT.qip rename to src/LUT.qip diff --git a/LUT.v b/src/LUT.v similarity index 100% rename from LUT.v rename to src/LUT.v diff --git a/LUTSquares.mif b/src/LUTSquares.mif similarity index 100% rename from LUTSquares.mif rename to src/LUTSquares.mif diff --git a/LUT_bb.v b/src/LUT_bb.v similarity index 100% rename from LUT_bb.v rename to src/LUT_bb.v diff --git a/SM.bsf b/src/SM.bsf similarity index 100% rename from SM.bsf rename to src/SM.bsf diff --git a/SM.v b/src/SM.v similarity index 100% rename from SM.v rename to src/SM.v diff --git a/SM.v.bak b/src/SM.v.bak similarity index 100% rename from SM.v.bak rename to src/SM.v.bak diff --git a/SM_pipelined.v b/src/SM_pipelined.v similarity index 100% rename from SM_pipelined.v rename to src/SM_pipelined.v diff --git a/SM_pipelined.v.bak b/src/SM_pipelined.v.bak similarity index 100% rename from SM_pipelined.v.bak rename to src/SM_pipelined.v.bak diff --git a/all_instr_test.mif b/src/all_instr_test.mif similarity index 100% rename from all_instr_test.mif rename to src/all_instr_test.mif diff --git a/all_instr_test.txt b/src/all_instr_test.txt similarity index 100% rename from all_instr_test.txt rename to src/all_instr_test.txt diff --git a/alu.bsf b/src/alu.bsf similarity index 100% rename from alu.bsf rename to src/alu.bsf diff --git a/alu.v b/src/alu.v similarity index 100% rename from alu.v rename to src/alu.v diff --git a/alu.v.bak b/src/alu.v.bak similarity index 100% rename from alu.v.bak rename to src/alu.v.bak diff --git a/data.mif b/src/data.mif similarity index 100% rename from data.mif rename to src/data.mif diff --git a/instr.mif b/src/instr.mif similarity index 100% rename from instr.mif rename to src/instr.mif diff --git a/max_min.bsf b/src/max_min.bsf similarity index 100% rename from max_min.bsf rename to src/max_min.bsf diff --git a/max_min.v b/src/max_min.v similarity index 100% rename from max_min.v rename to src/max_min.v diff --git a/max_min.v.bak b/src/max_min.v.bak similarity index 100% rename from max_min.v.bak rename to src/max_min.v.bak diff --git a/mul16.bdf b/src/mul16.bdf similarity index 100% rename from mul16.bdf rename to src/mul16.bdf diff --git a/mul16.bsf b/src/mul16.bsf similarity index 100% rename from mul16.bsf rename to src/mul16.bsf diff --git a/mul8.bdf b/src/mul8.bdf similarity index 100% rename from mul8.bdf rename to src/mul8.bdf diff --git a/mul8.bsf b/src/mul8.bsf similarity index 100% rename from mul8.bsf rename to src/mul8.bsf diff --git a/mux_8x16.bsf b/src/mux_8x16.bsf similarity index 100% rename from mux_8x16.bsf rename to src/mux_8x16.bsf diff --git a/mux_8x16.v b/src/mux_8x16.v similarity index 100% rename from mux_8x16.v rename to src/mux_8x16.v diff --git a/mux_8x16.v.bak b/src/mux_8x16.v.bak similarity index 100% rename from mux_8x16.v.bak rename to src/mux_8x16.v.bak diff --git a/ram_data.bsf b/src/ram_data.bsf similarity index 100% rename from ram_data.bsf rename to src/ram_data.bsf diff --git a/ram_data.qip b/src/ram_data.qip similarity index 100% rename from ram_data.qip rename to src/ram_data.qip diff --git a/ram_data.v b/src/ram_data.v similarity index 100% rename from ram_data.v rename to src/ram_data.v diff --git a/ram_instr.bsf b/src/ram_instr.bsf similarity index 100% rename from ram_instr.bsf rename to src/ram_instr.bsf diff --git a/ram_instr.qip b/src/ram_instr.qip similarity index 100% rename from ram_instr.qip rename to src/ram_instr.qip diff --git a/ram_instr.v b/src/ram_instr.v similarity index 100% rename from ram_instr.v rename to src/ram_instr.v diff --git a/reg_file.bdf b/src/reg_file.bdf similarity index 100% rename from reg_file.bdf rename to src/reg_file.bdf diff --git a/reg_file.bsf b/src/reg_file.bsf similarity index 100% rename from reg_file.bsf rename to src/reg_file.bsf diff --git a/test_no_mul.mif b/src/test_no_mul.mif similarity index 100% rename from test_no_mul.mif rename to src/test_no_mul.mif diff --git a/test_no_mul.txt b/src/test_no_mul.txt similarity index 100% rename from test_no_mul.txt rename to src/test_no_mul.txt diff --git a/SquareMIFGenerator.cpp b/testing/SquareMIFGenerator.cpp similarity index 100% rename from SquareMIFGenerator.cpp rename to testing/SquareMIFGenerator.cpp