mirror of
https://github.com/supleed2/ELEC40006-P1-CW.git
synced 2024-11-10 02:05:48 +00:00
Working on initial design
This commit is contained in:
parent
14418c8725
commit
6b2363d6a1
279
CPUProject.bdf
279
CPUProject.bdf
|
@ -4,9 +4,9 @@ editor if you plan to continue editing the block that represents it in
|
||||||
the Block Editor! File corruption is VERY likely to occur.
|
the Block Editor! File corruption is VERY likely to occur.
|
||||||
*/
|
*/
|
||||||
/*
|
/*
|
||||||
Copyright (C) 2019 Intel Corporation. All rights reserved.
|
Copyright (C) 2018 Intel Corporation. All rights reserved.
|
||||||
Your use of Intel Corporation's design tools, logic functions
|
Your use of Intel Corporation's design tools, logic functions
|
||||||
and other software and tools, and any partner logic
|
and other software and tools, and its AMPP partner logic
|
||||||
functions, and any output files from any of the foregoing
|
functions, and any output files from any of the foregoing
|
||||||
(including device programming or simulation files), and any
|
(including device programming or simulation files), and any
|
||||||
associated documentation or information are expressly subject
|
associated documentation or information are expressly subject
|
||||||
|
@ -16,115 +16,196 @@ the Intel FPGA IP License Agreement, or other applicable license
|
||||||
agreement, including, without limitation, that your use is for
|
agreement, including, without limitation, that your use is for
|
||||||
the sole purpose of programming logic devices manufactured by
|
the sole purpose of programming logic devices manufactured by
|
||||||
Intel and sold by Intel or its authorized distributors. Please
|
Intel and sold by Intel or its authorized distributors. Please
|
||||||
refer to the applicable agreement for further details, at
|
refer to the applicable agreement for further details.
|
||||||
https://fpgasoftware.intel.com/eula.
|
|
||||||
*/
|
*/
|
||||||
(header "graphic" (version "1.4"))
|
(header "graphic" (version "1.4"))
|
||||||
(pin
|
|
||||||
(input)
|
|
||||||
(rect 296 224 464 240)
|
|
||||||
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
|
||||||
(text "X" (rect 5 0 11 12)(font "Arial" ))
|
|
||||||
(pt 168 8)
|
|
||||||
(drawing
|
|
||||||
(line (pt 84 12)(pt 109 12))
|
|
||||||
(line (pt 84 4)(pt 109 4))
|
|
||||||
(line (pt 113 8)(pt 168 8))
|
|
||||||
(line (pt 84 12)(pt 84 4))
|
|
||||||
(line (pt 109 4)(pt 113 8))
|
|
||||||
(line (pt 109 12)(pt 113 8))
|
|
||||||
)
|
|
||||||
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
|
||||||
)
|
|
||||||
(pin
|
|
||||||
(input)
|
|
||||||
(rect 296 288 464 304)
|
|
||||||
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
|
||||||
(text "Y" (rect 5 0 13 17)(font "Intel Clear" ))
|
|
||||||
(pt 168 8)
|
|
||||||
(drawing
|
|
||||||
(line (pt 84 12)(pt 109 12))
|
|
||||||
(line (pt 84 4)(pt 109 4))
|
|
||||||
(line (pt 113 8)(pt 168 8))
|
|
||||||
(line (pt 84 12)(pt 84 4))
|
|
||||||
(line (pt 109 4)(pt 113 8))
|
|
||||||
(line (pt 109 12)(pt 113 8))
|
|
||||||
)
|
|
||||||
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
|
||||||
)
|
|
||||||
(pin
|
|
||||||
(output)
|
|
||||||
(rect 608 256 784 272)
|
|
||||||
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
|
|
||||||
(text "Z" (rect 90 0 97 12)(font "Arial" ))
|
|
||||||
(pt 0 8)
|
|
||||||
(drawing
|
|
||||||
(line (pt 0 8)(pt 52 8))
|
|
||||||
(line (pt 52 4)(pt 78 4))
|
|
||||||
(line (pt 52 12)(pt 78 12))
|
|
||||||
(line (pt 52 12)(pt 52 4))
|
|
||||||
(line (pt 78 4)(pt 82 8))
|
|
||||||
(line (pt 82 8)(pt 78 12))
|
|
||||||
(line (pt 78 12)(pt 82 8))
|
|
||||||
)
|
|
||||||
)
|
|
||||||
(symbol
|
(symbol
|
||||||
(rect 504 240 568 288)
|
(rect 216 160 408 616)
|
||||||
(text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
|
(text "reg_file" (rect 5 0 49 19)(font "Intel Clear" (font_size 8)))
|
||||||
(text "inst" (rect 3 37 20 49)(font "Arial" ))
|
(text "inst" (rect 8 439 24 456)(font "Intel Clear" ))
|
||||||
(port
|
(port
|
||||||
(pt 0 16)
|
(pt 0 24)
|
||||||
(input)
|
(input)
|
||||||
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
|
(text "CLK" (rect 0 0 23 19)(font "Intel Clear" (font_size 8)))
|
||||||
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
|
(text "CLK" (rect 21 19 44 38)(font "Intel Clear" (font_size 8)))
|
||||||
(line (pt 0 16)(pt 14 16))
|
(line (pt 0 24)(pt 16 24))
|
||||||
)
|
)
|
||||||
(port
|
(port
|
||||||
(pt 0 32)
|
(pt 0 48)
|
||||||
(input)
|
(input)
|
||||||
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
|
(text "R0_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
|
(text "R0_en" (rect 21 43 58 62)(font "Intel Clear" (font_size 8)))
|
||||||
(line (pt 0 32)(pt 14 32))
|
(line (pt 0 48)(pt 16 48))
|
||||||
)
|
)
|
||||||
(port
|
(port
|
||||||
(pt 64 24)
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "R0_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R0_in" (rect 21 59 55 78)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 16 64))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 80)
|
||||||
|
(input)
|
||||||
|
(text "R0_count" (rect 0 0 55 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R0_count" (rect 21 75 76 94)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 80)(pt 16 80))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 112)
|
||||||
|
(input)
|
||||||
|
(text "R1_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R1_in" (rect 21 107 55 126)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 112)(pt 16 112))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 128)
|
||||||
|
(input)
|
||||||
|
(text "R1_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R1_en" (rect 21 123 58 142)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 128)(pt 16 128))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 160)
|
||||||
|
(input)
|
||||||
|
(text "R2_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R2_in" (rect 21 155 55 174)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 160)(pt 16 160))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 176)
|
||||||
|
(input)
|
||||||
|
(text "R2_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R2_en" (rect 21 171 58 190)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 176)(pt 16 176))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 208)
|
||||||
|
(input)
|
||||||
|
(text "R3_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R3_in" (rect 21 203 55 222)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 208)(pt 16 208))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 224)
|
||||||
|
(input)
|
||||||
|
(text "R3_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R3_en" (rect 21 219 58 238)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 224)(pt 16 224))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 256)
|
||||||
|
(input)
|
||||||
|
(text "R4_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R4_in" (rect 21 251 55 270)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 256)(pt 16 256))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 272)
|
||||||
|
(input)
|
||||||
|
(text "R4_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R4_en" (rect 21 267 58 286)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 272)(pt 16 272))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 304)
|
||||||
|
(input)
|
||||||
|
(text "R5_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R5_in" (rect 21 299 55 318)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 304)(pt 16 304))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 320)
|
||||||
|
(input)
|
||||||
|
(text "R5_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R5_en" (rect 21 315 58 334)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 320)(pt 16 320))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 352)
|
||||||
|
(input)
|
||||||
|
(text "R6_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R6_in" (rect 21 347 55 366)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 352)(pt 16 352))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 368)
|
||||||
|
(input)
|
||||||
|
(text "R6_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R6_en" (rect 21 363 58 382)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 368)(pt 16 368))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 400)
|
||||||
|
(input)
|
||||||
|
(text "R7_in" (rect 0 0 34 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R7_in" (rect 21 395 55 414)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 400)(pt 16 400))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 416)
|
||||||
|
(input)
|
||||||
|
(text "R7_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R7_en" (rect 21 411 58 430)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 416)(pt 16 416))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 64)
|
||||||
(output)
|
(output)
|
||||||
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
|
(text "R0" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
|
(text "R0" (rect 155 51 171 70)(font "Intel Clear" (font_size 8)))
|
||||||
(line (pt 42 24)(pt 64 24))
|
(line (pt 192 64)(pt 176 64))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 128)
|
||||||
|
(output)
|
||||||
|
(text "R1" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R1" (rect 155 115 171 134)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 192 128)(pt 176 128))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 176)
|
||||||
|
(output)
|
||||||
|
(text "R2" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R2" (rect 155 163 171 182)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 192 176)(pt 176 176))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 224)
|
||||||
|
(output)
|
||||||
|
(text "R3" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R3" (rect 155 211 171 230)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 192 224)(pt 176 224))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 272)
|
||||||
|
(output)
|
||||||
|
(text "R4" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R4" (rect 155 259 171 278)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 192 272)(pt 176 272))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 320)
|
||||||
|
(output)
|
||||||
|
(text "R5" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R5" (rect 155 307 171 326)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 192 320)(pt 176 320))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 368)
|
||||||
|
(output)
|
||||||
|
(text "R6" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R6" (rect 155 355 171 374)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 192 368)(pt 176 368))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 192 416)
|
||||||
|
(output)
|
||||||
|
(text "R7" (rect 64 0 80 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R7" (rect 155 403 171 422)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 192 416)(pt 176 416))
|
||||||
)
|
)
|
||||||
(drawing
|
(drawing
|
||||||
(line (pt 14 12)(pt 30 12))
|
(rectangle (rect 16 16 176 440))
|
||||||
(line (pt 14 37)(pt 31 37))
|
|
||||||
(line (pt 14 12)(pt 14 37))
|
|
||||||
(arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
|
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
(connector
|
|
||||||
(pt 496 256)
|
|
||||||
(pt 496 232)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(pt 504 256)
|
|
||||||
(pt 496 256)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(pt 496 232)
|
|
||||||
(pt 464 232)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(pt 464 296)
|
|
||||||
(pt 496 296)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(pt 496 296)
|
|
||||||
(pt 496 272)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(pt 496 272)
|
|
||||||
(pt 504 272)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(pt 568 264)
|
|
||||||
(pt 608 264)
|
|
||||||
)
|
|
||||||
|
|
|
@ -38,16 +38,17 @@
|
||||||
|
|
||||||
set_global_assignment -name FAMILY "Cyclone IV E"
|
set_global_assignment -name FAMILY "Cyclone IV E"
|
||||||
set_global_assignment -name DEVICE AUTO
|
set_global_assignment -name DEVICE AUTO
|
||||||
set_global_assignment -name TOP_LEVEL_ENTITY CPUProject
|
set_global_assignment -name TOP_LEVEL_ENTITY reg_file
|
||||||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0
|
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0
|
||||||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:38:11 MAY 20, 2020"
|
set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:38:11 MAY 20, 2020"
|
||||||
set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition"
|
set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Standard Edition"
|
||||||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
||||||
set_global_assignment -name BDF_FILE CPUProject.bdf
|
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON
|
||||||
|
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
|
||||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||||
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON
|
|
||||||
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
|
|
||||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||||
set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf
|
set_global_assignment -name BDF_FILE CPUProject.bdf
|
||||||
|
set_global_assignment -name BDF_FILE reg_file.bdf
|
||||||
|
set_global_assignment -name BDF_FILE mux_8x16.bdf
|
178
Waveform.vwf
178
Waveform.vwf
|
@ -1,178 +0,0 @@
|
||||||
/*<simulation_settings>
|
|
||||||
<ftestbench_cmd>quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off CPUProject -c CPUProject --vector_source="C:/Users/Benjamin/Desktop/CPUProject/Waveform.vwf" --testbench_file="C:/Users/Benjamin/Desktop/CPUProject/simulation/qsim/Waveform.vwf.vt"</ftestbench_cmd>
|
|
||||||
<ttestbench_cmd>quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off CPUProject -c CPUProject --vector_source="C:/Users/Benjamin/Desktop/CPUProject/Waveform.vwf" --testbench_file="C:/Users/Benjamin/Desktop/CPUProject/simulation/qsim/Waveform.vwf.vt"</ttestbench_cmd>
|
|
||||||
<fnetlist_cmd>quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/Benjamin/Desktop/CPUProject/simulation/qsim/" CPUProject -c CPUProject</fnetlist_cmd>
|
|
||||||
<tnetlist_cmd>quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/Benjamin/Desktop/CPUProject/simulation/qsim/" CPUProject -c CPUProject</tnetlist_cmd>
|
|
||||||
<modelsim_script>onerror {exit -code 1}
|
|
||||||
vlib work
|
|
||||||
vlog -work work CPUProject.vo
|
|
||||||
vlog -work work Waveform.vwf.vt
|
|
||||||
vsim -novopt -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.CPUProject_vlg_vec_tst
|
|
||||||
vcd file -direction CPUProject.msim.vcd
|
|
||||||
vcd add -internal CPUProject_vlg_vec_tst/*
|
|
||||||
vcd add -internal CPUProject_vlg_vec_tst/i1/*
|
|
||||||
proc simTimestamp {} {
|
|
||||||
echo "Simulation time: $::now ps"
|
|
||||||
if { [string equal running [runStatus]] } {
|
|
||||||
after 2500 simTimestamp
|
|
||||||
}
|
|
||||||
}
|
|
||||||
after 2500 simTimestamp
|
|
||||||
run -all
|
|
||||||
quit -f
|
|
||||||
</modelsim_script>
|
|
||||||
<modelsim_script_timing>onerror {exit -code 1}
|
|
||||||
vlib work
|
|
||||||
vlog -work work CPUProject.vo
|
|
||||||
vlog -work work Waveform.vwf.vt
|
|
||||||
vsim -novopt -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.CPUProject_vlg_vec_tst
|
|
||||||
vcd file -direction CPUProject.msim.vcd
|
|
||||||
vcd add -internal CPUProject_vlg_vec_tst/*
|
|
||||||
vcd add -internal CPUProject_vlg_vec_tst/i1/*
|
|
||||||
proc simTimestamp {} {
|
|
||||||
echo "Simulation time: $::now ps"
|
|
||||||
if { [string equal running [runStatus]] } {
|
|
||||||
after 2500 simTimestamp
|
|
||||||
}
|
|
||||||
}
|
|
||||||
after 2500 simTimestamp
|
|
||||||
run -all
|
|
||||||
quit -f
|
|
||||||
</modelsim_script_timing>
|
|
||||||
<hdl_lang>verilog</hdl_lang>
|
|
||||||
</simulation_settings>*/
|
|
||||||
/*
|
|
||||||
WARNING: Do NOT edit the input and output ports in this file in a text
|
|
||||||
editor if you plan to continue editing the block that represents it in
|
|
||||||
the Block Editor! File corruption is VERY likely to occur.
|
|
||||||
*/
|
|
||||||
|
|
||||||
/*
|
|
||||||
Copyright (C) 2019 Intel Corporation. All rights reserved.
|
|
||||||
Your use of Intel Corporation's design tools, logic functions
|
|
||||||
and other software and tools, and any partner logic
|
|
||||||
functions, and any output files from any of the foregoing
|
|
||||||
(including device programming or simulation files), and any
|
|
||||||
associated documentation or information are expressly subject
|
|
||||||
to the terms and conditions of the Intel Program License
|
|
||||||
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
|
||||||
the Intel FPGA IP License Agreement, or other applicable license
|
|
||||||
agreement, including, without limitation, that your use is for
|
|
||||||
the sole purpose of programming logic devices manufactured by
|
|
||||||
Intel and sold by Intel or its authorized distributors. Please
|
|
||||||
refer to the applicable agreement for further details, at
|
|
||||||
https://fpgasoftware.intel.com/eula.
|
|
||||||
*/
|
|
||||||
|
|
||||||
HEADER
|
|
||||||
{
|
|
||||||
VERSION = 1;
|
|
||||||
TIME_UNIT = ns;
|
|
||||||
DATA_OFFSET = 0.0;
|
|
||||||
DATA_DURATION = 1000.0;
|
|
||||||
SIMULATION_TIME = 0.0;
|
|
||||||
GRID_PHASE = 0.0;
|
|
||||||
GRID_PERIOD = 10.0;
|
|
||||||
GRID_DUTY_CYCLE = 50;
|
|
||||||
}
|
|
||||||
|
|
||||||
SIGNAL("X")
|
|
||||||
{
|
|
||||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
|
||||||
SIGNAL_TYPE = SINGLE_BIT;
|
|
||||||
WIDTH = 1;
|
|
||||||
LSB_INDEX = -1;
|
|
||||||
DIRECTION = INPUT;
|
|
||||||
PARENT = "";
|
|
||||||
}
|
|
||||||
|
|
||||||
SIGNAL("Y")
|
|
||||||
{
|
|
||||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
|
||||||
SIGNAL_TYPE = SINGLE_BIT;
|
|
||||||
WIDTH = 1;
|
|
||||||
LSB_INDEX = -1;
|
|
||||||
DIRECTION = INPUT;
|
|
||||||
PARENT = "";
|
|
||||||
}
|
|
||||||
|
|
||||||
SIGNAL("Z")
|
|
||||||
{
|
|
||||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
|
||||||
SIGNAL_TYPE = SINGLE_BIT;
|
|
||||||
WIDTH = 1;
|
|
||||||
LSB_INDEX = -1;
|
|
||||||
DIRECTION = OUTPUT;
|
|
||||||
PARENT = "";
|
|
||||||
}
|
|
||||||
|
|
||||||
TRANSITION_LIST("X")
|
|
||||||
{
|
|
||||||
NODE
|
|
||||||
{
|
|
||||||
REPEAT = 1;
|
|
||||||
NODE
|
|
||||||
{
|
|
||||||
REPEAT = 50;
|
|
||||||
LEVEL 0 FOR 10.0;
|
|
||||||
LEVEL 1 FOR 10.0;
|
|
||||||
}
|
|
||||||
}
|
|
||||||
}
|
|
||||||
|
|
||||||
TRANSITION_LIST("Y")
|
|
||||||
{
|
|
||||||
NODE
|
|
||||||
{
|
|
||||||
REPEAT = 1;
|
|
||||||
NODE
|
|
||||||
{
|
|
||||||
REPEAT = 25;
|
|
||||||
LEVEL 0 FOR 20.0;
|
|
||||||
LEVEL 1 FOR 20.0;
|
|
||||||
}
|
|
||||||
}
|
|
||||||
}
|
|
||||||
|
|
||||||
TRANSITION_LIST("Z")
|
|
||||||
{
|
|
||||||
NODE
|
|
||||||
{
|
|
||||||
REPEAT = 1;
|
|
||||||
LEVEL X FOR 1000.0;
|
|
||||||
}
|
|
||||||
}
|
|
||||||
|
|
||||||
DISPLAY_LINE
|
|
||||||
{
|
|
||||||
CHANNEL = "X";
|
|
||||||
EXPAND_STATUS = COLLAPSED;
|
|
||||||
RADIX = Binary;
|
|
||||||
TREE_INDEX = 0;
|
|
||||||
TREE_LEVEL = 0;
|
|
||||||
}
|
|
||||||
|
|
||||||
DISPLAY_LINE
|
|
||||||
{
|
|
||||||
CHANNEL = "Y";
|
|
||||||
EXPAND_STATUS = COLLAPSED;
|
|
||||||
RADIX = Binary;
|
|
||||||
TREE_INDEX = 1;
|
|
||||||
TREE_LEVEL = 0;
|
|
||||||
}
|
|
||||||
|
|
||||||
DISPLAY_LINE
|
|
||||||
{
|
|
||||||
CHANNEL = "Z";
|
|
||||||
EXPAND_STATUS = COLLAPSED;
|
|
||||||
RADIX = Binary;
|
|
||||||
TREE_INDEX = 2;
|
|
||||||
TREE_LEVEL = 0;
|
|
||||||
}
|
|
||||||
|
|
||||||
TIME_BAR
|
|
||||||
{
|
|
||||||
TIME = 0;
|
|
||||||
MASTER = TRUE;
|
|
||||||
}
|
|
||||||
;
|
|
784
mux_8x16.bdf
Normal file
784
mux_8x16.bdf
Normal file
|
@ -0,0 +1,784 @@
|
||||||
|
/*
|
||||||
|
WARNING: Do NOT edit the input and output ports in this file in a text
|
||||||
|
editor if you plan to continue editing the block that represents it in
|
||||||
|
the Block Editor! File corruption is VERY likely to occur.
|
||||||
|
*/
|
||||||
|
/*
|
||||||
|
Copyright (C) 2018 Intel Corporation. All rights reserved.
|
||||||
|
Your use of Intel Corporation's design tools, logic functions
|
||||||
|
and other software and tools, and its AMPP partner logic
|
||||||
|
functions, and any output files from any of the foregoing
|
||||||
|
(including device programming or simulation files), and any
|
||||||
|
associated documentation or information are expressly subject
|
||||||
|
to the terms and conditions of the Intel Program License
|
||||||
|
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||||
|
the Intel FPGA IP License Agreement, or other applicable license
|
||||||
|
agreement, including, without limitation, that your use is for
|
||||||
|
the sole purpose of programming logic devices manufactured by
|
||||||
|
Intel and sold by Intel or its authorized distributors. Please
|
||||||
|
refer to the applicable agreement for further details.
|
||||||
|
*/
|
||||||
|
(header "graphic" (version "1.4"))
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 64 272 80)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "s0" (rect 5 0 17 12)(font "Arial" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 80 272 96)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "s1" (rect 5 0 16 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 96 272 112)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "s2" (rect 5 0 16 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 176 272 192)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in0[15..0]" (rect 5 0 52 12)(font "Arial" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 208 272 224)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in1[15..0]" (rect 5 0 49 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 296 272 312)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in2[15..0]" (rect 5 0 49 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 328 272 344)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in3[15..0]" (rect 5 0 49 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 416 272 432)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in4[15..0]" (rect 5 0 49 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 448 272 464)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in5[15..0]" (rect 5 0 49 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 536 272 552)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in6[15..0]" (rect 5 0 49 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(input)
|
||||||
|
(rect 104 568 272 584)
|
||||||
|
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "in7[15..0]" (rect 5 0 49 17)(font "Intel Clear" ))
|
||||||
|
(pt 168 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 84 12)(pt 109 12))
|
||||||
|
(line (pt 84 4)(pt 109 4))
|
||||||
|
(line (pt 113 8)(pt 168 8))
|
||||||
|
(line (pt 84 12)(pt 84 4))
|
||||||
|
(line (pt 109 4)(pt 113 8))
|
||||||
|
(line (pt 109 12)(pt 113 8))
|
||||||
|
)
|
||||||
|
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
|
||||||
|
)
|
||||||
|
(pin
|
||||||
|
(output)
|
||||||
|
(rect 872 192 1048 208)
|
||||||
|
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
|
||||||
|
(text "result[15..0]" (rect 90 0 149 12)(font "Arial" ))
|
||||||
|
(pt 0 8)
|
||||||
|
(drawing
|
||||||
|
(line (pt 0 8)(pt 52 8))
|
||||||
|
(line (pt 52 4)(pt 78 4))
|
||||||
|
(line (pt 52 12)(pt 78 12))
|
||||||
|
(line (pt 52 12)(pt 52 4))
|
||||||
|
(line (pt 78 4)(pt 82 8))
|
||||||
|
(line (pt 82 8)(pt 78 12))
|
||||||
|
(line (pt 78 12)(pt 82 8))
|
||||||
|
)
|
||||||
|
)
|
||||||
|
(symbol
|
||||||
|
(rect 312 272 424 360)
|
||||||
|
(text "BUSMUX" (rect 28 0 91 16)(font "Arial" (font_size 10)))
|
||||||
|
(text "inst5" (rect 3 77 25 94)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "datab[WIDTH-1..0]" (rect 6 51 108 65)(font "Arial" (font_size 8)))
|
||||||
|
(text "datab[]" (rect 6 51 44 65)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 44 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 56 88)
|
||||||
|
(input)
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 56 88)(pt 56 72))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "dataa[WIDTH-1..0]" (rect 6 19 108 33)(font "Arial" (font_size 8)))
|
||||||
|
(text "dataa[]" (rect 6 19 44 33)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 44 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 112 48)
|
||||||
|
(output)
|
||||||
|
(text "result[WIDTH-1..0]" (rect 75 35 177 49)(font "Arial" (font_size 8)))
|
||||||
|
(text "result[]" (rect 75 35 113 49)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 68 48)(pt 112 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(parameter
|
||||||
|
"WIDTH"
|
||||||
|
"16"
|
||||||
|
"Width of I/O, any integer > 0"
|
||||||
|
" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64"
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(text "0" (rect 52 31 56 41)(font "Arial" (font_size 6)))
|
||||||
|
(text "1" (rect 52 55 56 65)(font "Arial" (font_size 6)))
|
||||||
|
(line (pt 68 64)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 44 16))
|
||||||
|
(line (pt 44 16)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 68 64))
|
||||||
|
)
|
||||||
|
(annotation_block (parameter)(rect 424 272 448 288))
|
||||||
|
)
|
||||||
|
(symbol
|
||||||
|
(rect 312 392 424 480)
|
||||||
|
(text "BUSMUX" (rect 28 0 91 16)(font "Arial" (font_size 10)))
|
||||||
|
(text "inst6" (rect 3 77 25 94)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "datab[WIDTH-1..0]" (rect 6 51 108 65)(font "Arial" (font_size 8)))
|
||||||
|
(text "datab[]" (rect 6 51 44 65)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 44 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 56 88)
|
||||||
|
(input)
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 56 88)(pt 56 72))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "dataa[WIDTH-1..0]" (rect 6 19 108 33)(font "Arial" (font_size 8)))
|
||||||
|
(text "dataa[]" (rect 6 19 44 33)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 44 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 112 48)
|
||||||
|
(output)
|
||||||
|
(text "result[WIDTH-1..0]" (rect 75 35 177 49)(font "Arial" (font_size 8)))
|
||||||
|
(text "result[]" (rect 75 35 113 49)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 68 48)(pt 112 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(parameter
|
||||||
|
"WIDTH"
|
||||||
|
"16"
|
||||||
|
"Width of I/O, any integer > 0"
|
||||||
|
" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64"
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(text "0" (rect 52 31 56 41)(font "Arial" (font_size 6)))
|
||||||
|
(text "1" (rect 52 55 56 65)(font "Arial" (font_size 6)))
|
||||||
|
(line (pt 68 64)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 44 16))
|
||||||
|
(line (pt 44 16)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 68 64))
|
||||||
|
)
|
||||||
|
(annotation_block (parameter)(rect 424 392 448 408))
|
||||||
|
)
|
||||||
|
(symbol
|
||||||
|
(rect 312 512 424 600)
|
||||||
|
(text "BUSMUX" (rect 28 0 91 16)(font "Arial" (font_size 10)))
|
||||||
|
(text "inst7" (rect 3 77 25 94)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "datab[WIDTH-1..0]" (rect 6 51 108 65)(font "Arial" (font_size 8)))
|
||||||
|
(text "datab[]" (rect 6 51 44 65)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 44 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 56 88)
|
||||||
|
(input)
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 56 88)(pt 56 72))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "dataa[WIDTH-1..0]" (rect 6 19 108 33)(font "Arial" (font_size 8)))
|
||||||
|
(text "dataa[]" (rect 6 19 44 33)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 44 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 112 48)
|
||||||
|
(output)
|
||||||
|
(text "result[WIDTH-1..0]" (rect 75 35 177 49)(font "Arial" (font_size 8)))
|
||||||
|
(text "result[]" (rect 75 35 113 49)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 68 48)(pt 112 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(parameter
|
||||||
|
"WIDTH"
|
||||||
|
"16"
|
||||||
|
"Width of I/O, any integer > 0"
|
||||||
|
" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64"
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(text "0" (rect 52 31 56 41)(font "Arial" (font_size 6)))
|
||||||
|
(text "1" (rect 52 55 56 65)(font "Arial" (font_size 6)))
|
||||||
|
(line (pt 68 64)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 44 16))
|
||||||
|
(line (pt 44 16)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 68 64))
|
||||||
|
)
|
||||||
|
(annotation_block (parameter)(rect 424 512 448 528))
|
||||||
|
)
|
||||||
|
(symbol
|
||||||
|
(rect 528 152 640 240)
|
||||||
|
(text "BUSMUX" (rect 28 0 91 16)(font "Arial" (font_size 10)))
|
||||||
|
(text "inst8" (rect 3 77 25 94)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "datab[WIDTH-1..0]" (rect 6 51 108 65)(font "Arial" (font_size 8)))
|
||||||
|
(text "datab[]" (rect 6 51 44 65)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 44 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 56 88)
|
||||||
|
(input)
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 56 88)(pt 56 72))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "dataa[WIDTH-1..0]" (rect 6 19 108 33)(font "Arial" (font_size 8)))
|
||||||
|
(text "dataa[]" (rect 6 19 44 33)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 44 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 112 48)
|
||||||
|
(output)
|
||||||
|
(text "result[WIDTH-1..0]" (rect 75 35 177 49)(font "Arial" (font_size 8)))
|
||||||
|
(text "result[]" (rect 75 35 113 49)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 68 48)(pt 112 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(parameter
|
||||||
|
"WIDTH"
|
||||||
|
"16"
|
||||||
|
"Width of I/O, any integer > 0"
|
||||||
|
" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64"
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(text "0" (rect 52 31 56 41)(font "Arial" (font_size 6)))
|
||||||
|
(text "1" (rect 52 55 56 65)(font "Arial" (font_size 6)))
|
||||||
|
(line (pt 68 64)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 44 16))
|
||||||
|
(line (pt 44 16)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 68 64))
|
||||||
|
)
|
||||||
|
(annotation_block (parameter)(rect 640 152 664 168))
|
||||||
|
)
|
||||||
|
(symbol
|
||||||
|
(rect 528 272 640 360)
|
||||||
|
(text "BUSMUX" (rect 28 0 91 16)(font "Arial" (font_size 10)))
|
||||||
|
(text "inst9" (rect 3 77 25 94)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "datab[WIDTH-1..0]" (rect 6 51 108 65)(font "Arial" (font_size 8)))
|
||||||
|
(text "datab[]" (rect 6 51 44 65)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 44 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 56 88)
|
||||||
|
(input)
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 56 88)(pt 56 72))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "dataa[WIDTH-1..0]" (rect 6 19 108 33)(font "Arial" (font_size 8)))
|
||||||
|
(text "dataa[]" (rect 6 19 44 33)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 44 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 112 48)
|
||||||
|
(output)
|
||||||
|
(text "result[WIDTH-1..0]" (rect 75 35 177 49)(font "Arial" (font_size 8)))
|
||||||
|
(text "result[]" (rect 75 35 113 49)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 68 48)(pt 112 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(parameter
|
||||||
|
"WIDTH"
|
||||||
|
"16"
|
||||||
|
"Width of I/O, any integer > 0"
|
||||||
|
" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64"
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(text "0" (rect 52 31 56 41)(font "Arial" (font_size 6)))
|
||||||
|
(text "1" (rect 52 55 56 65)(font "Arial" (font_size 6)))
|
||||||
|
(line (pt 68 64)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 44 16))
|
||||||
|
(line (pt 44 16)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 68 64))
|
||||||
|
)
|
||||||
|
(annotation_block (parameter)(rect 640 272 664 288))
|
||||||
|
)
|
||||||
|
(symbol
|
||||||
|
(rect 720 152 832 240)
|
||||||
|
(text "BUSMUX" (rect 28 0 91 16)(font "Arial" (font_size 10)))
|
||||||
|
(text "inst10" (rect 3 77 31 94)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "datab[WIDTH-1..0]" (rect 6 51 108 65)(font "Arial" (font_size 8)))
|
||||||
|
(text "datab[]" (rect 6 51 44 65)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 44 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 56 88)
|
||||||
|
(input)
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 56 88)(pt 56 72))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "dataa[WIDTH-1..0]" (rect 6 19 108 33)(font "Arial" (font_size 8)))
|
||||||
|
(text "dataa[]" (rect 6 19 44 33)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 44 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 112 48)
|
||||||
|
(output)
|
||||||
|
(text "result[WIDTH-1..0]" (rect 75 35 177 49)(font "Arial" (font_size 8)))
|
||||||
|
(text "result[]" (rect 75 35 113 49)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 68 48)(pt 112 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(parameter
|
||||||
|
"WIDTH"
|
||||||
|
"16"
|
||||||
|
"Width of I/O, any integer > 0"
|
||||||
|
" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64"
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(text "0" (rect 52 31 56 41)(font "Arial" (font_size 6)))
|
||||||
|
(text "1" (rect 52 55 56 65)(font "Arial" (font_size 6)))
|
||||||
|
(line (pt 68 64)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 44 16))
|
||||||
|
(line (pt 44 16)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 68 64))
|
||||||
|
)
|
||||||
|
(annotation_block (parameter)(rect 832 152 856 168))
|
||||||
|
)
|
||||||
|
(symbol
|
||||||
|
(rect 312 152 424 240)
|
||||||
|
(text "BUSMUX" (rect 28 0 91 16)(font "Arial" (font_size 10)))
|
||||||
|
(text "inst" (rect 3 77 23 91)(font "Arial" (font_size 8)))
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "datab[WIDTH-1..0]" (rect 6 51 108 65)(font "Arial" (font_size 8)))
|
||||||
|
(text "datab[]" (rect 6 51 44 65)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 44 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 56 88)
|
||||||
|
(input)
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(text "sel" (rect 59 70 75 84)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 56 88)(pt 56 72))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "dataa[WIDTH-1..0]" (rect 6 19 108 33)(font "Arial" (font_size 8)))
|
||||||
|
(text "dataa[]" (rect 6 19 44 33)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 44 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 112 48)
|
||||||
|
(output)
|
||||||
|
(text "result[WIDTH-1..0]" (rect 75 35 177 49)(font "Arial" (font_size 8)))
|
||||||
|
(text "result[]" (rect 75 35 113 49)(font "Arial" (font_size 8)))
|
||||||
|
(line (pt 68 48)(pt 112 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(parameter
|
||||||
|
"WIDTH"
|
||||||
|
"16"
|
||||||
|
"Width of I/O, any integer > 0"
|
||||||
|
" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64"
|
||||||
|
(type "PARAMETER_SIGNED_DEC") )
|
||||||
|
(drawing
|
||||||
|
(text "0" (rect 52 31 56 41)(font "Arial" (font_size 6)))
|
||||||
|
(text "1" (rect 52 55 56 65)(font "Arial" (font_size 6)))
|
||||||
|
(line (pt 68 64)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 44 16))
|
||||||
|
(line (pt 44 16)(pt 68 32))
|
||||||
|
(line (pt 44 80)(pt 68 64))
|
||||||
|
)
|
||||||
|
(annotation_block (parameter)(rect 424 152 456 176))
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 424 200)
|
||||||
|
(pt 440 200)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 456 320)
|
||||||
|
(pt 424 320)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 424 440)
|
||||||
|
(pt 472 440)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 424 560)
|
||||||
|
(pt 488 560)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 656 184)
|
||||||
|
(pt 720 184)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 440 200)
|
||||||
|
(pt 440 184)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 456 320)
|
||||||
|
(pt 456 216)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 656 200)
|
||||||
|
(pt 640 200)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 656 184)
|
||||||
|
(pt 656 200)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 456 216)
|
||||||
|
(pt 528 216)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 440 184)
|
||||||
|
(pt 528 184)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 472 440)
|
||||||
|
(pt 472 304)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 488 560)
|
||||||
|
(pt 488 336)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 488 336)
|
||||||
|
(pt 528 336)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 472 304)
|
||||||
|
(pt 528 304)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 720 216)
|
||||||
|
(pt 672 216)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 640 320)
|
||||||
|
(pt 672 320)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 672 216)
|
||||||
|
(pt 672 320)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 368 240)
|
||||||
|
(pt 368 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 368 256)
|
||||||
|
(pt 296 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 368 360)
|
||||||
|
(pt 368 376)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 368 376)
|
||||||
|
(pt 296 376)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 296 608)
|
||||||
|
(pt 368 608)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 368 608)
|
||||||
|
(pt 368 600)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 368 496)
|
||||||
|
(pt 296 496)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 296 496)
|
||||||
|
(pt 296 608)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 368 496)
|
||||||
|
(pt 368 480)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 296 376)
|
||||||
|
(pt 296 496)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 584 240)
|
||||||
|
(pt 584 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 512 256)
|
||||||
|
(pt 584 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 512 376)
|
||||||
|
(pt 584 376)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 584 360)
|
||||||
|
(pt 584 376)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 272 184)
|
||||||
|
(pt 312 184)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 312 216)
|
||||||
|
(pt 272 216)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 312 304)
|
||||||
|
(pt 272 304)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 312 336)
|
||||||
|
(pt 272 336)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 312 424)
|
||||||
|
(pt 272 424)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 312 456)
|
||||||
|
(pt 272 456)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 312 544)
|
||||||
|
(pt 272 544)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 312 576)
|
||||||
|
(pt 272 576)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 272 72)
|
||||||
|
(pt 296 72)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 296 72)
|
||||||
|
(pt 296 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 296 256)
|
||||||
|
(pt 296 376)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 272 88)
|
||||||
|
(pt 512 88)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 512 88)
|
||||||
|
(pt 512 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 512 256)
|
||||||
|
(pt 512 376)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 776 240)
|
||||||
|
(pt 776 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 272 104)
|
||||||
|
(pt 704 104)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 776 256)
|
||||||
|
(pt 704 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 704 104)
|
||||||
|
(pt 704 256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 832 200)
|
||||||
|
(pt 872 200)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(junction (pt 296 496))
|
||||||
|
(junction (pt 296 376))
|
||||||
|
(junction (pt 296 256))
|
||||||
|
(junction (pt 512 256))
|
113
mux_8x16.bsf
Normal file
113
mux_8x16.bsf
Normal file
|
@ -0,0 +1,113 @@
|
||||||
|
/*
|
||||||
|
WARNING: Do NOT edit the input and output ports in this file in a text
|
||||||
|
editor if you plan to continue editing the block that represents it in
|
||||||
|
the Block Editor! File corruption is VERY likely to occur.
|
||||||
|
*/
|
||||||
|
/*
|
||||||
|
Copyright (C) 2018 Intel Corporation. All rights reserved.
|
||||||
|
Your use of Intel Corporation's design tools, logic functions
|
||||||
|
and other software and tools, and its AMPP partner logic
|
||||||
|
functions, and any output files from any of the foregoing
|
||||||
|
(including device programming or simulation files), and any
|
||||||
|
associated documentation or information are expressly subject
|
||||||
|
to the terms and conditions of the Intel Program License
|
||||||
|
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||||
|
the Intel FPGA IP License Agreement, or other applicable license
|
||||||
|
agreement, including, without limitation, that your use is for
|
||||||
|
the sole purpose of programming logic devices manufactured by
|
||||||
|
Intel and sold by Intel or its authorized distributors. Please
|
||||||
|
refer to the applicable agreement for further details.
|
||||||
|
*/
|
||||||
|
(header "symbol" (version "1.2"))
|
||||||
|
(symbol
|
||||||
|
(rect 16 16 200 240)
|
||||||
|
(text "mux_8x16" (rect 5 0 67 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "inst" (rect 8 203 24 220)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "s0" (rect 0 0 14 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "s0" (rect 21 27 35 46)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 16 32))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 48)
|
||||||
|
(input)
|
||||||
|
(text "s1" (rect 0 0 14 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "s1" (rect 21 43 35 62)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 48)(pt 16 48))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "s2" (rect 0 0 14 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "s2" (rect 21 59 35 78)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 16 64))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 80)
|
||||||
|
(input)
|
||||||
|
(text "in0[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in0[15..0]" (rect 21 75 78 94)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 80)(pt 16 80)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 96)
|
||||||
|
(input)
|
||||||
|
(text "in1[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in1[15..0]" (rect 21 91 78 110)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 96)(pt 16 96)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 112)
|
||||||
|
(input)
|
||||||
|
(text "in2[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in2[15..0]" (rect 21 107 78 126)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 112)(pt 16 112)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 128)
|
||||||
|
(input)
|
||||||
|
(text "in3[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in3[15..0]" (rect 21 123 78 142)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 128)(pt 16 128)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 144)
|
||||||
|
(input)
|
||||||
|
(text "in4[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in4[15..0]" (rect 21 139 78 158)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 144)(pt 16 144)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 160)
|
||||||
|
(input)
|
||||||
|
(text "in5[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in5[15..0]" (rect 21 155 78 174)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 160)(pt 16 160)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 176)
|
||||||
|
(input)
|
||||||
|
(text "in6[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in6[15..0]" (rect 21 171 78 190)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 176)(pt 16 176)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 192)
|
||||||
|
(input)
|
||||||
|
(text "in7[15..0]" (rect 0 0 57 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "in7[15..0]" (rect 21 187 78 206)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 192)(pt 16 192)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 184 32)
|
||||||
|
(output)
|
||||||
|
(text "result[15..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "result[15..0]" (rect 92 27 163 46)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 184 32)(pt 168 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(rectangle (rect 16 16 168 208))
|
||||||
|
)
|
||||||
|
)
|
1565
reg_file.bdf
Normal file
1565
reg_file.bdf
Normal file
File diff suppressed because it is too large
Load diff
211
reg_file.bsf
Normal file
211
reg_file.bsf
Normal file
|
@ -0,0 +1,211 @@
|
||||||
|
/*
|
||||||
|
WARNING: Do NOT edit the input and output ports in this file in a text
|
||||||
|
editor if you plan to continue editing the block that represents it in
|
||||||
|
the Block Editor! File corruption is VERY likely to occur.
|
||||||
|
*/
|
||||||
|
/*
|
||||||
|
Copyright (C) 2018 Intel Corporation. All rights reserved.
|
||||||
|
Your use of Intel Corporation's design tools, logic functions
|
||||||
|
and other software and tools, and its AMPP partner logic
|
||||||
|
functions, and any output files from any of the foregoing
|
||||||
|
(including device programming or simulation files), and any
|
||||||
|
associated documentation or information are expressly subject
|
||||||
|
to the terms and conditions of the Intel Program License
|
||||||
|
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||||
|
the Intel FPGA IP License Agreement, or other applicable license
|
||||||
|
agreement, including, without limitation, that your use is for
|
||||||
|
the sole purpose of programming logic devices manufactured by
|
||||||
|
Intel and sold by Intel or its authorized distributors. Please
|
||||||
|
refer to the applicable agreement for further details.
|
||||||
|
*/
|
||||||
|
(header "symbol" (version "1.2"))
|
||||||
|
(symbol
|
||||||
|
(rect 16 16 224 368)
|
||||||
|
(text "reg_file" (rect 5 0 49 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "inst" (rect 8 331 24 348)(font "Intel Clear" ))
|
||||||
|
(port
|
||||||
|
(pt 0 32)
|
||||||
|
(input)
|
||||||
|
(text "CLK" (rect 0 0 23 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "CLK" (rect 21 27 44 46)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 32)(pt 16 32))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 48)
|
||||||
|
(input)
|
||||||
|
(text "R0_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R0_en" (rect 21 43 58 62)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 48)(pt 16 48))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 64)
|
||||||
|
(input)
|
||||||
|
(text "R0_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R0_in[15..0]" (rect 21 59 94 78)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 64)(pt 16 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 80)
|
||||||
|
(input)
|
||||||
|
(text "R0_count" (rect 0 0 55 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R0_count" (rect 21 75 76 94)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 80)(pt 16 80))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 96)
|
||||||
|
(input)
|
||||||
|
(text "R1_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R1_in[15..0]" (rect 21 91 94 110)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 96)(pt 16 96)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 112)
|
||||||
|
(input)
|
||||||
|
(text "R1_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R1_en" (rect 21 107 58 126)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 112)(pt 16 112))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 128)
|
||||||
|
(input)
|
||||||
|
(text "R2_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R2_in[15..0]" (rect 21 123 94 142)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 128)(pt 16 128)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 144)
|
||||||
|
(input)
|
||||||
|
(text "R2_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R2_en" (rect 21 139 58 158)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 144)(pt 16 144))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 160)
|
||||||
|
(input)
|
||||||
|
(text "R3_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R3_in[15..0]" (rect 21 155 94 174)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 160)(pt 16 160)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 176)
|
||||||
|
(input)
|
||||||
|
(text "R3_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R3_en" (rect 21 171 58 190)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 176)(pt 16 176))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 192)
|
||||||
|
(input)
|
||||||
|
(text "R4_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R4_in[15..0]" (rect 21 187 94 206)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 192)(pt 16 192)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 208)
|
||||||
|
(input)
|
||||||
|
(text "R4_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R4_en" (rect 21 203 58 222)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 208)(pt 16 208))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 224)
|
||||||
|
(input)
|
||||||
|
(text "R5_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R5_in[15..0]" (rect 21 219 94 238)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 224)(pt 16 224)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 240)
|
||||||
|
(input)
|
||||||
|
(text "R5_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R5_en" (rect 21 235 58 254)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 240)(pt 16 240))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 256)
|
||||||
|
(input)
|
||||||
|
(text "R6_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R6_in[15..0]" (rect 21 251 94 270)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 256)(pt 16 256)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 272)
|
||||||
|
(input)
|
||||||
|
(text "R6_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R6_en" (rect 21 267 58 286)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 272)(pt 16 272))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 288)
|
||||||
|
(input)
|
||||||
|
(text "R7_in[15..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R7_in[15..0]" (rect 21 283 94 302)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 288)(pt 16 288)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 0 304)
|
||||||
|
(input)
|
||||||
|
(text "R7_en" (rect 0 0 37 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R7_en" (rect 21 299 58 318)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 0 304)(pt 16 304))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 32)
|
||||||
|
(output)
|
||||||
|
(text " R0_out[15..0]" (rect 0 0 84 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text " R0_out[15..0]" (rect 103 27 187 46)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 32)(pt 192 32)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 48)
|
||||||
|
(output)
|
||||||
|
(text "R1_out[15..0]" (rect 0 0 81 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R1_out[15..0]" (rect 106 43 187 62)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 48)(pt 192 48)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 64)
|
||||||
|
(output)
|
||||||
|
(text "R2_out[15..0]" (rect 0 0 81 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R2_out[15..0]" (rect 106 59 187 78)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 64)(pt 192 64)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 80)
|
||||||
|
(output)
|
||||||
|
(text "R3_out[15..0]" (rect 0 0 81 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R3_out[15..0]" (rect 106 75 187 94)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 80)(pt 192 80)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 96)
|
||||||
|
(output)
|
||||||
|
(text "R4_out[15..0]" (rect 0 0 81 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R4_out[15..0]" (rect 106 91 187 110)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 96)(pt 192 96)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 112)
|
||||||
|
(output)
|
||||||
|
(text "R5_out[15..0]" (rect 0 0 81 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R5_out[15..0]" (rect 106 107 187 126)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 112)(pt 192 112)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 128)
|
||||||
|
(output)
|
||||||
|
(text "R6_out[15..0]" (rect 0 0 81 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R6_out[15..0]" (rect 106 123 187 142)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 128)(pt 192 128)(line_width 3))
|
||||||
|
)
|
||||||
|
(port
|
||||||
|
(pt 208 144)
|
||||||
|
(output)
|
||||||
|
(text "R7_out[15..0]" (rect 0 0 81 19)(font "Intel Clear" (font_size 8)))
|
||||||
|
(text "R7_out[15..0]" (rect 106 139 187 158)(font "Intel Clear" (font_size 8)))
|
||||||
|
(line (pt 208 144)(pt 192 144)(line_width 3))
|
||||||
|
)
|
||||||
|
(drawing
|
||||||
|
(rectangle (rect 16 16 192 336))
|
||||||
|
)
|
||||||
|
)
|
Loading…
Reference in a new issue