From 529efcaf9ffe9523efe7d25fd214312266dc07e7 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Fri, 3 Mar 2023 17:05:00 +0000 Subject: [PATCH] Add pwm module to set LED colour from LiteX Console --- rtl/flipPwm.sv | 20 ++++++++++++++++++++ 1 file changed, 20 insertions(+) create mode 100644 rtl/flipPwm.sv diff --git a/rtl/flipPwm.sv b/rtl/flipPwm.sv new file mode 100644 index 0000000..959855a --- /dev/null +++ b/rtl/flipPwm.sv @@ -0,0 +1,20 @@ +`default_nettype none + +module flipPwm +( input var clk +, input var [23:0] rgb +, output var ledr +, output var ledg +, output var ledb +); + +logic [7:0] counter; + +always_ff @(posedge clk) + counter <= counter + 1; + +assign ledr = (rgb[23:16] > counter); +assign ledg = (rgb[15: 8] > counter); +assign ledb = (rgb[ 7: 0] > counter); + +endmodule